PMID- 21036770 TI - NICE public health guidance: update. PMID- 21036768 TI - Cardiopulmonary toxicity of different chemoradiotherapy combined regimens for Hodgkin's disease. AB - The majority of patients with Hodgkin's disease can be cured by combination of polychemotherapy and radiotherapy (RT) that can produce late toxic pulmonary and cardiac effects which often remain at a subclinical level. The aim of the present investigation was to compare the late pulmonary and cardiac toxicity of three chemotherapeutic regimens combined with RT and particularly doxorubicin, bleomycin, vinblastine and dacarbazine (ABVD), vincristine, epirubicin, cyclophosphamide, etoposide and prednisone (VEBEP) and ABVD with mechloretamine, vincristine, procarbazine and prednisone (MOPP). PATIENTS AND METHODS: We investigated 147 patients suffering from Hodgkin's disease after a follow-up of at least 5 years from the completion of CT-RT. Seventy-eight patients were submitted to ABVD-RT, 36 to VEBEP-RT and 33 to MOPP-ABVD-RT. Patients underwent spirometry, 2D-doppler echocardiography at rest, cardiopulmonary exercise test on cycloergometer and determination of cardiac output by a non invasive method. RESULTS: Patients of the three different treatment groups showed tolerance to exercise, and oxygen consumption significantly lower than the predicted values but there were no statistically significant difference between the three groups. Nevertheless, patients treated with VEBEP and with MOPP-ABVD showed an ejection fraction at rest lower than those observed in the ABVD group and patients treated with VEBEP showed a cardiac output for oxygen uptake lower than those observed in the ABVD and MOPP-ABVD treatment groups. CONCLUSION: These data confirm that the combination of mediastinal RT with the more commonly used polychemotherapy regimens produce late toxic effects. The lower exercise capacity seems to be due to a combination of decreased cardiac performance and impairment of ventilation. The VEBEP regimens could be potentially more toxic for the heart, probably because of the higher cumulative dose of anthracyclines. PMID- 21036771 TI - Trends in production of extended-spectrum beta-lactamases among Enterobacteriaceae of clinical interest: results of a nationwide survey in Belgian hospitals. AB - OBJECTIVES: to assess the frequency and diversity of extended-spectrum beta lactamases (ESBLs) in Enterobacteriaceae isolates in Belgium. METHODS: during 2006 and 2008, non-duplicate clinical isolates of Enterobacteriaceae resistant to ceftazidime and/or cefotaxime were collected in 100 Belgian hospitals. ESBL production was confirmed by phenotypic and genotypic tests. MICs of 13 antimicrobial agents were determined by Etest. ESBL-encoding genes were identified by PCR sequencing and the bla(CTX-M) environment was characterized by PCR mapping. Selected isolates were genotyped by PFGE, multilocus sequence typing analysis and phylogenetic grouping by PCR. RESULTS: overall, 733 isolates were confirmed as ESBL producers. Carbapenems and temocillin were active against >= 95% of all tested isolates. Co-resistance to co-trimoxazole and to ciprofloxacin was found in almost 70% and 80% of the strains, respectively. Overall, Escherichia coli (49%), Enterobacter aerogenes (32%) and Klebsiella pneumoniae (9%) represented the most prevalent species. Isolates harboured predominantly TEM 24 (30.7%), CTX-M-15 (24.2%) and TEM-52 (12.1%). Compared with 2006, the proportion of CTX-M-type enzymes increased significantly in 2008 (54% versus 23%; P < 10(-6)), mostly linked to a rising proportion of CTX-M-15-producing E. coli. TEM-24 decreased (19% in 2008 versus 43% in 2006; P < 10(-6)) during the same period, while the prevalence of TEM-52 remained unchanged (10% in 2008 versus 14% in 2006; not significant). Over 80% of the CTX-M-15-producing E. coli isolates clustered into a single PFGE type and phylogroup B2, corresponding to the sequence type (ST) 131 clone. Intra- and inter-species gene dissemination (CTX-M 15, CTX-M-2 and CTX-M-9) and wide epidemic spread of the CTX-M-15-producing E. coli ST131 clone in several Belgian hospitals were observed. CONCLUSIONS: the rapid emergence of multiresistant CTX-M-15-producing E. coli isolates is of major concern and highlights the need for further surveillance in Belgium. PMID- 21036772 TI - Four year follow-up of simplification therapy with once-daily emtricitabine, didanosine and efavirenz in HIV-infected patients (ALIZE ANRS 099 trial). AB - BACKGROUND: once-daily combinations of efavirenz and two nucleoside analogues are recommended for the treatment of HIV infection. Long-term efficacy and safety data are scarce for the combination of efavirenz, emtricitabine and didanosine. METHODS: the ALIZE ANRS 099 trial enrolled 355 adults with plasma HIV RNA levels of <400 copies/mL under a protease inhibitor-based regimen, who were randomized to remain on this regimen or to switch to a once-daily regimen of emtricitabine, didanosine and efavirenz for 48 weeks. An extended 4 year follow-up was available for the 178 patients who switched to the efavirenz-containing regimen, and assessed plasma HIV RNA levels, CD4 cell counts, safety and tolerability. RESULTS: after a median follow-up of 42 months, 121 patients (68%) remained on an efavirenz-based regimen, and 62% and 57% had plasma HIV RNA levels of <400 and <50 copies/mL, respectively, in an intent-to-continue analysis with missing data and treatment discontinuation considered as failure. There was a significant increase in CD4 cell count of 41 cells/mm(3). Drug-related adverse events were the main reason for treatment discontinuation in 26 patients (15%), and 15 were reported during the first year of therapy (58%). There was no emergence of clinically defined lipodystrophy, and lipid and glucose profiles were favourable with a significant increase from baseline of high-density lipoprotein cholesterol levels (median increase 12 mg/dL, P < 10(-4)). CONCLUSIONS: a once-daily regimen of emtricitabine, didanosine and efavirenz provided a durable antiretroviral response and was well tolerated through 4 years of therapy. PMID- 21036773 TI - Prognostic significance of tissue-Doppler imaging in chronic heart failure patients on transplant waiting list: a comparative study with right heart catheterization. AB - AIMS: Several echo-Doppler parameters, particularly the E/e' ratio, have been explored in the attempt to improve prognostic stratification in chronic heart failure (CHF) patients. In most studies, however, left ventricular filling pressure was not measured and patients with severe impairment of left ventricular function were not considered. The aim of this study was to assess the prognostic value of E/e' when compared with both traditional echo-Doppler parameters and pulmonary wedge pressure (PWP) in patients with advanced CHF. METHODS AND RESULTS: Right heart catheterization and a two-dimensional echo-Doppler examination were performed at baseline in 49 patients (male: 88%, age: 53 +/- 9 years, New York Heart Association class: 2.7 +/- 0.7, left ventricular ejection fraction: 29 +/- 7%). Traditional pulsed-wave and tissue Doppler velocity parameters (DT, E, SFPVF, E', and E/e') were measured. Endpoint of survival analysis was cardiac death or urgent transplantation. During a median follow-up of 47 months (range: 1-58), 18 patients had experienced a major event (cardiac death or urgent transplantation). Both DT and E/e' were significantly and independently associated with the outcome (the Cox analysis), but the strength of the association was stronger for the latter (P= 0.008 vs. P= 0.03). Moreover, DT became non-significant when adjusted for PWP, whereas E/e' preserved its prognostic value (P= 0.04). The prognostic value of E' and PWP was borderline non significant or clearly non-significant in both univariate and multivariable analyses. CONCLUSION: Among the echo-Doppler parameters, E/e' shows the highest predictive value in patients with advanced CHF and provides prognostic information independent of PWP. These results support the use of the feasible and easy obtainable E/e' ratio as a prognostic indicator in these patients. PMID- 21036774 TI - Platelets release matrix metalloproteinase-2 in the coronary circulation of patients with acute coronary syndromes: possible role in sustained platelet activation. AB - AIMS: To investigate whether selected matrix metalloproteinases (MMPs) are released in the coronary circulation of patients with acute coronary syndrome (ACS), whether this release is related to platelet activation, and whether it contributes to sustained platelet activation. METHODS AND RESULTS: Blood from the aorta (Ao) and the coronary sinus (Cs) was obtained from 21 controls (non-cardiac chest pain), 24 stable angina (SA), and 30 ACS patients, before performing percutaneous transluminal coronary angioplasty. Selected MMPs, some platelet activation- and atheroma-related markers, and the platelet activation potentiating activity of plasma were measured. Total MMP-2, active MMP-2, and MMP 9 were released in the coronary circulation of patients with ACS, but not of those with SA or controls. Similarly, transcoronary gradients of beta thromboglobulin (beta-TG) and platelet factor 4, two platelet-specific proteins, and of soluble CD40L and secretory phospholipase A2 (sPLA2), markers of inflammation and platelet activation, were higher in ACS patients than in the other groups. In contrast, plasma monocyte chemoattractant protein-1, a platelet unrelated marker of atherogenesis, was not increased in the Cs compared with Ao in any of the groups. Transcoronary gradients of both beta-TG and sPLA2 correlated with those of total and active MMP-2 in ACS, but not in controls or SA. Plasma from the Cs of ACS patients potentiated platelet activation, an effect suppressed by the specific MMP-2-inhibitor, tissue inhibitor of MMP-2 (TIMP-2). CONCLUSION: Matrix metalloproteinase-2 is released in the coronary circulation of ACS patients, derives in part from activated platelets, and may contribute to sustained intracoronary platelet activation. PMID- 21036775 TI - CD34+CD140b+ cells and circulating CXCL12 correlate with the angiographically assessed severity of cardiac allograft vasculopathy. AB - AIMS: We sought to determine whether circulating vascular progenitor cells, such as endothelial progenitor cells (EPCs) or smooth muscle progenitor cells (SPCs), were associated with the severity of cardiac allograft vasculopathy (CAV). METHODS AND RESULTS: CD34(+)CD140b(+) SPCs and CD34(+)KDR(+) EPCs were measured in the peripheral circulation of 187 adult heart transplant recipients by flow cytometry. Cardiac allograft vasculopathy was quantified by angiography using a CAV-specific scoring system. Cardiac allograft vasculopathy was present in 84 patients (44.7%) and was classified as mild in 59 and severe in 25 cases. Circulating SPCs were more frequently detectable in CAV patients than in patients without CAV. The number of CD34(+)CD140b(+) cells showed a stepwise increase in patients with moderate and severe CAV. Smooth muscle progenitor cell counts were higher in patients with coronary stent implant compared with unstented patients with CAV. In contrast, peripheral CD34(+)KDR(+) EPC counts were not changed in CAV patients. Plasma CXCL12 levels correlated with the degree of CAV and SPC counts. None of the different immunosuppressive drug regimes was related to the SPC count or the CXCL12 levels. A multivariate regression analysis revealed that the SPC count was independently associated with the presence of CAV. CONCLUSION: Circulating SPCs, but not EPCs, and plasma CXCL12 concentrations are elevated in CAV patients, indicating that they play prominent roles in transplant arteriosclerosis. PMID- 21036776 TI - Routine early coronary angioplasty versus ischaemia-guided angioplasty after thrombolysis in acute ST-elevation myocardial infarction: a meta-analysis. AB - AIMS: Prompt coronary reperfusion following acute ST-segment elevation myocardial infarction is pivotal to survival. Primary angioplasty is the gold standard in restoring reperfusion, but thrombolysis needs consideration when optimal call to balloon time is not feasible. Following lysis and with evolving pharmacoinvasive therapies, the advantage of routine, early percutaneous coronary intervention (PCI) over standard ischaemia-guided PCI remains debatable. We meta-analysed studies comparing these two interventional strategies. METHODS AND RESULTS: A MEDLINE search for randomized control studies was performed using the search terms 'coronary, thrombolysis, early or immediate stenting, and acute ST elevation myocardial infarction'. Further, relevant studies were identified from global cardiovascular scientific sessions/congresses. Two interventional strategies were studied in 3195 patients in eight trials and meta-analysed using a random effects model. The combined endpoint of 30-day mortality, re-infarction, and ischaemia was reached in 106/1487 (7.3%) patients in the routine early PCI group and in 199/1470 (13.5%) patients in the ischaemia-guided PCI group following lysis with odds ratio (OR) 0.47 [95% confidence interval (CI), 0.32 0.68, P < 0.0001] favouring routine early PCI, driven by significant reduction in both re-infarction OR 0.62 (95% CI, 0.42-0.90, P < 0.011) and ischaemia OR 0.21 (95% CI, 0.10-0.47, P < 0.001). Thirty-day mortality or major bleeding rates between strategies were not significantly different. CONCLUSION: Where primary PCI is not feasible, our meta-analysis favours routine early PCI within 24 h of thrombolysis for acute ST-elevation myocardial infarction-a strategy that is safe and a time-target that is easily achievable. Early PCI is associated with reduced recurrence of ischaemia and re-infarction, but at no increased risk of major haemorrhage. PMID- 21036777 TI - Submaximal exercise gas exchange is an important prognostic tool to predict adverse outcomes in heart failure. AB - AIMS: Traditionally, VO(2peak) has been used to determine prognosis in heart failure; however, this measure has limitations. Hence, other exercise and gas exchange parameters measured submaximally, e.g. breathing efficiency (V(E)/VCO(2)), end-tidal CO(2) (P(ET)CO(2)), oxygen uptake efficiency slope (OUES), and circulatory power [ systolic blood pressure (SBP)], have been investigated. The aim of this study was to investigate the prognostic relevance of submaximal exercise gas exchange in heart failure patients. Method and results One hundred and thirty-two consecutive heart failure patients (mean age 56 +/- 12 years, ejection fraction 29 +/- 11%) performed peak treadmill testing. Gas exchange and haemodynamic variables were measured continuously. Gas exchange data obtained from the first 2 min of exercise and at a respiratory exchange ratio (RER) of 0.9 were the measurements of interest. Over a median follow-up period of 62.4 (range 0-114) months, there were 44 endpoints (death or transplant). Univariate analysis demonstrated submaximal predictors of survival, which included V(E)/VCO(2) slope and ratio, P(ET)CO(2), OUES, and circulatory power (P <= 0.01). When these and additional submaximal variables were included together in the multivariable analysis, the strongest submaximal exercise predictive model (C-statistic 0.75) comprised data from the first stage of exercise (V(E) and circulatory power) and at an RER of 0.9 (V(E)/VCO(2) ratio). The inclusion of VO(2 peak) and demographic data, with submaximal data (V(E)/VCO(2) ratio at an RER = 0.9), increased the predictiveness of the model (C-statistic 0.78). CONCLUSION: Submaximal exercise measures provide useful prognostic information for predicting survival in heart failure. This form of testing is logistically easier, cheaper, and safer for patients compared with maximal exercise. PMID- 21036778 TI - Use of B-type natriuretic peptide in the management of hypoxaemic respiratory failure. AB - AIMS: Evaluation and management of patients with hypoxaemic respiratory failure in the intensive care unit (ICU) are difficult. The use of B-type natriuretic peptide (BNP), a quantitative marker of cardiac stress and heart failure (HF), may be helpful. The purpose of this study is to describe the prevalence of causative disorders of hypoxaemic respiratory failure in the ICU and to determine the impact of a BNP-guided diagnostic strategy. METHODS AND RESULTS: This prospective, multi-centre, randomized, single-blind, controlled trial included 314 ICU patients with hypoxaemic respiratory failure: 159 patients were randomly assigned to a diagnostic strategy involving the measurement of BNP and 155 were assessed in a standard manner. The time to discharge and the total cost of treatment were the primary endpoints. Hypoxaemic respiratory failure was multi causal in 27% of the patients. Heart failure was the most common diagnosis in both groups. The use of BNP levels, in conjunction with other clinical information, significantly increased the detection of HF in combination with an additional diagnosis (32 vs. 16%, P = 0.001) and also increased the application of HF-specific medical therapy (nitrates: 32 vs. 23%, P < 0.05 and diuretics: 65 vs. 50%, P < 0.01). Time to discharge (median, 13 vs.14 days, P = 0.50) and total cost of treatment (median, US-$6190 vs. 7155, P = 0.24) were comparable in both groups. CONCLUSION: Hypoxaemic respiratory failure in the ICU is often a multi causal disorder. The use of BNP increased the detection of HF, but did not significantly improve patient management as quantified by time to discharge or treatment cost. ClinicalTrials.gov Identifier: NCT00130559. PMID- 21036779 TI - The United Kingdom National External Quality Assessment Service for parasitology: toxoplasma serology scheme. AB - AIM: To examine performance in the UK National External Quality Assessment Scheme (UKNEQAS) for toxoplasma serology for evidence of discrepant results as compared with the predistribution and postdistribution results supplied by the toxoplasma reference laboratories. METHODS: Analysis of performance in the toxoplasma IgG and IgM schemes was made for the period 1994-2008 to look for trends in performance. RESULTS: For the IgG scheme, a mean of 98% of participants obtained the correct result for detection of toxoplasma-specific antibody. The most common problem was failure to detect low levels of antibody. In some cases this was the result of participants deviating from the manufacturer's instructions and using higher cut-off levels. For the IgM scheme, an average of 95% of participants obtained the correct result for toxoplasma antibody detection. The most common problem was the failure of some enzyme immunoassay kits to detect specific toxoplasma IgM antibody, which was detected by the more sensitive immunosorbent agglutination assay. CONCLUSIONS: Performance standards in the UKNEQAS toxoplasma serology schemes were high. The problems encountered have highlighted the importance of detecting low levels of antibody, adhering to the kit manufacturer's instructions and selecting an appropriate assay for the clinical situation. PMID- 21036780 TI - The PIN-domain ribonucleases and the prokaryotic VapBC toxin-antitoxin array. AB - The PIN-domains are small proteins of ~130 amino acids that are found in bacteria, archaea and eukaryotes and are defined by a group of three strictly conserved acidic amino acids. The conserved three-dimensional structures of the PIN-domains cluster these acidic residues in an enzymatic active site. PIN domains cleave single-stranded RNA in a sequence-specific, Mg2+- or Mn2+ dependent manner. These ribonucleases are toxic to the cells which express them and to offset this toxicity, they are co-expressed with tight binding protein inhibitors. The genes encoding these two proteins are adjacent in the genome of all prokaryotic organisms where they are found. This sequential arrangement of inhibitor-RNAse genes conforms to that of the so-called toxin-antitoxin (TA) modules and the PIN-domain TAs have been named VapBC TAs (virulence associated proteins, VapB is the inhibitor which contains a transcription factor domain and VapC is the PIN-domain ribonuclease). The presence of large numbers of vapBC loci in disparate prokaryotes has motivated many researchers to investigate their biochemical and biological functions. For example, the devastating human pathogen Mycobacterium tuberculosis has 45 vapBC loci encoded in its genome whereas its non-pathogenic relative, Mycobacterium smegmatis has just one vapBC operon. On another branch of the prokaryotic tree, the nitrogen-fixing symbiont of legumes, Sinorhizobium meliloti has 21 vapBC loci and at least one of these loci have been implicated in the regulation of growth in the plant nodule. A range of biological functions has been suggested for these operons and this review sets out to survey the PIN-domains and summarise the current knowledge about the vapBC TA systems and their roles in diverse bacteria. PMID- 21036781 TI - Low-dimensional clustering detects incipient dominant influenza strain clusters. AB - Influenza has been circulating in the human population and has caused three pandemics in the last century (1918 H1N1, 1957 H2N2 and 1968 H3N2). The 2009 A(H1N1) was classified by World Health Organization as the fourth pandemic. Influenza has a high evolution rate, which makes vaccine design challenging. We here consider an approach for early detection of new dominant strains. By clustering the 2009 A(H1N1) sequence data, we found two main clusters. We then define a metric to detect the emergence of dominant strains. We show on historical H3N2 data that this method is able to identify a cluster around an incipient dominant strain before it becomes dominant. For example, for H3N2 as of 30 March 2009, the method detects the cluster for the new A/British Columbia/RV1222/2009 strain. This strain detection tool would appear to be useful for annual influenza vaccine selection. PMID- 21036782 TI - Mutational analysis of phenylalanine ammonia lyase to improve reactions rates for various substrates. AB - Phenylalanine ammonia lyases (PAL) catalyze the reversible, non-reductive amination of trans-cinnamic acid to l-phenylalanine in the presence of high ammonia concentrations. Since neither cofactor recycling nor other additives are needed and by this asymmetric synthesis theoretical yields of 100% can be reached, it is an interesting reaction for industrial processes. In this study we demonstrate the superior properties of p-nitro-cinnamic acid (p-n-CA) in the amination reaction using the PAL from Petroselinum crispum (pcPAL). By focused directed evolution, three mutants were identified showing increased reaction rates and decreased substrate inhibition. Together, the F137V mutant with p-n-CA showed a 15-fold increased reaction rate compared with the pcPAL WT with the natural cinnamic acid. The high reaction rates were also proven in preparative scale experiments. Activities towards other p-substituted cinnamic acids showing different electronic effects of the substituent were analyzed. Focused-directed evolution around the carboxylic acid- and amine-binding site always decreased PAL activity, due to a sensitive H-bond network. PMID- 21036783 TI - The influence of concern about crime on levels of psychological distress in the former Soviet Union. AB - BACKGROUND: Previous studies suggest that the fear of crime is associated with worse mental health, with social capital potentially having a mediating influence. However, no studies could be identified on this issue in countries of the former Soviet Union, despite them experiencing increasing rates of crime and profound social change. The aim of this study is to explore the relationship between concern about crime and levels of psychological distress in eight countries of the former Soviet Union. METHODS: Cross-sectional surveys were conducted in eight former Soviet countries using a standardised questionnaire containing items on psychological distress and concern about five criminal activities. Regression analysis was used to investigate the association between concern about criminal activities and psychological distress. Separate regression models were run to explore the influence of social capital on this relationship. RESULTS: The first model (excluding social capital) produced significant positive coefficients of association for all five types of criminal activity with psychological distress, with a range from 0.39 (95% CI 0.24 to 0.54) for suffering abuse because of nationality to 0.56 (95% CI 0.42 to 0.70) for being sexually molested. The second model (including social capital) also showed significant associations for all five criminal activities, but coefficients were slightly smaller. CONCLUSION: This study provides preliminary evidence of a relationship between fear of crime and psychological distress in the study countries, with possibly a small mediating influence of social capital. Further studies are required to explore the relationship between fear of crime, social capital and mental health in the region. PMID- 21036784 TI - Conversion disorder: a problematic diagnosis. AB - The diagnosis of conversion disorder is problematic. Since doctors have conceptually and practically differentiated the symptoms from neurological ('organic') disease it has been presumed to be a psychological disorder, but the psychological mechanism, and how this differs from feigning (conscious simulation), has remained elusive. Although misdiagnosis of neurological disease as conversion disorder is uncommon, it remains a concern for clinicians, particularly for psychiatrists who may be unaware of the positive ways in which neurologists can exclude organic disease. The diagnosis is anomalous in psychiatry in that current diagnostic systems require that feigning is excluded and that the symptoms can be explained psychologically. In practice, feigning is very difficult to either disprove or prove, and a psychological explanation cannot always be found. Studies of childhood and adult psychological precipitants have tended to support the relevance of stressful life events prior to symptom onset at the group level but they are not found in a substantial proportion of cases. These problems highlight serious theoretical and practical issues not just for the current diagnostic systems but for the concept of the disorder itself. Psychology, physiology and functional imaging techniques have been used in attempts to elucidate the neurobiology of conversion disorder and to differentiate it from feigning, but while intriguing results are emerging they can only be considered preliminary. Such work looks to a future that could refine our understanding of the disorder. However, until that time, the formal diagnostic requirement for associated psychological stressors and the exclusion of feigning are of limited clinical value. Simplified criteria are suggested which will also encourage cooperation between neurology and psychiatry in the management of these patients. PMID- 21036787 TI - Germline DICER1 mutations and familial cystic nephroma. AB - BACKGROUND: Multilocular cystic nephroma (CN) is a benign kidney tumour and is part of a family of kidney neoplasms including cystic partially differentiated nephroblastoma and Wilms tumour (WT). CN is rarely familial or bilateral, but it occurs in about 10% of families where pleuropulmonary blastoma (PPB) is present. Recently, germline mutations in DICER1 were found in familial PPB. OBJECTIVE: To search for DICER1 mutations in two families with familial CN; PPB was present in one family. Additionally, to test germline DNA from 50 children with sporadic WT for DICER1 mutations. RESULTS: Both families with multiple CN were found to have mutations in DICER1 leading to premature stop codons, predicted to result in loss of the ribonuclease and dsRNA binding domains. These domains are essential to the function of DICER1. No germline mutations were found in any of the 50 children who had developed WT. CONCLUSION: It has been established that DICER1 mutations cause familial CN and may be implicated in bilateral CN. No germline mutations were found in the patients with WT, suggesting that DICER1 mutations are unlikely to have a major role in the aetiology of sporadic WT. These results provide further evidence implicating miRNA dysregulation in tumourigenesis. PMID- 21036788 TI - Povidone-iodine application induces corneal cell death through fixation. AB - BACKGROUND/AIMS: Povidone-iodine (PI) is commonly used as a preoperative disinfectant; however, it has been shown to be cytotoxic. The present study was performed to investigate the mechanism by which PI causes cell death. METHODS: Primary human corneal fibroblasts (HCF) and a human corneal epithelial cell line (HCEC) were treated with 0.1-5% PI for 1 min. Cell morphology and growth were examined by phase-contrast microscopy and genomic DNA quantification. Cellular enzyme activities were detected by water-soluble tetrazolium salt (WST-1) and calcein-acetoxymethylester staining, whereas membrane integrity was determined by a membrane-impermeable dye. The cell fixation effect of PI was assayed by analysis of genomic DNA integrity and resistance to ionic detergent SDS lysis. The interleukin-8 (IL-8) secretion after adding interleukin-1beta (IL-1b) or lipopolysaccharide (LPS) was determined by ELISA. RESULTS: PI treatment inhibited HCF and HCEC cell growth without changing cellular morphology; however, cells became resistant to SDS lysis. The mitochondrial dehydrogenase and intracellular esterase activities as well as cell membrane integrity were abolished by PI treatment. Genomic DNA integrity from PI-treated groups was similar to that from alcohol-fixed groups. IL-1b- and LPS-induced IL-8 secretion was abolished by PI treatment. CONCLUSIONS: Where PI concentration is sufficient to cause cell death, this occurs through fixation rather than necrosis in cultured human corneal stromal and epithelial cell. PMID- 21036789 TI - Monitoring visual field progression. PMID- 21036790 TI - HIV-1 molecular epidemiology evidence and transmission patterns in the Middle East and North Africa. AB - The distribution of HIV-1 subtypes in a population tracks the spread and evolution of the epidemic. This study is a systematic review of all available evidence on HIV-1 molecular epidemiology and subtype distribution in the Middle East and North Africa. Sources of data included Medline and various institutional documents and databases. In several countries, a diverse distribution of HIV-1 subtypes was observed principally reflecting travel-related exogenous exposures. A trend for a dominant HIV-1 subtype was observed in a few other settings and was often linked to HIV transmission within specific high-risk groups such as subtype A and CRF35_AD among injecting drug users and subtype C among commercial sex networks. Multiple exogenous introductions of HIV-1 variants seemed common to all countries, as observed from the high diversity in subtypes, or the high genetic divergence among any specific subtype even if predominant. In several countries though, epidemic-type clustering of specific subtypes suggests established or nascent HIV epidemics among classic core risk groups for HIV infection. HIV prevention efforts in MENA must be prioritized for these high-risk groups. PMID- 21036791 TI - Cardiovascular mortality during heat and cold events: determinants of regional vulnerability in Taiwan. AB - OBJECTIVES: To identify the vulnerable regions with underlying susceptibility and poor adaptive capability in response to cold and heat events in Taiwan, and to characterise the determinants associated with such an increasing risk to design better adaptive strategies in view of predicted weather changes in the future. METHODS: The authors used spatial regression models to measure the relationships between the spatial characteristics of temperature, extracted factors from demographic and socio-economic parameters, and the mean cardiovascular mortality 2 weeks before and after cold or heat events from 1994 to 2003. RESULTS: Metropolitan regions were found to have a substantially lower mortality than rural areas after cold and heat events. Events of cold, compared with heat, had greater impacts on the mortality ratio in most townships. A negative association was identified, using a spatial lag model, between the mortality after cold and heat events and urbanisation, and the availability of medical resources. A higher percentage of older people, vulnerable and aborigines might have contributed to the increasing vulnerability of townships during cold and heat events. CONCLUSIONS: These data, using an island-wide spatial analysis, suggest that urban areas have a greater adaptive capability than rural areas, plausibly because people in urban areas have a higher socio-economic status and more medical resources. Social inequality across urban and rural townships is apparent and developing customised adaptation programmes for vulnerable regions to cope with heat and cold event should be prioritised. PMID- 21036792 TI - Tenofovir disoproxil fumarate rescue therapy following failure of both lamivudine and adefovir dipivoxil in chronic hepatitis B. AB - OBJECTIVE: To determine the efficacy of tenofovir disoproxil fumarate (TDF) in adults with chronic hepatitis B virus (HBV) infection who had previously failed lamivudine (LAM) and had significant viral replication (HBV DNA >105 copies/ml if HBeAg positive, > 104 copies/ml if HBeAg negative) despite at least 24 weeks of treatment with adefovir dipivoxil (ADV). DESIGN: A prospective open-label study of TDF 300 mg daily. Patients receiving combination ADV/LAM prior to baseline were switched to TDF/LAM. SETTING: Multiple tertiary referral centres. METHODS: Sixty patients were enrolled. The median age was 48.5 years (range 21e80), 46 (77%) were male and 40 (67%) were HBeAg positive. Thirty-eight patients (63%) were switched from ADV to TDF, the remainder from ADV/LAM to TDF/LAM. At baseline, substitutions conferring resistance to LAM or ADV were present in 20 patients (33%) and 17 patients (28%), respectively. The median baseline viral load was 5.33 log10 IU/ml (range 2.81-8.04). Patients initially treated with TDF monotherapy with persistent viral replication at or after 24 weeks were switched to TDF/LAM. The main outcome measures were change in HBV viral load from baseline and percentage of patients achieving an undetectable viral load (<15 IU/ml). RESULTS: Results are reported at 96 weeks of treatment. One patient discontinued TDF at 10 days due to rash. The time-weighted change in viral load from baseline to week 12 was -2.19 log10 IU/ml overall. The median change in HBV DNA from baseline to weeks 12, 24, 48 and 96 was -2.86, -3.23, -3.75 and -4.03 log10 IU/ml, respectively. At 48 and 96 weeks, 27/59 (46%) and 38/59 (64%) patients achieved a HBV DNA <15 IU/ml. The response was independent of baseline LAM therapy or mutations conferring ADV resistance. CONCLUSIONS: In heavily pretreated patients with a high rate of genotypic resistance, TDF retains significant activity against HBV although this appears diminished in comparison with studies of naive patients. PMID- 21036794 TI - Beam me up Scotty! Impact of personal wireless communication devices in the emergency department. AB - OBJECTIVE: A qualitative study performed with a cross-sectional survey to report staff perceptions on emergency department (ED) communication while trialling a personal hands-free wireless communication device (WCD) between August and October 2008 in a busy inner city ED. METHOD: A survey of all Royal London Hospital ED staff was conducted pre and post-implementation of a personal WCD. The survey included responses to occupation, experience, communication modes, communication wait times, perceived interruptions at the bedside and general perceptions of communication efficiency. RESULTS: No appreciable change in communication modes or perceived waiting times was reported No increase in bedside interruptions were reported. An overwhelming number of respondents considered the system had contributed significantly to improving the quality of the work environment, patient safety and care. CONCLUSION: This study correlated with others showing a very strong perception of improved communication and working environment: less noise, better handovers and improved staff resource use. The study adds to the limited number of published trials examining WCD in health care. Observational reports post-implementation were overwhelmingly positive. Quantitative studies measuring the impact on patient flow, safety and cost benefits should be considered. PMID- 21036795 TI - Prehospital amputation. AB - Prehospital surgical amputations are rarely necessary. This paper gives a historical perspective, the indications and contraindications for limb amputations, and details a simple technique for both surgeons and non-surgically qualified medical personnel. PMID- 21036796 TI - The sepsis six and the severe sepsis resuscitation bundle: a prospective observational cohort study. AB - BACKGROUND: Severe sepsis is likely to account for around 37,000 deaths annually in the UK. Five years after the international Surviving Sepsis Campaign (SSC) care bundles were published, care standards in the management of patients with severe sepsis are achieved in fewer than one in seven patients. METHODS: This was a prospective observational cohort study across a 500-bed acute general hospital, to assess the delivery and impact of two interventions: the SSC resuscitation bundle and a new intervention designed to facilitate delivery, the sepsis six. Process measures included compliance with the bundle and the sepsis six; the outcome measure was mortality at hospital discharge. RESULTS: Data from 567 patients were suitable for analysis. Compliance with the bundle increased from baseline. 84.6% of those receiving the sepsis six (n = 220) achieved the resuscitation bundle compared with only 5.8% of others. Delivery of the interventions had an association with reduced mortality: for the sepsis six (n = 220), 20.0% compared with 44.1% (p < 0.001); for the resuscitation bundle (n = 204), 5.9% compared with 51% (p < 0.001). Those receiving the sepsis six were much more likely to receive the full bundle. Those seen by the sepsis team had improved compliance with bundles and reduced mortality. CONCLUSIONS: This study supports the SSC resuscitation bundle, and is suggestive of an association with reduced mortality although does not demonstrate causation. It demonstrates that simplified pathways, such as the sepsis six, and education programmes such as survive sepsis can contribute to improving the rate of delivery of these life saving interventions. PMID- 21036797 TI - Thoracic electrical bioimpedance: a tool to determine cardiac versus non-cardiac causes of acute dyspnoea in the emergency department. PMID- 21036798 TI - Hypothermic cardiac arrest rescued with cardiopulmonary bypass and decompressive laparotomy. AB - Hypothermic cardiac arrest is a relatively uncommon presentation to United States Emergency Departments. During 1979-2002, the Centers for Disease Control reported that an average of 689 deaths per year in the US were attributed to exposure to excessive natural cold. Severe hypothermia (<30 degrees C) confers marked depression of critical metabolic and biochemical functions, but may also provide protection to the brain and other organs while resuscitation is undertaken. For all hypothermic patients, measures designed to prevent further heat loss and begin rewarming should be instituted, but should not delay routine Advanced Cardiac and Trauma Life Support procedures. Rewarming methods include passive rewarming (insulation, removal from environment), active external rewarming (heating blankets, radiant heat, warm water immersion), and active core rewarming (warm inhalation, warmed intravenous fluids, gastrointestinal irrigation, bladder irrigation, dialysis, thoracostomy lavage, and cardiopulmonary bypass). PMID- 21036799 TI - Exercise testing and asymptomatic pre-excitation. PMID- 21036793 TI - Molecular pathological epidemiology of colorectal neoplasia: an emerging transdisciplinary and interdisciplinary field. AB - Colorectal cancer is a complex disease resulting from somatic genetic and epigenetic alterations, including locus-specific CpG island methylation and global DNA or LINE-1 hypomethylation. Global molecular characteristics such as microsatellite instability (MSI), CpG island methylator phenotype (CIMP), global DNA hypomethylation, and chromosomal instability cause alterations of gene function on a genome-wide scale. Activation of oncogenes including KRAS, BRAF and PIK3CA affects intracellular signalling pathways and has been associated with CIMP and MSI. Traditional epidemiology research has investigated various factors in relation to an overall risk of colon and/or rectal cancer. However, colorectal cancers comprise a heterogeneous group of diseases with different sets of genetic and epigenetic alterations. To better understand how a particular exposure influences the carcinogenic and pathologic process, somatic molecular changes and tumour biomarkers have been studied in relation to the exposure of interest. Moreover, an investigation of interactive effects of tumour molecular changes and the exposures of interest on tumour behaviour (prognosis or clinical outcome) can lead to a better understanding of tumour molecular changes, which may be prognostic or predictive tissue biomarkers. These new research efforts represent 'molecular pathologic epidemiology', which is a multidisciplinary field of investigations of the inter-relationship between exogenous and endogenous (eg, genetic) factors, tumoural molecular signatures and tumour progression. Furthermore, integrating genome-wide association studies (GWAS) with molecular pathological investigation is a promising area (GWAS-MPE approach). Examining the relationship between susceptibility alleles identified by GWAS and specific molecular alterations can help elucidate the function of these alleles and provide insights into whether susceptibility alleles are truly causal. Although there are challenges, molecular pathological epidemiology has unique strengths, and can provide insights into the pathogenic process and help optimise personalised prevention and therapy. In this review, we overview this relatively new field of research and discuss measures to overcome challenges and move this field forward. PMID- 21036800 TI - Improving safety in the electrophysiology laboratory using a simple radiation dose reduction strategy: a study of 1007 radiofrequency ablation procedures. AB - BACKGROUND: The use of fluoroscopic screening involves exposure to ionising radiation for both patients and operators. OBJECTIVE: To assess the effects of radiation dose reduction manoeuvres (DRM) during radiofrequency ablation (RFA) procedures. DESIGN: Prospective study of DRM. SETTING: Tertiary cardiac centre. Interventions Two DRM were combined: removal of the secondary radiation grid and programming an ultra-low pulsed fluoroscopy rate. These methods were assessed using an anthropomorphic phantom model to measure skin entrance dose rates. Procedures were classified as complex (ablation of atrial fibrillation, ventricular tachycardia or complex congenital heart disease arrhythmias) or simple (all other RFA). MAIN OUTCOME MEASURES: Dose area product and screening times were compared for ablations performed before and after DRM. Equivalent doses to organs and malignancy risk were determined by computer modelling. RESULTS: Over a 39-month period, 1007 ablation procedures were performed (631 simple, 376 complex). Radiation dose was significantly reduced after DRM for both simple (20.4+/-26.9 Gycm(2) vs 8.0+/-10.3 Gycm(2), p<0.00001) and complex ablations (63.3+/-50.1 Gycm(2) vs 32.8+/-31.7 Gycm(2), p<0.00001) with no difference in screening times. The mean lifetime risk of fatal cancer attributable to radiation exposure per million procedures was reduced from 182 to 68 for simple ablations and from 440 to 155 for complex ablations. CONCLUSIONS: Significant reductions in radiation exposure during RFA were achieved using simple DRM, corresponding to a two-thirds reduction of the risk of excess fatal malignancy. PMID- 21036801 TI - Left ventricular dyssynchrony assessment by phase analysis from gated myocardial perfusion SPECT: moving beyond conventional criteria. PMID- 21036802 TI - Adenosine-induced asystole to facilitate MitraClip placement in a patient with adverse mitral valve morphology. PMID- 21036803 TI - Images in cardiology. The traumatic effect of balloon dilatation on neointimal hyperplasia: what we did not see before optical coherence tomography. PMID- 21036804 TI - Acute myocardial infarction and multivessel disease: some vessels are more equal than others. PMID- 21036805 TI - Inhibitory effect of extracellular histidine on cobalt-induced HIF-1alpha expression. AB - Cobalt chloride (CoCl(2)) can mimic hypoxia in inducing hypoxia-inducible factor 1 (HIF-1). Several cultured cells were examined for susceptibility to CoCl(2) in DMEM, MEM and RPMI 1640 medium. Here we report that HIF-1alpha expression of mammalian cells by CoCl(2) was largely dependent on the culture medium. HIF 1alpha protein and hypoxia response element (HRE)-dependent reporter activity were strongly induced in RPMI 1640 but not in DMEM in several cultured cells including MCF-7, a human breast cancer cell line. Analysis of causal nutrients has revealed that histidine, which is contained richer in DMEM, acts as the inhibitory nutrient for cobalt-induced HIF-1alpha expression of MCF-7 cells in DMEM. D-Histidine also inhibited the HIF-1alpha activity at the same level as L histidine, suggesting that sequestration of free cobaltous ion by chelation with histidine was the cause of the inhibition. These results demonstrate that selection of the culture medium must be considered with caution in cell culture experiments using CoCl(2) as a hypoxia-mimetic reagent. PMID- 21036806 TI - Assessment of uranium exposure from total activity and 234U:238U activity ratios in urine. AB - Radiation workers at Atomic Weapons Establishment (AWE) are monitored for uranium exposure by routine bioassay sampling (primarily urine sampling). However, the interpretation of uranium in urine and faecal results in terms of occupational intakes is difficult because of the presence of uranium due to intakes from environmental (dietary) sources. For uranium in urine data obtained using current analytical techniques at AWE, the mean, median and standard deviation of excreted uranium concentrations were 0.006, 0.002 and 0.012 MUg per g creatinine, respectively. These values are consistent with what might be expected from local dietary intakes and the knowledge that occupational exposures at AWE are likely to be very low. However, some samples do exceed derived investigation levels (DILs), which have been set up taking account of the likely contribution from environmental sources. We investigate how the activity and isotopic composition of uranium in the diet affects the sensitivity of uranium in urine monitoring for occupational exposures. We conclude that DILs based on both total uranium in urine activity and also (234)U:(238)U ratios are useful given the likely variation in dietary contribution for AWE workers. Assuming a background excretion rate and that the enrichment of the likely exposure is known, it is possible to assess exposures using (234)U:(238)U ratios and/or total uranium activity. The health implications of internalised uranium, enriched to <5-8 % by mass (235)U, centre on its nephrotoxicity; the DILs for bioassay samples at AWE are an order of magnitude below the conservative recommendations made by the literature. PMID- 21036807 TI - New developments in internal dosimetry models. AB - This paper describes new biokinetic and dosimetric models, especially those being developed by ICRP which will be used in the forthcoming documents on Occupational Intakes of Radionuclides. It also presents the results of a working group within the European project CONRAD which is being continued within EURADOS. This group is implementing the new models, performing quality assurance of the model implementation (including their description) and giving guidance to the scientific community on the application of the models for individual dose assessment. PMID- 21036808 TI - Particle clearance in the alveolar-interstitial region of the human lungs: model validation. AB - New information on particle retention of inhaled insoluble material indicates that the ICRP Human Respiratory Tract Model (HRTM) significantly underestimates long-term retention in the lungs. In a previous paper, the information from three studies was reviewed, and a model developed to predict particle retention in the lungs of coal miners was adapted in order to obtain parameter values for general use to predict particle retention in the alveolar-interstitial (AI) region. The model is physiologically based and simpler than the HRTM, requiring two instead of three compartments to model the AI region. The main difference from the HRTM AI model is that a significant fraction, about 35 %, of the AI deposit of insoluble material remains sequestered in the interstitium. The new model is here applied to the analysis of two well-known contamination cases with several years of follow-up data. PMID- 21036809 TI - Tritons at energies of 10 MeV to 1 TeV: conversion coefficients for fluence-to absorbed dose, equivalent dose, effective dose and gray equivalent, calculated using Monte Carlo radiation transport code MCNPX 2.7.C. AB - Conversion coefficients were calculated for fluence-to-absorbed dose, fluence-to equivalent dose, fluence-to-effective dose and fluence-to-gray equivalent for isotropic exposure of an adult female and an adult male to tritons ((3)H(+)) in the energy range of 10 MeV to 1 TeV (0.01-1000 GeV). Coefficients were calculated using Monte Carlo transport code MCNPX 2.7.C and BodyBuilderTM 1.3 anthropomorphic phantoms. Phantoms were modified to allow calculation of effective dose to a Reference Person using tissues and tissue weighting factors from 1990 and 2007 recommendations of the International Commission on Radiological Protection (ICRP) and calculation of gray equivalent to selected tissues as recommended by the National Council on Radiation Protection and Measurements. At 15 of the 19 energies for which coefficients for effective dose were calculated, coefficients based on ICRP 2007 and 1990 recommendations differed by less than 3%. The greatest difference, 43%, occurred at 30 MeV. PMID- 21036810 TI - Determination of committed effective doses to skin due to 238U, 232Th and 222Rn from the application of various Moroccan black soap (Saboun Beldi) samples by members of the general public. AB - (238)U, (232)Th, (222)Rn and (220)Rn concentrations were measured inside various Moroccan black soap samples widely used by the Moroccan population in traditional baths (Hammans) by using both CR-39 and LR-115 type II solid state nuclear track detectors. The measured (238)U, (232)Th, (222)Rn and (220)Rn concentrations, respectively, ranged from (3.7 +/- 0.2) to (11.7 +/- 0.7) mBq kg(-1), (0.11 +/- 0.01) to (0.32 +/- 0.02) mBq kg(-1), (3.8 +/- 0.2) to (11.6 +/- 0.6) Bq kg(-1) and (0.10 +/- 0.01) to (0.31 +/- 0.02) Bq kg(-1) for the Moroccan black soap samples studied. The influence of pollution on the concentrations of these radionuclides inside the considered Moroccan black soap was investigated. A new dosimetric model for evaluating annual committed effective doses due to (238)U, (232)Th and (222)Rn to the skin of different age groups of the Moroccan populations from the application of the black soap samples studied was developed. The maximum total committed effective dose to the skin due to (238)U, (232)Th and (222)Rn from the application of unpolluted black soap samples 20 min per week by the Moroccan populations was found to be equal to (0.88 +/- 0.05) MU Sv y(-1) cm( 2). PMID- 21036811 TI - High-performance heavy concrete as a multi-purpose shield. AB - Concrete has long been used as a shield against high-energy photons and neutrons. In this study, colemanite and galena minerals (CoGa) were used for the production of an economical high-performance heavy concrete. To measure the gamma radiation attenuation of the CoGa concrete samples, they were exposed to a narrow beam of gamma rays emitted from a (60)Co radiotherapy unit. An Am-Be neutron source was used for assessing the shielding properties of the samples against neutrons. The compression strengths of both types of concrete mixes (CoGa and reference concrete) were investigated. The range of the densities of the heavy concrete samples was 4100-4650 kg m(-3), whereas it was 2300-2600 kg m(-3) in the ordinary concrete reference samples. The half-value layer of the CoGa concrete samples for (60)Co gamma rays was 2.49 cm; much less than that of ordinary concrete (6.0 cm). Moreover, CoGa concrete samples had a 10 % greater neutron absorption compared with reference concrete. PMID- 21036812 TI - Assessment of perfusion MRI-derived parameters in evaluating and predicting response to antiangiogenic therapy in patients with newly diagnosed glioblastoma. AB - The paradigm for treating patients with glioblastoma multiforme (GBM) is shifting from a purely cytotoxic approach to one that incorporates antiangiogenic agents. These are thought to normalize the tumor vasculature and have shown improved disease management in patients with recurrent disease. How this vascular remodeling evolves during the full course of therapy for patients with newly diagnosed GBM and how it relates to radiographic response and outcome remain unclear. In this study, we examined 35 patients who were newly diagnosed with GBM using dynamic susceptibility contrast (DSC) MRI in order to identify early predictors of radiographic response to antiangiogenic therapy and to evaluate changes in perfusion parameters that may be predictive of progression. After surgical resection, patients received enzastaurin and temozolomide, both concurrent with and adjuvant to radiotherapy. Perfusion parameters, peak height (PH) and percent recovery, were calculated from the dynamic curves to assess vascular density and leakage. Six-month radiographic responders showed a significant improvement in percent recovery between baseline and 2 months into therapy, whereas 6-month radiographic nonresponders showed significantly increased PH between baseline and 1 month. At 2 months into therapy, percent recovery was predictive of progression-free survival. Four months prior to progression, there was a significant increase in the standard deviation of percent recovery within the tumor region. DSC perfusion imaging provides valuable information about vascular remodeling during antiangiogenic therapy, which may aid clinicians in identifying patients who will respond at the pretherapy scan and as an early indicator of response to antiangiogenic therapy. PMID- 21036813 TI - A variable selection method for genome-wide association studies. AB - MOTIVATION: Genome-wide association studies (GWAS) involving half a million or more single nucleotide polymorphisms (SNPs) allow genetic dissection of complex diseases in a holistic manner. The common practice of analyzing one SNP at a time does not fully realize the potential of GWAS to identify multiple causal variants and to predict risk of disease. Existing methods for joint analysis of GWAS data tend to miss causal SNPs that are marginally uncorrelated with disease and have high false discovery rates (FDRs). RESULTS: We introduce GWASelect, a statistically powerful and computationally efficient variable selection method designed to tackle the unique challenges of GWAS data. This method searches iteratively over the potential SNPs conditional on previously selected SNPs and is thus capable of capturing causal SNPs that are marginally correlated with disease as well as those that are marginally uncorrelated with disease. A special resampling mechanism is built into the method to reduce false positive findings. Simulation studies demonstrate that the GWASelect performs well under a wide spectrum of linkage disequilibrium patterns and can be substantially more powerful than existing methods in capturing causal variants while having a lower FDR. In addition, the regression models based on the GWASelect tend to yield more accurate prediction of disease risk than existing methods. The advantages of the GWASelect are illustrated with the Wellcome Trust Case-Control Consortium (WTCCC) data. AVAILABILITY: The software implementing GWASelect is available at http://www.bios.unc.edu/~lin. Access to WTCCC data: http://www.wtccc.org.uk/. PMID- 21036814 TI - Benchmarking the performance of human antibody gene alignment utilities using a 454 sequence dataset. AB - MOTIVATION: Immunoglobulin heavy chain genes are formed by recombination of genes randomly selected from sets of IGHV, IGHD and IGHJ genes. Utilities have been developed to identify genes that contribute to observed VDJ rearrangements, but in the absence of datasets of known rearrangements, the evaluation of these utilities is problematic. We have analyzed thousands of VDJ rearrangements from an individual (S22) whose IGHV, IGHD and IGHJ genotype can be inferred from the dataset. Knowledge of this genotype means that the Stanford_S22 dataset can serve to benchmark the performance of IGH alignment utilities. RESULTS: We evaluated the performance of seven utilities. Failure to partition a sequence into genes present in the S22 genome was considered an error, and error rates for different utilities ranged from 7.1% to 13.7%. AVAILABILITY: Supplementary data includes the S22 genotypes and alignments. The Stanford_S22 dataset and an evaluation tool is available at http://www.emi.unsw.edu.au/~ihmmune/IGHUtilityEval/. PMID- 21036815 TI - Diagnosis and management of psoriasis and psoriatic arthritis in adults: summary of SIGN guidance. PMID- 21036819 TI - Amebic liver abscess. PMID- 21036820 TI - Tube within tube: Ascaris in bowel and biliary-tract. AB - Ascaris lumbricoides is one of the most common human helminthic diseases worldwide. On ultrasound, it is seen as linear non-shadowing echogenic structures with target appearance in cross section, and the live worm may show writhing movements in real time. On barium meal follow through, it appears as radiolucent tubular filling defects within the bowel lumen. Though not sensitive, direct real time visualization of Ascaris on ultrasound is quick, non-invasive, and definitive. PMID- 21036821 TI - The hygienic house: mosquito-proofing with screens. PMID- 21036822 TI - Social acceptability and durability of two different house screening interventions against exposure to malaria vectors, Plasmodium falciparum infection, and anemia in children in the Gambia, West Africa. AB - The social acceptability and durability of two house screening interventions were addressed using focus group discussions, questionnaires, indoor climate measurements, and durability surveys. Participants recognized that screening stopped mosquitoes (79-96%) and other insects (86-98%) entering their houses. These and other benefits were appreciated by significantly more recipients of full screening than users of screened ceilings. Full screened houses were 0.26 degrees C hotter at night (P = 0.05) than houses with screened ceilings and 0.51 degrees C (P < 0.001) hotter than houses with no screening (28.43 degrees C), though only 9% of full screened house users and 17% of screened ceiling users complained about the heat. Although 71% of screened doors and 85% of ceilings had suffered some damage after 12 months, the average number of holes of any size was < 5 for doors and < 7 for ceilings. In conclusion, house screening is a well appreciated and durable vector control tool. PMID- 21036823 TI - Placental histopathologic changes associated with subclinical malaria infection and its impact on the fetal environment. AB - Microscopic examination of placental tissue can provide an accurate assessment of malaria infection during pregnancy. In this cross-sectional study of 193 women in Iquitos, Peru, 1.0% and 6.6% had parasites in the peripheral blood as detected by microscopy and polymerase chain reaction, respectively. However, 22% had placental malaria pigment indicating past, subclinical infections. Placental tissues with pigment from 24 cases were matched by gravidity and month of delivery to 24 controls and histopathologically examined. Cases had significantly higher number of monocytes in the intervillous space (44.7 versus 25.5; P = 0.012). Pigmented monocytes in fetal vessels were present in 33.3% of cases. This study demonstrated that subclinical malarial infection occurred frequently in pregnant women and is associated with increased presence of monocytes in the placenta. Pigmented monocytes in fetal vessels suggest parasites can breach the placental barrier and enter the fetal circulation. PMID- 21036824 TI - Clinical features of children hospitalized with malaria--a study from Bikaner, northwest India. AB - Severe Plasmodium vivax malaria in adults has been reported from Bikaner (northwestern India) but the reports on children are scanty. This prospective study was done on 303 admitted children of malaria. The diagnosis was done by peripheral blood smear and rapid diagnostic test. Further confirmation of severe P. vivax monoinfection was done by polymerase chain reaction (PCR). The proportion of P. falciparum, P. vivax, and mixed (P. falciparum and P. vivax) infection was 61.01%, 33.99%, and 4.95%, respectively. Severe disease was present in 49.5% (150/303) children with malaria, with the risk greatest among P. vivax monoinfection (63.1% [65/103]) compared with P. falciparum, either alone (42.7% [79/185]; odds ratio [OR] = 2.3 [95% confidence interval (CI) = 1.40-3.76], P = 0.001) or mixed infections (40% [6/15]; OR = 2.57 [95% CI = 0.88-7.48]). In children < 5 years of age, the proportion of severe malaria attributable to P. vivax rose to 67.4% (31/46) compared with 30.4% (14/46) of P. falciparum (OR = 4.7 [95% CI = 2.6-8.6], P < 0.0001) and 2.2% (1/46) of mixed infection (OR = 92 [95% CI = 24.6-339.9], P < 0.0001). The proportion of patients having severe manifestations, which included severe anemia, thrombocytopenia, cerebral malaria, acute respiratory distress syndrome, hepatic dysfunction, renal dysfunction, abnormal bleeding was significantly high in association with P. vivax monoinfection in 0-5 year age group, while the same was significantly high in association with P. falciparum monoinfection in 5-10 year age group. Similarly P. vivax monoinfection had greatest propensity to cause multiorgan dysfunction in 0 5 year age group (34.1% [17/41], P < 0.0001) in comparison to P. falciparum monoinfection, which had similar propensity in 5-10 year age group (36.8% [35/95], P = 0.039). Plasmodium vivax monoinfection was almost equally serious to cause significant mortality in comparison to P. falciparum (case fatality rate of severe P. vivax was 3.9% versus 3.2% of severe P. falciparum malaria; P = 1.0). This study reaffirms the evidence of severe P. vivax malaria in children in Bikaner. PMID- 21036825 TI - Heritability of Plasmodium parasite density in a rural Ugandan community. AB - Many factors influence variation in Plasmodium infection levels, including parasite/host genetics, immunity, and exposure. Here, we examine the roles of host genetics and exposure in determining parasite density, and test whether effects differ with age. Data for 1,711 residents of an eastern Ugandan community were used in pedigree-based variance component analysis. Heritability of parasite density was 13% (P < 0.001) but was not significant after controlling for shared household. Allowing variance components to vary between children (< 16 years) and adults (>= 16 years) revealed striking age differences; 26% of variation could be explained by additively acting genes in children (P < 0.001), but there was no genetic involvement in adults. Domestic environment did not explain variation in children and explained 5% in adults (P = 0.09). Genetic effects are an important determinant of parasite density in children in this population, consistent with previous quantitative genetic studies of Plasmodium parasitaemia, although differences in environmental exposure play a lesser role. PMID- 21036826 TI - Longitudinal studies of Plasmodium falciparum malaria in pregnant women living in a rural Cameroonian village with high perennial transmission. AB - A prospective longitudinal study of Plasmodium falciparum in pregnant women was conducted in the rural village of Ngali II, where malaria is hyperendemic and individuals receive ~0.7 infectious mosquito bites/person/day throughout the year. Pregnant women (N = 60; 19 primigravidae, 41 multigravidae) were enrolled early in pregnancy (median 14 wk) and were followed monthly, with 38 women followed through term (5.7 +/- 1.1 prenatal visits and delivery). The total number of times primigravidae were slide-positive during pregnancy was higher than multigravidae (3.3 +/- 1.1 versus 1.3 +/- 1.3 times; P < 0.001), but no difference in the number of polymerase chain reaction-positive cases (4.6 +/- 1.7 and 3.4 +/- 1.7 times, P = 0.106) or total genotypes they harbored (8.9 +/- 3.2 and 7.0 +/- 2.9) was found. Only 7.9% women developed symptomatic infections. All primigravidae and 38% multigravidae were placental malaria-positive at delivery (P = 0.009). Genotyping showed that 77% of placental parasites were acquired >= 30 wks in pregnancy. These results help identify the extent of malaria-associated changes women experience during pregnancy. PMID- 21036827 TI - Association between the pfmdr1 gene and in vitro artemether and lumefantrine sensitivity in Thai isolates of Plasmodium falciparum. AB - We evaluated the influence of pfmdr1 mutations and copy number on in vitro artemether and lumefantrine sensitivity in 101 laboratory and adapted Thai isolates of Plasmodium falciparum. Approximately one-fourth of these isolates exhibited reduced lumefantrine susceptibility. We found that both mutations and amplification of the pfmdr1 gene influenced in vitro artemether and lumefantrine sensitivity. Using multivariate analysis, 184F or 1042N alleles and a copy number of >= 4 were identified as the independent markers for decreased lumefantrine susceptibility. Separate analysis also indicated that parasites from different geographical areas were influenced by different genetic markers. PMID- 21036828 TI - Outpatient upper respiratory tract viral infections in children with malaria symptoms in Western Kenya. AB - A cross-sectional study was performed in children 5 through 10 years of age presenting to outpatient clinics in Nyanza Province, Kenya, in which nasal swab and blood specimens were collected during the high malaria transmission season. Patients presenting with malaria-like symptoms within 4 days of fever onset were enrolled in the study. Plasmodium parasitemia was determined by blood smear microscopy. Nasal swabs were screened for a panel of respiratory viruses by polymerase chain reaction. Influenza A, rhinoviruses, and other respiratory viruses were detected in 18%, 26%, and 12% of 197 specimens, respectively. Four of 36 patients with influenza A had a positive malaria blood slide, compared with 20 of 52 patients with rhinovirus. A significant burden of disease caused by influenza A in febrile children during the study period was observed, highlighting the need for further research into the burden of influenza disease in regions where malaria is holoendemic. PMID- 21036829 TI - Rapid scale-up of long-lasting insecticide-treated bed nets through integration into the national immunization program during child health week in Togo, 2004. AB - In December 2004, Togo was the first country to conduct a nationwide free insecticide-treated net (ITN) distribution as part of its National Integrated Child Health Campaign. Community-based cross-sectional surveys were conducted one and nine months post-campaign as part of a multidisciplinary evaluation of the nationwide distribution of ITNs to children 9-59 months of age to evaluate ITN ownership, equity, and use. Our results demonstrated that at one month post campaign, 93.1% of all eligible children received an ITN. Household ITN ownership and equity increased significantly post-campaign. Nine months post-campaign, 78.6% of households with a child eligible to participate in the campaign retained at least one campaign net. Use by eligible children was 43.5% at one month post campaign (during the dry season) and 52.9% at nine months post-campaign (during the rainy season). Household ownership of at least one ITN increased from 8.0% pre-campaign to 62.5% one month post-campaign. Together, these findings demonstrate that in this setting, increased household ITN ownership, equity, and retention can be achieved on a national scale through free ITN distribution during an integrated campaign. PMID- 21036830 TI - Infection rates of Triatoma protracta (Uhler) with Trypanosoma cruzi in Southern California and molecular identification of trypanosomes. AB - We report Trypanosoma cruzi infection rates of the native kissing bug Triatoma protracta in southern California. The rates are within the historically reported range, but differ significantly between the two sites (19% in Escondido and 36% in Glendora). Identification of T. cruzi in T. protracta was conducted for the first time by using partial 18S ribosomal RNA and 24Salpha ribosomal RNA sequences. Incongruence of 24Salpha ribosomal RNA phylogeny with current T. cruzi genotype classification supports non-clonality of some T. cruzi genotypes. PMID- 21036831 TI - A physical map for an Asian malaria mosquito, Anopheles stephensi. AB - Physical mapping is a useful approach for studying genome organization and evolution as well as for genome sequence assembly. The availability of polytene chromosomes in malaria mosquitoes provides a unique opportunity to develop high resolution physical maps. We report a 0.6-Mb-resolution physical map consisting of 422 DNA markers hybridized to 379 chromosomal sites of the Anopheles stephensi polytene chromosomes. This makes An. stephensi second only to Anopheles gambiae in density of a physical map among malaria mosquitoes. Three hundred sixty-three (363) probes hybridized to single chromosomal sites, whereas 59 clones yielded multiple signals. This physical map provided a suitable basis for comparative genomics, which was used for determining inversion breakpoints, duplications, and origin of novel genes across species. PMID- 21036832 TI - Lipsosomal amphotericin B for treatment of cutaneous leishmaniasis. AB - Treatment options for cutaneous leishmaniasis in the United States are problematic because the available products are either investigational, toxic, and/or of questionable effectiveness. A retrospective review of patients receiving liposomal amphotericin B through the Walter Reed Army Medical Center for the treatment of cutaneous leishmaniasis during 2007-2009 was conducted. Twenty patients who acquired disease in five countries and with five different strains of Leishmania were treated, of whom 19 received a full course of treatment. Sixteen (84%) of 19 experienced a cure with the initial treatment regimen. Three patients did not fully heal after an initial treatment course, but were cured with additional dosing. Acute infusion-related reactions occurred in 25% and mild renal toxicity occurred in 45% of patients. Although the optimum dosing regimen is undefined and the cost and toxicity may limit widespread use, liposomal amphotericin B is a viable treatment alternative for cutaneous leishmaniasis. PMID- 21036833 TI - Epidemiologic and clinical features of cutaneous leishmaniasis in southeastern Tunisia. AB - Species-specific diagnosis was performed in 66 patients with cutaneous leishmaniasis (CL) living in Tataouine focus in southeastern Tunisia. Leishmania DNA was extracted directly from dermal scrapings (n = 66) and from parasites obtained in culture (n = 12). Species were identified by using polymerase chain reaction-restriction fragment length polymorphism analysis for internal transcribed spacer region 1 and isoenzyme analysis. Leishmania tropica and L. major were identified in 31 (47%) and 35 (53%) cases respectively. Leishmania tropica CL cases were geographically scattered, and L. major CL cases were clustered. Lesions caused by L. tropica were mostly single (83.8%) and face localized (55.8%), and lesions caused by L. major were multiple (57.1%; P < 0.001) and situated on limbs (83.7%; P < 0.001). For both species, most lesion onsets were reported during June-January. However, lesions that emerged during February-May were mainly caused by L. tropica (83.3%; P < 0.01). Moreover, the delay before seeking medical advice was higher for L. tropica infections than for L. major infections (P < 0.05). PMID- 21036834 TI - Improving outcome of treatment of kala-azar by supplementation of amphotericin B with physiologic saline and potassium chloride. AB - Complications of amphotericin B limit its wide application in the treatment of patients with kala-azar. This study was undertaken with an aim to minimize anti renal complications and severe rigor in course of treatment with this drug. Parasitologically confirmed kala-azar cases (n = 230) were randomized equally into two groups: a control group received amphotericin B only at a dose of 1 mg/kg of body weight/day for 20 days and a patient (test) group received 500 mL of physiologic saline and 30 mL (60 meq/L) of KC1 with amphotericin B. We observed a significantly lower increase in serum creatinine levels (P = 0.0001) and a lower incidence of severe rigor and fever (P = 0.0165) in the test group than in the control group. However, the ultimate cure rate was not significantly different (P = 0.5637) between two groups after 12 months of follow-up. Relapses occurred after even after six months in both groups. Persons with relapses were treated with 25 infusions of amphotericin B and cured. Supplementation of amphotericin B with 500 mL of physiologic saline and 30 mL (60 meq/L) of KCl during treatment could help prevent an increase in serum creatinine levels and severe rigor and would make the treatment of kala-azar with amphotericin B easier. PMID- 21036835 TI - Detectable Trypanosoma cruzi parasitemia during pregnancy and delivery as a risk factor for congenital Chagas disease. AB - Vector control has led to a drastic decrease in the prevalence of acquired Chagas disease in Latin America, thus redirecting attention to congenital Chagas disease. We report results of a longitudinal study of 359 pregnant women in Yacuiba in southern Bolivia, of whom 147 (40.9%) were infected with Trypanosoma cruzi, to evaluate the relationship between the patency period of the parasitemia and the risk of congenital infection. Maternal infection was assessed by using T. cruzi-specific serologic tests, and parasitemia in mothers and newborns was diagnosed by using microscopic examination of blood in heparinized microhematocrit tubes. Parasitemia was present in 28.6% of the infected women. Its prevalence increased during the third trimester, then decreased at delivery. The likelihood of congenital infection was significantly correlated with the parasite density in the mother's blood. The risk of transmission increased during the third trimester of pregnancy and could explain premature births or low-weight newborns for infected mothers. PMID- 21036836 TI - Intestinal schistosomiasis in mothers and young children in Uganda: investigation of field-applicable markers of bowel morbidity. AB - To control intestinal schistosomiasis at a national level in sub-Saharan Africa, there is a need for field-applicable markers to measure morbidity associated with this disease. The purpose of this study was to determine whether fecal calprotectin or fecal occult blood assays could be used as morbidity indicators for intestinal schistosomiasis. The study was carried out in Uganda with a cohort of young children (n = 1,327) and their mothers (n = 726). The prevalence of egg patent schistosomiasis was 27.2% in children and 47.6% in mothers. No association was found between schistosomiasis infection and fecal calprotectin in children (n = 83, odds ratio [OR] = 1.08, P = 0.881), although an inverse relationship (n = 58, OR = 0.17, P = 0.043) was found in mothers. Fecal occult blood was strongly associated with Schistosoma mansoni infection in children (n = 814, OR = 2.30, P < 0.0001) and mothers (n = 448, OR = 1.95, P = 0.004). Fecal occult blood appears to be useful for measuring morbidity associated with intestinal schistosomiasis and could be used in assessing the impact of control programs upon disease. PMID- 21036837 TI - Outcomes of multidrug-resistant tuberculosis among binational cases in El Paso, Texas. AB - In the United States, multidrug-resistant tuberculosis (MDR-TB) is more commonly seen among foreign-born patients. We report outcomes for 46 patients with MDR-TB who were born in Mexico and treated along the United States-Mexico border. According to our definition, 30 were cured, 3 showed treatment failure, 3 died, and 10 abandoned treatment. Multidrug-resistant tuberculosis can be successfully treated on an ambulatory basis. PMID- 21036838 TI - A genotypic approach for detection, identification, and characterization of drug resistance in Mycobacterium ulcerans in clinical samples and isolates from Ghana. AB - Standardized antimycobacterial therapy is considered the treatment of choice for Buruli ulcer disease. To assess the prevalence of drug resistance among clinical Mycobacterium ulcerans isolates in Ghana, we conducted a sequence-based approach to detect mutations associated with drug resistance. We subjected clinical samples to direct DNA sequencing of rpoB and rpsL genes and compared culture and whole-genome extracts regarding the efficiency of sequence analysis; 99.1% (rpoB) and 100% (rpsL) of the patients harbored M. ulcerans wild type. In one isolate (0.9%), a point mutation of the rpoB gene at codon Ser522 leading to an amino acid change was detected. Culture extracts yielded a significantly higher sequencing efficiency than whole-genome extracts. Our data suggest a low level of drug resistance in Ghana. However, mutations associated with drug resistance do occur and require monitoring. Improved techniques are necessary to enhance the efficiency of sequence analysis of whole-genome extracts. PMID- 21036839 TI - Pathogenicity of high-dose enteral inoculation of Burkholderia pseudomallei to mice. AB - Melioidosis is a frequently lethal tropical infection caused by the environmental saprophyte Burkholderia pseudomallei. Although transcutaneous inoculation and inhalation are considered the primary routes of infection, suggestive clinical evidence implicates ingestion as a possible alternative route. We show that in BALB/c and C57BL/6 mice, direct gastric inoculation of high doses of B. pseudomallei causes systemic infection that may be lethal or cause chronic disseminated infection. Mice may shed bacteria in the stool for weeks after infection, and high titers of B. pseudomallei-specific IgG are detectable. This report of enteric murine melioidosis supports further consideration of this route of infection. PMID- 21036840 TI - Is structured observation a valid technique to measure handwashing behavior? Use of acceleration sensors embedded in soap to assess reactivity to structured observation. AB - Structured observation is often used to evaluate handwashing behavior. We assessed reactivity to structured observation in rural Bangladesh by distributing soap containing acceleration sensors and performing structured observation 4 days later. Sensors recorded the number of times soap was moved. In 45 participating households, the median number of sensor soap movements during the 5-hour time block on pre-observation days was 3.7 (range 0.3-10.6). During the structured observation, the median number of sensor soap movements was 5.0 (range 0-18.0), a 35% increase, P = 0.0004. Compared with the same 5-hour time block on pre observation days, the number of sensor soap movements increased during structured observation by >= 20% in 62% of households, and by >= 100% in 22% of households. The increase in sensor soap movements during structured observation, compared with pre-observation days, indicates substantial reactivity to the presence of the observer. These findings call into question the validity of structured observation for measurement of handwashing behavior. PMID- 21036841 TI - Association between anemia and aflatoxin B1 biomarker levels among pregnant women in Kumasi, Ghana. AB - Aflatoxins are fungal metabolites that contaminate staple food crops in many developing countries. Up to 40% of women attending a prenatal clinic in Africa may be anemic. In a cross-sectional study of 755 pregnant women, Aflatoxin B(1) lysine adducts (AF-ALB) levels were determined by high-performance liquid chromatography. Participants were divided into quartiles "low," "moderate," "high," and "very high." Anemia was defined as hemoglobin levels < 11 g/dL. Logistic regression was used to examine the association of anemia with AF-ALB. The mean AF-ALB level was 10.9 pg/mg (range = 0.44-268.73 pg/mg); 30.3% of participants were anemic. The odds of being anemic increased 21% (odds ratio [OR], 1.21, P = 0.01) with each quartile of AF-ALB reaching an 85% increased odds in the "very high" compared with the "low" category (OR, 1.85; confidence interval [CI], 1.16-2.95). This association was stronger among women with malaria and findings were robust when women with evidence of iron deficiency anemia were excluded. This study found a strong, consistent association between anemia in pregnancy and aflatoxins. PMID- 21036842 TI - Scorpion envenomation among children: clinical manifestations and outcome (analysis of 685 cases). AB - Our objective was to characterize both epidemiologically and clinically manifestations after severe scorpion envenomation and to define simple factors indicative of poor prognosis in children. We performed a retrospective study over 13 years (1990-2002) in the medical intensive care unit (ICU) of a university hospital (Sfax-Tunisia). The diagnosis of scorpion envenomation was based on a history of scorpion sting. The medical records of 685 children aged less than 16 years who were admitted for a scorpion sting were analyzed. There were 558 patients (81.5%) in the grade III group (with cardiogenic shock and/or pulmonary edema or severe neurological manifestation [coma and/or convulsion]) and 127 patients (18.5%) in the grade II group (with systemic manifestations). In this study, 434 patients (63.4%) had a pulmonary edema, and 80 patients had a cardiogenic shock; neurological manifestations were observed in 580 patients (84.7%), 555 patients (81%) developed systemic inflammatory response syndrome (SIRS), and 552 patients (80.6%) developed multi-organ failure. By the end of the stay in the ICU, evolution was marked by the death in 61 patients (8.9%). A multivariate analysis found the following factors to be correlated with a poor outcome: coma with Glasgow coma score <= 8/15 (odds ratio [OR] = 1.3), pulmonary edema (OR = 2.3), and cardiogenic shock (OR = 1.7). In addition, a significant association was found between the development of SIRS and heart failure. Moreover, a temperature > 39 degrees C was associated with the presence of pulmonary edema, with a sensitivity at 20.6%, a specificity at 94.4%, and a positive predictive value at 91.7%. Finally, blood sugar levels above 15 mmol/L were significantly associated with a heart failure. In children admitted for severe scorpion envenomation, coma with Glasgow coma score <= 8/15, pulmonary edema, and cardiogenic shock were associated with a poor outcome. The presence of SIRS, a temperature > 39 degrees C, and blood sugar levels above 15 mmol/L were associated with heart failure. PMID- 21036843 TI - Outbreak of beriberi in an Indian population of the upper Amazon region, Roraima State, Brazil, 2008. AB - Edema, parasthesias, and paresis affected 10 residents of an Indian community in Roraima state; three died. Mining with mercury occurs locally; caxiri, a traditional alcoholic drink, is consumed daily. We conducted a 1:2 unmatched case control study; a case was an Indian from Uiramuta county (population of 9,127) who presented >= 1 of lower extremity edema, paresthesias, paresis, or weakness. Controls were asymptomatic Indians randomly selected from the population. We identified 90 cases (prevalence of 1%) and 180 controls; all were enrolled. Among cases, 79% were male, and the median age was 31 years. Ethnicity was Macuxi, and 49% had income. Cases had lower extremity edema (85%), upper extremity paresthesias (84%), and lower extremity weakness and pain (78%). Risk factors were male sex (odds ratio [OR] = 6.8; P < 0.001), age 31-40 years (OR = 5.63; P < 0.001), and consumption of caxiri (OR = 2.7; P < 0.003). Mercury exposure was not a risk. Thiamine therapy produced complete rapid clinical recovery in all cases, confirming the diagnosis of beriberi. We recommend surveillance, thiamine supplementation, and nutritional intervention. PMID- 21036844 TI - Two cases of restavek-related illness: clinical implications of foster neglect in Haiti. AB - Restaveks, or indentured foster children, are a poorly understood, vulnerable subclass of Haitian society. From 2001 to the present, a partnership between multiple US academic medical centers and Project Medishare for Haiti has held an ongoing series of mobile clinics in rural Haiti. Multiple cases of restavek related illness were identified. At a recent pair of mobile clinics, the authors identified two restavek cases that were significantly worse off than their communal peer groups and required immediate care. Given the lack of a robust legal support to protect orphaned children in Haiti, clinicians have an important role in advocating for restaveks at the bedside. The plight of Haiti's restaveks is widely reported in the human rights literature but is not publicly recognized as an issue for community health and wellbeing among physicians. To address these health disparities, the health consequences of an entire class of neglected children must be further explored. PMID- 21036845 TI - Plasma and urinary aluminum concentrations in severely anemic geophagous pregnant women in the Bas Maroni region of French Guiana: a case-control study. AB - The clays consumed by geophagous individuals contain large quantities of aluminum, a known neurological and hematological toxin. This is the first study to evaluate the risk of aluminum poisoning in geophagous individuals. Blind determinations of plasma and urinary aluminum concentrations were carried out in 98 anemic geophagous pregnant women and 85 non-anemic non-geophagous pregnant women. Aluminum concentrations were significantly higher (P < 0.0001) in the geophagous anemic women than in the controls, with odds ratios of 6.83 (95% confidence interval [CI] = 2.72-19.31) for plasma concentrations (13.92 +/- 14.09 MUg/L versus 4.95 +/- 7.11 MUg/L) and 5.44 (95% CI = 2.17-14.8) for urinary concentrations (92.83 +/- 251.21 MUg/L versus 12.11 +/- 23 MUg/L). The ingested clay is the most likely source of this overexposure to aluminum. If confirmed, the clinical consequences of this absorption for pregnant women and their offspring should be explored. PMID- 21036846 TI - Case-control study of diarrheal disease etiology in a remote rural area in Western Thailand. AB - The objective was to assess the association of enteric pathogens in diarrheal disease in a remote rural area in Thailand. Stool specimens were collected from 236 children aged 3 months to 5 years with acute diarrhea (cases) and from 236 asymptomatic controls. Standard microbiologic methods, and enzyme immunoassay for viral pathogens, Giardia, and Cryptosporidium, were used to identify enteric pathogens with susceptibility testing by disk diffusion. Campylobacter, Plesiomonas, Salmonella, and enterotoxigenic Escherichia coli were commonly isolated from cases and controls (22% versus 25%, 10% versus 11%, 6% versus 9%, and 10% versus 6%, respectively). Only Shigella, rotavirus, and adenovirus were identified significantly more frequently in cases than controls (9% versus 0%, 18% versus 3%, and 16% versus 2%, respectively), whereas Giardia lamblia was detected less often in cases than controls. Most pre-school children were infested with enteric pathogens; laboratory-based studies are important to understand the epidemiology of enteric pathogens in remote areas among marginal populations. PMID- 21036847 TI - Symptomatic and asymptomatic Cryptosporidium infections in children in a semi urban slum community in southern India. AB - Cryptosporidium is a leading cause of childhood diarrhea in developing countries. We investigated symptomatic and asymptomatic cryptosporidiosis in 20 children less than two years of age in a semi-urban slum in southern India. All surveillance (conducted every two weeks) and diarrheal samples from 20 children (n = 1,036) with cryptosporidial diarrhea previously identified by stool microscopy were tested by polymerase chain reaction-restriction fragment length polymorphism for species and subgenotype determination. Thirty-five episodes of cryptosporidiosis were identified in 20 children, of which 25 were diarrheal. Fifteen episodes were associated with prolonged oocyst shedding. Multiple episodes of cryptosporidiosis occurred in 40% of the children. Most infections were with C. hominis, subtype Ia. Children with multiple infections had significantly lower weight-for-age and height-for-age Z scores at 24 months but had scores comparable with children with a single episode by 36 months. Multiple symptomatic Cryptosporidium infections associated with prolonged oocyst shedding occur frequently in this disease-endemic area and may contribute to the long-term effects of cryptosporidiosis on physical growth in these children. PMID- 21036848 TI - Chronic microsporidial enteritis in a missionary from Mozambique. AB - Microsporidiosis often occurs in immunocompromised persons but may also occur in those who are immunocompetent. Infection by Microsporidia involves a variety of organs and systems, most notably, intestine, lung, kidney, brain, sinuses, muscle, and eyes. Enterocytozoon bieneusi and Encephalitozoon intestinalis are associated with gastroenteritis, and Enterocytozoon hellem and Encephalitozoon cuniculi are associated with keratoconjunctivitis. We report a case of chronic microsporidiosis in a 28-year-old woman missionary from Mozambique who came to our diagnostic laboratory with nausea, lower abdominal pain, and frequent bowel movements. Over two years, the patient was clinically assessed and treated for malaria and giardiasis without laboratory diagnosis while in Mozambique. Identification of the causative agent of her condition was not attempted during the course of her illness in Mozambique. Furthermore, adverse effects of malaria and giardiasis medications may have exacerbated the chronic illness in this patient and mimicked chronic microsporidiosis. PMID- 21036849 TI - Helicobacter pylori infection among Aborigines (the Orang Asli) in the northeastern region of Peninsular Malaysia. AB - Whether the exceptionally low prevalence of Helicobacter pylori (HP) infection reported among Malays is also present among aborigines (the Orang Asli) living in northeastern Peninsular Malaysia is unknown. We studied asymptomatic Orang Asli from settlements situated 210 km from the city of Kota Bharu. The HP infection status was confirmed by a validated serology test. Nineteen percent of 480 Orang Asli tested positive for HP infection. The prevalence was 40.6% in the birth cohort of the 1940s and declined steadily in later cohorts to under 10% among 12 30 year olds. This may be related to the phases of relocation from the jungles into resettlement camps and ultimately into designated villages near rivers. The low prevalence pattern after the 1970s was probably partly a result of improvement in sanitation and hygiene practice in these villages but other unidentified factors may also be operating. PMID- 21036850 TI - Bacteremia caused by Aeromonas species [corrected] complex in the Caribbean Islands of Martinique and Guadeloupe. AB - Aeromonas species are Gram-negative bacilli of the water environment whose survival appears facilitated by warm climates. There have been no reports on Aeromonas species in the [corrected] Caribbean to date. Our aim was to describe clinical and bacteriological features in patients presenting with such bacteremia in Martinique and Guadeloupe. During a 14-year period, we retrospectively identified 37 patients. The mean age was 55 years and in 89% of cases underlying disease such as digestive diseases, cutaneous wounds, and malignancy were identified. One case was related to severe strongyloidiasis and one with snake bite. Polymicrobial bacteremia was identified in 38%, essentially with Enterobacteriaceae. All Aeromonas isolates were resistant to amoxicillin but extended-spectrum beta-lactam and fluoroquinolone were active against more than 95%. During hospitalization 10 patients died (27%). Older age, occurrence of multiorgan failure, and impaired renal function were associated with in-hospital mortality. PMID- 21036851 TI - Immunologic response to highly active antiretroviral therapy and mortality reduction in a cohort of human immunodeficiency virus-positive persons in Mozambique. AB - Since February 2002, the Drug Resources Enhancement against AIDS and Malnutrition Program has provided highly active antiretroviral therapy (HAART) and immunologic and virologic monitoring free of charge. We conducted a cohort study of persons infected with human immunodeficiency virus in Mozambique. Only persons treated with HAART with available CD4 cell counts at baseline and >= 1 CD4 cell count after HAART were included. Survival analysis was applied to evaluate the prognostic value of CD4 cell counts measured at three months. Possible confounders were considered. A total of 753 persons who started HAART included; 59% were females. Median age was 34 years (range = 16-67 years), and the median CD4 cell count at baseline was 172 cells/mm3 (interquartile range = 87-261 cells/mm3, range = 0-1,322 cells/mm3). Overall, 105 persons (14%) died. Of these persons 54 (51%) developed AIDS before they died; 25 (3%) died during the first three months. After three months of therapy, the individual median CD4 cell count change from the baseline value was +101 cells/mm3 (interquartile range = +27 to +187 cells/mm3, range = -723 to +310 cells/mm3). A median CD4 increment of 100 cells/mm3 in three months was associated with a mortality reduction of 50% compared with an increase of < 50 cells (relative hazard of death adjusted for baseline CD4 cell count = 0.54, 95% confidence interval = 0.30-0.95). A good initial response to HAART was associated with a significant reduction of mortality. This finding supports the effectiveness of HAART in resource-poor settings. PMID- 21036852 TI - Demographic and clinical factors associated with persistent symptoms after West Nile virus infection. AB - Prognosis varies among persons with West Nile virus (WNV) infection, but the most important factors associated with persistent symptoms are not clear. In this cross-sectional study, 265 persons with symptomatic WNV infection during 2006 2008 completed a survey a mean of 7.7 months after diagnosis. We determined the association of demographic and clinical characteristics to the most common symptoms. Of 214 persons infected >= 6 months, 53% reported one or more persistent symptoms, including fatigue, muscle aches, decreased activity, difficulty with memory, and difficulty concentrating. Persons with neuroinvasive disease, hypertension, or diabetes were significantly more likely to report persistent symptoms, whereas age, sex, and time since infection were not associated with persistent symptoms. In conclusion, persistent symptoms persisted in most persons for more than six months after symptomatic WNV infection. Improved strategies for prevention and treatment are needed. PMID- 21036853 TI - Sentinel chicken seroconversions track tangential transmission of West Nile virus to humans in the greater Los Angeles area of California. AB - In Los Angeles, California, West Nile virus (WNV) has followed a pattern of emergence, amplification, subsidence, and resurgence. A time series cross correlation analysis of human case counts and sentinel chicken seroconversions revealed temporal concordance indicating that chicken seroconversions tracked tangential transmission of WNV from the basic passeriform-Culex amplification cycle to humans rather than antecedent enzootic amplification. Sentinel seroconversions provided the location and time of transmission as opposed to human cases, which frequently were reported late and were assumed to be acquired 2-14 days before disease onset at their residence. Cox models revealed that warming degree-days were associated with the increased risk of seroconversion, whereas elevated herd immunity in peridomestic birds dampened seroconversion risk. Spatially, surveillance data collected within a 5 km radius of flock locations 15-28 days before the bleed date were most predictive of a seroconversion. In urban Los Angeles, sentinel chicken seroconversions could be used as an outcome measure in decision support for emergency intervention. PMID- 21036854 TI - Evaluation of three commercially available Japanese encephalitis virus IgM enzyme linked immunosorbent assays. AB - We evaluated performance of three commercial Japanese encephalitis virus (JEV) IgM antibody capture enzyme-linked immunosorbent assay (MAC ELISA) kits with a panel of serological specimens collected during a surveillance project of acute encephalitis syndrome in India and acute meningitis and encephalitis syndrome in Bangladesh. The serum and cerebral spinal fluid specimens had been referred to the Centers for Disease Control and Prevention (CDC) for confirmatory testing. The CDC results and specimen classifications were considered the reference standard. All three commercial kits had high specificity (95-99.5%), but low sensitivities, ranging from 17-57%, with both serum and cerebrospinal fluid samples. Specific factors contributing to low sensitivity compared with the CDC ELISA could not be determined through further analysis of the limits and dilution end points of IgM detection. PMID- 21036855 TI - Comparative analysis of full-length genomic sequences of 10 dengue serotype 1 viruses associated with different genotypes, epidemics, and disease severity isolated in Thailand over 22 years. AB - Comparative sequence analysis was performed on the full-length genomic sequences of 10 representative dengue virus serotype 1 (DENV-1) strains sampled from patients at Children's Hospital, Bangkok, Thailand over a 22-year period, which represented different epidemics, disease severity, and sampling time. The results showed remarkable inter-genotypic variation between predominant and non predominant genotypes and genotype-specific amino acids and nucleotides throughout the entire viral genome except for the 5'-non-translated region. The frequency of intra-genotypic variation was correlated with dengue transmission rate and sampling time. The 5'-non-translated region of all 10 viruses was highly conserved for predominant and non-predominant genotypes and NS2B was the most conserved protein. Some intra-genotypic substitutions of amino acids and nucleotides in predominant genotype strains were fixed in the viral genome since 1994, which indicated that the evolution of predominant genotype strains in situ over time might contribute to increased virus fitness important for sustaining dengue epidemics in Thailand. PMID- 21036856 TI - Serological investigations of flavivirus prevalence in Khammouane Province, Lao People's Democratic Republic, 2007-2008. AB - A large-scale cross-sectional seroprevalence study of dengue (DEN) and Japanese encephalitis (JE) was conducted in Khammouane province, Lao PDR, as part of the initial baseline health impact assessment of the Nam Theun 2 hydroelectric dam construction project. Health surveys were performed between May 2007 and February 2008 with serum samples collected from healthy individuals involved in the resettlement program of 16 villages (total surveyed population 4,369). Hemagglutination inhibition assay using flavivirus antigens (DENV1, DENV3, and JEV) performed on 1,708 plasma specimens revealed 30.4% (519) cross-reactive positives, and 10% (172) and 1.3% (22) positives to JEV or DENV, respectively. Entomological surveys conducted during the rainy season of 2008 indicated the presence of competent flavivirus vectors (Culex vishnui group and Aedes albopictus), although Aedes aegypti was not found. Continued surveillance and investigation is warranted to assess the clinical disease burden of flaviviruses in this area that is undergoing rapid ecological and demographic change. PMID- 21036857 TI - Novel risk factors associated with hepatitis E virus infection in a large outbreak in northern Uganda: results from a case-control study and environmental analysis. AB - An outbreak of hepatitis E virus (HEV) began in October 2007 in northern Uganda. To determine risk factors and sources for ongoing transmission, we conducted both a case-control study and an environmental investigation. A case patient was defined as having serologic evidence of HEV infection, whereas controls were seronegative. We identified risk factors for infection by univariable and multivariable analyses using conditional logistic regression. Several water sources were tested for HEV RNA. Among 112 cases and 145 controls, storage of drinking water in large-mouthed vessels (adjusted odds ratios [AOR] = 2.83; 95% confidence interval [CI] = 1.16-6.94) and washing hands in a group basin (AOR = 1.90; 95% CI = 1.07-3.38) were associated with HEV infection. HEV RNA was detected from communal hand-rinse and surface-water samples. The epidemiologic and environmental water-testing results suggest that household-level factors played an important role in the transmission of HEV-modalities that have been previously underappreciated. PMID- 21036859 TI - Brainstem changes in 5-HT1A receptor availability during migraine attack. AB - BACKGROUND: Among serotonin receptors, 5-HT(1A) receptors are implicated in the regulation of central serotoninergic tone and could be involved in the abnormal brain 5-HT turnover suspected in migraineurs. The aim of this study was to investigate 5-HT(1A) receptors' availability during migraine attacks. METHODS: Ten patients suffering from odor-triggered migraine attacks and 10 control subjects were investigated using positron emission tomography (PET) and [(18)F]MPPF PET tracer, a selective 5-HT(1A) antagonist. All subjects underwent calibrated olfactory stimulations prior to the PET study. RESULTS: Four patients developed a migraine attack during the PET study. In these patients, statistical parametrical mapping and region of interest analyses showed an increased [(18)F]MPPF binding potential (BP(ND)) in the pontine raphe when compared to headache-free migraineurs and control subjects. This ictal change was confirmed at the individual level in each of the four affected patients. In comparison with the headache-free migraineurs, patients with a migraine attack also showed significantly increased [(18)F]MPPF BP(ND) in the left orbitofrontal cortex, precentral gyrus and temporal pole. No significant change in [(18)F]MPPF BP(ND) was observed between headache-free migraineurs and controls. CONCLUSIONS: Our results emphasize the role of 5HT(1A) receptors in the pontine raphe nuclei during the early stage of migraine attacks. PMID- 21036860 TI - A case of SUNCT syndrome responsive to zonisamide. AB - Short-lasting unilateral neuralgiform headache attacks with conjunctival injection and tearing (SUNCT) is a rare headache syndrome that represents a subtype of trigeminal autonomic cephalalgia thought to be highly refractory to treatment. More recently, numerous anticonvulsant agents including lamotrigine, topiramate, gabapentin, and carbamazepine have been reported to be partially or completely effective for treating SUNCT. We report the case of a patient with SUNCT in whom symptoms were completely relieved with carbamazepine at 600 mg/day. However, carbamazepine had to be discontinued due to severe rash. Zonisamide was selected for continued treatment, as a Na-channel blocker like carbamazepine but with lower risk of producing skin rashes as caused by carbamazepine. Attacks ceased completely with 300 mg/day of zonisamide achieving a blood serum level of 19 ug/ml. This is the first case report to describe zonisamide alone completely eliminating SUNCT symptoms. Zonisamide should be considered a viable candidate drug for the treatment of SUNCT. PMID- 21036861 TI - Prophylaxis of hemicrania continua: three cases effectively treated with acemethacin. AB - Hemicrania continua is a daily headache disorder that is characterized by unilateral, constant pain with exacerbations of intensity accompanied by autonomic symptoms. Response to indomethacin is the diagnostic criterion for hemicrania continua, but efficacy of indomethacin in therapy is restricted because of gastrointestinal adverse events. Therefore, many patients are disqualified from treatment with indomethacin, creating a need to search for alternative therapy. In comparison with indomethacin, acemethacin seems to have a better therapeutic profile. It is associated with fewer gastrointestinal adverse events while having a good therapeutic effect. We present three patients effectively treated with acemethacin without adverse events of the gastrointestinal tract. PMID- 21036862 TI - The protein common interface database (ProtCID)--a comprehensive database of interactions of homologous proteins in multiple crystal forms. AB - The protein common interface database (ProtCID) is a database that contains clusters of similar homodimeric and heterodimeric interfaces observed in multiple crystal forms (CFs). Such interfaces, especially of homologous but non-identical proteins, have been associated with biologically relevant interactions. In ProtCID, protein chains in the protein data bank (PDB) are grouped based on their PFAM domain architectures. For a single PFAM architecture, all the dimers present in each CF are constructed and compared with those in other CFs that contain the same domain architecture. Interfaces occurring in two or more CFs comprise an interface cluster in the database. The same process is used to compare heterodimers of chains with different domain architectures. By examining interfaces that are shared by many homologous proteins in different CFs, we find that the PDB and the Protein Interfaces, Surfaces, and Assemblies (PISA) are not always consistent in their annotations of biological assemblies in a homologous family. Our data therefore provide an independent check on publicly available annotations of the structures of biological interactions for PDB entries. Common interfaces may also be useful in studies of protein evolution. Coordinates for all interfaces in a cluster are downloadable for further analysis. ProtCiD is available at http://dunbrack2.fccc.edu/protcid. PMID- 21036863 TI - PREX: PeroxiRedoxin classification indEX, a database of subfamily assignments across the diverse peroxiredoxin family. AB - PREX (http://www.csb.wfu.edu/prex/) is a database of currently 3516 peroxiredoxin (Prx or PRDX) protein sequences unambiguously classified into one of six distinct subfamilies. Peroxiredoxins are a diverse and ubiquitous family of highly expressed, cysteine-dependent peroxidases that are important for antioxidant defense and for the regulation of cell signaling pathways in eukaryotes. Subfamily members were identified using the Deacon Active Site Profiler (DASP) bioinformatics tool to focus in on functionally relevant sequence fragments surrounding key residues required for protein activity. Searches of this database can be conducted by protein annotation, accession number, PDB ID, organism name or protein sequence. Output includes the subfamily to which each classified Prx belongs, accession and GI numbers, genus and species and the functional site signature used for classification. The query sequence is also presented aligned with a select group of Prxs for manual evaluation and interpretation by the user. A synopsis of the characteristics of members of each subfamily is also provided along with pertinent references. PMID- 21036864 TI - ArachnoServer 2.0, an updated online resource for spider toxin sequences and structures. AB - ArachnoServer (www.arachnoserver.org) is a manually curated database providing information on the sequence, structure and biological activity of protein toxins from spider venoms. These proteins are of interest to a wide range of biologists due to their diverse applications in medicine, neuroscience, pharmacology, drug discovery and agriculture. ArachnoServer currently manages 1078 protein sequences, 759 nucleic acid sequences and 56 protein structures. Key features of ArachnoServer include a molecular target ontology designed specifically for venom toxins, current and historic taxonomic information and a powerful advanced search interface. The following significant improvements have been implemented in version 2.0: (i) the average and monoisotopic molecular masses of both the reduced and oxidized form of each mature toxin are provided; (ii) the advanced search feature now enables searches on the basis of toxin mass, external database accession numbers and publication date in ArachnoServer; (iii) toxins can now be browsed on the basis of their phyletic specificity; (iv) rapid BLAST searches based on the mature toxin sequence can be performed directly from the toxin card; (v) private silos can be requested from research groups engaged in venoms-based research, enabling them to easily manage and securely store data during the process of toxin discovery; and (vi) a detailed user manual is now available. PMID- 21036865 TI - The Gypsy Database (GyDB) of mobile genetic elements: release 2.0. AB - This article introduces the second release of the Gypsy Database of Mobile Genetic Elements (GyDB 2.0): a research project devoted to the evolutionary dynamics of viruses and transposable elements based on their phylogenetic classification (per lineage and protein domain). The Gypsy Database (GyDB) is a long-term project that is continuously progressing, and that owing to the high molecular diversity of mobile elements requires to be completed in several stages. GyDB 2.0 has been powered with a wiki to allow other researchers participate in the project. The current database stage and scope are long terminal repeats (LTR) retroelements and relatives. GyDB 2.0 is an update based on the analysis of Ty3/Gypsy, Retroviridae, Ty1/Copia and Bel/Pao LTR retroelements and the Caulimoviridae pararetroviruses of plants. Among other features, in terms of the aforementioned topics, this update adds: (i) a variety of descriptions and reviews distributed in multiple web pages; (ii) protein-based phylogenies, where phylogenetic levels are assigned to distinct classified elements; (iii) a collection of multiple alignments, lineage-specific hidden Markov models and consensus sequences, called GyDB collection; (iv) updated RefSeq databases and BLAST and HMM servers to facilitate sequence characterization of new LTR retroelement and caulimovirus queries; and (v) a bibliographic server. GyDB 2.0 is available at http://gydb.org. PMID- 21036866 TI - ZFIN: enhancements and updates to the Zebrafish Model Organism Database. AB - ZFIN, the Zebrafish Model Organism Database, http://zfin.org, serves as the central repository and web-based resource for zebrafish genetic, genomic, phenotypic and developmental data. ZFIN manually curates comprehensive data for zebrafish genes, phenotypes, genotypes, gene expression, antibodies, anatomical structures and publications. A wide-ranging collection of web-based search forms and tools facilitates access to integrated views of these data promoting analysis and scientific discovery. Data represented in ZFIN are derived from three primary sources: curation of zebrafish publications, individual research laboratories and collaborations with bioinformatics organizations. Data formats include text, images and graphical representations. ZFIN is a dynamic resource with data added daily as part of our ongoing curation process. Software updates are frequent. Here, we describe recent additions to ZFIN including (i) enhanced access to images, (ii) genomic features, (iii) genome browser, (iv) transcripts, (v) antibodies and (vi) a community wiki for protocols and antibodies. PMID- 21036867 TI - RBPDB: a database of RNA-binding specificities. AB - The RNA-Binding Protein DataBase (RBPDB) is a collection of experimental observations of RNA-binding sites, both in vitro and in vivo, manually curated from primary literature. To build RBPDB, we performed a literature search for experimental binding data for all RNA-binding proteins (RBPs) with known RNA binding domains in four metazoan species (human, mouse, fly and worm). In total, RPBDB contains binding data on 272 RBPs, including 71 that have motifs in position weight matrix format, and 36 sets of sequences of in vivo-bound transcripts from immunoprecipitation experiments. The database is accessible by a web interface which allows browsing by domain or by organism, searching and export of records, and bulk data downloads. Users can also use RBPDB to scan sequences for RBP-binding sites. RBPDB is freely available, without registration at http://rbpdb.ccbr.utoronto.ca/. PMID- 21036868 TI - The RCSB Protein Data Bank: redesigned web site and web services. AB - The RCSB Protein Data Bank (RCSB PDB) web site (http://www.pdb.org) has been redesigned to increase usability and to cater to a larger and more diverse user base. This article describes key enhancements and new features that fall into the following categories: (i) query and analysis tools for chemical structure searching, query refinement, tabulation and export of query results; (ii) web site customization and new structure alerts; (iii) pair-wise and representative protein structure alignments; (iv) visualization of large assemblies; (v) integration of structural data with the open access literature and binding affinity data; and (vi) web services and web widgets to facilitate integration of PDB data and tools with other resources. These improvements enable a range of new possibilities to analyze and understand structure data. The next generation of the RCSB PDB web site, as described here, provides a rich resource for research and education. PMID- 21036869 TI - DroID 2011: a comprehensive, integrated resource for protein, transcription factor, RNA and gene interactions for Drosophila. AB - DroID (http://droidb.org/), the Drosophila Interactions Database, is a comprehensive public resource for Drosophila gene and protein interactions. DroID contains genetic interactions and experimentally detected protein-protein interactions curated from the literature and from external databases, and predicted protein interactions based on experiments in other species. Protein interactions are annotated with experimental details and periodically updated confidence scores. Data in DroID is accessible through user-friendly, intuitive interfaces that allow simple or advanced searches and graphical visualization of interaction networks. DroID has been expanded to include interaction types that enable more complete analyses of the genetic networks that underlie biological processes. In addition to protein-protein and genetic interactions, the database now includes transcription factor-gene and regulatory RNA-gene interactions. In addition, DroID now has more gene expression data that can be used to search and filter interaction networks. Orthologous gene mappings of Drosophila genes to other organisms are also available to facilitate finding interactions based on gene names and identifiers for a number of common model organisms and humans. Improvements have been made to the web and graphical interfaces to help biologists gain a comprehensive view of the interaction networks relevant to the genes and systems that they study. PMID- 21036870 TI - The high fidelity and unique error signature of human DNA polymerase epsilon. AB - Bulk replicative DNA synthesis in eukaryotes is highly accurate and efficient, primarily because of two DNA polymerases (Pols): Pols delta and epsilon. The high fidelity of these enzymes is due to their intrinsic base selectivity and proofreading exonuclease activity which, when coupled with post-replication mismatch repair, helps to maintain human mutation rates at less than one mutation per genome duplication. Conditions that reduce polymerase fidelity result in increased mutagenesis and can lead to cancer in mice. Whereas yeast Pol epsilon has been well characterized, human Pol epsilon remains poorly understood. Here, we present the first report on the fidelity of human Pol epsilon. We find that human Pol epsilon carries out DNA synthesis with high fidelity, even in the absence of its 3'->5' exonucleolytic proofreading and is significantly more accurate than yeast Pol epsilon. Though its spectrum of errors is similar to that of yeast Pol epsilon, there are several notable exceptions. These include a preference of the human enzyme for T->A over A->T transversions. As compared with other replicative DNA polymerases, human Pol epsilon is particularly accurate when copying homonucleotide runs of 4-5 bases. The base pair substitution specificity and high fidelity for frameshift errors observed for human Pol epsilon are distinct from the errors made by human Pol delta. PMID- 21036871 TI - 5'-end surveillance by Xrn2 acts as a shared mechanism for mammalian pre-rRNA maturation and decay. AB - Ribosome biogenesis requires multiple nuclease activities to process pre-rRNA transcripts into mature rRNA species and eliminate defective products of transcription and processing. We find that in mammalian cells, the 5' exonuclease Xrn2 plays a major role in both maturation of rRNA and degradation of a variety of discarded pre-rRNA species. Precursors of 5.8S and 28S rRNAs containing 5' extensions accumulate in mouse cells after siRNA-mediated knockdown of Xrn2, indicating similarity in the 5'-end maturation mechanisms between mammals and yeast. Strikingly, degradation of many aberrant pre-rRNA species, attributed mainly to 3' exonucleases in yeast studies, occurs 5' to 3' in mammalian cells and is mediated by Xrn2. Furthermore, depletion of Xrn2 reveals pre-rRNAs derived by cleavage events that deviate from the main processing pathway. We propose that probing of pre-rRNA maturation intermediates by exonucleases serves the dual function of generating mature rRNAs and suppressing suboptimal processing paths during ribosome assembly. PMID- 21036872 TI - A discontinuous DNA glycosylase domain in a family of enzymes that excise 5 methylcytosine. AB - DNA cytosine methylation (5-meC) is a widespread epigenetic mark associated to gene silencing. In plants, DEMETER-LIKE (DML) proteins typified by Arabidopsis REPRESSOR OF SILENCING 1 (ROS1) initiate active DNA demethylation by catalyzing 5 meC excision. DML proteins belong to the HhH-GPD superfamily, the largest and most functionally diverse group of DNA glycosylases, but the molecular properties that underlie their capacity to specifically recognize and excise 5-meC are largely unknown. We have found that sequence similarity to HhH-GPD enzymes in DML proteins is actually distributed over two non-contiguous segments connected by a predicted disordered region. We used homology-based modeling to locate candidate residues important for ROS1 function in both segments, and tested our predictions by site-specific mutagenesis. We found that amino acids T606 and D611 are essential for ROS1 DNA glycosylase activity, whereas mutations in either of two aromatic residues (F589 and Y1028) reverse the characteristic ROS1 preference for 5-meC over T. We also found evidence suggesting that ROS1 uses Q607 to flip out 5 meC, while the contiguous N608 residue contributes to sequence-context specificity. In addition to providing novel insights into the molecular basis of 5-meC excision, our results reveal that ROS1 and its DML homologs possess a discontinuous catalytic domain that is unprecedented among known DNA glycosylases. PMID- 21036873 TI - AtPID: the overall hierarchical functional protein interaction network interface and analytic platform for Arabidopsis. AB - Protein interactions are involved in important cellular functions and biological processes that are the fundamentals of all life activities. With improvements in experimental techniques and progress in research, the overall protein interaction network frameworks of several model organisms have been created through data collection and integration. However, most of the networks processed only show simple relationships without boundary, weight or direction, which do not truly reflect the biological reality. In vivo, different types of protein interactions, such as the assembly of protein complexes or phosphorylation, often have their specific functions and qualifications. Ignorance of these features will bring much bias to the network analysis and application. Therefore, we annotate the Arabidopsis proteins in the AtPID database with further information (e.g. functional annotation, subcellular localization, tissue-specific expression, phosphorylation information, SNP phenotype and mutant phenotype, etc.) and interaction qualifications (e.g. transcriptional regulation, complex assembly, functional collaboration, etc.) via further literature text mining and integration of other resources. Meanwhile, the related information is vividly displayed to users through a comprehensive and newly developed display and analytical tools. The system allows the construction of tissue-specific interaction networks with display of canonical pathways. The latest updated AtPID database is available at http://www.megabionet.org/atpid/. PMID- 21036874 TI - Robust protective effects of a novel multimodal neuroprotectant oxopropanoyloxy benzoic acid (a salicylic acid/pyruvate ester) in the postischemic brain. AB - Cerebral ischemia leads to brain injury via a complex series of pathophysiological events. Therefore, multidrug treatments or multitargeting drug treatments are attractive options in efficiently limiting brain damage. Here, we report a novel multifunctional compound oxopropanoyloxy benzoic acid (OBA-09), a simple ester of pyruvate and salicylic acid. This protective effect was manifested by recoveries from neurological and behavioral deficits. OBA-09 exhibited antioxidative effects in the postischemic brain, which was evidenced by remarkable reduction of lipid peroxidation and 4-hydroxy-2-nonenal staining in OBA-09-administered animals. Reactive oxygen species generation was markedly suppressed in primary cortical cultures under oxygen-glucose deprivation. More interestingly, OBA-09 was capable of scavenging hydroxyl radical in cell-free assays. High-performance liquid chromatography results demonstrated that OBA-09 was hydrolyzed to salicylic acid and pyruvate with t(1/2) = 43 min in serum and 4.2 h in brain parenchyma, indicating that antioxidative function of OBA-09 is executed by itself and also by salicylic acid after the hydrolysis. In addition to antioxidative function, OBA-09 exerts anti-excitotoxic and anti-Zn(2+)-toxic functions, which might be attributed to attenuation of ATP and nicotinamide adenine dinucleotide depletion and to the suppression of nuclear factor-kappaB activity induction. Together these results indicate that OBA-09 has a potent therapeutic potential as a multimodal neuroprotectant in the postischemic brain and these effects were conferred by OBA-09 itself and subsequently its hydrolyzed products. PMID- 21036875 TI - Comorbidity affects all domains of physical function and quality of life in patients with rheumatoid arthritis. AB - OBJECTIVE: Comorbidities have been reported to influence physical function, but it is not clear which activities are predominantly impaired, or which other domains of health status are affected in addition to physical function. In this study, we investigated the impact of comorbidities on individual activities of daily living, and other aspects of quality of live in patients with RA. METHODS: In 380 patients with established RA, we quantified comorbidity levels according to the age-adjusted Charlson Comorbidity Index (CCI(A)) and functional disability by serial measures of the HAQ over 1 year. In a subset of 185 patients, we assessed quality of life using Short Form-36 (SF-36). To analyse the relationship between comorbidities, different activities of daily living and health status, we divided patients into four subgroups of CCI(A) and performed analysis of variance (ANOVA) and multivariable general linear regression models adjusted for gender, disease duration and disease activity. RESULTS: ANOVA showed significant (P < 0.03) increase of disability within each domain of HAQ with increasing level of comorbidity. Similar results were observed using the physical component score (P = 0.003) of the SF-36 and its domains, whereas mental component score (P = 0.31) and its domains were unaffected by comorbidities. In a sub-analysis stratifying patients into different levels of disease activity, we found increase in almost all domains of HAQ within respective groups of CCI(A). CONCLUSIONS: Activities of daily living represented by HAQ are equally affected by comorbidities. More generally, health status was only affected with respect to its physical but not its mental domains. PMID- 21036876 TI - Under-representation of the elderly in osteoarthritis clinical trials. PMID- 21036877 TI - Registries in rheumatological and musculoskeletal conditions. Paediatric Behcet's disease: an international cohort study of 110 patients. One-year follow-up data. AB - OBJECTIVE: To set-up an international cohort of patients suspected with Behcet's disease (BD). The cohort is aimed at defining an algorithm for definition of the disease in children. METHODS: International experts have defined the inclusion criteria as follows: recurrent oral aphthosis (ROA) plus one of following-genital ulceration, erythema nodosum, folliculitis, pustulous/acneiform lesions, positive pathergy test, uveitis, venous/arterial thrombosis and family history of BD. Onset of disease is <16 years, disease duration is <=3 years, future follow-up duration is >=4 years and informed consent is obtained. The expert committee has classified the included patients into: definite paediatric BD (PED-BD), probable PED-BD and no PED-BD. Statistical analysis is performed to compare the three groups of patients. Centres document their patients into a single database. RESULTS: At January 2010, 110 patients (56 males/54 females) have been included. Mean age at first symptom: 8.1 years (median 8.2 years). At inclusion, 38% had only one symptom associated with ROA, 31% had two and 31% had three or more symptoms. A total of 106 first evaluations have been done. Seventeen patients underwent the first-year evaluation, and 36 had no new symptoms, 12 had one and 9 had two. Experts have examined 48 files and classified 30 as definite and 18 as probable. Twenty-six patients classified as definite fulfilled the International Study Group criteria. Seventeen patients classified as probable did not meet the international criteria. CONCLUSION: The expert committee has classified the majority of patients in the BD group although they presented with few symptoms independently of BD classification criteria. PMID- 21036878 TI - Commentary: Religious, cultural and social influences on suicidal behaviour. PMID- 21036879 TI - Development and use of the Lives Saved Tool (LiST): a model to estimate the impact of scaling up proven interventions on maternal, neonatal and child mortality. PMID- 21036881 TI - A new look at induction chemotherapy in locally advanced head and neck cancer. PMID- 21036880 TI - Continuous administration of sorafenib in combination with transarterial chemoembolization in patients with hepatocellular carcinoma: results of a phase I study. AB - BACKGROUND AND AIM: It is unknown whether sorafenib can be combined with transarterial chemoembolization (TACE) in patients with hepatocellular carcinoma. This study assesses the safety and tolerability of a continuous regimen of sorafenib combined with TACE. METHODS: This was an open-label phase I study testing a continuous administration of sorafenib (dose escalation from 200 mg twice daily [bid] to 400 mg bid) starting 7 days prior to TACE with doxorubicin (50 mg). RESULTS: Twenty-one patients were screened and 14 received sorafenib combined with TACE. Because there were no dose-limiting toxicities in the first three patients who received sorafenib at a dose of 200 mg bid, subsequent patients received 400 mg bid. Twenty-seven procedures were performed (median, two per patient) and two local therapy-related severe adverse events occurred. The median duration of sorafenib therapy was 246 days (range, 14-547 days). Sorafenib related adverse events of grade >=3 were hand-foot skin reaction (n = 3), weight loss (n = 2), diarrhea (n = 1), abdominal pain (n = 1), and thrombocytopenia (n = 3). After treatment with sorafenib and TACE, there was a significant decrease in the concentration of plasma vascular endothelial growth factor (VEGF) from 93 ng/l to 67 ng/l. CONCLUSIONS: Continuous administration of sorafenib at a dose of 400 mg bid combined with TACE was tolerable. The adverse event profile of this regimen was comparable with that of sorafenib monotherapy with the exception of thrombocytopenia, which may be more frequent. There were no increases in the circulating VEGF levels after TACE with this combined regimen. (Swiss Association for the Study of the Liver study number 25; ClinicalTrials.gov trial identifier, NCT00478374). PMID- 21036882 TI - Induction chemotherapy for head and neck cancer: recent data. AB - The addition of chemotherapy to radiotherapy in the treatment of locally advanced squamous cell carcinoma of the head and neck (SCCHN) patients improves survival. Meta-analyses of randomized trials have indicated that the benefit of this approach is associated with the timing of chemotherapy administration. It has been demonstrated that the greatest survival benefit over locoregional treatment alone is seen with the concurrent administration of chemotherapy and radiotherapy. However, sequential chemotherapy administration, in the form of induction chemotherapy followed by radiotherapy or concurrent chemoradiotherapy, has been successful as a strategy for organ function preservation in patients with potentially resectable SCCHN. In addition, a meta-analysis of trials using platinum and 5-fluorouracil (PF)-containing induction regimens demonstrated a significant survival benefit for this approach over locoregional treatment alone in locally advanced disease. In recent years, the introduction of the taxanes into induction chemotherapy has provided physicians with more active regimens. The triplet combination induction regimen of docetaxel, cisplatin, and 5 fluorouracil has been shown to be more effective in prolonging survival than the doublet PF. Current trials are testing whether the addition of induction chemotherapy to standard concomitant chemoradiotherapy is superior to concomitant chemoradiotherapy alone. PMID- 21036883 TI - Induction chemotherapy before chemoradiotherapy in locally advanced head and neck cancer: the future? AB - There is increasing interest in the use of induction chemotherapy before concurrent chemotherapy and radiotherapy in the treatment of locally advanced head and neck cancer. A modest but significant improvement in survival has been observed with cisplatin and 5-fluorouracil (PF) induction before radiotherapy over that seen with radiotherapy alone. The addition of docetaxel to the PF regimen (TPF) appears to provide further survival benefits. The phase II part of a phase II/III trial compared three cycles of TPF induction chemotherapy before concomitant PF chemoradiotherapy with PF chemoradiotherapy alone in 101 patients with locally advanced stage III-IV head and neck cancer. The incidences of hematologic and nonhematologic toxicities during concurrent chemoradiotherapy were not higher in the TPF plus chemoradiotherapy group, and the feasibility of chemoradiotherapy was not compromised. Radiologically evaluated complete response rates at 6-8 weeks from the end of chemoradiotherapy (the primary endpoint) were 21% (95% confidence interval [CI], 11%-36%) with chemoradiotherapy alone and 50% (95% CI, 35%-65%; p = .004) with TPF plus chemoradiotherapy. A median overall survival time of 33.3 months and a 1-year survival rate of 78% were observed with chemoradiotherapy alone, whereas the median survival time was 39.6 months in the TPF plus chemoradiotherapy group, with a 1-year survival rate of 86%. To conclude, increasing evidence suggests that TPF induction chemotherapy improves clinical response and does not compromise subsequent chemoradiotherapy. The results of the ongoing phase III part of the phase II/III study should provide further information about the efficacy and safety of this approach for patients with locally advanced head and neck cancer. PMID- 21036884 TI - TPF sequential therapy: when and for whom? AB - Concurrent chemoradiation is a standard approach for the treatment of locally advanced squamous cell carcinoma of the head and neck. However, sequentially administered chemotherapy and radiotherapy/chemoradiation may be an effective alternative for some patients. Although chemoradiation is a highly effective treatment approach, it is associated with high incidences of severe acute toxicities, including mucositis. In addition, late toxicities can cause long-term morbidity in a substantial proportion of patients. In a retrospective analysis of three Radiation Therapy Oncology Group trials, pharyngeal dysfunction was seen in 27% of patients and feeding tube dependence and laryngeal dysfunction were each seen in approximately 12% of patients. Sequential administration of chemotherapy and radiotherapy, with a doublet cisplatin and 5-fluorouracil (PF) induction chemotherapy regimen, is associated with less acute severe mucositis than concomitantly administered cisplatin and radiotherapy. The addition of the taxane docetaxel to PF has resulted in the highly active triplet induction regimen TPF. Data from randomized trials indicate that TPF sequential therapy may be an effective alternative to concurrent chemoradiation for some patients. TPF is well tolerated, although it is associated with a higher incidence of hematologic adverse events than with PF, including neutropenia and neutropenia-related complications. This may be managed by the use of prophylactic G-CSF and/or antibiotics. Patients suitable for treatment with a TPF-based sequential administration approach include those with a good performance status, no contraindication to cisplatin or taxanes, and locally advanced oropharyngeal, hypopharyngeal, or laryngeal cancer with a high tumor load. PMID- 21036885 TI - TPF: a rational choice for larynx preservation? AB - For many years, the standard approach for the treatment of resectable squamous cell carcinoma of the head and neck was surgery, with or without subsequent radiotherapy. However, the morbidity associated with this approach, particularly for patients requiring total laryngectomy, can severely impair a patient's quality of life. The finding that patients whose tumors responded to chemotherapy showed a good response to subsequent radiotherapy opened up the possibility of a new organ-preserving management strategy for patients with resectable disease. Randomized studies demonstrated that induction chemotherapy with a cisplatin-5 fluorouracil (5-FU) doublet (PF) prior to radiotherapy enabled larynx preservation in a substantial proportion of patients, compared with surgery plus radiotherapy, without compromising survival. The benefit in terms of larynx preservation when using platinum-based doublet induction chemotherapy followed by radiotherapy, compared with concurrent chemotherapy and radiotherapy, is less clear, although the sequential approach appears to be better tolerated. Adding the taxane docetaxel to PF, to create the TPF triplet regimen, led to significantly higher larynx preservation and laryngectomy-free survival rates than with the PF doublet. TPF is now the accepted standard induction chemotherapy regimen for future clinical trials in resectable disease. Methods for improving postinduction treatment strategies are being explored. PMID- 21036886 TI - Larynx preservation clinical trial design: summary of key recommendations of a consensus panel. AB - An international consensus panel was convened to develop guidelines for the conduct of phase III clinical trials of larynx preservation in patients with locally advanced laryngeal and hypopharyngeal cancer. According to their recommendations, future trial populations should include patients with T2 or T3 laryngeal or hypopharyngeal squamous cell carcinoma not considered for partial laryngectomy and should exclude those with laryngeal dysfunction or aged >70 years. Baseline and post-treatment functional assessments should include speech and swallowing evaluations. Furthermore, voice should be routinely assessed with a simple, validated instrument. Regarding endpoints, the primary endpoint should capture survival and function. As a result, the panel created a new endpoint of laryngoesophageal dysfunction (LED)-free survival, which includes the events of death, local relapse, total or partial laryngectomy, tracheotomy at >=2 years, or feeding tube at >=2 years. Recommended secondary endpoints are freedom from LED, overall survival, progression-free survival, locoregional control, time to tracheotomy, time to laryngectomy, time to discontinuation of feeding tube, and quality of life/patient-reported outcomes. Future exploratory correlative biomarker studies should include epidermal growth factor receptor, excision repair cross-complementation group 1 gene, E-cadherin and beta-catenin, epiregulin and amphiregulin, and TP53 mutation. Revised trial designs in several key areas are needed to advance the study of larynx preservation. With consistent methodologies, clinical trials can more effectively evaluate and quantify the therapeutic benefit of novel treatment options for patients with locally advanced laryngeal and hypopharyngeal cancer. PMID- 21036887 TI - Candidates for larynx preservation: the next step? AB - Nonsurgical treatment approaches to enable larynx preservation in patients who would otherwise undergo laryngectomy have evolved over recent years. Randomized trials have demonstrated that concurrent chemotherapy and radiotherapy is more effective than doublet cisplatin and 5-fluorouracil (5-FU) (PF)-based induction chemotherapy and radiotherapy in enabling larynx preservation. However, concurrent chemotherapy and radiotherapy is also associated with more toxicities than induction PF followed by radiotherapy. The triplet induction regimen of docetaxel, cisplatin, and 5-FU (TPF) is more effective than PF and is now considered to be the standard induction chemotherapy regimen for future larynx preservation trials. Manipulating the postinduction treatment regimen may help to improve larynx preservation rates, and possibly survival, and the use of concurrent chemoradiotherapy and radiotherapy plus the epidermal growth factor receptor inhibitor cetuximab has been investigated in this setting. Determining the most effective treatment approach for larynx preservation will involve conducting a trial comparing concurrent chemotherapy and radiotherapy with sequential TPF induction chemotherapy followed by either radiotherapy or cetuximab plus radiotherapy. Collaboration among international groups is required to assess which approach would be most beneficial in terms of larynx function preservation, disease control, and survival. PMID- 21036888 TI - Comparison of the cortisol responses to testing with two doses of ACTH in patients with suspected adrenal insufficiency. AB - OBJECTIVES: To compare the cortisol response of the 1 MUg and the 250 MUg ACTH test in a large study of patients with suspected adrenal insufficiency. DESIGN: Retrospective cohort study. METHODS: Single center study assessing patients tested for primary or secondary adrenal insufficiency between January 2004 and December 2007, who had both ACTH tests (1 MUg and 250 MUg; n=207) within a time interval of 6 weeks. Test results were compared with a Bland-Altman plot and McNemar's test. RESULTS: The mean difference between the cortisol responses in the two ACTH tests was 26 nmol/l (95% confidence interval (CI) 13, 40), showing a marginally higher response for the 250 MUg test. The diagnostic performances of the two tests were similar (P=0.49) using a cut-off value for cortisol of 550 nmol/l. A normal cortisol response to the 1 MUg ACTH test could be accompanied by an abnormal response to the 250 MUg ACTH test, and vice versa. CONCLUSION: This study shows that the 1 MUg and the 250 MUg ACTH tests have comparable cortisol responses in patients with suspected adrenal insufficiency. However, in individual patients, the difference in cortisol response to the two tests can be substantial, and the response in the 250 MUg test is not invariably higher than the response in a 1 MUg test. PMID- 21036889 TI - Efficacy and safety of carvedilol in treatment of heart failure with chronic kidney disease: a meta-analysis of randomized trials. AB - BACKGROUND: The safety and efficacy of different types of beta-blocker therapy in patients with non-dialysis-dependent chronic kidney disease (CKD) and systolic heart failure (HF) are not well described. We assessed whether treatment of systolic HF with carvedilol is efficacious and safe in adults with CKD. METHODS AND RESULTS: We performed a post hoc analysis of pooled individual patient data (n=4217) from 2 multinational, double-blinded, placebo-controlled, randomized trials, CAPRICORN (Carvedilol Postinfarct Survival Control in Left Ventricular Dysfunction Study) and COPERNICUS (Carvedilol Prospective Randomized, Cumulative Survival study). Primary outcome was all-cause mortality. Secondary outcomes included cardiovascular mortality, HF mortality, first HF hospitalization, the composite of cardiovascular mortality or first HF hospitalization, and sudden cardiac death. Non-dialysis-dependent CKD was defined by estimated glomerular filtration rate <=60 mL/min/1.73 m(2), using the abbreviated Modification of Diet in Renal Disease equation. CKD was present in 2566 of 4217 (60.8%) of the cohort, 50.4% of whom were randomly assigned to carvedilol therapy. Within the CKD group, treatment with carvedilol decreased the risks of all-cause mortality (hazard ratio [HR], 0.76; 95% confidence interval [CI], 0.63 to 0.93; P=0.007), cardiovascular mortality (HR, 0.76; 95% CI, 0.62 to 0.94; P=0.011), HF mortality (HR, 0.68; 95% CI, 0.52 to 0.88; P=0.003), first hospitalization for HF (HR, 0.74; 95% CI, 0.61 to 0.88; P=0.0009), and the composite of cardiovascular mortality or HF hospitalization (HR, 0.75; 95% CI, 0.65 to 0.87; P<0.001) but was without significant effect on sudden cardiac death (HR, 0.76; 95% CI, 0.56 to 1.05; P=0.098). There was no significant interaction between treatment arm and study type. Carvedilol was generally well tolerated by both groups of patients, with an increased relative incidence in transient increase in serum creatinine without need for dialysis and other electrolyte changes in the CKD patients. However, in a sensitivity analysis among HF subjects with estimated glomerular filtration rate <45 mL/min/1.73 m(2) (CKD stage 3b), the efficacy of carvedilol was not significantly different from placebo. CONCLUSIONS: This analysis suggests that the benefits of carvedilol therapy in patients with systolic left ventricular dysfunction with or without symptoms of HF are consistent even in the presence of mild to moderate CKD. Whether carvedilol therapy is similarly efficacious in HF patients with more advanced kidney disease requires further study. PMID- 21036890 TI - Delta-sarcoglycan gene therapy halts progression of cardiac dysfunction, improves respiratory failure, and prolongs life in myopathic hamsters. AB - BACKGROUND: The BIO14.6 hamster provides a useful model of hereditary cardiomyopathies and muscular dystrophy. Previous delta-sarcoglycan (deltaSG) gene therapy (GT) studies were limited to neonatal and young adult animals and prevented the development of cardiac and skeletal muscle dysfunction. GT of a pseudophosphorylated mutant of phospholamban (S16EPLN) moderately alleviated the progression of cardiomyopathy. METHODS AND RESULTS: We treated 4-month-old BIO14.6 hamsters with established cardiac and skeletal muscle diseases intravenously with a serotype-9 adeno-associated viral vector carrying deltaSG alone or in combination with S16EPLN. Before treatment at age 14 weeks, the left ventricular fractional shortening by echocardiography was 31.3% versus 45.8% in normal hamsters. In a randomized trial, GT halted progression of left ventricular dilation and left ventricular dysfunction. Also, respiratory function improved. Addition of S16EPLN had no significant additional effects. deltaSG-GT prevented severe degeneration of the transverse tubular system in cardiomyocytes (electron tomography) and restored distribution of dystrophin and caveolin-3. All placebo treated hamsters, except animals removed for the hemodynamic study, died with heart failure between 34 and 67 weeks of age. In the GT group, signs of cardiac and respiratory failure did not develop, and animals lived for 92 weeks or longer, an age comparable to that reported in normal hamsters. CONCLUSION: GT was highly effective in BIO14.6 hamsters even when given in late-stage disease, a finding that may carry implications for the future treatment of hereditary cardiac and muscle diseases in humans. PMID- 21036891 TI - PDE5 inhibition with sildenafil improves left ventricular diastolic function, cardiac geometry, and clinical status in patients with stable systolic heart failure: results of a 1-year, prospective, randomized, placebo-controlled study. AB - BACKGROUND: In heart failure (HF), a defective nitric oxide signaling is involved in left ventricular (LV) diastolic abnormalities and remodeling. PDE5 inhibition, by blocking degradation of nitric oxide second-messenger cyclic guanosine monophosphate, might be beneficial. In a cohort of systolic HF patients, we tested the effects of PDE5 inhibition (sildenafil) on LV ejection fraction, diastolic function, cardiac geometry, and clinical status. METHODS AND RESULTS: Forty-five HF patients (New York Heart Association class II-III) were randomly assigned to placebo or sildenafil (50 mg three times per day) for 1 year, with assessment (6 months and 1 year) of LV ejection fraction, diastolic function, geometry, cardiopulmonary exercise performance, and quality of life. In the sildenafil group only, at 6 months and 1 year, LV ejection fraction, early diastolic tissue Doppler velocities (E') at the mitral lateral (from 4.62 to 5.20 and 5.19 m/s) and septal (from 4.71 to 5.23 and 5.24 m/s) annuli significantly increased, whereas the ratio of early transmitral (E) to E' lateral decreased (from 13.1 to 9.8 to 9.4) (P<0.01). Changes were accompanied by a reverse remodeling of left atrial volume index (from 32.0 to 29.0 and 29.1 mL/m(2); P<0.01) and LV mass index (from 148.0 to 130.0 and 128.0 g/m(2); P<0.01). Furthermore, sildenafil improved exercise performance (peak Vo(2)), ventilation efficiency (ventilation to CO(2) production slope), and quality of life (P<0.01). Minor adverse effects were noted: flushing in 4 and headache in 2 treated patients. CONCLUSIONS: Findings confirm that in HF, sildenafil improves functional capacity and clinical status and provide the first human evidence that LV diastolic function and cardiac geometry are additional targets of benefits related to chronic PDE5 inhibition. PMID- 21036892 TI - Differing effects of systemically administered rapamycin on consolidation and reconsolidation of context vs. cued fear memories. AB - Rapamycin, an inhibitor of the mammalian target of rapamycin (mTOR) kinase, has attracted interest as a possible prophylactic for post-traumatic stress disorder (PTSD)-associated fear memories. We report here that although rapamycin (40 mg/kg, i.p.) disrupted the consolidation and reconsolidation of fear-potentiated startle paradigm to a shock-paired context, it did not disrupt startle increases to a 4-sec odor cue, even though post-training increases in amygdala mTOR activity were prevented by rapamycin (also 40 mg/kg, i.p.). Thus, while rapamycin may prove useful in retarding the development of some PTSD-associated memories, its relative ineffectiveness against cued fear memories may limit its clinical usefulness. PMID- 21036893 TI - Zinc transporter 3 is involved in learned fear and extinction, but not in innate fear. AB - Synaptically released Zn2+ is a potential modulator of neurotransmission and synaptic plasticity in fear-conditioning pathways. Zinc transporter 3 (ZnT3) knock-out (KO) mice are well suited to test the role of zinc in learned fear, because ZnT3 is colocalized with synaptic zinc, responsible for its transport to synaptic vesicles, highly enriched in the amygdala-associated neural circuitry, and ZnT3 KO mice lack Zn2+ in synaptic vesicles. However, earlier work reported no deficiency in fear memory in ZnT3 KO mice, which is surprising based on the effects of Zn2+ on amygdala synaptic plasticity. We therefore reexamined ZnT3 KO mice in various tasks for learned and innate fear. The mutants were deficient in a weak fear-conditioning protocol using single tone-shock pairing but showed normal memory when a stronger, five-pairing protocol was used. ZnT3 KO mice were deficient in memory when a tone was presented as complex auditory information in a discontinuous fashion. Moreover, ZnT3 KO mice showed abnormality in trace fear conditioning and in fear extinction. By contrast, ZnT3 KO mice had normal anxiety. Thus, ZnT3 is involved in associative fear memory and extinction, but not in innate fear, consistent with the role of synaptic zinc in amygdala synaptic plasticity. PMID- 21036894 TI - Surface aggregation patterns of LDL receptors near coated pits II. The retrograde membrane flow-diffusion and generalized plaque-form insertion mechanism. AB - This study presents a theoretical exploration of the effects of mechanisms that, in addition to diffusion, may influence the surface dynamics and display of unbound receptors in the low-density lipoprotein (LDL) endocytic cycle in human fibroblasts. The factors considered here are a transverse membrane flow and a generalized plaque-form insertion mode. The proposed model permits estimations of aggregation rates of unbound receptors in coated pits as well as pictorial representations of their expected steady-state display on the cell surface. Our findings show that this display is determined in a fundamental way by the ratio of the strength of the flow to the diffusion coefficient. For measured values of the diffusion coefficient and the estimated value of the flow rate strength (and independent of the receptor insertion mode), the display predicted by our model is consistent with the capping phenomenon, i.e., a gradated clustering in the direction of flow streamlines. There could be suitable characterizations of the receptor reinsertion mode that would produce a substantial reduction in the mean capture time of LDL receptors by coated pits. In any event, our results show that the existence of a transverse membrane flow precludes the display of steady-state plaque-form surface clusters. PMID- 21036895 TI - Wood dust sampling: field evaluation of personal samplers when large particles are present. AB - Recent recommendations for wood dust sampling include sampling according to the inhalable convention of International Organization for Standardization (ISO) 7708 (1995) Air quality--particle size fraction definitions for health-related sampling. However, a specific sampling device is not mandated, and while several samplers have laboratory performance approaching theoretical for an 'inhalable' sampler, the best choice of sampler for wood dust is not clear. A side-by-side field study was considered the most practical test of samplers as laboratory performance tests consider overall performance based on a wider range of particle sizes than are commonly encountered in the wood products industry. Seven companies in the wood products industry of the Southeast USA (MS, KY, AL, and WV) participated in this study. The products included hardwood flooring, engineered hardwood flooring, door skins, shutter blinds, kitchen cabinets, plywood, and veneer. The samplers selected were 37-mm closed-face cassette with ACCU-CAPTM, Button, CIP10-I, GSP, and Institute of Occupational Medicine. Approximately 30 of each possible pairwise combination of samplers were collected as personal sample sets. Paired samplers of the same type were used to calculate environmental variance that was then used to determine the number of pairs of samples necessary to detect any difference at a specified level of confidence. Total valid sample number was 888 (444 valid pairs). The mass concentration of wood dust ranged from 0.02 to 195 mg m(-3). Geometric mean (geometric standard deviation) and arithmetic mean (standard deviation) of wood dust were 0.98 mg m(-3) (3.06) and 2.12 mg m(-3) (7.74), respectively. One percent of the samples exceeded 15 mg m( 3), 6% exceeded 5 mg m(-3), and 48% exceeded 1 mg m(-3). The number of collected pairs is generally appropriate to detect a 35% difference when outliers (negative mass loadings) are removed. Statistical evaluation of the nonsimilar sampler pair results produced a finding of no significant difference between any pairing of sampler type. A practical consideration for sampling in the USA is that the ACCU CAPTM is similar to the sampler currently used by the Occupational Safety and Health Administration for purposes of demonstrating compliance with its permissible exposure limit for wood dust, which is the same as for Particles Not Otherwise Regulated, also known as inert dust or nuisance dust (Method PV2121). PMID- 21036896 TI - Cognitive exertion and subsequent intention execution in older adults. AB - OBJECTIVES: Previous studies have demonstrated that increasing the demands of a prospective memory task is detrimental to older adults' performance; however, no studies have investigated how prior cognitive demands influence subsequent prospective memory. The present study sought to address this gap by using a resource depletion paradigm. METHODS: A sample of 107 older adults whose ages ranged from 60 to 85 years (M=71.91, SD=7.12) completed an initial task that was either cognitively taxing or relatively easy followed by either an attention demanding prospective memory task or one that required minimal attentional resources. RESULTS: Initial cognitive exertion led to decrements in prospective memory performance in the attention-demanding situation, particularly for the old old participants (age>=72); however, prior cognitive exertion did not influence subsequent prospective memory performance when the prospective memory task required minimal attentional resources. DISCUSSION: This study extends the negative effects of prior cognitive exertion to prospective memory in older adults. Also, dovetailing with past work, the depletion effects were limited to prospective memory tasks that are thought to require demanding attentional processes. The depletion effects were most pronounced for the old-old, suggesting that increased age may be associated with decline in attentional resources. PMID- 21036897 TI - Impaired kynurenine pathway metabolism in the prefrontal cortex of individuals with schizophrenia. AB - The levels of kynurenic acid (KYNA), an astrocyte-derived metabolite of the branched kynurenine pathway (KP) of tryptophan degradation and antagonist of alpha7 nicotinic acetylcholine and N-methyl-D-aspartate receptors, are elevated in the prefrontal cortex (PFC) of individuals with schizophrenia (SZ). Because endogenous KYNA modulates extracellular glutamate and acetylcholine levels in the PFC, these increases may be pathophysiologically significant. Using brain tissue from SZ patients and matched controls, we now measured the activity of several KP enzymes (kynurenine 3-monooxygenase [KMO], kynureninase, 3-hydroxyanthranilic acid dioxygenase [3-HAO], quinolinic acid phosphoribosyltransferase [QPRT], and kynurenine aminotransferase II [KAT II]) in the PFC, ie, Brodmann areas (BA) 9 and 10. Compared with controls, the activities of KMO (in BA 9 and 10) and 3-HAO (in BA 9) were significantly reduced in SZ, though there were no significant differences between patients and controls in kynureninase, QPRT, and KAT II. In the same samples, we also confirmed the increase in the tissue levels of KYNA in SZ. As examined in rats treated chronically with the antipsychotic drug risperidone, the observed biochemical changes were not secondary to medication. A persistent reduction in KMO activity may have a particular bearing on pathology because it may signify a shift of KP metabolism toward enhanced KYNA synthesis. The present results further support the hypothesis that the normalization of cortical KP metabolism may constitute an effective new treatment strategy in SZ. PMID- 21036898 TI - Bryostatin-1, a naturally occurring antineoplastic agent, acts as a Toll-like receptor 4 (TLR-4) ligand and induces unique cytokines and chemokines in dendritic cells. AB - Bryostatin-1 (Bryo-1), a natural macrocyclic lactone, is clinically used as an anti-cancer agent. In this study, we demonstrate for the first time that Bryo-1 acts as a Toll-like receptor 4 (TLR4) ligand. Interestingly, activation of bone marrow-derived dendritic cells (in vitro with Bryo-1) led to a TLR4-dependent biphasic activation of nuclear factor-kappaB (NF-kappaB) and the unique induction of cytokines (IL-5, IL-6, and IL-10) and chemokines, including RANTES (regulated on activation normal T cell expressed and secreted) and macrophage inflammatory protein 1alpha (MIP1-alpha). In addition, EMSA demonstrated that Bryo-1-mediated induction of RANTES was regulated by NF-kappaB and the interferon regulatory factors (IRF)-1, IRF-3, and IRF-7 to the RANTES independently of myeloid differentiation primary response gene-88 (MyD88). Bryo-1 was able to induce the transcriptional activation of IRF-3 through the TLR4/MD2-dependent pathway. In vivo administration of Bryo-1 triggered a TLR-4-dependent T helper cell 2 (Th2) cytokine response and expanded a subset of myeloid dendritic cells that expressed a CD11c(high)CD8alpha(-) CD11b(+)CD4(+) phenotype. This study demonstrates that Bryo-1 can act as a TLR4 ligand and activate innate immunity. Moreover, the ability of Bryo-1 to trigger RANTES and MIP1-alpha suggests that Bryo-1 could potentially be used to prevent HIV-1 infection. Finally, induction of a Th2 response by Bryo-1 may help treat inflammatory diseases mediated by Th1 cells. Together, our studies have a major impact on the clinical use of Bryo-1 as an anti-cancer and immunopotentiating agent. PMID- 21036899 TI - Identification of a calcium permeable human acid-sensing ion channel 1 transcript variant. AB - The acid-sensing ion channels (ASICs) are proton-gated cation channels activated when extracellular pH declines. In rodents, the Accn2 gene encodes transcript variants ASIC1a and ASIC1b, which differ in the first third of the protein and display distinct channel properties. In humans, ACCN2 transcript variant 2 (hVariant 2) is homologous to mouse ASIC1a. In this article, we study two other human ACCN2 transcript variants. Human ACCN2 transcript variant 1 (hVariant 1) is not present in rodents and contains an additional 46 amino acids directly preceding the proposed channel gate. We report that hVariant 1 does not produce proton-gated currents under normal conditions when expressed in heterologous systems. We also describe a third human ACCN2 transcript variant (hVariant 3) that is similar to rodent ASIC1b. hVariant 3 is more abundantly expressed in dorsal root ganglion compared with brain and shows basic channel properties analogous to rodent ASIC1b. Yet, proton-gated currents from hVariant 3 are significantly more permeable to calcium than either hVariant 2 or rodent ASIC1b, which shows negligible calcium permeability. hVariant 3 also displays a small acid-dependent sustained current. Such a sustained current is particularly intriguing as ASIC1b is thought to play a role in sensory transduction in rodents. In human DRG neurons, hVariant 3 could induce sustained calcium influx in response to acidic pH and make a major contribution to acid-dependent sensations, such as pain. PMID- 21036900 TI - Origin of absorption changes associated with photoprotective energy dissipation in the absence of zeaxanthin. AB - To prevent photo-oxidative damage to the photosynthetic membrane in strong light, plants dissipate excess absorbed light energy as heat in a mechanism known as non photochemical quenching (NPQ). NPQ is triggered by the trans-membrane proton gradient (DeltapH), which causes the protonation of the photosystem II light harvesting antenna (LHCII) and the PsbS protein, as well as the de-epoxidation of the xanthophyll violaxanthin to zeaxanthin. The combination of these factors brings about formation of dissipative pigment interactions that quench the excess energy. The formation of NPQ is associated with certain absorption changes that have been suggested to reflect a conformational change in LHCII brought about by its protonation. The light-minus-dark recovery absorption difference spectrum is characterized by a series of positive and negative bands, the best known of which is DeltaA(535). Light-minus-dark recovery resonance Raman difference spectra performed at the wavelength of the absorption change of interest allows identification of the pigment responsible from its unique vibrational signature. Using this technique, the origin of DeltaA(535) was previously shown to be a subpopulation of red-shifted zeaxanthin molecules. In the absence of zeaxanthin (and antheraxanthin), a proportion of NPQ remains, and the DeltaA(535) change is blue-shifted to 525 nm (DeltaA(525)). Using resonance Raman spectroscopy, it is shown that the DeltaA(525) absorption change in Arabidopsis leaves lacking zeaxanthin belongs to a red-shifted subpopulation of violaxanthin molecules formed during NPQ. The presence of the same DeltaA(535) and DeltaA(525) Raman signatures in vitro in aggregated LHCII, containing zeaxanthin and violaxanthin, respectively, leads to a new proposal for the origin of the xanthophyll red shifts associated with NPQ. PMID- 21036901 TI - Synergistic Ca2+ responses by G{alpha}i- and G{alpha}q-coupled G-protein-coupled receptors require a single PLC{beta} isoform that is sensitive to both G{beta}{gamma} and G{alpha}q. AB - Cross-talk between Galpha(i)- and Galpha(q)-linked G-protein-coupled receptors yields synergistic Ca(2+) responses in a variety of cell types. Prior studies have shown that synergistic Ca(2+) responses from macrophage G-protein-coupled receptors are primarily dependent on phospholipase Cbeta3 (PLCbeta3), with a possible contribution of PLCbeta2, whereas signaling through PLCbeta4 interferes with synergy. We here show that synergy can be induced by the combination of Gbetagamma and Galpha(q) activation of a single PLCbeta isoform. Synergy was absent in macrophages lacking both PLCbeta2 and PLCbeta3, but it was fully reconstituted following transduction with PLCbeta3 alone. Mechanisms of PLCbeta mediated synergy were further explored in NIH-3T3 cells, which express little if any PLCbeta2. RNAi-mediated knockdown of endogenous PLCbetas demonstrated that synergy in these cells was dependent on PLCbeta3, but PLCbeta1 and PLCbeta4 did not contribute, and overexpression of either isoform inhibited Ca(2+) synergy. When synergy was blocked by RNAi of endogenous PLCbeta3, it could be reconstituted by expression of either human PLCbeta3 or mouse PLCbeta2. In contrast, it could not be reconstituted by human PLCbeta3 with a mutation of the Y box, which disrupted activation by Gbetagamma, and it was only partially restored by human PLCbeta3 with a mutation of the C terminus, which partly disrupted activation by Galpha(q). Thus, both Gbetagamma and Galpha(q) contribute to activation of PLCbeta3 in cells for Ca(2+) synergy. We conclude that Ca(2+) synergy between Galpha(i)-coupled and Galpha(q)-coupled receptors requires the direct action of both Gbetagamma and Galpha(q) on PLCbeta and is mediated primarily by PLCbeta3, although PLCbeta2 is also competent. PMID- 21036902 TI - Interleukin-2-inducible T cell kinase (Itk) network edge dependence for the maturation of iNKT cell. AB - Invariant natural killer T (iNKT) cells are a unique subset of innate T lymphocytes that are selected by CD1d. They have diverse immune regulatory functions via the rapid production of interferon-gamma (IFN-gamma) and interleukin-4 (IL-4). In the absence of signaling nodes Itk and Txk, Tec family non-receptor tyrosine kinases, mice exhibit a significant block in iNKT cell development. We now show here that although the Itk node is required for iNKT cell maturation, the kinase domain edge of Itk is not required for continued maturation iNKT cells in the thymus compared with Itk-null mice. This rescue is dependent on the expression of the Txk node. Furthermore, this kinase domain independent edge rescue correlates with the increased expression of the transcription factors T-bet, the IL-2/IL-15 receptor beta chain CD122, and suppression of eomesodermin expression. By contrast, alpha-galactosyl ceramide induced cytokine secretion is dependent on the kinase domain edge of Itk. These findings indicate that the Itk node uses a kinase domain independent edge, a scaffolding function, in the signaling pathway leading to the maturation of iNKT cells. Furthermore, the findings indicate that phosphorylation of substrates by the Itk node is only partially required for maturation of iNKT cells, while functional activation of iNKT cells is dependent on the kinase domain/activity edge of Itk. PMID- 21036903 TI - Structure-function analysis of the yeast mitochondrial Rho GTPase, Gem1p: implications for mitochondrial inheritance. AB - Mitochondria undergo continuous cycles of homotypic fusion and fission, which play an important role in controlling organelle morphology, copy number, and mitochondrial DNA maintenance. Because mitochondria cannot be generated de novo, the motility and distribution of these organelles are essential for their inheritance by daughter cells during division. Mitochondrial Rho (Miro) GTPases are outer mitochondrial membrane proteins with two GTPase domains and two EF-hand motifs, which act as receptors to regulate mitochondrial motility and inheritance. Here we report that although all of these domains are biochemically active, only the GTPase domains are required for the mitochondrial inheritance function of Gem1p (the yeast Miro ortholog). Mutations in either of the Gem1p GTPase domains completely abrogated mitochondrial inheritance, although the mutant proteins retained half the GTPase activity of the wild-type protein. Although mitochondrial inheritance was not dependent upon Ca(2+) binding by the two EF-hands of Gem1p, a functional N-terminal EF-hand I motif was critical for stable expression of Gem1p in vivo. Our results suggest that basic features of Miro protein function are conserved from yeast to humans, despite differences in the cellular machinery mediating mitochondrial distribution in these organisms. PMID- 21036904 TI - Heterodimerization of BAK and MCL-1 activated by detergent micelles. AB - BAK is a key protein mediating mitochondrial outer membrane permeabilization; however, its behavior in the membrane is poorly understood. Here, we characterize the conformational changes in BAK and MCL-1 using detergents to mimic the membrane environment and study their interaction by in vitro pulldown experiments, size exclusion chromatography, titration calorimetry, and NMR spectroscopy. The nonionic detergent IGEPAL has little impact on the structure of MCL-1 but induces a conformational change in BAK, whereby its BH3 region is able to engage the hydrophobic groove of MCL-1. Although the zwitterionic detergent CHAPS induces only minor conformational changes in both proteins, it is still able to initiate heterodimerization. The complex of MCL-1 and BAK can be disrupted by a BID-BH3 peptide, which acts through binding to MCL-1, but a mutant peptide, BAK-BH3-L78A, with low affinity for MCL-1 failed to dissociate the complex. The mutation L78A in BAK prevented binding to MCL-1, thus demonstrating the essential role of the BH3 region of BAK in its regulation by MCL-1. Our results validate the current models for the activation of BAK and highlight the potential value of small molecule inhibitors that target MCL-1 directly. PMID- 21036905 TI - Dbf4 regulates the Cdc5 Polo-like kinase through a distinct non-canonical binding interaction. AB - Cdc7-Dbf4 is a conserved, two-subunit kinase required for initiating eukaryotic DNA replication. Recent studies have shown that Cdc7-Dbf4 also regulates the mitotic exit network (MEN) and monopolar homolog orientation in meiosis I (Matos, J., Lipp, J. J., Bogdanova, A., Guillot, S., Okaz, E., Junqueira, M., Shevchenko, A., and Zachariae, W. (2008) Cell 135, 662-678 and Miller, C. T., Gabrielse, C., Chen, Y. C., and Weinreich, M. (2009) PLoS Genet. 5, e1000498). Both activities likely involve a Cdc7-Dbf4 interaction with Cdc5, the single Polo-like kinase in budding yeast. We previously showed that Dbf4 binds the Cdc5 polo-box domain (PBD) via an ~40-residue N-terminal sequence, which lacks a PBD consensus binding site (S(pS/pT)(P/X)), and that Dbf4 inhibits Cdc5 function during mitosis. Here we identify a non-consensus PBD binding site within Dbf4 and demonstrate that the PBD-Dbf4 interaction occurs via a distinct PBD surface from that used to bind phosphoproteins. Genetic and biochemical analysis of multiple dbf4 mutants indicate that Dbf4 inhibits Cdc5 function through direct binding. Surprisingly, mutation of invariant Cdc5 residues required for binding phosphorylated substrates has little effect on yeast viability or growth rate. Instead, cdc5 mutants defective for binding phosphoproteins exhibit enhanced resistance to microtubule disruption and an increased rate of spindle elongation. This study, therefore, details the molecular nature of a new type of PBD binding and reveals that Cdc5 targeting to phosphorylated substrates likely regulates spindle dynamics. PMID- 21036906 TI - Functional dissection of LIS1 and NDEL1 towards understanding the molecular mechanisms of cytoplasmic dynein regulation. AB - LIS1 and NDEL1 are known to be essential for the activity of cytoplasmic dynein in living cells. We previously reported that LIS1 and NDEL1 directly regulated the motility of cytoplasmic dynein in an in vitro motility assay. LIS1 suppressed dynein motility and inhibited the translocation of microtubules (MTs), while NDEL1 dissociated dynein from MTs and restored dynein motility following suppression by LIS1. However, the molecular mechanisms and detailed interactions of dynein, LIS1, and NDEL1 remain unknown. In this study, we dissected the regulatory effects of LIS1 and NDEL1 on dynein motility using full-length or truncated recombinant fragments of LIS1 or NDEL1. The C-terminal fragment of NDEL1 dissociated dynein from MTs, whereas its N-terminal fragment restored dynein motility following suppression by LIS1, demonstrating that the two functions of NDEL1 localize to different parts of the NDEL1 molecule, and that restoration from LIS1 suppression is caused by the binding of NDEL1 to LIS1, rather than to dynein. The truncated monomeric form of LIS1 had little effect on dynein motility, but an artificial dimer of truncated LIS1 suppressed dynein motility, which was restored by the N-terminal fragment of NDEL1. This suggests that LIS1 dimerization is essential for its regulatory function. These results shed light on the molecular interactions between dynein, LIS1, and NDEL1, and the mechanisms of cytoplasmic dynein regulation. PMID- 21036907 TI - Tom7 regulates Mdm10-mediated assembly of the mitochondrial import channel protein Tom40. AB - beta-barrel membrane proteins in the mitochondrial outer membrane use the TOM40 complex to enter mitochondria and then the TOB/SAM complex to be assembled into the outer membrane. Tom7, a subunit of the TOM40 complex, regulates association of Mdm10 with the TOB complex. Here, we analyzed the role of Tom7 in assembly of beta-barrel proteins, including Tom40, a central channel subunit of the TOM40 complex, and porin. Depletion of Tom7 decreased transient accumulation of Tom40 at the level of the TOB complex and retarded assembly of porin in vitro. On the other hand, overexpression of Tom7 resulted in enhanced accumulation of in vitro imported Tom40 in the TOB complex, yet it did not affect the in vitro assembly of porin. Site-specific photocross-linking in vivo revealed that Tom7 directly interacts with Tom40 through its transmembrane segment and with Mdm10. These results collectively show that Tom7 recruits Mdm10, enhancing its association with the MMM1 complex, to regulate timing of the release of Tom40 from the TOB complex for subsequent assembly into the TOM40 complex. PMID- 21036908 TI - MicroRNA-155 targets SMAD2 and modulates the response of macrophages to transforming growth factor-{beta}. AB - Transforming growth factor-beta (TGF-beta) is a pleiotropic cytokine with important effects on processes such as fibrosis, angiogenesis, and immunosupression. Using bioinformatics, we identified SMAD2, one of the mediators of TGF-beta signaling, as a predicted target for a microRNA, microRNA-155 (miR 155). MicroRNAs are a class of small non-coding RNAs that have emerged as an important class of gene expression regulators. miR-155 has been found to be involved in the regulation of the immune response in myeloid cells. Here, we provide direct evidence of binding of miR-155 to a predicted binding site and the ability of miR-155 to repress SMAD2 protein expression. We employed a lentivirally transduced monocyte cell line (THP1-155) containing an inducible miR 155 transgene to show that endogenous levels of SMAD2 protein were decreased after sustained overexpression of miR-155. This decrease in SMAD2 led to a reduction in both TGF-beta-induced SMAD-2 phosphorylation and SMAD-2-dependent activation of the expression of the CAGA(12)LUC reporter plasmid. Overexpression of miR-155 altered the cellular responses to TGF-beta by changing the expression of a set of genes that is involved in inflammation, fibrosis, and angiogenesis. Our study provides firm evidence of a role for miR-155 in directly repressing SMAD2 expression, and our results demonstrate the relevance of one of the two predicted target sites in SMAD2 3'-UTR. Altogether, our data uncover an important role for miR-155 in modulating the cellular response to TGF-beta with possible implications in several human diseases where homeostasis of TGF-beta might be altered. PMID- 21036909 TI - Rho-dependent termination of ssrS (6S RNA) transcription in Escherichia coli: implication for 3' processing of 6S RNA and expression of downstream ygfA (putative 5-formyl-tetrahydrofolate cyclo-ligase). AB - It is well known that 6S RNA, a global regulatory noncoding RNA that modulates gene expression in response to the cellular stresses in Escherichia coli, is generated by processing from primary ssrS (6S RNA) transcripts derived from two different promoters. The 5' processing of 6S RNA from primary transcripts has been well studied; however, it remains unclear how the 3'-end of this RNA is generated although previous studies have suggested that exoribonucleolytic trimming is necessary for 3' processing. Here, we describe several Rho-dependent termination sites located ~90 bases downstream of the mature 3'-end of 6S RNA. Our data suggest that the 3'-end of 6S RNA is generated via exoribonucleolytic trimming, rather than endoribonucleolytic cleavage, following the transcription termination events. The termination sites identified in this study are within the open reading frame of the downstream ygfA (putative 5-formyl-tetrahydrofolate cyclo-ligase) gene, a part of the highly conserved bacterial operon ssrS-ygfA, which is up-regulated during the biofilm formation. Our findings reveal that ygfA expression, which also aids the formation of multidrug-tolerant persister cells, could be regulated by Rho-dependent termination activity in the cell. PMID- 21036910 TI - Association of a fasting glucose genetic risk score with subclinical atherosclerosis: The Atherosclerosis Risk in Communities (ARIC) study. AB - OBJECTIVE: Elevated fasting glucose level is associated with increased carotid intima-media thickness (IMT), a measure of subclinical atherosclerosis. It is unclear if this association is causal. Using the principle of Mendelian randomization, we sought to explore the causal association between circulating glucose and IMT by examining the association of a genetic risk score with IMT. RESEARCH DESIGN AND METHODS: The sample was drawn from the Atherosclerosis Risk in Communities (ARIC) study and included 7,260 nondiabetic Caucasian individuals with IMT measurements and relevant genotyping. Components of the fasting glucose genetic risk score (FGGRS) were selected from a fasting glucose genome-wide association study in ARIC. The score was created by combining five single nucleotide polymorphisms (SNPs) (rs780094 [GCKR], rs560887 [G6PC2], rs4607517 [GCK], rs13266634 [SLC30A8], and rs10830963 [MTNR1B]) and weighting each SNP by its strength of association with fasting glucose. IMT was measured through bilateral carotid ultrasound. Mean IMT was regressed on the FGGRS and on the component SNPs, individually. RESULTS: The FGGRS was significantly associated (P = 0.009) with mean IMT. The difference in IMT predicted by a 1 SD increment in the FGGRS (0.0048 mm) was not clinically relevant but was larger than would have been predicted based on observed associations between the FFGRS, fasting glucose, and IMT. Additional adjustment for baseline measured glucose in regression models attenuated the association by about one third. CONCLUSIONS: The significant association of the FGGRS with IMT suggests a possible causal association of elevated fasting glucose with atherosclerosis, although it may be that these loci influence IMT through nonglucose pathways. PMID- 21036911 TI - High-performance spider webs: integrating biomechanics, ecology and behaviour. AB - Spider silks exhibit remarkable properties, surpassing most natural and synthetic materials in both strength and toughness. Orb-web spider dragline silk is the focus of intense research by material scientists attempting to mimic these naturally produced fibres. However, biomechanical research on spider silks is often removed from the context of web ecology and spider foraging behaviour. Similarly, evolutionary and ecological research on spiders rarely considers the significance of silk properties. Here, we highlight the critical need to integrate biomechanical and ecological perspectives on spider silks to generate a better understanding of (i) how silk biomechanics and web architectures interacted to influence spider web evolution along different structural pathways, and (ii) how silks function in an ecological context, which may identify novel silk applications. An integrative, mechanistic approach to understanding silk and web function, as well as the selective pressures driving their evolution, will help uncover the potential impacts of environmental change and species invasions (of both spiders and prey) on spider success. Integrating these fields will also allow us to take advantage of the remarkable properties of spider silks, expanding the range of possible silk applications from single threads to two- and three-dimensional thread networks. PMID- 21036912 TI - Antiobesity effect of MK-5046, a novel bombesin receptor subtype-3 agonist. AB - Bombesin receptor subtype-3 (BRS-3) is an orphan G protein-coupled receptor implicated in the regulation of energy homeostasis. Here, we report the biologic effects of a highly optimized BRS-3 agonist, (2S)-1,1,1-trifluoro-2-[4-(1H pyrazol-1-yl)phenyl]-3-(4-{[1-(trifluoromethyl)cyclopropyl]methyl}-1H-imidazol-2 yl)propan-2-ol (MK-5046). Single oral doses of MK-5046 inhibited 2-h and overnight food intake and increased fasting metabolic rate in wild-type but not Brs3 knockout mice. Upon dosing for 14 days, MK-5046 at 25 mg . kg(-1) . day(-1) reduced body weight of diet-induced obese mouse by 9% compared with vehicle-dosed controls. In mice, 50% brain receptor occupancy was achieved at a plasma concentration of 0.34 +/- 0.23 MUM. With chronic dosing, effects on metabolic rate, rather than food intake, seem to be the predominant mechanism for weight reduction by MK-5046. The compound also effectively reduced body weight in rats and caused modest increases in body temperature, heart rate, and blood pressure. These latter effects on temperature, heart rate, and blood pressure were transient in nature and desensitized with continued dosing. MK-5046 is the first BRS-3 agonist with properties suitable for use in larger mammals. In dogs, MK 5046 treatment produced statistically significant and persistent weight loss, which was initially accompanied by increases in body temperature and heart rate that abated with continued dosing. Our results demonstrate antiobesity efficacy for MK-5046 in rodents and dogs and further support BRS-3 agonism as a new approach to the treatment of obesity. PMID- 21036913 TI - Pharmacological characterization of KLYP961, a dual inhibitor of inducible and neuronal nitric-oxide synthases. AB - Nitric oxide (NO) derived from neuronal nitric-oxide synthase (nNOS) and inducible nitric-oxide synthase (iNOS) plays a key role in various pain and inflammatory states. KLYP961 (4-((2-cyclobutyl-1H-imidazo[4,5-b]pyrazin-1 yl)methyl)-7,8-difluoroquinolin-2(1H)-one) inhibits the dimerization, and hence the enzymatic activity of human, primate, and murine iNOS and nNOS (IC(50) values 50-400 nM), with marked selectivity against endothelial nitric-oxide synthase (IC(50) >15,000 nM). It has ideal drug like-properties, including excellent rodent and primate pharmacokinetics coupled with a minimal off-target activity profile. In mice, KLYP961 attenuated endotoxin-evoked increases in plasma nitrates, a surrogate marker of iNOS activity in vivo, in a sustained manner (ED(50) 1 mg/kg p.o.). KLYP961 attenuated pain behaviors in a mouse formalin model (ED(50) 13 mg/kg p.o.), cold allodynia in the chronic constriction injury model (ED(50) 25 mg/kg p.o.), or tactile allodynia in the spinal nerve ligation model (ED(50) 30 mg/kg p.o.) with similar efficacy, but superior potency relative to gabapentin, pregabalin, or duloxetine. Unlike morphine, the antiallodynic activity of KLYP961 did not diminish upon repeated dosing. KLYP961 also attenuated carrageenin-induced edema and inflammatory hyperalgesia and writhing response elicited by phenylbenzoquinone with efficacy and potency similar to those of celecoxib. In contrast to gabapentin, KLYP961 did not impair motor coordination at doses as high as 1000 mg/kg p.o. KLYP961 also attenuated capsaicin-induced thermal allodynia in rhesus primates in a dose-related manner with a minimal effective dose (<= 10 mg/kg p.o.) and a greater potency than gabapentin. In summary, KLYP961 represents an ideal tool with which to probe the physiological role of NO derived from iNOS and nNOS in human pain and inflammatory states. PMID- 21036914 TI - Restoration of PPARgamma reverses lipid accumulation in alveolar macrophages of GM-CSF knockout mice. AB - Pulmonary alveolar proteinosis (PAP) is a lung disease characterized by a deficiency of functional granulocyte macrophage colony-stimulating factor (GM CSF) resulting in surfactant accumulation and lipid-engorged alveolar macrophages. GM-CSF is a positive regulator of PPARgamma that is constitutively expressed in healthy alveolar macrophages. We previously reported decreased PPARgamma and ATP-binding cassette transporter G1 (ABCG1) levels in alveolar macrophages from PAP patients and GM-CSF knockout (KO) mice, suggesting PPARgamma and ABCG1 involvement in surfactant catabolism. Because ABCG1 represents a PPARgamma target, we hypothesized that PPARgamma restoration would increase ABCG1 and reduce macrophage lipid accumulation. Upregulation of PPARgamma was achieved using a lentivirus expression system in vivo. GM-CSF KO mice received intratracheal instillation of lentivirus (lenti)-PPARgamma or control lenti-eGFP. Ten days postinstillation, 79% of harvested alveolar macrophages expressed eGFP, demonstrating transduction. Alveolar macrophages showed increased PPARgamma and ABCG1 expression after lenti-PPARgamma instillation, whereas PPARgamma and ABCG1 levels remained unchanged in lenti-eGFP controls. Alveolar macrophages from lenti PPARgamma-treated mice also exhibited reduced intracellular phospholipids and increased cholesterol efflux to HDL, an ABCG1-mediated pathway. In vivo instillation of lenti-PPARgamma results in: 1) upregulating ABCG1 and PPARgamma expression of GM-CSF KO alveolar macrophages, 2) reducing intracellular lipid accumulation, and 3) increasing cholesterol efflux activity. PMID- 21036915 TI - The Rac1/JNK pathway is critical for EGFR-dependent barrier formation in human airway epithelial cells. AB - The airway epithelial barrier provides defenses against inhaled antigens and pathogens, and alterations of epithelial barrier function have been proposed to play a significant role in the pathogenesis of chronic airway diseases. Although the epidermal growth factor receptor (EGFR) plays roles in various physiological and pathological processes on the airway epithelium, the role of EGFR on barrier function in the airway remains largely unknown. In the present study, we assessed the effects of EGFR activation on paracellular permeability in airway epithelial cells (AECs). EGFR activation induced by the addition of EGF increased transepithelial electrical resistance (TER) in AECs. An EGFR-blocking antibody eradicated the development of TER, paracellular influx of dextran, and spatial organization of tight junction. Moreover, the effects of EGFR activation on paracellular permeability were eradicated by knockdown of occludin. To identify the EGFR signaling pathway that regulates permeability barrier development, we investigated the effects of several MAP kinase inhibitors on permeability barrier function. Pretreatment with a JNK-specific inhibitor, but not an ERK- or p38 specific inhibitor, attenuated the development of TER induced by EGFR activation. Rac1 is one of the upstream activators for JNK in EGFR signaling. Rac1 knockdown attenuated the phosphorylation of JNK activation and EGFR-mediated TER development. These results suggest that EGFR positively regulates permeability barrier development through the Rac1/JNK-dependent pathway. PMID- 21036916 TI - Erythropoietin-driven proliferation of cells with mutations in the tumor suppressor gene TSC2. AB - Lymphangioleiomyomatosis (LAM) is characterized by cystic lung destruction, resulting from proliferation of smooth-muscle-like cells, which have mutations in the tumor suppressor genes TSC1 or TSC2. Among 277 LAM patients, severe disease was associated with hypoxia and elevated red blood cell indexes that accompanied reduced pulmonary function. Because high red cell indexes could result from hypoxemia-induced erythropoietin (EPO) production, and EPO is a smooth muscle cell mitogen, we investigated effects of EPO in human cells with genetic loss of tuberin function, and we found that EPO increased proliferation of human TSC2-/-, but not of TSC2+/-, cells. A discrete population of cells grown from explanted lungs was characterized by the presence of EPO receptor and loss of heterozygosity for TSC2, consistent with EPO involvement. In LAM cells from lung nodules, EPO was localized to the extracellular matrix, supporting evidence for activation of an EPO-driven signaling pathway. Although the high red cell mass of LAM patients could be related to advanced disease, we propose that EPO, synthesized in response to episodic hypoxia, may increase disease progression by enhancing the proliferation of LAM cells. PMID- 21036918 TI - Effects of tobacco smoke on IL-16 in CD8+ cells from human airways and blood: a key role for oxygen free radicals? AB - Chronic exposure to tobacco smoke leads to an increase in the frequency of infections and in the number of CD8(+) and CD4(+) cells as well as the CD4(+) chemoattractant cytokine IL-16 in the airways. Here, we investigated whether tobacco smoke depletes intracellular IL-16 protein and inhibits de novo production of IL-16 in CD8(+) cells from human airways and blood while increasing extracellular IL-16 and whether oxygen free radicals (OFR) are involved. Intracellular IL-16 protein in CD8(+) cells and mRNA in all cells was decreased in bronchoalveolar lavage (BAL) samples from chronic smokers. This was also the case in human blood CD8(+) cells exposed to water-soluble tobacco smoke components in vitro, in which oxidized proteins were markedly increased. Extracellular IL-16 protein was increased in cell-free BAL fluid from chronic smokers and in human blood CD8(+) cells exposed to water-soluble tobacco smoke components in vitro. This was not observed in occasional smokers after short-term exposure to tobacco smoke. A marker of activation (CD69) was slightly increased, whereas other markers of key cellular functions (membrane integrity, apoptosis, and proliferation) in human blood CD8(+) cells in vitro were negatively affected by water-soluble tobacco smoke components. An OFR scavenger prevented these effects, whereas a protein synthesis inhibitor, a beta-adrenoceptor, a glucocorticoid receptor agonist, a phosphodiesterase, a calcineurin phosphatase, and a caspase-3 inhibitor did not. In conclusion, tobacco smoke depletes preformed intracellular IL-16 protein, inhibits its de novo synthesis, and distorts key cellular functions in human CD8(+) cells. OFR may play a key role in this context. PMID- 21036917 TI - Latent infection by gammaherpesvirus stimulates profibrotic mediator release from multiple cell types. AB - Although gammaherpesvirus infections are associated with enhanced lung fibrosis in both clinical and animal studies, there is limited understanding about fibrotic effects of gammaherpesviruses on cell types present in the lung, particularly during latent infection. Wild-type mice were intranasally infected with a murine gammaherpesvirus (gammaHV-68) or mock-infected with saline. Twenty eight days postinfection (dpi), ~14 days following clearance of the lytic infection, alveolar macrophages (AMs), mesenchymal cells, and CD19-enriched cell populations from the lung and spleen express M(3) and/or glycoprotein B (gB) viral mRNA and harbor viral genome. AMs from infected mice express more transforming growth factor (TGF)-beta(1), CCL2, CCL12, TNF-alpha, and IFN-gamma than AMs from mock-infected mice. Mesenchymal cells express more total TGF beta(1), CCL12, and TNF-alpha than mesenchymal cells from mock-infected mice. Lung and spleen CD19-enriched cells express more total TGF-beta(1) 28 dpi compared with controls. The CD19-negative fraction of the spleen overexpresses TGF-beta(1) and harbors viral genome, but this likely represents infection of monocytes. Purified T cells from the lung harbor almost no viral genome. Purified T cells overexpress IL-10 but not TGF-beta(1). Intracellular cytokine staining demonstrated that lung T cells at 28 dpi produce IFN-gamma but not IL-4. Thus infection with a murine gammaherpesvirus is sufficient to upregulate profibrotic and proinflammatory factors in a variety of lung resident and circulating cell types 28 dpi. Our results provide new information about possible contributions of these cells to fibrogenesis in the lungs of individuals harboring a gammaherpesvirus infection and may help explain why gammaHV-68 infection can augment or exacerbate fibrotic responses in mice. PMID- 21036919 TI - Polarized migration of lymphatic endothelial cells is critically dependent on podoplanin regulation of Cdc42. AB - We have shown previously that T1alpha/podoplanin is required for capillary tube formation by human lung microvascular lymphatic endothelial cells (HMVEC-LLy) and that cells with decreased podoplanin expression fail to properly activate the small GTPase RhoA shortly after the beginning of the lymphangiogenic process. The objective of this study was to determine whether podoplanin regulates HMVEC-LLy migration and whether this regulation is via modulation of small GTPase activation. In analysis of scratch wound assays, we found that small interfering RNA (siRNA) depletion of podoplanin expression in HMVEC-LLy inhibits VEGF-induced microtubule-organizing center (MTOC) and Golgi polarization and causes a dramatic reduction in directional migration compared with control siRNA-transfected cells. In addition, a striking redistribution of cortical actin to fiber networks across the cell body is observed in these cells, and, remarkably, it returns to control levels if the cells are cotransfected with a dominant-negative mutant of Cdc42. Moreover, cotransfection of a dominant-negative construct of Cdc42 into podoplanin knockdown HMVEC-LLy completely abrogated the effect of podoplanin deficiency, rescuing MTOC and Golgi polarization and cell migration to control level. Importantly, expression of constitutively active Cdc42 construct, like podoplanin knockdown, decreased RhoA-GTP level in HMVEC-LLy, demonstrating cross talk between both GTPases. Taken together, the results indicate that polarized migration of lymphatic endothelial cells in response to VEGF is mediated via a pathway of podoplanin regulation of small GTPase activities, in particular Cdc42. PMID- 21036920 TI - Effects of prenatal ethanol exposure on the lungs of postnatal lambs. AB - Prenatal ethanol exposure increases collagen deposition and alters surfactant protein (SP) expression and immune status in lungs of near-term fetal sheep. Our objectives were to determine 1) whether these prenatal effects of repeated gestational ethanol exposure persist after birth and 2) whether surfactant phospholipid composition is altered following prenatal ethanol exposure. Pregnant ewes were chronically catheterized at 90 days of gestational age (DGA) and given a 1-h daily infusion of ethanol (0.75 g/kg, n = 9) or saline (n = 7) from 95 to 135 DGA; ethanol administration ceased after 135 DGA. Lambs were born naturally at full term (146 +/- 0.5 DGA). Lung tissue was examined at 9 wk postnatal age for alterations in structure, SP expression, and inflammation; bronchoalveolar lavage fluid was examined for alterations in surfactant phospholipid composition. At 134 DGA, surfactant phospholipid concentration in amniotic fluid was significantly reduced (P < 0.05) by ethanol exposure, and the composition was altered. In postnatal lambs, there were no significant differences between treatment groups in birth weight, postnatal growth, blood gas parameters, and lung weight, volume, tissue fraction, mean linear intercept, collagen content, proinflammatory cytokine gene expression, and bronchoalveolar lavage fluid surfactant phospholipid composition. Although SP-A, SP-B, and SP-C mRNA levels were not significantly different between treatment groups, SP-D mRNA levels were significantly greater (P < 0.05) in ethanol-treated animals; as SP-D has immunomodulatory roles, innate immunity may be altered. The adverse effects of daily ethanol exposure during late gestation on the fetal lung do not persist to 2 mo after birth, indicating that the developing lung is capable of repair. PMID- 21036921 TI - Pervasive gene content variation and copy number variation in maize and its undomesticated progenitor. AB - Individuals of the same species are generally thought to have very similar genomes. However, there is growing evidence that structural variation in the form of copy number variation (CNV) and presence-absence variation (PAV) can lead to variation in the genome content of individuals within a species. Array comparative genomic hybridization (CGH) was used to compare gene content and copy number variation among 19 diverse maize inbreds and 14 genotypes of the wild ancestor of maize, teosinte. We identified 479 genes exhibiting higher copy number in some genotypes (UpCNV) and 3410 genes that have either fewer copies or are missing in the genome of at least one genotype relative to B73 (DownCNV/PAV). Many of these DownCNV/PAV are examples of genes present in B73, but missing from other genotypes. Over 70% of the CNV/PAV examples are identified in multiple genotypes, and the majority of events are observed in both maize and teosinte, suggesting that these variants predate domestication and that there is not strong selection acting against them. Many of the genes affected by CNV/PAV are either maize specific (thus possible annotation artifacts) or members of large gene families, suggesting that the gene loss can be tolerated through buffering by redundant functions encoded elsewhere in the genome. While this structural variation may not result in major qualitative variation due to genetic buffering, it may significantly contribute to quantitative variation. PMID- 21036922 TI - Discovery of non-ETS gene fusions in human prostate cancer using next-generation RNA sequencing. AB - Half of prostate cancers harbor gene fusions between TMPRSS2 and members of the ETS transcription factor family. To date, little is known about the presence of non-ETS fusion events in prostate cancer. We used next-generation transcriptome sequencing (RNA-seq) in order to explore the whole transcriptome of 25 human prostate cancer samples for the presence of chimeric fusion transcripts. We generated more than 1 billion sequence reads and used a novel computational approach (FusionSeq) in order to identify novel gene fusion candidates with high confidence. In total, we discovered and characterized seven new cancer-specific gene fusions, two involving the ETS genes ETV1 and ERG, and four involving non ETS genes such as CDKN1A (p21), CD9, and IKBKB (IKK-beta), genes known to exhibit key biological roles in cellular homeostasis or assumed to be critical in tumorigenesis of other tumor entities, as well as the oncogene PIGU and the tumor suppressor gene RSRC2. The novel gene fusions are found to be of low frequency, but, interestingly, the non-ETS fusions were all present in prostate cancer harboring the TMPRSS2-ERG gene fusion. Future work will focus on determining if the ETS rearrangements in prostate cancer are associated or directly predispose to a rearrangement-prone phenotype. PMID- 21036923 TI - Selective sweeps and parallel mutation in the adaptive recovery from deleterious mutation in Caenorhabditis elegans. AB - Deleterious mutation poses a serious threat to human health and the persistence of small populations. Although adaptive recovery from deleterious mutation has been well-characterized in prokaryotes, the evolutionary mechanisms by which multicellular eukaryotes recover from deleterious mutation remain unknown. We applied high-throughput DNA sequencing to characterize genomic divergence patterns associated with the adaptive recovery from deleterious mutation using a Caenorhabditis elegans recovery-line system. The C. elegans recovery lines were initiated from a low-fitness mutation-accumulation (MA) line progenitor and allowed to independently evolve in large populations (N ~ 1000) for 60 generations. All lines rapidly regained levels of fitness similar to the wild type (N2) MA line progenitor. Although there was a near-zero probability of a single mutation fixing due to genetic drift during the recovery experiment, we observed 28 fixed mutations. Cross-generational analysis showed that all mutations went from undetectable population-level frequencies to a fixed state in 10-20 generations. Many recovery-line mutations fixed at identical timepoints, suggesting that the mutations, if not beneficial, hitchhiked to fixation during selective sweep events observed in the recovery lines. No MA line mutation reversions were detected. Parallel mutation fixation was observed for two sites in two independent recovery lines. Analysis using a C. elegans interactome map revealed many predicted interactions between genes with recovery line-specific mutations and genes with previously accumulated MA line mutations. Our study suggests that recovery-line mutations identified in both coding and noncoding genomic regions might have beneficial effects associated with compensatory epistatic interactions. PMID- 21036924 TI - Parallel proteomics to improve coverage and confidence in the partially annotated Oryctolagus cuniculus mitochondrial proteome. AB - The ability to decipher the dynamic protein component of any system is determined by the inherent limitations of the technologies used, the complexity of the sample, and the existence of an annotated genome. In the absence of an annotated genome, large-scale proteomic investigations can be technically difficult. Yet the functional and biological species differences across animal models can lead to selection of partially or nonannotated organisms over those with an annotated genome. The outweighing of biology over technology leads us to investigate the degree to which a parallel approach can facilitate proteome coverage in the absence of complete genome annotation. When studying species without complete genome annotation, a particular challenge is how to ensure high proteome coverage while meeting the bioinformatic stringencies of high-throughput proteomics. A protein inventory of Oryctolagus cuniculus mitochondria was created by overlapping "protein-centric" and "peptide-centric" one-dimensional and two dimensional liquid chromatography strategies; with additional partitioning into membrane-enriched and soluble fractions. With the use of these five parallel approaches, 2934 unique peptides were identified, corresponding to 558 nonredundant protein groups. 230 of these proteins (41%) were identified by only a single technical approach, confirming the need for parallel techniques to improve annotation. To determine the extent of coverage, a side-by-side comparison with human and mouse cardiomyocyte mitochondrial studies was performed. A nonredundant list of 995 discrete proteins was compiled, of which 244 (25%) were common across species. The current investigation identified 142 unique protein groups, the majority of which were detected here by only one technical approach, in particular peptide- and protein-centric two-dimensional liquid chromatography. Although no single approach achieved more than 40% coverage, the combination of three approaches (protein- and peptide-centric two dimensional liquid chromatography and subfractionation) contributed 96% of all identifications. Parallel techniques ensured minimal false discovery, and reduced single peptide-based identifications while maximizing sequence coverage in the absence of the annotated rabbit proteome. PMID- 21036925 TI - Site-mapping of in vitro S-nitrosation in cardiac mitochondria: implications for cardioprotection. AB - S-nitrosation (SNO) of mitochondrial protein cysteines can be cardioprotective. Several targets have been implicated, yet the scope and identification of specific residues has not been fully assessed. To address this, a comprehensive assessment of mitochondrial SNO-modifiable cysteines was performed to determine nitric oxide (NO) susceptible pathways and identify novel mechanisms of oxidative cardioprotection. The biotin switch assay and mass spectrometry were used on rat cardiac mitochondrial lysates treated with the nitric oxide donor, S nitrosoglutathione, and controls (n=3) to map 83 SNO-modified cysteine residues on 60 proteins. Of these, three sites have been reported, 30 sites are new to 21 proteins previously known to be S-nitrosated but which lacked site-specific information and 50 sites were found on 39 proteins not previously implicated in SNO pathways. The SNO-modifications occurred in only a subset of available cysteines, indicating a specific targeted effect. Functional annotation and site specificity analysis revealed a twofold greater nitric oxide-susceptibility for proteins involved in transport; including regulators of mitochondrial permeability transition suggesting SNO-regulation and a possible protective mechanism. Additionally, we identified many novel SNO-modified proteins with cardioprotective potential involved in the electron transport chain, tricarboxylic acid cycle, oxidative stress defense, fatty acid and amino acid metabolism. These findings suggest that SNO-modification may represent a novel mechanism for the regulation of oxidative phosphorylation and/or cell death. S nitrosation of mitochondrial permeability transition-associated proteins represents an intriguing potential link to cardioprotection. PMID- 21036926 TI - Combining ecophysiological modelling and quantitative trait locus analysis to identify key elementary processes underlying tomato fruit sugar concentration. AB - A mechanistic model predicting the accumulation of tomato fruit sugars was developed in order (i) to dissect the relative influence of three underlying processes: assimilate supply (S), metabolic transformation of sugars into other compounds (M), and dilution by water uptake (D); and (ii) to estimate the genetic variability of S, M, and D. The latter was estimated in a population of 20 introgression lines derived from the introgression of a wild tomato species (Solanum chmielewskii) into S. lycopersicum, grown under two contrasted fruit load conditions. Low load systematically decreased D in the whole population, while S and M were targets of genotype * fruit load interactions. The sugar concentration positively correlated to S and D when the variation was due to genetic introgressions, while it positively correlated to S and M when the variation was due to changes in fruit load. Co-localizations between quantitative trait loci (QTLs) for sugar concentration and QTLs for S, M, and D allowed hypotheses to be proposed on the processes putatively involved at the QTLs. Among the five QTLs for sugar concentration, four co-localized with QTLs for S, M, and D with similar allele effects. Moreover, the processes underlying QTLs for sugar accumulation changed according to the fruit load condition. Finally, for some genotypes, the processes underlying sugar concentration compensated in such a way that they did not modify the sugar concentration. By uncoupling genetic from physiological relationships between processes, these results provide new insights into further understanding of tomato fruit sugar accumulation. PMID- 21036927 TI - miR396-targeted AtGRF transcription factors are required for coordination of cell division and differentiation during leaf development in Arabidopsis. AB - In plants, cell proliferation and polarized cell differentiation along the adaxial-abaxial axis in the primordium is critical for leaf morphogenesis, while the temporal-spatial relationships between these two processes remain largely unexplored. Here, it is reported that microRNA396 (miR396)-targeted Arabidopsis growth-regulating factors (AtGRFs) are required for leaf adaxial-abaxial polarity in Arabidopsis. Reduction of the expression of AtGRF genes by transgenic miR396 overexpression in leaf polarity mutants asymmetric leaves1 (as1) and as2 resulted in plants with enhanced leaf adaxial-abaxial defects, as a consequence of reduced cell proliferation. Moreover, transgenic miR396 overexpression markedly decreased the cell division activity and the expression of cell cycle-related genes, but resulted in an increased percentage of leaf cells with a higher ploidy level, indicating that miR396 negatively regulates cell proliferation by controlling entry into the mitotic cell cycle. miR396 is mainly expressed in the leaf cells arrested for cell division, coinciding with its roles in cell cycle regulation. These results together suggest that cell division activity mediated by miR396 targeted AtGRFs is important for polarized cell differentiation along the adaxial abaxial axis during leaf morphogenesis in Arabidopsis. PMID- 21036928 TI - Triennial Growth Symposium: a review of science leading to host-targeted antibody strategies for preventing growth depression due to microbial colonization. AB - In this review, the science used to develop host-targeted therapies for improving animal growth and feed efficiency is presented. In contrast to targeting the microbiota of the host, endogenous host proteins are targeted to regulate an overactive inflammatory response in the host. Activation of the immune/inflammatory systems of an animal is costly in terms of growth and feed efficiency. For example, reduced rates of BW gain and poorer feed efficiency in vaccinated animals compared with nonvaccinated animals have been well documented. Also, the growth rate and feed efficiency of animals colonized by microorganisms is only 80 to 90% of their germ-free counterparts. Further evidence of a cost associated with immune activation is that strategies that enhance the immune capability of an animal can reduce animal growth and feed efficiency. Research now indicates that the growth-promoting effects of antibiotics are indirect, and more likely the result of reduced immune activation due to decreased microbial exposure. Studies of mechanisms by which immune/inflammatory activation reduces animal growth and feed efficiency have shown that cytokines of the acute inflammatory response (i.e., IL-1 and tumor necrosis factor alpha) are key triggers for host muscle wasting. Cytokine-induced muscle wasting is linked to PG signaling pathways, and it has been proposed that regulation of the PG signaling pathways provide host targets for preventing an overreactive or unwarranted inflammatory event. Intestinal secretory phospholipase A(2) (sPLA(2)) has been found to be a useful and accessible (i.e., found in the intestinal lumen) host target for the regulation of an overreactive inflammatory response to conventional environments. This review presents the science and strategy for the regulation of intestinal sPLA(2) using orally administered egg yolk antibody against the enzyme. Clinically healthy animals fed egg antibodies to sPLA(2) had improved growth and feed efficiency. Literature presented indicates that use of host-targeted strategies for regulating the overexpression of inflammatory processes in an animal may provide new mechanisms to improve animal growth and feed efficiency. PMID- 21036929 TI - Physiology and endocrinology symposium: a proteome-based model for sperm mobility phenotype. AB - Sperm mobility is defined as sperm movement against resistance at body temperature. Although all mobile sperm are motile, not all motile sperm are mobile. Sperm mobility is a primary determinant of male fertility in the chicken. Previous work explained phenotypic variation at the level of the sperm cell and the mitochondrion. The present work was conducted to determine if phenotypic variation could be explained at the level of the proteome using semen donors from lines of chickens selected for low or high sperm mobility. We began by testing the hypothesis that premature mitochondrial failure, and hence sperm immobility, arose from Ca(2+) overloading. The hypothesis was rejected because staining with a cell permeant Ca(2+)-specific dye was not enhanced in the case of low mobility sperm. The likelihood that sperm require little energy before ejaculation and the realization that the mitochondrial permeability transition can be induced by oxidative stress arising from inadequate NADH led to the hypothesis that glycolytic enzymes might differ between lines. This possibility was confirmed by 2-dimensional electrophoresis for aldolase and phosphoglycerate kinase 1. This outcome warranted evaluation of the whole cell proteome by differential detergent fractionation and mass spectrometry. Bioinformatics evaluation of proteins with different expression levels confirmed the likelihood that ATP metabolism and glycolysis differ between lines. This experimental outcome corroborated differences observed between lines in previous work, which include mitochondrial ultrastructure, sperm cell oxygen consumption, and straight line velocity. Although glycolytic proteins were more abundant within highly mobile sperm, quantitative PCR of representative testis RNA, which included mRNA for phosphoglycerate kinase 1, found no difference between lines. In summary, we propose a proteome-based model for sperm mobility phenotype in which a genetic predisposition puts sperm cells at risk of premature mitochondrial failure as they pass through the excurrent ducts of the testis. In other words, we attribute mitochondrial failure to sperm cell and reproductive tract attributes that interact to affect sperm in a stochastic manner before ejaculation. In conclusion, our work provides a starting point for understanding chicken semen quality in terms of gene networks. PMID- 21036930 TI - Heritabilities and genetic correlations of fatty acid compositions in longissimus muscle lipid with carcass traits in Japanese Black cattle. AB - Fatty acid composition and carcass traits of 2,275 Japanese Black steers and heifers were analyzed to estimate the heritabilities and genetic correlations using the REML procedure. Slices of LM at the 6th to 7th rib section were minced and homogenized, and total lipids were extracted for the analysis by a gas chromatograph. Oleic acid accounted for the majority (51.3%), followed by palmitic (26.4%) and stearic (10.8%) acids. Heritabilities of carcass traits were moderate to high, ranging from 0.34 to 0.61, and heritabilities of individual fatty acids varied largely from 0.00 to 0.78. Those of MUFA, SFA, and PUFA were estimated to be 0.68, 0.66, and 0.47, respectively. Predicted breeding values for MUFA in 99 sires ranged from -3.0 to 5.4%. Genetic correlations of fatty acid compositions with carcass traits were generally weak (-0.28 to 0.39). Low but positive genetic correlations were obtained between beef marbling, on which emphasis of selection has been placed, and oleic acid (0.19) or MUFA (0.23). The results indicated the possibility not only for genetic improvement in fat quality traits but also simultaneous improvements with carcass traits by appropriate selection program. PMID- 21036931 TI - Survey among Belgian pig producers about the introduction of group housing systems for gestating sows. AB - There is a global move from individual to group housing of gestating sows. In the European Union, individual gestating stalls will be banned by 2013. Just like in other industrialized regions, these stalls have been the standard housing system for intensively kept sows from the 1960s onward in the Flemish region of Belgium. Because the socioeconomic consequences for the pig industry may be far-reaching and because farmer attitude may influence the realization of the hoped-for improvement in animal welfare in practice, we conducted a survey from 2003 until 2009 among representative samples of Flemish pig producers every 2 yr. The share of farms with group housing increased from 10.5% in 2003 to 29.8% in 2007, but then dropped to 24.6% in 2009. It appears that after 2005 users of old group housing systems in particular stopped farming. Because sow herd size increased more on farms with vs. without group housing and because the proportion of the herd that was group-housed also tended to increase between 2003 to 2009, the change to group housing took place faster when expressed at the level of the sow (from 9.1% in 2003 to 34.1% in 2009) instead of farm. The percentage of farmers planning to convert to group housing within 2 yr was 4.1% in 2003, and 6 to 7% thereafter. These were typically young farmers (P = 0.006) with a large sow herd (P < 0.001) and with a likely successor (P = 0.03). Free access stalls were the most common group housing system (31% of farms, 37% of sows). Their popularity is expected to increase further at the expense of electronic feeding stations, ad libitum feeding, and stalls/troughs with manual feed delivery. User satisfaction was generally high but depended on whether or not all gestating sows were kept in group (P < 0.001), the provisioning of environmental enrichment (P = 0.057), and the age (P = 0.012) and type (P = 0.016) of system. The main criteria for choosing a certain group housing system were the investment costs and sow health and welfare. The importance of economic reasons (P = 0.007) and type of labor (P = 0.043) decreased with the age of the system. In 2003 and 2005 the main reason for not having converted to group housing was that farmers would stop keeping sows by 2013. In 2007 and 2009 the reasons mainly concerned uncertainty about the future and maximally delaying the conversion. Belgium is one of the European Union countries where the pig industry is expected to undergo drastic changes during the few years remaining before the ban on individual housing. PMID- 21036932 TI - Extent of linkage disequilibrium and effective population size in Finnish Landrace and Finnish Yorkshire pig breeds. AB - The extent of linkage disequilibrium (LD) and effective population size in Finnish Landrace and Finnish Yorkshire pig populations were studied using a whole genome SNP panel (Illumina PorcineSNP60 BeadChip) and pedigree data. Genotypic data included 86 Finnish Landrace and 32 Finnish Yorkshire boars. Pedigree data included 608,138 Finnish Landrace 554,237 and Finnish Yorkshire pigs, and on average 15 ancestral generations were known for the reference animals, born in 2005 to 2009. The breeding animals of the 2 populations have been kept separate in the breeding programs. Based on the pedigree data, the current effective population size for Finnish Landrace is 91 and for Finnish Yorkshire 61. Linkage disequilibrium measures (D' and r(2)) were estimated for over 1.5 million pairs of SNP. Average r(2) for SNP 30 kb apart was 0.47 and 0.49 and for SNP 5 Mb apart 0.09 and 0.12 for Finnish Landrace and Finnish Yorkshire, respectively. Average LD (r(2)) between adjacent SNP in the Illumina PorcineSNP60 BeadChip was 0.43 (57% of the adjacent SNP pairs had r(2) > 0.2) for Finnish Landrace and 0.46 (60% of the adjacent SNP pairs had r(2) > 0.2) for Finnish Yorkshire, and average r(2) > 0.2 extended to 1.0 and 1.5 Mb for Finnish Landrace and Finnish Yorkshire, respectively. Effective population size estimates based on the decay of r(2) with distance were similar to those based on the pedigree data: 80 and 55 for Finnish Landrace and Finnish Yorkshire, respectively. Thus, the results indicate that the effective population size of Finnish Yorkshire is smaller than of Finnish Landrace and has a clear effect on the extent of LD. The current effective population size of both breeds is above the recommended minimum of 50 but may get smaller than that in the near future, if no action is taken to balance the inbreeding rate and selection response. Because a moderate level of LD extends over a long distance, selection based on whole genome SNP markers (genomic selection) is expected to be efficient for both breeds. PMID- 21036933 TI - Effects of roughage concentration in steam-flaked corn-based diets containing wet distillers grains with solubles on feedlot cattle performance, carcass characteristics, and in vitro fermentation. AB - Two studies were conducted to evaluate effects of wet distillers grains with solubles (WDG) and dietary concentration of alfalfa hay (AH) on performance of finishing beef cattle and in vitro fermentation. In both studies, 7 treatments were arranged in a 2 * 3 + 1 factorial; factors were dietary concentrations (DM basis) of WDG (15 or 30%) and AH (7.5, 10, or 12.5%) plus a non-WDG control diet that contained 10% AH. In Exp. 1, 224 beef steers were used in a randomized complete block (initial BW 342 kg +/- 9.03) finishing trial. No WDG * AH interactions were observed (P > 0.12). There were no differences among treatments in final shrunk BW or ADG (P > 0.15), and DMI did not differ with WDG concentration for the overall feeding period (P = 0.38). Increasing dietary AH concentration tended (P < 0.079) to linearly increase DMI, and linearly decreased (P < 0.05) G:F and calculated dietary NE(m) and NE(g) concentrations. Carcasses from cattle fed 15% WDG had greater yield grades (P = 0.014), with tendencies for greater 12th-rib fat (P = 0.054) and marbling score (P = 0.053) than those from cattle fed 30% WDG. There were no differences among treatments (P > 0.15) in HCW, dressing percent, LM area, KPH, proportions of cattle grading USDA Choice, and incidence of liver abscesses. In Exp. 2, ruminal fluid was collected from 2 ruminally cannulated Jersey steers adapted to a 60% concentrate diet to evaluate in vitro gas production kinetics, H(2)S production, IVDMD, and VFA. Relative to the control substrate, including WDG in substrates increased (P < 0.01) H(2)S production and decreased total gas production (P = 0.01) and rate of gas production (P = 0.03). Increasing substrate WDG from 15 to 30% increased (P < 0.05) H(2)S production and decreased (P < 0.001) total gas production, with a tendency (P = 0.073) to decrease IVDMD and fractional rate of gas production (P = 0.063). Treatments did not significantly affect (P > 0.09) molar proportions or total concentration of VFA. Results indicate that including 15 or 30% WDG in steam-flaked corn-based diets did not result in major changes in feedlot performance or carcass characteristics, but increasing AH concentration from 7.5 to 12.5% in diets containing WDG decreased G:F. Including WDG in substrates decreased rate and extent of gas production and increased H(2)S production. Changes in various measures of in vitro fermentation associated with AH concentrations were not large. PMID- 21036934 TI - Estimation of genetic parameters and effects of cytoplasmic line on scrotal circumference and semen quality traits in Angus bulls. AB - The purpose of this study was to estimate the heritability of scrotal circumference (SC) and semen traits, genetic correlations between SC and semen quality traits, and the effect of cytoplasmic line on SC and semen traits. Breeding soundness exam (BSE) data were collected on registered Angus bulls at 4 ranches over 7 yr. The American Angus Association provided historical pedigree information to estimate the effect of cytoplasmic line on SC and semen quality traits. After editing, the evaluated data set contained 1,281 bulls with breeding soundness exam data that traced back to 100 founder dams. Data were analyzed using a 2-trait animal model to obtain heritability, genetic correlation between SC and semen quality traits, as well as the effect of cytoplasmic line as a random effect for SC, percent motility (MOT), percent primary abnormalities (PRIM), percent secondary abnormalities (SEC), and percent total abnormalities (TOT) using multiple-trait derivative-free REML. Fixed effects included source ranch and collection year, and test age was used as a covariate. Estimates of heritability for SC, MOT, PRIM, SEC, and TOT were 0.46, 0.05, 0.27, 0.23, and 0.25, respectively. Genetic correlations between SC and MOT, PRIM, SEC, and TOT were 0.36, -0.19, -0.11, and -0.23, respectively. The proportions of phenotypic variance accounted for by cytoplasmic line for SC, MOT, PRIM, SEC, and TOT were <0.001, 0.013, 0.023, 0.002, and <0.001, respectively. Genetic correlations between SC and semen quality traits were low to moderate and favorable. Cytoplasmic line may have a marginal effect on MOT and PRIM, but is likely not a significant source of variation for SC, SEC, or TOT. PMID- 21036935 TI - Effect of selection for residual feed intake on feeding behavior and daily feed intake patterns in Yorkshire swine. AB - Residual feed intake (RFI) is a measure of feed efficiency defined as the difference between observed and predicted feed intake based on average requirements for growth and maintenance. The objective of this study was to evaluate the effect of selection for decreased RFI on feeding behavior traits and to estimate their relationships with RFI. Three data sets from the 4th and 5th generations of a selection experiment with a line selected for reduced RFI (LRFI) and a randomly selected control line (CTRL) were analyzed. Lines were mixed in pens of 16 and evaluated for feeding behavior traits obtained from a single-space electronic feeder over a growing period of ~3 mo before ~115 kg. The following traits were evaluated as averages over the entire test period and over the first and second half of the test period: number of visits per day and hour; occupation time per day, visit, and hour; feed intake (FI) per day, visit, and hour; and FI rate per visit. Models used included fixed effects of line and feeder, covariates of on-test age and FI per day, and random effects of pen, on-test group, sire, and litter. Repeated measures models were used to analyze feeding patterns during the day. The LRFI pigs had significantly less FI per day than CTRL pigs for all 3 data sets. With adjustment for FI per day, line differences of all traits were in the same direction for all 3 data sets but differed in significance and size. Feed intake per visit and hour and visits per day and hour did not differ between lines, but the trend was for LRFI pigs to have fewer visits, in particular during peak eating times. The LRFI pigs had a greater feeding rate and less occupation time per day, visit, and hour than CTRL pigs, but this was not significant for all data sets. Correlations of RFI with FI per day and visit and visits per day were positive. Average daily gain was positively correlated with FI per day and visit and occupation time per visit but negatively correlated with visits per day. Feed intake per day was positively correlated with backfat. In conclusion, feed efficiency may be affected by FI behavior because selection for decreased RFI has resulted in pigs that spend less time eating and eat faster. PMID- 21036936 TI - Adipocyte metabolism and cellularity are related to differences in adipose tissue maturity between Holstein and Charolais or Blond d'Aquitaine fetuses. AB - This paper reports the metabolic and morphological characteristics of bovine adipose tissue (AT) at end of fetal life and its variability with breed and anatomical site of AT. Our hypothesis was that, in cattle, end-of-fetal-life differences in adipocyte number, size, and histology may account for differences in AT maturity. To address this question, perirenal and intermuscular AT were sampled from Charolais, Blond d'Aquitaine, and Holstein fetuses at 260 d postconception. Holstein fetuses showed greater leptin mRNA abundance, which is consistent with the greater perirenal AT weight (P = 0.03) than Blond d'Aquitaine fetuses. Compared with Blond d'Aquitaine or Charolais fetuses, Holstein fetuses had larger (P < 0.001) adipocytes, greater (P < 0.05) activities of enzymes involved in de novo fatty acid (FA) synthesis (FA synthase, glucose-6-phosphate dehydrogenase, malic enzyme) and FA esterification (glycerol-3-phosphate dehydrogenase), and greater (P = 0.06, P = 0.10, P < 0.001) mRNA abundance for lipolytic enzymes (hormone-sensitive lipase and adipose triglyceride lipase) and uncoupling protein 1 in both perirenal and intermuscular AT. This indicates increased FA turnover in Holstein adipocytes through FA storage, mobilization, and oxidation pathways. Whatever the breed, adipocytes were smaller in perirenal AT than intermuscular AT. Whatever the breed or anatomical site, bovine AT at 260 d postconception contained predominantly unilocular adipocytes believed to be white adipocytes together with a few multilocular brown adipocytes. We conclude that the greater metabolic and morphologic maturity of adipocytes from Holstein than Blond d'Aquitaine and Charolais fetuses may contribute to the greater thermogenic aptitude of Holstein newborns. Moreover, the presence of both white and brown adipocytes at the end of fetal life highlights the complexity of AT structure and may indicate that the cellular and functional heterogeneity of AT repeatedly observed postnatally has a developmental origin. PMID- 21036937 TI - Optimum contribution selection using traditional best linear unbiased prediction and genomic breeding values in aquaculture breeding schemes. AB - The aim of this study was to compare genetic gain for a traditional aquaculture sib breeding scheme with breeding values based on phenotypic data (TBLUP) with a breeding scheme with genome-wide (GW) breeding values. Both breeding schemes were closed nuclei with discrete generations modeled by stochastic simulation. Optimum contribution selection was applied to restrict pedigree-based inbreeding to either 0.5 or 1% per generation. There were 1,000 selection candidates and a sib test group of either 4,000 or 8,000 fish. The number of selected dams and sires to create full sib families in each generation was determined from the optimum contribution selection method. True breeding values for a trait were simulated by summing the number of each QTL allele and the true effect of each of the 1,000 simulated QTL. Breeding values in TBLUP were predicted from phenotypic and pedigree information, whereas genomic breeding values were computed from genetic markers whose effects were estimated using a genomic BLUP model. In generation 5, genetic gain was 70 and 74% greater for the GW scheme than for the TBLUP scheme for inbreeding rates of 0.5 and 1%. The reduction in genetic variance was, however, greater for the GW scheme than for the TBLUP scheme due to fixation of some QTL. As expected, accuracy of selection increased with increasing heritability (e.g., from 0.77 with a heritability of 0.2 to 0.87 with a heritability of 0.6 for GW, and from 0.53 and 0.58 for TBLUP in generation 5 with sib information only). When the trait was measured on the selection candidate compared with only on sibs and the heritability was 0.4, accuracy increased from 0.55 to 0.69 for TBLUP and from 0.83 to 0.86 for GW. The number of selected sires to get the desired rate of inbreeding was in general less in GW than in TBLUP and was 33 for GW and 83 for TBLUP (rate of inbreeding 1% and heritability 0.4). With truncation selection, genetic gain for the scheme with GW breeding values was nearly twice as large as a scheme with traditional BLUP breeding values. The results indicate that the benefits of applying GW breeding values compared with TBLUP are reduced when contributions are optimized. In conclusion, genetic gain in aquaculture breeding schemes with optimized contributions can increase by as much as 81% by applying genome-wide breeding values compared with traditional BLUP breeding values. PMID- 21036938 TI - Reproductive and health traits among Boer, Kiko, and Spanish meat goat does under humid, subtropical pasture conditions of the southeastern United States. AB - Boer (n = 132), Kiko (n = 92), and Spanish (n = 79) straightbred does were studied across 6 yr to assess doe fitness on southeastern US pastures. Does were exposed to Boer, Kiko, and Spanish bucks in a complete 3-breed diallel mating scheme each fall for spring kidding in March or May. A total of 1,041 doe-yr units were observed with does (ranging from 2 to 8 yr of age) managed together in a semi-intensive manner. The proportion of buck-exposed does delivering at least 1 live kid was less (P < 0.01) for Boer does (80%) than for Kiko (96%) and Spanish does (94%). Litter size and litter weight at birth were not affected (P > 0.15) by breed of dam. By weaning at 3 mo, the proportion of available does weaning at least 1 kid was less (P < 0.01) for Boer does (53%) than for Kiko (84%) and Spanish does (82%). For does weaning kids, litter size at weaning was greater (P = 0.01) for Spanish does (1.74 kids) than for Kiko (1.59 kids) and Boer does (1.47 kids). Litter weaning weight was lighter (P < 0.01) for Boer dams (23.0 kg) than for Kiko (27.2 kg) and Spanish dams (26.5 kg). The efficiency ratio of litter weight to dam weight at weaning differed (P < 0.05) among all 3 dam breeds: Boer = 51%; Kiko = 62%; Spanish = 68%. Annual rates of lameness, endoparasitism, and attrition, respectively, were greater (P < 0.01) for Boer does (69, 53, and 28%) than for Kiko (32, 24, and 10%) and Spanish does (42, 22, and 11%). Postpartum fecal egg counts for endoparasite loads were less (P < 0.05) for Spanish dams (346 eggs/g) than for Kiko (524 eggs/g) and Boer does (675 eggs/g). Whole-herd annual doe productivity based on all available does was less (P < 0.01) for Boer does (11.7 kg weaned/doe) compared with Kiko (22.0 kg weaned/doe) and Spanish does (21.1 kg weaned/doe). Boer does expressed substantially decreased levels of fitness compared with Kiko and Spanish does when semi-intensively managed on humid, subtropical pasture. Kiko and Spanish should be preferred as maternal breeds in meat goat production systems under conditions reflective of this study. PMID- 21036939 TI - The effect of cattle manure cultivation on moisture content and survival of Escherichia coli. AB - A new practice whereby wet slurry is added daily to the cattle manure bedding at the barn and cultivated has been developed in Israel. The objective of the present study was to examine the effect of manure cultivation on the persistence of Escherichia coli in a model system. A cow manure-derived E. coli strain was tagged with green fluorescence protein (GFP) and antibiotic resistance markers and was used to inoculate cow manure in 10-L buckets. After 3 successive cycles of inoculation and cultivation, wet slurry was added during an additional 2 cycles. After 32 d, the cultivated and noncultivated manure contained 677 +/- 14 and 505 +/- 2 g.kg(-1) DM, respectively. The cultivated manure remained drier compared with the noncultivated manure after the addition of wet slurry, and its texture remained lumpy compared with the compact, cohesive, and sticky texture of the noncultivated manure. Throughout the experiment, the counts of the tagged E. coli were less (P < 0.05) and disappeared faster in the cultivated than in the noncultivated manure. These results support the hypothesis that daily cultivation of manure may result in reduced incidence of mastitis and improves the welfare and performance of dairy cows. PMID- 21036940 TI - Companion animals symposium: role of microbes in canine and feline health. AB - Whether in an ocean reef, a landfill, or a gastrointestinal tract (GIT), invisible communities of highly active and adaptable microbes prosper. Over time, mammals have developed a symbiosis with microbes that are important inhabitants not only in the GIT, but also in the mouth, skin, and urogenital tract. In the GIT, the number of commensal microbes exceeds the total number of host cells by at least 10 times. The GIT microbes play a critical role in nutritional, developmental, defensive, and physiologic processes in the host. Recent evidence also suggests a role of GIT microbes in metabolic phenotype and disease risk (e.g., obesity, metabolic syndrome) of the host. Proper balance is a key to maintaining GIT health. Balanced microbial colonization is also important for other body regions such as the oral cavity, the region with the greatest prevalence of disease in dogs and cats. A significant obstruction to studying microbial populations has been the lack of tools to identify and quantify microbial communities accurately and efficiently. Most of the current knowledge of microbial populations has been established by traditional cultivation methods that are not only laborious, time-consuming, and often inaccurate, but also greatly limited in scope. However, recent advances in molecular-based techniques have resulted in a dramatic improvement in studying microbial communities. These DNA-based high-throughput technologies have enabled us to more clearly characterize the identity and metabolic activity of microbes living in the host and their association with health and diseases. Despite this recent progress, however, published data pertaining to microbial communities of dogs and cats are still lacking in comparison with data in humans and other animals. More research is required to provide a more detailed description of the canine and feline microbiome and its role in health and disease. PMID- 21036941 TI - A nucleoporin, Nup60p, affects the nuclear and cytoplasmic localization of ASH1 mRNA in S. cerevisiae. AB - The biogenesis of a localization-competent mRNP begins in the nucleus. It is thought that the coordinated action of nuclear and cytoplasmic components of the localization machinery is required for the efficient export and subsequent subcellular localization of these mRNAs in the cytoplasm. Using quantitative poly(A)(+) and transcript-specific fluorescent in situ hybridization, we analyzed different nonessential nucleoporins and nuclear pore-associated proteins for their potential role in mRNA export and localization. We found that Nup60p, a nuclear pore protein located on the nucleoplasmic side of the nuclear pore complex, was required for the mRNA localization pathway. In a Deltanup60 background, localized mRNAs were preferentially retained within the nucleus compared to nonlocalized transcripts. However, the export block was only partial and some transcripts could still reach the cytoplasm. Importantly, downstream processes were also affected. Localization of ASH1 and IST2 mRNAs to the bud was impaired in the Deltanup60 background, suggesting that the assembly of a localization competent mRNP ("locasome") was inhibited when NUP60 was deleted. These results demonstrate transcript specificity of a nuclear mRNA retention defect and identify a specific nucleoporin as a functional component of the localization pathway in budding yeast. PMID- 21036942 TI - Loss-of-function point mutations associated with renal tubular dysgenesis provide insights about renin function and cellular trafficking. AB - Renal tubular dysgenesis (RTD) is a recessive autosomal disease characterized by persistent fetal anuria and perinatal death. During the systematic screening of mutations of the different genes of the renin-angiotensin system associated with RTD, two missense mutations in the renin gene were previously identified, the first affects one of the two catalytic aspartates (D38N) of renin, and the second, S69Y, is located upstream of the 'flap', a mobile beta-hairpin structure which covers the substrate-binding site of renin. Here we report a novel renin mutation leading to the duplication of the tyrosine residue Y15dup, homologous to Y9 in some other aspartyl proteases, which seems to play a crucial role along the activation pathway. The biochemical and cellular mechanisms underlying renin inactivation were investigated. We expressed prorenin constructs harboring the identified point mutations in two established cell lines, able (AtT-20 cells) or unable (CHO cells) to process prorenin to renin and we evaluated the cellular localization of renin mutants and their functional properties. All three mutants were misfolded to different levels, were enzymatically inactive and exhibited abnormal intracellular trafficking. We suggest a misfolding of Y15dup renin, a partial misfolding of D38N prorenin and a misfolding of S69Y prorenin leading to complete absence of secretion. The structural consequences of the renin mutations were estimated by molecular modeling, which suggested some important structural alterations. This is the first characterization of the mechanisms underlying loss of renin function in RTD. PMID- 21036943 TI - Npc1 haploinsufficiency promotes weight gain and metabolic features associated with insulin resistance. AB - A recent population-based genome-wide association study has revealed that the Niemann-Pick C1 (NPC1) gene is associated with early-onset and morbid adult obesity. Concurrently, our candidate gene-based mouse growth study performed using the BALB/cJ NPC1 mouse model (Npc1) with decreased Npc1 gene dosage independently supported these results by suggesting an Npc1 gene-diet interaction in relation to early-onset weight gain. To further investigate the Npc1 gene in relation to weight gain and metabolic features associated with insulin resistance, we interbred BALB/cJ Npc1(+/-) mice with wild-type C57BL/6J mice, the latter mouse strain commonly used to study aspects of diet-induced obesity and insulin resistance. This breeding produced a hybrid (BALB/cJ-C57BL/6J) Npc1(+/-) mouse model with increased susceptibility to weight gain and insulin resistance. The results from our study indicated that these Npc1(+/-) mice were susceptible to increased weight gain characterized by increased whole body and abdominal adiposity, adipocyte hypertrophy and hepatic steatosis in the absence of hyperphagia. Moreover, these Npc1(+/-) mice developed abnormal metabolic features characterized by impaired fasting glucose, glucose intolerance, hyperinsulinemia, hyperleptinemia and dyslipidemia marked by an increased concentration of cholesterol and triacylglycerol associated with low-density lipoprotein and high density lipoprotein. The overall results are consistent with a unique Npc1 gene diet interaction that promotes both weight gain and metabolic features associated with insulin resistance. Therefore, the NPC1 gene now represents a previously unrecognized gene involved in maintaining energy and metabolic homeostasis that will contribute to our understanding concerning the current global epidemic of obesity and type 2 diabetes mellitus. PMID- 21036944 TI - Dynamics of the transcriptome in the primate ovulatory follicle. AB - Experiments were designed to evaluate changes in the transcriptome (mRNA levels) in the ovulatory, luteinizing follicle of rhesus monkeys, using a controlled ovulation model that permits analysis of the naturally selected, dominant follicle at specific intervals (0, 12, 24 and 36 h) after exposure to an ovulatory (exogenous hCG) stimulus during the menstrual cycle. Total RNA was prepared from individual follicles (n= 4-8/timepoint), with an aliquot used for microarray analysis (Affymetrix Rhesus Macaque Genome Array) and the remainder applied to quantitative real-time PCR (q-PCR) assays. The microarray data from individual samples distinctly clustered according to timepoints, and ovulated follicles displayed markedly different expression patterns from unruptured follicles at 36 h. Between timepoint comparisons revealed profound changes in mRNA expression profiles. The dynamic pattern of mRNA expression for steroidogenic enzymes (CYP17A, CYP19A, HSD3B2, HSD11B1 and HSD11B2), steroidogenic acute regulatory protein (StAR) and gonadotrophin receptors [LH/choriogonadotrophin receptor (LHCGR), FSH receptor (FSHR)] as determined by microarray analysis correlated precisely with those from blinded q-PCR assays. Patterns of mRNA expression for epidermal-growth-factor-like factors (amphiregulin, epiregulin) and processes [hyaluronan synthase 2 (HAS2), tumor necrosis factor alpha-induced protein 6 (TNFAIP6)] implicated in cumulus-oocyte maturation/expansion were also comparable between assays. Thus, several mRNAs displayed the expected expression pattern for purported theca (e.g. CYP17A), granulosa (CYP19A, FSHR), cumulus (HAS2, TNFAIP6) cell and surface epithelium (HSD11B)-related genes in the rodent/primate pre-ovulatory follicle. This database will be of great value in analyzing molecular and cellular pathways associated with periovulatory events in the primate follicle (e.g. follicle rupture, luteinization, inflammatory response and angiogenesis), and for identifying novel gene products controlling mammalian fertility. PMID- 21036945 TI - Direct serum assay for microRNA-21 concentrations in early and advanced breast cancer. AB - BACKGROUND: MicroRNAs (miRs) are a class of small noncoding RNAs whose expression changes have been associated with cancer development and progression. Current techniques to isolate miRs for expression analysis from blood are inefficient. We developed a reverse-transcription quantitative real-time PCR (RT-qPCR) assay for direct detection of circulating miRs in serum. We hypothesized that serum concentrations of miR-21, a biomarker increased in breast tumors, would correlate with the presence and extent of breast cancer. METHODS: The RT-qPCR applied directly in serum (RT-qPCR-DS) assay for circulating miR-21 was tested in sera from 102 patients with different stages of breast cancer and 20 healthy female donors. RESULTS: The assay was sensitive for detection of miR-21 in 0.625 MUL of serum from breast cancer patients. For differentiation of samples from patients with locoregional breast cancer from those from healthy donors, the odds ratio was 1.796 and the area under the curve was 0.721. In a multivariate analysis that included standard clinicopathologic prognostic factors, high circulating miR-21 concentrations correlated significantly (P < 0.001) with visceral metastasis. CONCLUSIONS: A novel RT-qPCR-DS can improve the efficiency of miR assessment. Use of this assay to detect circulating miR-21 has diagnostic and prognostic potential in breast cancer. PMID- 21036946 TI - Symmetrical and asymmetrical dimethylarginine as predictors for mortality in patients referred for coronary angiography: the Ludwigshafen Risk and Cardiovascular Health study. AB - BACKGROUND: Asymmetrical dimethylarginine (ADMA), an endogenous nitric oxide synthase inhibitor, has been linked to cardiovascular risk. The clinical role of its structural isomer symmetrical dimethylarginine (SDMA) remains largely unclear. METHODS: We measured SDMA and ADMA in 3229 patients undergoing coronary angiography at baseline (1997-2000) and recorded total and cardiovascular mortality during a median follow-up time of 7.7 years. We investigated associations of SDMA with cardiovascular risk factors and mortality and compared its role as a cardiovascular risk factor with ADMA, which predicted mortality in previous analyses of our study. RESULTS: In linear regression analyses including common cardiovascular risk factors as covariates, SDMA and ADMA were significantly associated with cystatin C, N-terminal pro-B-type natriuretic peptide, New York Heart Association classification, and homocysteine. The regression coefficients were higher for SDMA than for ADMA. In Cox proportional hazards models adjusted for cardiovascular risk factors, the hazard ratios (HRs) (with 95% CI) in the second, third, and fourth SDMA quartile compared to the lowest quartile were 0.77 (0.60-0.99), 0.99 (0.78-1.25), and 1.51 (1.20-1.91) for total mortality and 0.92 (0.68-1.25), 0.93 (0.68-1.26), and 1.54 (1.14-2.01) for cardiovascular mortality. The same calculations for ADMA quartiles revealed HRs of 1.05 (0.83-1.32), 1.19 (0.95-1.50), and 1.61 (1.30-1.99) for total mortality and HR of 1.00 (0.74-1.34), 1.26 (0.95-1.68), and 1.54 (1.18-2.02) for cardiovascular mortality. CONCLUSIONS: Serum concentrations of SDMA are independently associated with increased cardiovascular and all-cause mortality in patients undergoing coronary angiography. The pattern of risk linked to SDMA is different from that linked to ADMA, suggesting different pathophysiological roles of these 2 methylarginine metabolites. PMID- 21036947 TI - Bioinformatics education dissemination with an evolutionary problem solving perspective. AB - Bioinformatics is central to biology education in the 21st century. With the generation of terabytes of data per day, the application of computer-based tools to stored and distributed data is fundamentally changing research and its application to problems in medicine, agriculture, conservation and forensics. In light of this 'information revolution,' undergraduate biology curricula must be redesigned to prepare the next generation of informed citizens as well as those who will pursue careers in the life sciences. The BEDROCK initiative (Bioinformatics Education Dissemination: Reaching Out, Connecting and Knitting together) has fostered an international community of bioinformatics educators. The initiative's goals are to: (i) Identify and support faculty who can take leadership roles in bioinformatics education; (ii) Highlight and distribute innovative approaches to incorporating evolutionary bioinformatics data and techniques throughout undergraduate education; (iii) Establish mechanisms for the broad dissemination of bioinformatics resource materials and teaching models; (iv) Emphasize phylogenetic thinking and problem solving; and (v) Develop and publish new software tools to help students develop and test evolutionary hypotheses. Since 2002, BEDROCK has offered more than 50 faculty workshops around the world, published many resources and supported an environment for developing and sharing bioinformatics education approaches. The BEDROCK initiative builds on the established pedagogical philosophy and academic community of the BioQUEST Curriculum Consortium to assemble the diverse intellectual and human resources required to sustain an international reform effort in undergraduate bioinformatics education. PMID- 21036948 TI - An exo-alpha-sialidase from bifidobacteria involved in the degradation of sialyloligosaccharides in human milk and intestinal glycoconjugates. AB - Bifidobacteria are health-promoting enteric commensals that are assumed to proliferate predominantly in the intestines of breast-fed infants by assimilating human milk oligosaccharides (HMOs) that are frequently fucosylated and/or sialylated. We previously identified two different alpha-l-fucosidases in Bifidobacterium bifidum and showed that the strain furnishes an extracellular degradation pathway for fucosylated HMOs. However, the catabolism of sialylated HMOs by bifidobacteria has remained unresolved. Here we describe the identification and characterization of an exo-alpha-sialidase in bifidobacteria. By expression cloning, we isolated a novel exo-alpha-sialidase gene (siabb2) from B. bifidum JCM1254, which encodes a protein (SiaBb2) consisting of 835-amino-acid residues with a predicted molecular mass of 87 kDa. SiaBb2 possesses an N terminal signal sequence, a sialidase catalytic domain classified into the glycoside hydrolase family 33 (GH33) and a C-terminal transmembrane region, indicating that the mature SiaBb2 is an extracellular membrane-anchored enzyme. The recombinant enzyme expressed in Escherichia coli showed the highest activity in an acidic pH range from 4.0 to 5.0, and at 50 degrees C. Notably, 80% activity remained after 30 min incubation at 80 degrees C, indicating that the enzyme is highly thermostable. SiaBb2 liberated sialic acids from sialyloligosaccharides, gangliosides, glycoproteins and colominic acid; however, the linkage preference of the enzyme was remarkably biased toward the alpha2,3 linkage rather than alpha2,6- and alpha2,8-linkages. Expression of siabb2 in B. longum 105-A, which has no endogenous exo-alpha-sialidase, enabled this strain to degrade sialyloligosaccharides present in human milk. Our results suggest that SiaBb2 plays a crucial role in bifidobacterial catabolism of sialylated HMOs. PMID- 21036949 TI - White matter abnormalities in methcathinone abusers with an extrapyramidal syndrome. AB - We examined white matter abnormalities in patients with a distinctive extrapyramidal syndrome due to intravenous methcathinone (ephedrone) abuse. We performed diffusion tensor imaging in 10 patients and 15 age-matched controls to assess white matter structure across the whole brain. Diffuse significant decreases in white matter fractional anisotropy, a diffusion tensor imaging metric reflecting microstructural integrity, occurred in patients compared with controls. In addition, we identified two foci of severe white matter abnormality underlying the right ventral premotor cortex and the medial frontal cortex, two cortical regions involved in higher-level executive control of motor function. Paths connecting different cortical regions with the globus pallidus, the nucleus previously shown to be abnormal on structural imaging in these patients, were generated using probabilistic tractography. The fractional anisotropy within all these tracts was lower in the patient group than in controls. Finally, we tested for a relationship between white matter integrity and clinical outcome. We identified a region within the left corticospinal tract in which lower fractional anisotropy was associated with greater functional deficit, but this region did not show reduced fractional anisotropy in the overall patient group compared to controls. These patients have widespread white matter damage with greatest severity of damage underlying executive motor areas. PMID- 21036950 TI - Glutaredoxin and thioredoxin can be involved in producing the pharmacologically active metabolite of a thienopyridine antiplatelet agent, prasugrel. AB - A thienopyridine antiplatelet agent, prasugrel, is rapidly hydrolyzed to a thiolactone metabolite (R-95913, 2-[2-oxo-6,7-dihydrothieno[3,2-c]pyridin-5(4H) yl]-1-cyclopropyl-2-(2-fluorophenyl)ethanone). R-95913 is oxidized by hepatic cytochromes P450 to the pharmacologically active metabolite R-138727 (2-[1-2 cyclopropyl-1-(2-fluorophenyl)-2-oxoethyl]-4-mercapto-3-piperidinylidene]acetic acid). One possible intermediate in the in vitro bioactivation pathway is a glutathione conjugate, R-133490, which could be reduced to generate R-138727 in the presence of a reducing agent such as glutathione. In this study, enzymes in human liver cytosols were found to accelerate reduction of R-133490 leading to the formation of R-138727. To explore the possible reductive enzymes, we separated the various proteins in human liver cytosol based on size using gel filtration chromatography. Two active peaks were detected and found to contain thioredoxin and glutaredoxin, respectively. In addition, recombinant human glutaredoxin and thioredoxin promoted the formation of R-138727 from R-133490 with much higher activity for glutaredoxin than for thioredoxin. This study is the first in vitro observation indicating that glutaredoxin and thioredoxin in human liver are active in reducing the mixed disulfide formed between xenobiotics and glutathione. PMID- 21036951 TI - Critique of the two-fold measure of prediction success for ratios: application for the assessment of drug-drug interactions. AB - Current assessment of drug-drug interaction (DDI) prediction success is based on whether predictions fall within a two-fold range of the observed data. This strategy results in a potential bias toward successful prediction at lower interaction levels [ratio of the area under the concentration-time profile (AUC) in the presence of inhibitor/inducer compared with control is <2]. This scenario can bias any assessment of different DDI prediction algorithms if databases contain large proportion of interactions in this lower range. Therefore, the current study proposes an alternative method to assess prediction success with a variable prediction margin dependent on the particular AUC ratio. The method is applicable for assessment of both induction and inhibition-related algorithms. The inclusion of variability into this predictive measure is also considered using midazolam as a case study. Comparison of the traditional two-fold and the new predictive method was performed on a subset of midazolam DDIs collated from previous databases; in each case, DDIs were predicted using the dynamic model in Simcyp simulator. A 21% reduction in prediction accuracy was evident using the new predictive measure, in particular at the level of no/weak interaction (AUC ratio <2). However, inclusion of variability increased the prediction success at these levels by two-fold. The trend of lower prediction accuracy at higher potency of DDIs reported in previous studies is no longer apparent when predictions are assessed via the new predictive measure. Thus, the study proposes a more logical method for the assessment of prediction success and its application for induction and inhibition DDIs. PMID- 21036953 TI - Early menarche predicts incidence of asthma in early adulthood. AB - The present study explores the effect of age at menarche on the incidence of asthma during early adulthood. The analysis was based on Canadian girls followed up from 8-11 to 18-21 years of age during the first 6 cycles (1994-2005) of the National Longitudinal Survey of Children and Youth. Early menarche was defined as 1 standard deviation less than the average age at menarche. Asthma occurrence after menarche was measured as asthma that was diagnosed by a health care professional. The authors used logistic regression to investigate the association between early menarche and incidence of asthma, adjusting for possible confounders. A total of 1,176 girls weighted to represent 352,345 Canadian girls were analyzed. The incidence of asthma after menarche was 11.2% (95% confidence interval: 8.3, 14.0). The onset of early menarche (<11.56 years of age) predicted postmenarcheal incidence of asthma; girls who matured early had more than twice the risk of developing asthma during early adulthood than did girls who matured at an average age (odds ratio, 2.34, 95% confidence interval: 1.19, 4.59). The present study provides partial insight into the worldwide rapid increase in asthma rates that coincides with the declining trends in menarcheal timing. Further studies within different contexts are warranted to assess the generalizability of these Canadian findings. PMID- 21036954 TI - Invited commentary: pushing the mediation envelope. AB - The very insightful and clear paper by VanderWeele and Vansteelandt in this issue of the Journal (Am J Epidemiol. 2010;172(12):1339-1348) bridges the gap between biostatistics methodologists focusing on causal methods for mediation analyses and the practitioners of mediational analyses to the benefit of both groups. In an effort to continue the bridging of this gap, this invited commentary relates the important issue of "natural direct effects" to the well-known epidemiologic method of direct standardization. Additionally, attention is paid to the importance of temporal sequencing to help substantiate the mediation relations among the exposure, mediation, and outcome. A crucial mathematical distortion under the logistics model, called "absence of collapsibility," is noted in motivating VanderWeele and Vansteelandt's use of the log-linear model for comparing the effect of exposure adjusted for the mediator with the effect of exposure unadjusted for the mediator. It is also noted that this issue applies to one approach to assessing confounding. Finally, some issues are raised for consideration when testing the interaction between the exposure and mediator before assessing mediation. PMID- 21036955 TI - Odds ratios for mediation analysis for a dichotomous outcome. AB - For dichotomous outcomes, the authors discuss when the standard approaches to mediation analysis used in epidemiology and the social sciences are valid, and they provide alternative mediation analysis techniques when the standard approaches will not work. They extend definitions of controlled direct effects and natural direct and indirect effects from the risk difference scale to the odds ratio scale. A simple technique to estimate direct and indirect effect odds ratios by combining logistic and linear regressions is described that applies when the outcome is rare and the mediator continuous. Further discussion is given as to how this mediation analysis technique can be extended to settings in which data come from a case-control study design. For the standard mediation analysis techniques used in the epidemiologic and social science literatures to be valid, an assumption of no interaction between the effects of the exposure and the mediator on the outcome is needed. The approach presented here, however, will apply even when there are interactions between the effect of the exposure and the mediator on the outcome. PMID- 21036956 TI - Invited commentary: Decomposing with a lot of supposing. AB - In this issue of the Journal, VanderWeele and Vansteelandt (Am J Epidemiol. 2010;172(12):1339-1348) provide simple formulae for estimation of direct and indirect effects using standard logistic regression when the exposure and outcome are binary, the mediator is continuous, and the odds ratio is the chosen effect measure. They also provide concisely stated lists of assumptions necessary for estimation of these effects, including various conditional independencies and homogeneity of exposure and mediator effects over covariate strata. They further suggest that this will allow effect decomposition in case-control studies if the sampling fractions and population outcome prevalence are known with certainty. In this invited commentary, the author argues that, in a well-designed case-control study in which the sampling fraction is known, it should not be necessary to rely on the odds ratio. The odds ratio has well-known deficiencies as a causal parameter, and its use severely complicates evaluation of confounding and effect homogeneity. Although VanderWeele and Vansteelandt propose that a rare disease assumption is not necessary for estimation of controlled direct effects using their approach, collapsibility concerns suggest otherwise when the goal is causal inference rather than merely measuring association. Moreover, their clear statement of assumptions necessary for the estimation of natural/pure effects suggests that these quantities will rarely be viable estimands in observational epidemiology. PMID- 21036957 TI - Differential activation of signaling pathways involved in cell death, survival and inflammation by radiocontrast media in human renal proximal tubular cells. AB - Radiocontrast media (RCM) are widely used in clinical medicine but may lead to radiocontrast-induced nephropathy (RCIN). The pathogenesis of acute renal failure secondary to RCM is not fully understood, but direct toxic effects are believed to be a major cause of RCIN. We have investigated the effect of different types of RCM on signaling pathways known to play a role in cell death, survival, and inflammation. HK-2 cells were incubated with sodium diatrizoate and iomeprol (IOM) at a concentration of 75 mg I/ml for 2 h. Both RCM caused an increase in phosphorylation of p38 mitogen-activated protein kinase (MAPK) (p38) and c-Jun N terminal kinases (JNKs) and NF-kappaB (at Ser 276), with sodium diatrizoate having a more drastic effect. Although cell viability was reduced significantly by both RCM, in cells pretreated with IOM the cell viability recovered over a 22 h time period after removal of the RCM. However, viability of diatrizoate-treated cells rose at 5 h but then fell at 22 h after removal of the RCM. The decrease in cell viability in diatrizoate-treated cells corresponded with an increase in phosphorylation of JNKs, p38, and NF-kappaB and a decrease in phosphorylation of Akt, signal transducer and activator of transcription 3, and forkhead box O3a, as well as poly (ADP-ribose) polymerase and caspase-3 cleavage. The recovery in viability of IOM-treated cells corresponded most notably with an increase in STAT3 phosphorylation and induction of Pim-1 kinase. There was also an increase in interleukin-8 release by diatrizoate-treated cells indicating the possibility of proinflammatory effects of RCM. A knowledge of the signaling pathways by which RCM exert their cytotoxic actions may help in finding future therapies for RCIN. PMID- 21036958 TI - Do early smoking experiences count in development of smoking?: temporal stability and predictive validity of an early smoking experience questionnaire in adolescents. AB - AIMS: The experience during initial experimentation with smoking might influence later development of smoking behavior; however, measuring early smoking experience (ESE) usually requires self-reports for which stability and predictive validity are relatively understudied. The aims of the study are (a) to examine temporal stability of the ESE questionnaire and (b) to test the hypothesis that early pleasant and unpleasant experience scales predict changes in smoking status among adolescents. METHODS: In two waves of the Budapest Adolescent Smoking study, a school-based longitudinal study, 1,286 ninth-grade students reported their ESE on both occasions (45.9% girls; mean age = 15.3, SD = 0.54). Questions related to smoking behavior and ESEs were administered in both waves (average 5 month apart). To examine temporal stability, test-retest correlations and a multiindicator autoregressive model were estimated. To test the predictive validity, 2 structural equation models with binary outcome variables (change in smoking status) were estimated in experimenters (N = 798) and nondaily smokers (N = 506) separately. RESULTS: Test-retest correlations of pleasant and unpleasant experiences were .63 and .66, respectively. Pleasant and unpleasant experiences predict the change of smoking status in the group of experimenters (odds ratio [OR] = 1.57 [1.00-2.48] and 0.58 [0.42-0.80], respectively), but these coefficients (OR = 1.53 [0.79-1.74] and 1.17 [0.82-2.83], respectively) did not reach the level of significance in nondaily smokers. CONCLUSIONS: Self-reports of ESE demonstrate good short-term temporal stability. The early unpleasant smoking experience might have a different role in different stages of smoking acquisition. PMID- 21036959 TI - Applying the tripartite model of anxiety and depression to cigarette smoking: an integrative review. AB - INTRODUCTION: Research on the relationship between emotional disorders and smoking often characterizes anxiety and depression at the broad syndrome level. Because of the complex concordance and discordance across and within anxiety and depressive symptoms, research using this approach may be limited. Watson and Clark developed the tripartite model of anxiety and depression, which identifies negative affect (NA), anhedonia and low positive affect (PA), and anxious arousal (AA) as traits that characterize the underlying heterogeneity in emotional symptoms. An emerging literature has examined the relation between the affective constructs in the tripartite model and smoking; however, these findings have not been summarized and integrated. The aim of this report reviews the literature on the association between tripartite affective dimensions (anhedonia and low PA, NA, and AA) and smoking variables (smoking status, heaviness, chronicity, dependence, cessation, craving/urge). METHODS: Qualitative summarization and integration of findings. RESULTS: All three dimensions were consistently associated with smoking status but demonstrated mixed or no relationship with smoking heaviness, chronicity, and dependence. Low PA and anhedonia consistently associated with craving and relapse, even in studies that controlled for other dimensions. Emotional disturbance on multiple dimensions (e.g., low PA + high NA) was associated with disproportionate increases in smoking risk in several studies. CONCLUSIONS: Tripartite dimensions may each have differential effects on smoking. Anhedonic and low PA individuals (especially those with concurrent NA or AA) may be a high-risk group worthy of targeting for interventions. Continued research of the affective dimensions linked with smoking could inform the etiology of tobacco dependence and lead to more effective smoking interventions that target affect. PMID- 21036960 TI - Long-term smoking relapse: a study using the british household panel survey. AB - BACKGROUND: There is limited population-based evidence on long-term smoking relapse rates after 1 year of abstinence. We estimate the incidence of relapse and evaluate demographic, health, socioeconomic characteristics, and episodic events associated with an increased probability of relapse. METHODS: Smoking relapse is studied using a subsample of individuals in the annual British Household Panel Survey, between 1991 and 2006, who reported not being a smoker for at least 1 year (two consecutive surveys) after previously reporting smoking (n = 1,578). A random-effects panel logit regression was used to examine the association between smoking relapse and length of abstinence, demographic, socioeconomic, and health variables. RESULTS: Data were available on individuals for a mean of 5.2 years after the initial 1-year smoking abstinence. We estimated that 37.1% (34.0%-40.5%; 95% CI) of the sample would relapse within 10 years. Increased length of abstinence, increased age, being married, being educated to degree level, and a high frequency of General Practitioner (GP) visits were significantly associated with a lower risk of relapse. Conversely, higher relapse rates were significantly associated with mental health problems and having a partner who started smoking. CONCLUSIONS: A significant proportion of smokers relapse after more than 1 year of abstinence. This study sheds light on factors associated with long-term relapse. This can form the basis for designing public health interventions to prolong abstinence and targeting interventions at former smokers at the highest risk of relapse. PMID- 21036961 TI - Politics and science in classifying the dangers of drugs. AB - There is a long history of psychoactive substances being regarded as dangerous and subsequently being banned or forbidden. Often the bans were introduced on substances new and unfamiliar to a society, which were viewed as more dangerous than substances which were well known and enculturated. With industrialisation and the globalisation brought by European empires, the growing availability of psychoactive substances was increasingly seen as a problem in the 1800s, setting off social and policy reactions--what we know as the temperance movement against alcohol, and initial UK legislation limiting the sale of 'poisons'. PMID- 21036962 TI - What is so normal about the normal distribution? PMID- 21036964 TI - New users of the anticonvulsants gabapentin, lamotrigine, oxcarbazepine or tiagabine are at increased risk of suicidal acts compared with new users of topiramate. PMID- 21036963 TI - Spouses of people with incident dementia are at sixfold increased risk of developing dementia themselves. PMID- 21036965 TI - Sensation seeking predicts established smoking and binge drinking in adolescents. PMID- 21036966 TI - The Patient Health Questionnaire 2-item is a rapid, sensitive and specific screening tool for identifying adolescents with major depression. PMID- 21036967 TI - Review: cognitive impairment present in people with affective psychoses. PMID- 21036968 TI - Negative symptoms are prevalent in antipsychotic-treated adult outpatients with schizophrenia spectrum disorders. PMID- 21036969 TI - Emotional and behavioural difficulties are more prevalent in 2-3-year-old children with developmental delay. PMID- 21036970 TI - Prescribing preferred medications improves adherence in people with severe mental illness. PMID- 21036971 TI - Anhedonia predicts adverse cardiac events in people with acute coronary syndromes. PMID- 21036972 TI - Children with ADHD at increased risk of adolescent ADHD, ODD, anxiety or depression and functional impairment. PMID- 21036973 TI - Opiate substitute treatment is associated with increased overall survival among injecting drug users. PMID- 21036974 TI - Depression in older people is associated with increased risk of developing dementia. PMID- 21036975 TI - Review: psychological treatments lead to clinically significant reductions in pain in children and adolescents with chronic pain. PMID- 21036976 TI - Employment rates for people with severe mental illness in the UK not improved by 1 year's individual placement and support. PMID- 21036977 TI - The Family Bereavement Program reduces problematic grief in parentally bereaved youths. PMID- 21036978 TI - Review: mindfulness-based therapies effective for anxiety and depression. PMID- 21036979 TI - Atorvastatin does not slow cognitive decline in patients with mild to moderate probable Alzheimer's disease who are taking donepezil. PMID- 21036980 TI - Early school-based screening and intervention programmes for ADHD did not improve children's outcomes at age 10. PMID- 21036981 TI - Transference-focused psychotherapy reduces treatment drop-out and suicide attempters compared with community psychotherapist treatment in borderline personality disorder. PMID- 21036982 TI - Review: bupropion increases abstinence from smoking without affecting mental state in people with schizophrenia. PMID- 21036983 TI - Coordinated multi-component treatment in primary care improves anxiety in adults with multiple anxiety disorders compared with usual care. PMID- 21036984 TI - Adding aspirin to antipsychotics reduces psychopathology in adults with schizophrenia spectrum disorders. PMID- 21036985 TI - Ten-week comprehensive behavioural intervention for tics decreases tic severity compared to supportive therapy and education. PMID- 21036986 TI - Review: pharmacological and psychological interventions decrease cannabis use in people with depressive and psychotic disorders in the short term. PMID- 21036987 TI - CBT, SSRI or both are similarly effective for panic disorder 1-year post treatment. PMID- 21036988 TI - Supervised injectable heroin better at reducing street heroin use than supervised injectable methadone or optimised oral methadone. PMID- 21036989 TI - Review: mood stabilisers monotherapy as effective as combination therapy for treatment of acute bipolar depression in studies with some limitations. PMID- 21036990 TI - A psychosocial intervention in low birthweight term babies improves performance IQ, visual-spatial memory and behaviour. PMID- 21036991 TI - Genome sequence of Oceanicaulis sp. strain HTCC2633, isolated from the Western Sargasso Sea. AB - The genus Oceanicaulis represents dimorphic rods that were originally isolated from a marine dinoflagellate. Here, we announce the genome sequence of Oceanicaulis sp. strain HTCC2633, isolated by dilution-to-extinction culturing from the Sargasso Sea. The genome information of strain HTCC2633 indicates a chemoorganotrophic way of life of this strain. PMID- 21036992 TI - Catabolite control protein A controls hydrogen peroxide production and cell death in Streptococcus sanguinis. AB - Streptococcus sanguinis is a commensal oral bacterium producing hydrogen peroxide (H2O2) that is dependent on pyruvate oxidase (Spx) activity. In addition to its well-known role in bacterial antagonism during interspecies competition, H2O2 causes cell death in about 10% of the S. sanguinis population. As a consequence of H2O2-induced cell death, largely intact chromosomal DNA is released into the environment. This extracellular DNA (eDNA) contributes to the self-aggregation phenotype under aerobic conditions. To further investigate the regulation of spx gene expression, we assessed the role of catabolite control protein A (CcpA) in spx expression control. We report here that CcpA represses spx expression. An isogenic DeltaccpA mutant showed elevated spx expression, increased Spx abundance, and H2O2 production, whereas the wild type did not respond with altered spx expression in the presence of glucose and other carbohydrates. Since H2O2 is directly involved in the release of eDNA and bacterial cell death, the presented data suggest that CcpA is a central control element in this important developmental process in S. sanguinis. PMID- 21036993 TI - Genome sequence of strain HTCC2083, a novel member of the marine clade Roseobacter. AB - Strain HTCC2083 was isolated from Oregon seawater using dilution-to-extinction culturing and represents a novel member of the Roseobacter clade. The draft genome sequence of HTCC2083 is presented here. The genome is predicted to contain genes for aerobic anoxygenic phototrophy, sulfite-oxidizing chemolithotrophy, anapleurotic CO(2) fixation, carbon monoxide oxidation, and dimethylsulfoniopropionate (DMSP) utilization. PMID- 21036994 TI - Cadherin domains in the polysaccharide-degrading marine bacterium Saccharophagus degradans 2-40 are carbohydrate-binding modules. AB - The complex polysaccharide-degrading marine bacterium Saccharophagus degradans strain 2-40 produces putative proteins that contain numerous cadherin and cadherin-like domains involved in intercellular contact interactions. The current study reveals that both domain types exhibit reversible calcium-dependent binding to different complex polysaccharides which serve as growth substrates for the bacterium. PMID- 21036995 TI - Regulation of horizontal gene transfer in Bacillus subtilis by activation of a conserved site-specific protease. AB - The mobile genetic element ICEBs1 is an integrative and conjugative element (a conjugative transposon) found in Bacillus subtilis. The RecA-dependent SOS response and the RapI-PhrI cell sensory system activate ICEBs1 gene expression by stimulating cleavage of ImmR, the ICEBs1 immunity repressor, by the protease ImmA. We found that increasing the amount of wild-type ImmA in vivo caused partial derepression of ICEBs1 gene expression. However, during RapI-mediated derepression of ICEBs1 gene expression, ImmA levels did not detectably increase, indicating that RapI likely activates the protease ImmA by increasing its specific activity. We also isolated and characterized mutations in immA (immA(h)) that cause partial derepression of ICEBs1 gene expression in the absence of inducing signals. We obtained two types of immA(h) mutations: one type caused increased amounts of the mutant proteins in vivo but no detectable effect on specific activity in vitro; the other type had no detectable effect on the amount of the mutant protein in vivo but caused increased specific activity of the protein (as measured in vitro). Together, these findings indicate that derepression of ICEBs1 gene expression is likely caused by an increase in the specific activity of ImmA. Homologs of ImmA and ImmR are found in many mobile genetic elements, so the mechanisms that regulate ImmA-mediated cleavage of ImmR may be widely conserved. PMID- 21036996 TI - Complete genome sequence of a carbon monoxide-utilizing acetogen, Eubacterium limosum KIST612. AB - Eubacterium limosum KIST612 is an anaerobic acetogenic bacterium that uses CO as the sole carbon/energy source and produces acetate, butyrate, and ethanol. To evaluate its potential as a syngas microbial catalyst, we have sequenced the complete 4.3-Mb genome of E. limosum KIST612. PMID- 21036997 TI - Distinct roles of multiple NDH-1 complexes in the cyanobacterial electron transport network as revealed by kinetic analysis of P700+ reduction in various Ndh-deficient mutants of Synechocystis sp. strain PCC6803. AB - While methyl viologen had only a small effect on P700(+) rereduction kinetics after far-red pulses in KCN-treated wild-type Synechocystis sp. strain PCC6803 and an NdhF3/NdhF4 (NdhF3/F4)-defective mutant, it involved a rather slow P700(+) rereduction in an NdhF1-defective mutant. This strongly indicates that (i) active electron flow from metabolites to plastoquinone is suppressed upon deletion of ndhF1 and (ii) photosystem 1-mediated cyclic electron transport is dependent on NdhF3/F4-type NDH-1 complexes. PMID- 21036998 TI - Pseudomonas aeruginosa MucD regulates the alginate pathway through activation of MucA degradation via MucP proteolytic activity. AB - Alginate overproduction in Pseudomonas aeruginosa can be caused by the proteolysis of the anti-sigma factor MucA regulated by the AlgW protease. Here, we show that inactivation of MucD, an HtrA/DegP homolog and alginate regulator, can bypass AlgW, leading to an atypical proteolysis of MucA that is dependent on the MucP protease. PMID- 21036999 TI - dnaX36 Mutator of Escherichia coli: effects of the {tau} subunit of the DNA polymerase III holoenzyme on chromosomal DNA replication fidelity. AB - The Escherichia coli dnaX36 mutant displays a mutator effect, reflecting a fidelity function of the dnaX-encoded tau subunit of the DNA polymerase III (Pol III) holoenzyme. We have shown that this fidelity function (i) applies to both leading- and lagging-strand synthesis, (ii) is independent of Pol IV, and (iii) is limited by Pol II. PMID- 21037000 TI - Adhesive activity of the haemophilus cryptic genospecies cha autotransporter is modulated by variation in tandem Peptide repeats. AB - The Haemophilus cryptic genospecies is an important cause of maternal genital tract and neonatal systemic infections and initiates infection by colonizing the genital or respiratory epithelium. In recent work, we identified a unique Haemophilus cryptic genospecies protein called Cha, which mediates efficient adherence to genital and respiratory epithelia. The Cha adhesin belongs to the trimeric autotransporter family and contains an N-terminal signal peptide, an internal passenger domain that harbors adhesive activity, and a C-terminal membrane anchor domain. The passenger domain in Cha contains clusters of YadA like head domains and neck motifs as well as a series of tandem 28-amino-acid peptide repeats. In the current study, we report that variation in peptide repeat number gradually modulates Cha adhesive activity, associated with a direct effect on the length of Cha fibers on the bacterial cell surface. The N-terminal 404 residues of the Cha passenger domain mediate binding to host cells and also facilitate bacterial aggregation through intermolecular Cha-Cha binding. As the tandem peptide repeats expand, the Cha fiber becomes longer and Cha adherence activity decreases. The expansion and contraction of peptide repeats represent a novel mechanism for modulating adhesive capacity, potentially balancing the need of the organism to colonize the genital and respiratory tracts with the ability to attach to alternative substrates, disperse within the host, or evade the host immune system. PMID- 21037001 TI - Complete genome sequence of the probiotic Lactobacillus plantarum ST-III. AB - Lactobacillus plantarum strain ST-III, a probiotic strain with several functions, was isolated from kimchi. Here we report the complete genome sequence of ST-III and compared it with two published L. plantarum genomes. PMID- 21037002 TI - Complete genome sequence of strain HTCC2503T of Parvularcula bermudensis, the type species of the order "Parvularculales" in the class Alphaproteobacteria. AB - The order "Parvularculales" represents the seventh order in the class Alphaproteobacteria. Parvularcula bermudensis, the type species of the order, was isolated from the Sargasso Sea using dilution-to-extinction culturing. We present here the complete genome sequence of Parvularcula bermudensis HTCC2503(T), which contains genes for carotenoid biosynthesis, dimethylsulfoniopropionate demethylase, and transduction-like gene transfer agents. PMID- 21037003 TI - A small protein required for the switch from {sigma}F to {sigma}G during sporulation in Bacillus subtilis. AB - A cascade of alternative sigma factors governs the program of developmental gene expression during sporulation in Bacillus subtilis. Little is known, however, about how the early-acting sigma factors are inactivated and replaced by the later-acting factors. Here we identify a small protein, Fin (formerly known as YabK), that is required for efficient switching from sigma(F)- to sigma(G) directed gene expression in the forespore compartment of the developing sporangium. The fin gene, which is conserved among Bacillus species and species of related genera, is transcribed in the forespore under the control of both sigma(F) and sigma(G). Cells mutant for fin are unable to fully deactivate sigma(F) and, conversely, are unable to fully activate sigma(G). Consistent with their deficiency in sigma(G)-directed gene expression, fin cells are arrested in large numbers following the engulfment stage of sporulation, ultimately forming 50-fold fewer heat-resistant spores than the wild type. Based in part on the similarity of Fin to the anti-sigma(G) factor CsfB (also called Gin), we speculate that Fin is an anti-sigma(F) factor which, by disabling sigma(F), promotes the switch to late developmental gene expression in the forespore. PMID- 21037004 TI - Orientation of SecA and SecB in complex, derived from disulfide cross-linking. AB - SecA is the ATPase that acts as the motor for protein export in the general secretory, or Sec, system of Escherichia coli. The tetrameric cytoplasmic chaperone SecB binds to precursors of exported proteins before they can become stably folded and delivers them to SecA. During this delivery step, SecB binds to SecA. The complex between SecA and SecB that is maximally active in translocation contains two protomers of SecA bound to a tetramer of SecB. The aminoacyl residues on each protein that are involved in binding the other have previously been identified by site-directed spin labeling and electron paramagnetic resonance (EPR) spectroscopy; however, that study provided no information concerning the relative orientation of the proteins within the complex. Here we used our extensive collection of single-cysteine variants of the two proteins and subjected pairwise combinations of SecA and SecB to brief oxidation to identify residues in close proximity. These data were used to generate a model for the orientation of the two proteins within the complex. PMID- 21037005 TI - Complete genome sequence of the bacterium Ketogulonicigenium vulgare Y25. AB - Ketogulonicigenium vulgare is characterized by the efficient production of 2KGA from L-sorbose. Ketogulonicigenium vulgare Y25 is known as a 2-keto-L-gulonic acid-producing strain in the vitamin C industry. Here we report the finished, annotated genome sequence of Ketogulonicigenium vulgare Y25. PMID- 21037006 TI - Complete genome sequence of Corynebacterium pseudotuberculosis I19, a strain isolated from a cow in Israel with bovine mastitis. AB - This work reports the completion and annotation of the genome sequence of Corynebacterium pseudotuberculosis I19, isolated from an Israeli dairy cow with severe clinical mastitis. To present the whole-genome sequence, a de novo assembly approach using 33 million short (25-bp) mate-paired SOLiD reads only was applied. Furthermore, the automatic, functional, and manual annotations were attained with the use of several algorithms in a multistep process. PMID- 21037007 TI - X-ray structure and site-directed mutagenesis analysis of the Escherichia coli colicin M immunity protein. AB - Colicin M (ColM), which is produced by some Escherichia coli strains to kill competitor strains from the same or related species, was recently shown to inhibit cell wall peptidoglycan biosynthesis through enzymatic degradation of its lipid II precursor. ColM-producing strains are protected from the toxin that they produce by coexpression of a specific immunity protein, named Cmi, whose mode of action still remains to be identified. We report here the resolution of the crystal structure of Cmi, which is composed of four beta strands and four alpha helices. This rather compact structure revealed a disulfide bond between residues Cys31 and Cys107. Interestingly, these two cysteines and several other residues appeared to be conserved in the sequences of several proteins of unknown function belonging to the YebF family which exhibit 25 to 35% overall sequence similarity with Cmi. Site-directed mutagenesis was performed to assess the role of these residues in the ColM immunity-conferring activity of Cmi, which showed that the disulfide bond and residues from the C-terminal extremity of the protein were functionally essential. The involvement of DsbA oxidase in the formation of the Cmi disulfide bond is also demonstrated. PMID- 21037008 TI - Complete genome sequence of Cronobacter turicensis LMG 23827, a food-borne pathogen causing deaths in neonates. AB - Here, we report the complete and annotated genome sequence of Cronobacter turicensis, an opportunistic food-borne pathogen, which is known as a rare but important cause of life-threatening neonatal infections. Among all proteins of C. turicensis, 223 have been annotated as virulence- and disease-related proteins. PMID- 21037009 TI - Activation of the SoxR regulon in Streptomyces coelicolor by the extracellular form of the pigmented antibiotic actinorhodin. AB - The redox-sensitive transcription factor SoxR in enteric bacteria senses and regulates the cellular response to superoxide and nitric oxide. In other bacterial groups, however, it may respond to redox-active small molecules, as demonstrated for pyocyanin sensing in pseudomonads. The antibiotic-producing soil bacterium Streptomyces coelicolor contains a gene for an SoxR homologue (SCO1697) whose DNA recognition helix is identical to that of Escherichia coli SoxR. Using the E. coli SoxR binding sequence, we predicted five candidate genes of the SoxR regulon and demonstrated that SoxR binds to their promoter regions and activates their expression concurrently with the production of the blue antibiotic actinorhodin (a benzoisochromanequinone). These genes encode a probable NADPH dependent flavin reductase (SCO2478), an NADPH-dependent quinone reductase (SCO4266), an ABC transporter (SCO7008), a monooxygenase (SCO1909), and a hypothetical protein (SCO1178). Addition of actinorhodin to exponentially growing cells activated the expression of SoxR target genes in an SoxR-dependent manner. The secreted gamma-actinorhodin was over 10-fold more effective in activation than the intracellular form of actinorhodin, suggesting that SoxR is specified to respond more to exogenous signals than to intracellular metabolites. The DeltasoxR mutant was not compromised in resistance against oxidants but was slow in forming aerial mycelium on R2YE medium with reduced sporulation, and its production of actinorhodin and undecylprodigiosin was lowered by about 50% and 30%, respectively, compared to that of the wild type. These results support the proposal that SoxR senses redox-active molecules, such as actinorhodin in S. coelicolor, and induces a protective function against them. It also functions to ensure that cells undergo optimal differentiation and secondary metabolite production. PMID- 21037010 TI - Inactivation of the RluD pseudouridine synthase has minimal effects on growth and ribosome function in wild-type Escherichia coli and Salmonella enterica. AB - The Escherichia coli rluD gene encodes a pseudouridine synthase responsible for the pseudouridine (Psi) modifications at positions 1911, 1915, and 1917 in helix 69 of 23S rRNA. It has been reported that deletion of rluD in K-12 strains of E. coli is associated with extremely slow growth, increased readthrough of stop codons, and defects in 50S ribosomal subunit assembly and 30S-50S subunit association. Suppressor mutations in the prfB and prfC genes encoding release factor 2 (RF2) and RF3 that restore the wild type-growth rate and also correct the ribosomal defects have now been isolated. These suppressors link helix 69 Psi residues with the termination phase of protein synthesis. However, further genetic analysis reported here also reveals that the slow growth and other defects associated with inactivation of rluD in E. coli K-12 strains are due to a defective RF2 protein, with a threonine at position 246, which is present in all K-12 strains. This is in contrast to the more typical alanine found at this position in most bacterial RF2s, including those of other E. coli strains. Inactivation of rluD in E. coli strains containing the prfB allele from E. coli B or in Salmonella enterica, both carrying an RF2 with Ala246, has negligible effects on growth, termination, or ribosome function. The results indicate that, in contrast to those in wild bacteria, termination functions in E. coli K-12 strains carrying a partially defective RF2 protein are especially susceptible to perturbation of ribosome-RF interactions, such as that caused by loss of h69 Psi modifications. PMID- 21037011 TI - Complete genome sequence of Bifidobacterium bifidum S17. AB - Here, we report on the first completely annotated genome sequence of a Bifidobacterium bifidum strain. B. bifidum S17, isolated from feces of a breast fed infant, was shown to strongly adhere to intestinal epithelial cells and has potent anti-inflammatory activity in vitro and in vivo. The genome sequence will provide new insights into the biology of this potential probiotic organism and allow for the characterization of the molecular mechanisms underlying its beneficial properties. PMID- 21037012 TI - Complete genome sequence of Paenibacillus polymyxa SC2, a strain of plant growth promoting Rhizobacterium with broad-spectrum antimicrobial activity. AB - Paenibacillus polymyxa SC2 is an important plant growth-promoting rhizobacterium (PGPR). Here, we report the complete genome sequence of P. polymyxa SC2. Multiple sets of functional genes have been found in the genome. As far as we know, this is the first complete genome sequence of Paenibacillus polymyxa. PMID- 21037013 TI - Complete genome sequence of strain HTCC2170, a novel member of the genus Maribacter in the family Flavobacteriaceae. AB - Strain HTCC2170 was isolated from surface waters off the Oregon coast using dilution-to-extinction culturing. Here, we present the finished genome sequence of a marine bacterium, Maribacter sp. strain HTCC2170. Strain sp. HTCC2170 is predicted to be a facultatively aerobic chemoorganotroph that, based on genomic sequence analysis, is capable of macromolecule degradation and anaerobic respiration. PMID- 21037014 TI - Characterization of the Bacteroides CTnDOT regulatory protein RteC. AB - Excision of the Bacteroides conjugative transposon CTnDOT is stimulated by tetracycline. It was shown previously that a gene, rteC, is necessary for tetracycline-stimulated transcriptional regulation of the orf2c operon, which contains the excision genes. The protein encoded by this gene, RteC, did not have primary amino acid sequence homology to any known proteins in the databases. Accordingly, we sought structural homologs of RteC. A three-dimensional structure prediction by Robetta suggested that RteC might have two domains and that the C terminal domain might have a winged helix motif. Based on the Robetta prediction, the human transcriptional factors E2F-4 and DP2 were identified as the most likely structural homologs of RteC. We made alanine substitutions within the putative DNA binding helix 3 region of RteC. Assays of orf2c::uidA activation by alanine mutants indicated that residues 174, 175, 178, 180, and 184 in helix 3 might contact the upstream region of P(E). The upstream region of orf2c contained two inverted-repeat half sites. Mutational analysis of these half sites showed that both half sites are important for activity. Thus, we have identified the DNA binding portion of RteC and the DNA site to which it binds. PMID- 21037015 TI - Draft genome sequence of the anoxygenic filamentous phototrophic bacterium Oscillochloris trichoides subsp. DG-6. AB - Oscillochloris trichoides is a mesophilic, filamentous, photoautotrophic, nonsulfur, diazotrophic bacterium which is capable of carbon dioxide fixation via the reductive pentose phosphate cycle and possesses no assimilative sulfate reduction. Here, we present the draft genome sequence of Oscillochloris trichoides subsp. DG-6, the type strain of the species, which has permitted the prediction of genes for carbon and nitrogen metabolism and for the light harvesting apparatus. PMID- 21037017 TI - Slug confers resistance to the epidermal growth factor receptor tyrosine kinase inhibitor. AB - RATIONALE: Non-small cell lung cancers carrying epidermal growth factor receptor (EGFR) mutations respond well to EGFR tyrosine kinase inhibitors (TKIs), but patients ultimately develop drug resistance and relapse. Although epithelial mesenchymal transition (EMT) can predict resistance to EGFR TKIs, the molecular mechanisms are still unknown. OBJECTIVES: To examine the role of EMT regulators in resistance to gefitinib. METHODS: The expression level of EMT regulators in gefitinib-sensitive cells (PC9) and gefitinib-resistant cells (PC9/gef) was determined using quantitative real-time reverse transcription-polymerase chain reaction and Western blot analysis. Molecular manipulations (silencing or overexpression) were performed to investigate the effects of EMT regulators on gefitinib resistance in vitro, and a xenograft mouse model was used for in vivo confirmation. In addition, cancer cells from 44 patients with malignant pleural effusions of lung adenocarcinoma were collected for analysis of EMT regulator mRNA by quantitative real-time reverse transcription-polymerase chain reaction. MEASUREMENTS AND MAIN RESULTS: Slug expression, but not that of snail, twist, or zeb-1, was significantly increased in PC9/gef compared with PC9 cells. Slug knockdown in PC9/gef cells reversed resistance to gefitinib, and overexpression of Slug in PC9 cells protected cells from gefitinib-induced apoptosis. Silencing of Slug in gefitinib-resistant cells restored gefitinib-induced apoptosis primarily through Bim up-regulation and activation of caspase-9. Slug enhanced tumor growth in a xenograft mouse model, even with gefitinib treatment. In clinical samples, Slug expression was significantly higher in cancer cells with resistance to EGFR TKIs than in treatment-naive cancer cells. CONCLUSIONS: Slug contributes to the resistance to gefitinib and may be a potential therapeutic target for treating resistance to EGFR TKIs. PMID- 21037016 TI - A concise review of pulmonary sarcoidosis. AB - This is an update on sarcoidosis, focusing on etiology, diagnosis, and treatment. In the area of etiopathogenesis, we now have a better understanding of the immune response that leads to the disease as well as genetic factors that modify both the risk for the disease and its clinical outcome. Several groups have also identified possible agents as a cause for sarcoidosis. Although none of these potential causes has been definitely confirmed, there is increasing evidence to support that one or more infectious agents may cause sarcoidosis, although this organism may no longer be viable in the patient. The diagnosis of sarcoidosis has been significantly aided by new technology. This includes the endobronchial ultrasound, which has been shown to increase the yield of needle aspiration of mediastinal and hilar lymph nodes. The positive emission tomography scan has proven useful for selecting possible biopsy sites by identifying organ involvement not appreciated by routine methodology. It has also helped in assessing cardiac involvement. The biologic agents, such as the anti-tumor necrosis factor antibodies, have changed the approach to refractory sarcoidosis. There is increasing evidence that the clinician can identify which patient is most likely to benefit from such therapy. As new and more potent antiinflammatory agents have been developed, it is clear that there are other factors that burden the patient with sarcoidosis, including fatigue and sarcoidosis-associated pulmonary hypertension. There have been several recent studies demonstrating treatment options for these problems. PMID- 21037018 TI - Obesity hypoventilation syndrome: mechanisms and management. AB - Obesity hypoventilation syndrome describes the association between obesity and the development of chronic daytime alveolar hypoventilation. This syndrome arises from a complex interaction between sleep-disordered breathing, diminished respiratory drive, and obesity-related respiratory impairment, and is associated with significant morbidity and mortality. Therapy directed toward reversing these abnormalities leads to improved daytime breathing, with available treatment options including positive pressure therapy, weight loss, and pharmacological management. However, a lack of large-scale, well-designed studies evaluating these various therapies has limited the development of evidence-based treatment recommendations. Although treatment directed toward improving sleep-disordered breathing is usually effective, not all patients tolerate mask ventilation and awake hypercapnia may persist despite effective use. In the longer term, weight loss is desirable, but data on the success and sustainability of this approach in obesity hypoventilation are lacking. The review outlines the major mechanisms believed to underlie the development of hypoventilation in this subgroup of obese patients, their clinical presentation, and current therapy options. PMID- 21037019 TI - An empirical study of surrogates' preferred level of control over value-laden life support decisions in intensive care units. AB - RATIONALE: Despite ongoing ethical debate concerning who should control decisions to discontinue life support for incapacitated, critically ill patients, the perspectives of surrogate decision makers are poorly understood. OBJECTIVES: To determine (1) what degree of decisional authority surrogates prefer for value sensitive life support decisions compared with more technical biomedical decisions, and (2) what predicts surrogates' preferences for more control over life support decisions. METHODS: This was a prospective study of 230 surrogate decision makers for incapacitated, mechanically ventilated patients at high risk of death. Surrogates reported their preferred degree of decisional authority using the Degner Control Preferences Scale for two types of decisions: a value sensitive decision about whether to discontinue life support and a decision regarding which antibiotic to prescribe for an infection. MEASUREMENTS AND MAIN RESULTS: The majority of surrogates (55%, 127/230; 95% confidence interval, 49 62%) preferred to have final control over the value-sensitive life support decision; 40% (91/230) wished to share control equally with the physician; 5% (12/230) of surrogates wanted the physician to make the decision. Surrogates preferred significantly more control over the value-sensitive life support decision compared with the technical decision about choice of antibiotics (P < 0.0001). Factors independently associated with surrogates' preference for more control over the life support decision were: less trust in the intensive care unit physician, male sex, and non-Catholic religious affiliation. CONCLUSIONS: Surrogates vary in their desire for decisional authority for value-sensitive life support decisions, but prefer substantially more authority for this type of decision compared with technical, medical judgments. Low trust in physicians is associated with surrogates preferring more control of life support decisions. PMID- 21037020 TI - Exposure to diesel motor exhaust and lung cancer risk in a pooled analysis from case-control studies in Europe and Canada. AB - RATIONALE: Diesel motor exhaust is classified by the International Agency for Research on Cancer as probably carcinogenic to humans. The epidemiologic evidence is evaluated as limited because most studies lack adequate control for potential confounders and only a few studies have reported on exposure-response relationships. OBJECTIVES: Investigate lung cancer risk associated with occupational exposure to diesel motor exhaust, while controlling for potential confounders. METHODS: The SYNERGY project pooled information on lifetime work histories and tobacco smoking from 13,304 cases and 16,282 controls from 11 case control studies conducted in Europe and Canada. A general population job exposure matrix based on ISCO-68 occupational codes, assigning no, low, or high exposure to diesel motor exhaust, was applied to determine level of exposure. MEASUREMENTS AND MAIN RESULTS: Odds ratios of lung cancer and 95% confidence intervals were estimated by unconditional logistic regression, adjusted for age, sex, study, ever-employment in an occupation with established lung cancer risk, cigarette pack-years, and time-since-quitting smoking. Cumulative diesel exposure was associated with an increased lung cancer risk highest quartile versus unexposed (odds ratio 1.31; 95% confidence interval, 1.19-1.43), and a significant exposure response relationship (P value < 0.01). Corresponding effect estimates were similar in workers never employed in occupations with established lung cancer risk, and in women and never-smokers, although not statistically significant. CONCLUSIONS: Our results show a consistent association between occupational exposure to diesel motor exhaust and increased risk of lung cancer. This association is unlikely explained by bias or confounding, which we addressed by adjusted models and subgroup analyses. PMID- 21037021 TI - Obstructive sleep apnea: brain structural changes and neurocognitive function before and after treatment. AB - RATIONALE: Obstructive sleep apnea (OSA) is commonly associated with neurocognitive impairments that have not been consistently related to specific brain structure abnormalities. Knowledge of the brain structures involved in OSA and the corresponding functional implications could provide clues to the pathogenesis of cognitive impairment and its reversibility in this disorder. OBJECTIVES: To investigate the cognitive deficits and the corresponding brain morphology changes in OSA, and the modifications after treatment, using combined neuropsychologic testing and voxel-based morphometry. METHODS: A total of 17 patients treatment-naive to sleep apnea and 15 age-matched healthy control subjects underwent a sleep study, cognitive tests, and magnetic resonance imaging. After 3 months of treatment, cognitive and imaging data were collected to assess therapy efficacy. MEASUREMENTS AND MAIN RESULTS: Neuropsychologic results in pretreatment OSA showed impairments in most cognitive areas, and in mood and sleepiness. These impairments were associated with focal reductions of gray-matter volume in the left hippocampus (entorhinal cortex), left posterior parietal cortex, and right superior frontal gyrus. After treatment, we observed significant improvements involving memory, attention, and executive-functioning that paralleled gray-matter volume increases in hippocampal and frontal structures. CONCLUSIONS: The cognitive and structural deficits in OSA may be secondary to sleep deprivation and repetitive nocturnal intermittent hypoxemia. These negative effects may be recovered by consistent and thorough treatment. Our findings highlight the importance of early diagnosis and successful treatment of this disorder. PMID- 21037022 TI - MicroRNA expression in induced sputum of smokers and patients with chronic obstructive pulmonary disease. AB - RATIONALE: Chronic obstructive pulmonary disease (COPD) is characterized by progressive inflammation in the airways and lungs combined with disturbed homeostatic functions of pulmonary cells. MicroRNAs (miRNAs) have the ability to regulate these processes by interfering with gene transcription and translation. OBJECTIVES: We aimed to identify miRNA expression in induced sputum and examined whether the expression of miRNAs differed between patients with COPD and subjects without airflow limitation. METHODS: Expression of 627 miRNAs was evaluated in induced sputum supernatant of 32 subjects by stem-loop reverse transcription quantitative polymerase chain reaction. Differentially expressed miRNAs were validated in an independent replication cohort of 41 subjects. Enrichment of miRNA target genes was identified by in silico analysis. Protein expression of target genes was determined by ELISA. MEASUREMENTS AND MAIN RESULTS: Thirty-four miRNAs were differentially expressed between never-smokers and current smokers without airflow limitation in the screening cohort. Eight miRNAs were expressed at a significantly lower level in current-smoking patients with COPD compared with never-smokers without airflow limitation. Reduced expression of let-7c and miR-125b in patients with COPD compared with healthy subjects was confirmed in the validation cohort. Target genes of let-7c were significantly enriched in the sputum of patients with severe COPD. The concentration of tumor necrosis factor receptor type II (TNFR-II, implicated in COPD pathogenesis and a predicted target gene of let-7c) was inversely correlated with the sputum levels of let-7c . CONCLUSIONS: let-7c is significantly reduced in the sputum of currently smoking patients with COPD and is associated with increased expression of TNFR-II. PMID- 21037023 TI - Microenvironmental regulation of glioblastoma radioresponse. AB - PURPOSE: Brain tumor xenografts initiated from human glioblastoma (GBM) stem-like cells (TSC) simulate the biological characteristics of GBMs in situ. Therefore, to determine whether the brain microenvironment affects the intrinsic radiosensitivity of GBM cells, we compared the radioresponse of GBM TSCs grown in vitro and as brain tumor xenografts. EXPERIMENTAL DESIGN: As indicators of DNA double-strand breaks (DSB), gammaH2AX, and 53BP1 foci were defined after irradiation of 2 GBM TSC lines grown in vitro and as orthotopic xenografts in nude mice. Microarray analysis was conducted to compare gene expression patterns under each growth condition. RESULTS: Dispersal of radiation-induced gammaH2AX and 53BP1 foci was faster in the tumor cells grown as orthotopic xenografts compared with cells irradiated in vitro. In addition, cells irradiated in vivo were approximately 3-fold less susceptible to foci induction as compared with cells grown in vitro. Microarray analysis revealed a significant number of genes whose expression was commonly affected in the 2 GBM models by orthotopic growth conditions. Consistent with the decrease in sensitivity to foci induction, genes related to reactive oxygen species (ROS) metabolism were expressed at higher levels in the brain tumor xenografts. CONCLUSION: gammaH2AX and 53BP1 foci analyses indicate that GBM cells irradiated within orthotopic xenografts have a greater capacity to repair DSBs and are less susceptible to their induction than tumor cells irradiated under in vitro growth conditions. Because DSB induction and repair are critical determinants of radiosensitivity, these results imply that the brain microenvironment contributes to GBM radioresistance. PMID- 21037024 TI - Braking bad: blockade of inhibitory pathways improves interleukin-15 therapy. AB - Blockade of the CTLA-4 and PD-1 inhibitory pathways in T cells via the administration of neutralizing antibodies at the time of interleukin (IL)-15 therapy markedly enhanced the survival of tumor-bearing mice as compared with those receiving IL-15 alone or IL-15 in combination with just one of the antibodies. PMID- 21037025 TI - Phase I clinical trial of the chimeric anti-mesothelin monoclonal antibody MORAb 009 in patients with mesothelin-expressing cancers. AB - PURPOSE: MORAb-009 is a chimeric monoclonal antibody that targets mesothelin, a tumor differentiation antigen overexpressed in pancreatic cancer, ovarian cancer, mesothelioma, and other malignancies. We conducted a phase I clinical trial of MORAb-009 in patients with advanced mesothelin-expressing cancers to determine its safety, dose-limiting toxicity (DLT), and maximum tolerated dose (MTD). METHODS: Cohorts consisting of 3 to 6 subjects each received MORAb-009 intravenously on days 1, 8, 15, and 22 at progressively increasing doses ranging from 12.5 to 400 mg/m(2). Disease evaluation with computed tomography occurred on day 35. Subjects with responding or stable disease could receive additional cycles of MORAb-009. RESULTS: A total of 24 subjects were treated including 13 mesothelioma, 7 pancreatic cancer, and 4 ovarian cancer patients. The median number of MORAb-009 infusions was 4 (range 1-24 infusions). At the 400 mg/m(2) dose level, 2 subjects experienced DLT (grade 4 transaminitis and a grade 3 serum sickness). Thus, although there were other contributing causes of these adverse events, 200 mg/m(2) was considered the MTD. Other adverse events at least possibly related to MORAb-009 included 7 drug hypersensitivity events (all grade 1 or 2) and a thromboembolic event (grade 4). Eleven subjects had stable disease. There was a dose-dependent increase in serum MORAb-009 concentration. CONCLUSION: MORAb-009 is well tolerated and the MTD when administered weekly is conservatively set at 200 mg/m(2). In this group of previously treated patients, 11 subjects had stable disease. Phase II studies of MORAb-009 in different mesothelin-expressing cancers are ongoing. PMID- 21037026 TI - Arthroprosthetic cobaltism: neurological and cardiac manifestations in two patients with metal-on-metal arthroplasty: a case report. PMID- 21037027 TI - Commentary on an article by Kevin Willits, MA, MD, FRCSC, et al.: "Operative versus nonoperative treatment of acute Achilles tendon ruptures: a multicenter randomized trial using accelerated functional rehabilitation". PMID- 21037028 TI - Operative versus nonoperative treatment of acute Achilles tendon ruptures: a multicenter randomized trial using accelerated functional rehabilitation. AB - BACKGROUND: To date, studies directly comparing the rerupture rate in patients with an Achilles tendon rupture who are treated with surgical repair with the rate in patients treated nonoperatively have been inconclusive but the pooled relative risk of rerupture favored surgical repair. In all but one study, the limb was immobilized for six to eight weeks. Published studies of animals and humans have shown a benefit of early functional stimulus to healing tendons. The purpose of the present study was to compare the outcomes of patients with an acute Achilles tendon rupture treated with operative repair and accelerated functional rehabilitation with the outcomes of similar patients treated with accelerated functional rehabilitation alone. METHODS: Patients were randomized to operative or nonoperative treatment for acute Achilles tendon rupture. All patients underwent an accelerated rehabilitation protocol that featured early weight-bearing and early range of motion. The primary outcome was the rerupture rate as demonstrated by a positive Thompson squeeze test, the presence of a palpable gap, and loss of plantar flexion strength. Secondary outcomes included isokinetic strength, the Leppilahti score, range of motion, and calf circumference measured at three, six, twelve, and twenty-four months after injury. RESULTS: A total of 144 patients (seventy-two treated operatively and seventy-two treated nonoperatively) were randomized. There were 118 males and twenty-six females, and the mean age (and standard deviation) was 40.4 +/- 8.8 years. Rerupture occurred in two patients in the operative group and in three patients in the nonoperative group. There was no clinically important difference between groups with regard to strength, range of motion, calf circumference, or Leppilahti score. There were thirteen complications in the operative group and six in the nonoperative group, with the main difference being the greater number of soft-tissue-related complications in the operative group. CONCLUSIONS: This study supports accelerated functional rehabilitation and nonoperative treatment for acute Achilles tendon ruptures. All measured outcomes of nonoperative treatment were acceptable and were clinically similar to those for operative treatment. In addition, this study suggests that the application of an accelerated-rehabilitation nonoperative protocol avoids serious complications related to surgical management. PMID- 21037029 TI - Salinicoccus sesuvii sp. nov., isolated from the rhizosphere of Sesuvium portulacastrum. AB - A Gram-staining-positive coccus, designated CC-SPL15-2(T), was isolated from the rhizosphere of Sesuvium portulacastrum. By 16S rRNA gene sequence analysis, it was shown that strain CC-SPL15-2(T) belonged to the genus Salinicoccus. The isolate was most closely related to Salinicoccus hispanicus DSM 5352(T) (98.3 % 16S rRNA gene sequence similarity) and Salinicoccus roseus DSM 5351(T) (96.7 %); similarities to all other members of the genus Salinicoccus were <96.5 %. In accordance with characteristics of the genus Salinicoccus, the quinone system was mainly composed of menaquinone MK-6. The polar lipid profile exhibited the major components diphosphatidylglycerol, phosphatidylglycerol and an unidentified glycolipid. In the polyamine pattern, spermidine was the predominant compound. The fatty acids were anteiso-C(15 : 0), iso-C(15 : 0), iso-C(16 : 0) and anteiso C(17 : 0), which supported the affiliation of strain CC-SPL15-2(T) to the genus Salinicoccus. DNA-DNA relatedness between strain CC-SPL15-2(T) and S. hispanicus CCUG 43288(T) was 42 and 32 % (reciprocal analysis). From these data as well as from physiological and biochemical tests, a clear differentiation of strain CC SPL15-2(T) from S. hispanicus and other members of the genus Salinicoccus was possible. We propose that strain CC-SPL15-2(T) be assigned to a novel species, with the name Salinicoccus sesuvii sp. nov. The type strain is CC-SPL15-2(T) ( = DSM 23267(T) = CCM 7756(T)). PMID- 21037030 TI - Dactylosporangium tropicum sp. nov., isolated from soil. AB - Two novel actinomycete strains, designated KB2-4(T) and KB9-2, were isolated from soil samples. Both isolates formed finger-shaped sporangia on short sporangiophores that emerged directly from substrate hyphae. The cell-wall peptidoglycan contained glutamic acid, glycine, alanine and meso-diaminopimelic acid; the whole-cell sugars were galactose, glucose, mannose, rhamnose, ribose and xylose. The diagnostic phospholipid was phosphatidylethanolamine. The predominant menaquinones were MK-9(H(8)) and MK-9(H(6)). Mycolic acids were not detected. The predominant cellular fatty acids (>20 %) were iso-C(16 : 0) and anteiso-C(17 : 0). For both strains, the G+C content of the genomic DNA was about 72 mol%. The morphological and chemotaxonomic characteristics of the two strains were typical of members of the genus Dactylosporangium. Phylogenetic analysis using 16S rRNA gene sequences also indicated that these strains should be classified in the genus Dactylosporangium and showed that the closest relative was Dactylosporangium salmoneum NRRL B-16294(T) (99.1 % 16S rRNA gene sequence similarity). DNA-DNA relatedness and some physiological and biochemical properties indicated that the isolates could be readily distinguished from their closest phylogenetic relatives. On the basis of these phenotypic and genotypic data, the isolates represent a novel species, for which the name Dactylosporangium tropicum sp. nov. is proposed. The type strain is strain KB2 4(T) ( = BCC 34760(T) = JCM 15673(T)). PMID- 21037031 TI - Leucobacter celer sp. nov., isolated from Korean fermented seafood. AB - A novel, Gram-reaction-positive, aerobic, rod-shaped, non-motile bacterial strain, designated NAL101(T), was isolated from gajami-sikhae, a traditional Korean fermented seafood made of flatfish. Growth occurred at 4-45 degrees C, at pH 5-10 and in 0-12 % (w/v) NaCl. Optimum growth occurred at 30-37 degrees C, at pH 8 and in 0-1 % (w/v) NaCl. The cell-wall amino acids were 2,4-diaminobutyric acid, alanine, glycine, threonine and glutamic acid and the major fatty acids were anteiso-C(15 : 0), iso-C(16 : 0) and anteiso-C(17 : 0). The predominant menaquinone was MK-11. The major polar lipids were diphosphatidylglycerol, phosphatidylglycerol and an unknown glycolipid. The 16S rRNA gene sequence of strain NAL101(T) showed 97.7 % similarity to that of Leucobacter chironomi MM2LB(T), its closest relative. The DNA G+C content was 68.8 mol% and DNA-DNA hybridization values with closely related strains were <22 %. Phylogenetic analyses based on 16S rRNA gene sequences as well as differences in its physiological and biochemical characteristics indicated that strain NAL101(T) represents a novel species of the genus Leucobacter in the family Microbacteriaceae, for which the name Leucobacter celer sp. nov. is proposed. The type strain is NAL101(T) ( = KACC 14220(T) = JCM 16465(T)). PMID- 21037032 TI - Yersinia nurmii sp. nov. AB - This study was set up to identify three Gram-negative, rod-shaped strains originating from broiler meat packaged under a modified atmosphere. A polyphasic taxonomic approach, including multilocus sequence analysis (MLSA) of five genes (16S rRNA, glnA, gyrB, recA and HSP60), DNA-DNA reassociation between the closest phylogenetic neighbours and determination of relevant phenotypic properties, was applied. Phylogenetic analysis of the 16S rRNA gene sequences grouped these strains together and within the genus Yersinia. MLSA of the 16S rRNA gene and four housekeeping genes showed that the strains formed a monophyletic group separate from other Yersinia species in all phylogenetic trees constructed. The strains had a phenotypic profile different from those of other representatives of the genus Yersinia, but most similar to that of Yersinia ruckeri. Typical virulence markers for pathogenic Yersinia were not detected. Based on phylogenetic, phenotypic and DNA-DNA reassociation data, a novel species, Yersinia nurmii sp. nov., is proposed for the isolated strains. The type strain is APN3a-c(T) ( = DSM 22296(T) = LMG 25213(T)). PMID- 21037033 TI - Yersinia pekkanenii sp. nov. AB - The taxonomic position of three strains from water, soil and lettuce samples was studied by using a polyphasic taxonomic approach. The strains were reported to lack the virulence-encoding genes inv and virF in a previous study. Controversially, API 20 E and some other phenotypic tests suggested that the strains belong to Yersinia pseudotuberculosis, which prompted this polyphasic taxonomic study. In both the phylogenetic analyses of four housekeeping genes (glnA, gyrB, recA and HSP60) and numerical analyses of HindIII and EcoRI ribopatterns, the strains formed a separate group within the genus Yersinia. Analysis of the 16S rRNA gene sequences showed that the strains were related to Yersinia aldovae and Yersinia mollaretii, but DNA-DNA hybridization analysis differentiated them from these species. Based on the results of the phylogenetic and DNA-DNA hybridization analyses, a novel species, Yersinia pekkanenii sp. nov., is proposed. The type strain is AYV7.1KOH2(T) ( = DSM 22769(T) = LMG 25369(T)). PMID- 21037034 TI - Trichosporon xylopini sp. nov., a hemicellulose-degrading yeast isolated from the wood-inhabiting beetle Xylopinus saperdioides. AB - Four arthroconidium-producing yeasts were isolated from the gut of wood inhabiting tenebrionid and passalid beetles. The rRNA genes of these yeast strains were sequenced, compared and analysed. The sequence results and other taxonomic characterizations placed two of the strains into Trichosporon porosum, and the remaining strains, EH024(T) and EH026 which were isolated from Xylopinus saperdioides (Coleoptera: Tenebrionidae), into a novel species of the genus Trichosporon in the Porosum clade. Strain EN6S23 was independently isolated from forest soil in Taiwan and was identified as the same novel species based on identical sequences in the internal transcribed spacers (ITS) and the D1/D2 region of the LSU rRNA gene and similar physiological characteristics to those of strains EH024(T) and EH026. The three strains can assimilate cellulose and xylan as sole carbon source, and are clearly distinguished from their closest taxon, T. porosum, by 14 nt differences in the ITS and D1/D2 region. These strains did not reproduce sexually under the laboratory conditions tested. The novel species is proposed as Trichosporon xylopini sp. nov. (type strain EH024(T) = ATCC MYA 4670(T) = CBS 11841(T)). PMID- 21037035 TI - Proposal that Mycobacterium massiliense and Mycobacterium bolletii be united and reclassified as Mycobacterium abscessus subsp. bolletii comb. nov., designation of Mycobacterium abscessus subsp. abscessus subsp. nov. and emended description of Mycobacterium abscessus. AB - The names 'Mycobacterium abscessus subsp. abscessus' and 'Mycobacterium abscessus subsp. massiliense', proposed by Leao et al. (2009, J Clin Microbiol 47, 2691 2698), cannot be validly published. The purpose of this report is to provide a description in accordance with the Rules of the Bacteriological Code (1990 Revision). Moreover, the proposal of the name 'Mycobacterium abscessus subsp. massiliense' is contrary to Rule 38 and the correct name of this taxon, at the rank of subspecies, is Mycobacterium abscessus subsp. bolletii comb. nov. A description of Mycobacterium abscessus subsp. abscessus subsp. nov. and an emended description of Mycobacterium abscessus are also given. PMID- 21037036 TI - Aureibacter tunicatorum gen. nov., sp. nov., a marine bacterium isolated from a coral reef sea squirt, and description of Flammeovirgaceae fam. nov. AB - Two aerobic, Gram-reaction-negative, golden-yellow pigmented and rod-shaped bacteria, designated strains A5Q-118(T) and A5Q-27, were isolated from an unidentified sea squirt that thrives in the coral reefs off the coast of Okinawa, Japan. Phylogenetic analyses based on the 16S rRNA gene sequence revealed that the novel isolates were affiliated with the family 'Flammeovirgaceae' of the phylum Bacteroidetes. Strains A5Q-118(T) and A5Q-27 shared 100 % sequence similarity with each other and showed <92 % similarity with other cultivated members of the family 'Flammeovirgaceae'. The novel isolates were phenotypically and physiologically different from strains described previously. The DNA G+C content was 35.5-36.2 mol%, MK-7 was the major menaquinone and iso-C(15 : 0) and C(16 : 1)omega5c were the major fatty acids. Based on the results of this polyphasic taxonomic study, it was concluded that strains A5Q-118(T) and A5Q-27 represent a novel species in a new genus of the family 'Flammeovirgaceae', for which the name Aureibacter tunicatorum gen. nov., sp. nov. is proposed. Proposal for designation of the Flammeovirgaceae fam. nov. is also presented. The type strain of Aureibacter tunicatorum is A5Q-118(T) ( = KCTC 23232(T) = NBRC 107587(T)). PMID- 21037037 TI - Glaciecola arctica sp. nov., isolated from Arctic marine sediment. AB - A Gram-negative, motile, psychrotolerant, oxidase- and catalase-positive bacterium, designated BSs20135(T), was isolated from Arctic marine sediment. Cells were straight or slightly curved rods and formed circular, convex and yellowish-brown colonies. Buds and prosthecae could be produced. The strain grew at 4-28 degrees C (optimum 25 degrees C) and with 1-5 % (w/v) NaCl (optimum 2 %) and hydrolysed aesculin and DNA, but did not reduce nitrate to nitrite. Phylogenetic analysis of 16S rRNA gene sequences indicated that strain BSs20135(T) belonged to the genus Glaciecola and shared 93.6-97.7 % sequence similarity with the type strains of known species of the genus Glaciecola. The major cellular fatty acids of strain BSs20135(T) were summed feature 3 (comprising C(16 : 1)omega7c and/or iso-C(15 : 0) 2-OH), C(16 : 0), C(17 : 1)omega8c and C(18 : 1)omega7c. The genomic DNA G+C content was 40.3 mol%. Based on 16S rRNA gene sequence analysis, DNA-DNA hybridization data and phenotypic and chemotaxonomic characterization, strain BSs20135(T) represents a novel species, for which the name Glaciecola arctica sp. nov. is proposed. The type strain is BSs20135(T) ( = CCTCC AB 209161(T) = KACC 14537(T)). PMID- 21037038 TI - Pseudomonas bauzanensis sp. nov., isolated from soil. AB - A Gram-negative, aerobic, motile rod, designated BZ93(T), was isolated from soil from an industrial site. The strain grew at 5-30 degrees C. Phylogenetic analysis based on 16S rRNA gene sequences showed that strain BZ93(T) was related to members of the genus Pseudomonas and was related most closely to Pseudomonas xiamenensis C10-2(T) (97.8 % 16S rRNA gene sequence similarity) and Pseudomonas pertucinogena IFO 14163(T) (97.4 %). The predominant cellular fatty acids of strain BZ93(T) were C(18 : 1)omega7c (54.8 %), summed feature 3 (C(16 : 1)omega7c and/or iso-C(15 : 0) 2-OH; 10.3 %), C(16 : 0) (9.9 %) and C(17 : 0) cyclo (7.4 %). The major quinone was ubiquinone 9. The major phospholipids were phosphatidylethanolamine, diphosphatidylglycerol, phosphatidylglycerol and an unknown phospholipid. The genomic DNA G+C content was 61.8 mol%. On the basis of phenotypic characteristics, phylogenetic analysis and DNA-DNA relatedness, a novel species, Pseudomonas bauzanensis sp. nov., is proposed. The type strain is BZ93(T) ( = DSM 22558(T) = CGMCC 1.9095(T) = LMG 26048(T)). PMID- 21037039 TI - 78-year-old man with metastatic squamous cell carcinoma, dyspnea, and hypotension. PMID- 21037040 TI - Amyopathic dermatomyositis. PMID- 21037041 TI - Khat chewing: a smokeless gun? PMID- 21037043 TI - Predicting patients' expectations of hospital chaplains: a multisite survey. AB - OBJECTIVE: To identify patient expectations regarding chaplain visitation, characteristics of patients who want to be visited by a chaplain, and what patients deem important when a chaplain visits. PARTICIPANTS AND METHODS: Three weeks after discharge, 4500 eligible medical and surgical patients from hospitals in Minnesota, Arizona, and Florida were surveyed by mail to collect demographic information and expectations regarding chaplain visitation. The survey was conducted during the following time periods: Minnesota participants, April 6 until April 25, 2006; Arizona participants, October 16, 2008, until January 13, 2009; Florida participants, October 16, 2008, until January 20, 2009. Categorical variables were summarized with frequencies or percentages. Associations between responses and site were examined using chi(2) tests. Multivariate logistic regression was used to assess the likelihood of wanting chaplain visitation on the basis of patient demographics and perceived importance of reasons for chaplain visitation. RESULTS: About one-third of those surveyed responded from each site. Most were male, married, aged 56 years or older, and Protestant or Catholic. Of the respondents, nearly 70% reported wanting chaplain visitation, 43% were visited, and 81% indicated that visitation was important. The strongest predictor of wanting chaplain visitation was denomination vs no indicated religious affiliation (Catholic: odds ratio [OR], 8.11; 95% confidence interval [CI], 4.49-14.64; P<.001; evangelical Protestant: OR, 4.95; 95% CI, 2.74-8.91; P<.001; mainline Protestant: OR, 4.34; 95% CI, 2.58-7.29; P<.001). Being female was a weak predictor (OR, 1.48; 95% CI, 1.05-2.09; P=.03), as was site. Among the reasons given by respondents for wanting chaplain visitation, the most important were that chaplains served as reminders of God's care and presence (OR, 4.37; 95% CI, 2.58-7.40; P<.001) and that they provided prayer or scripture reading (OR, 2.54; 95% CI, 1.53-4.20; P<.001). CONCLUSION: The results of this study suggest the importance medical and surgical patients place on being visited by a chaplain while they are hospitalized. Those who valued chaplains because they reminded them of God's care and presence and/or because they prayed or read scripture with them were more likely to desire a visit. Our results also suggest that being religiously affiliated is a very strong predictor of wanting chaplain visitation. PMID- 21037042 TI - The obesity paradox and mortality associated with surrogates of body size and muscle mass in patients receiving hemodialysis. AB - OBJECTIVE: To determine whether dry weight gain accompanied by an increase in muscle mass is associated with a survival benefit in patients receiving maintenance hemodialysis (HD). PATIENTS AND METHODS: In a nationally representative 5-year cohort of 121,762 patients receiving HD 3 times weekly from July 1, 2001, through June 30, 2006, we examined whether body mass index (BMI) (calculated using 3-month averaged post-HD dry weight) and 3-month averaged serum creatinine levels (a likely surrogate of muscle mass) and their changes over time were predictive of mortality risk. RESULTS: In the cohort, higher BMI (up to 45) and higher serum creatinine concentration were incrementally and independently associated with greater survival, even after extensive multivariate adjustment for available surrogates of nutritional status and inflammation. Dry weight loss or gain over time exhibited a graded association with higher rates of mortality or survival, respectively, as did changes in serum creatinine level over time. Among the 50,831 patients who survived the first 6 months and who had available data for changes in weight and creatinine level, those who lost weight but had an increased serum creatinine level had a greater survival rate than those who gained weight but had a decreased creatinine level. These associations appeared consistent across different demographic groups of patients receiving HD. CONCLUSION: In patients receiving long-term HD, larger body size with more muscle mass appears associated with a higher survival rate. A discordant muscle gain with weight loss over time may confer more survival benefit than weight gain while losing muscle. Controlled trials of muscle-gaining interventions in patients receiving HD are warranted. PMID- 21037044 TI - Appropriately prescribing antibiotics for patients with pharyngitis: a physician based approach vs a nurse-only triage and treatment algorithm. AB - OBJECTIVE: To assess the safety and appropriateness of antibiotic use in adult patients with pharyngitis who opted for a nurse-only triage and treatment algorithm vs patients who underwent a physician-directed clinical evaluation. PATIENTS AND METHODS: Using International Classification of Diseases, Ninth Revision codes to query the electronic medical record database at our institution, a large multispecialty health care system in LaCrosse, WI, we identified adult patients diagnosed as having pharyngitis from September 1, 2005, through August 31, 2007. Diagnosis, treatment, and outcome data were collected retrospectively. RESULTS: Of 4996 patients who sought treatment for pharyngitis, 3570 (71.5%) saw a physician and 1426 (28.5%) opted for the nurse-only triage and treatment algorithm. Physicians adhered to antibiotic-prescribing guidelines in 3310 (92.7%) of 3570 first visits, whereas nurses using the algorithm adhered to guidelines in 1422 (99.7%) of 1426 first visits (P<.001). Physicians were significantly less likely to follow guidelines at patients' subsequent visits for a single pharyngitis illness than at their initial one (92.7% [3310/3570] vs 83.7% [406/485]; P<.001). CONCLUSION: Instituting a simple nurse-only triage and treatment algorithm for patients presenting with pharyngitis appears to reduce unnecessary antibiotic use. PMID- 21037045 TI - Hantavirus infection: a neglected diagnosis in thrombocytopenia and fever? AB - Thrombocytopenia, fever, and acute renal failure are characteristic features of nephropathia epidemica, the predominant hantavirus infection in Europe. However, clinical presentation and blood cell counts may point to other disorders, such as a hematologic disease, particularly when impairment of renal function is not evident. This differential diagnosis often results in further extensive and unnecessary testing. We describe 3 patients with hantavirus infection with no renal failure, in whom a hematologic disorder was initially suspected. Serologic testing of hantavirus finally unraveled the mystery, and outcome of the patients was excellent. It is conceivable that similar cases often remain undiagnosed. Thus, testing for hantavirus should always be considered in cases of thrombocytopenia and fever of unknown origin, especially in areas endemic for the infection. PMID- 21037046 TI - Energy beverages: content and safety. AB - Exercise is making a resurgence in many countries, given its benefits for fitness as well as prevention of obesity. This trend has spawned many supplements that purport to aid performance, muscle growth, and recovery. Initially, sports drinks were developed to provide electrolyte and carbohydrate replacement. Subsequently, energy beverages (EBs) containing stimulants and additives have appeared in most gyms and grocery stores and are being used increasingly by "weekend warriors" and those seeking an edge in an endurance event. Long-term exposure to the various components of EBs may result in significant alterations in the cardiovascular system, and the safety of EBs has not been fully established. For this review, we searched the MEDLINE and EMBASE databases from 1976 through May 2010, using the following keywords: energy beverage, energy drink, power drink, exercise, caffeine, red bull, bitter orange, glucose, ginseng, guarana, and taurine. Evidence regarding the effects of EBs is summarized, and practical recommendations are made to help in answering the patient who asks, "Is it safe for me to drink an energy beverage when I exercise?" PMID- 21037047 TI - Clinical pearls in nephrology. PMID- 21037048 TI - 51-year-old man with heart murmur. PMID- 21037049 TI - Use of body fatness cutoff points. PMID- 21037050 TI - Does vitamin D have a role in reducing the risk of peripheral artery disease? PMID- 21037051 TI - Changes in the Visiting Medical Student Clerkship Program at Mayo Clinic. PMID- 21037053 TI - John Dabiri receives 2010 MacArthur Foundation Fellowship. PMID- 21037054 TI - How efficient is a fish? PMID- 21037055 TI - Sensing the strike of a predator fish depends on the specific gravity of a prey fish. AB - The ability of a predator fish to capture a prey fish depends on the hydrodynamics of the prey and its behavioral response to the predator's strike. Despite the importance of this predator-prey interaction to the ecology and evolution of a diversity of fish, it is unclear what factors dictate a fish's ability to evade capture. The present study evaluated how the specific gravity of a prey fish's body affects the kinematics of prey capture and the signals detected by the lateral line system of the prey during the strike of a suction feeding predator. The specific gravity of zebrafish (Danio rerio) larvae was measured with high precision from recordings of terminal velocity in solutions of varying density. This novel method found that specific gravity decreased by ~5% (from 1.063, N=8, to 1.011, N=35) when the swim bladder inflates. To examine the functional consequences of this change, we developed a mathematical model of the hydrodynamics of prey in the flow field created by a suction-feeding predator. This model found that the observed decrease in specific gravity due to swim bladder inflation causes an 80% reduction of the flow velocity around the prey's body. Therefore, swim bladder inflation causes a substantial reduction in the flow signal that may be sensed by the lateral line system to evade capture. These findings demonstrate that the ability of a prey fish to sense a predator depends crucially on the specific gravity of the prey. PMID- 21037056 TI - Regulation of conduction velocity in axons from near-field receptors of the crayfish antennule. AB - The antennular flagella of the crayfish Procambarus clarkii each possess a linear array of near-field receptors, termed standing feathered sensilla, that are extremely sensitive to movement of the surrounding water. Previously it had been shown that, within each flagellum, the axonal conduction velocity of the sensory neuron pair associated with each feathered sensillum was linearly related to the position of the sensillum along the flagellar axis. In the current studies I show that the conduction velocity of axons within the proximal three segments of the antennules, between the flagellum and the brain, is somewhat higher than the corresponding conduction velocity of the same axons in the flagellum, especially for those whose flagellar conduction velocity is between 1 and 3 m s(-1), even though there is no net change in axonal diameter within this part of the afferent pathway. One consequence of this change in axonal conduction properties is an effective compression of the temporal spread - potentially by as much as tenfold which otherwise would occur in arrival times of initial spikes from each sensillum following a mechanical stimulus to the antennule. Furthermore, the pattern signature of initial spike volleys at the brain following a global hydrodynamic stimulus to the flagellum is remarkably consistent and conceivably could be recognized as such by central processing centers. I conclude that conduction velocity adjustments improve temporal summation and resolution from input volleys that originate in the highly sensitive and, hence, inherently noisy near-field receptors, thereby more effectively triggering startle response circuitry at the approach of potential predators. PMID- 21037057 TI - Biparental mucus feeding: a unique example of parental care in an Amazonian cichlid. AB - Vertebrates display a wide variety of parental care behaviours, including the guarding of offspring pre and post nutritional independence as well as the direct provision of nutrients during the early development period. The Amazonian cichlid Symphysodon spp. (discus fish) is unusual among fish species, in that both parents provide offspring with mucus secretions to feed from after hatching. This extensive provision of care, which can last up to a month, imposes a physiological demand on both parents and gives rise to conflict between the parent and offspring. Here, we investigated the relationship between parents and offspring during a breeding cycle, determining both mucus composition (total protein, cortisol, immunoglobulin, and Na(+), K(+) and Ca(2+) concentrations) and the behavioural dynamics of the parent-offspring relationship. Over the course of a breeding cycle, a significant increase in offspring bite rate was recorded, with a concomitant increase in the frequency of turns the male and female parent took at caring for their young. A peak in mucus antibody provision was seen as offspring reached the free-swimming stage, suggesting a role analogous to colostrum provision in mammals. Mucus protein content was lowest during the second and third weeks of free swimming, and a weaning period, similar to that seen in mammalian parental care, occurred when the offspring had been free swimming for ~3 weeks. In many ways, the parental behaviour of discus fish is more similar to mammalian and avian parental care than other fish species, and represents an exciting aquatic model for studying the parent-offspring conflict. PMID- 21037058 TI - Why do colder mothers produce larger eggs? An optimality approach. AB - One of the more common patterns of offspring size variation is that mothers tend to produce larger offspring at lower temperatures. Whether such variation is adaptive remains unclear. Determining whether optimal offspring size differs between thermal environments provides a direct way of assessing the adaptive significance of temperature-driven variation in egg size. Here, we examined the relationship between offspring size and performance at three temperatures for several important fitness components in the zebra fish, Danio rerio. The effects of egg size on performance were highly variable among life-history stages (i.e. pre- and post-hatching) and dependent on the thermal environment; offspring size positively affected performance at some temperatures but negatively affected performance at others. When we used these data to generate a simple optimality model, the model predicted that mothers should produce the largest size offspring at the lowest temperature, offspring of intermediate size at the highest temperature and the smallest offspring at the intermediate temperature. An experimental test of these predictions showed that the rank order of observed offspring sizes produced by mothers matched our predictions. Our results suggest that mothers adaptively manipulate the size of their offspring in response to thermally driven changes in offspring performance and highlight the utility of optimality approaches for understanding offspring size variation. PMID- 21037059 TI - Hypoxia stimulates lactate disposal in rainbow trout. AB - Current understanding of lactate metabolism in fish is based almost entirely on the interpretation of concentration measurements that cannot be used to infer changes in flux. The goals of this investigation were: (1) to quantify baseline lactate fluxes in rainbow trout (Oncorhynchus mykiss) under normoxic conditions; (2) to establish how changes in rates of lactate appearance (R(a)) and disposal (R(d)) account for the increase in blood lactate elicited by hypoxia; and (3) to identify the tissues responsible for lactate production. R(a) and R(d) lactate of rainbow trout were measured in vivo by continuous infusion of [U-(14)C]lactate in trout exposed to 25% O(2) saturation or maintained in normoxia for 90 min. In normoxic fish, R(a) lactate decreased from 18.2 to 13.1 MUmol kg(-1) min(-1) and R(d) lactate from 19.0 to 12.8. R(a) and R(d) were always matched, thereby maintaining a steady baseline blood lactate concentration of ~0.8 mmol l(-1). By contrast, the hypoxic fish increased blood lactate to 8.9 mmol l(-1) and R(a) lactate from 18.4 to 36.5 MUmol kg(-1) min(-1). This stimulation of anaerobic glycolysis was unexpectedly accompanied by a 52% increase in R(d) lactate from 19.9 to 30.3 MUmol kg(-1) min(-1). White muscle was the main producer of lactate, which accumulated to 19.2 MUmol g(-1) in this tissue. This first study of non steady-state lactate kinetics in fish shows that the increase in lactate disposal elicited by hypoxia plays a strategic role in reducing the lactate load on the circulation. Without this crucial response, blood lactate accumulation would double. PMID- 21037060 TI - Relationships between metabolic status, corticosterone secretion and maintenance of innate and adaptive humoral immunities in fasted re-fed mallards. AB - The prolonged exposure of birds to environmental stressors known to affect energy status and glucocorticoid secretion may have several physiological consequences including a decrease in immunocompetence, further compromising the survival of individuals. However, the relationships between these parameters remain poorly understood. To this end, changes in body energy content, plasma corticosterone, adaptive (total plasma immunoglobulin Y; IgY) and innate (natural antibodies; NAbs) immune systems were assessed in female mallards (Anas plathyrhynchos) throughout prolonged fasts of different intensities and subsequent re-feeding. Plasma IgY and NAb scores were decreased by 36% and 50%, respectively, during phase II of fasting (protein-sparing phase) and by up to 40% and 80%, respectively, during phase III (protein-wasting phase), indicating a selective regulation of immune function. These results are consistent with the hypothesis of a trade-off between immune function and other energy-demanding activities. However, despite full repletion of fuel reserves and NAbs, only 76% of initial IgY levels were recovered, further supporting a trade-off between innate and adaptive branches of immunity. Although fasting induced significant increases in corticosterone levels to up to 6 times higher than baseline levels during phase III, baseline levels were recovered within 1 day of re-feeding. Our data do not support the hypothesis of a direct regulation of immunocompetence by corticosterone, at least during periods of energy repletion. Finally, the mismatch between the kinetics of body fuels and the two arms of the immune system during fasting and re-feeding suggests that variations in immune system components do not strictly covary with body mass under fluctuating food conditions. PMID- 21037061 TI - The flow fields involved in hydrodynamic imaging by blind Mexican cave fish (Astyanax fasciatus). Part I: open water and heading towards a wall. AB - Blind Mexican cave fish (Astyanax fasciatus) sense the presence of nearby objects by sensing changes in the water flow around their body. The information available to the fish using this hydrodynamic imaging ability depends on the properties of the flow field it generates while gliding and how this flow field is altered by the presence of objects. Here, we used particle image velocimetry to measure the flow fields around gliding blind cave fish as they moved through open water and when heading towards a wall. These measurements, combined with computational fluid dynamics models, were used to estimate the stimulus to the lateral line system of the fish. Our results showed that there was a high-pressure region around the nose of the fish, low-pressure regions corresponding to accelerated flow around the widest part of the body and a thick laminar boundary layer down the body. When approaching a wall head-on, the changes in the stimulus to the lateral line were confined to approximately the first 20% of the body. Assuming that the fish are sensitive to a certain relative change in lateral line stimuli, it was found that swimming at higher Reynolds numbers slightly decreased the distance at which the fish could detect a wall when approaching head-on, which is the opposite to what has previously been expected. However, when the effects of environmental noise are considered, swimming at higher speed may improve the signal to noise ratio of the stimulus to the lateral line. PMID- 21037062 TI - The flow fields involved in hydrodynamic imaging by blind Mexican cave fish (Astyanax fasciatus). Part II: gliding parallel to a wall. AB - Blind Mexican cave fish (Astyanax fasciatus) are able to sense detailed information about objects by gliding alongside them and sensing changes in the flow field around their body using their lateral line sensory system. Hence the fish are able to build hydrodynamic images of their surroundings. This study measured the flow fields around blind cave fish using particle image velocimetry (PIV) as they swam parallel to a wall. Computational fluid dynamics models were also used to calculate the flow fields and the stimuli to the lateral line sensory system. Our results showed that characteristic changes in the form of the flow field occurred when the fish were within approximately 0.20 body lengths (BL) of a wall. The magnitude of these changes increased steadily as the distance between the fish and the wall was reduced. When the fish were within 0.02 BL of the wall there was a change in the form of the flow field owing to the merging of the boundary layers on the body of the fish and the wall. The stimuli to the lateral line appears to be sufficient for fish to detect walls when they are 0.10 BL away (the mean distance at which they normally swim from a wall), but insufficient for the fish to detect a wall when 0.25 BL away. This suggests that the nature of the flow fields surrounding the fish are such that hydrodynamic imaging can only be used by fish to detect surfaces at short range. PMID- 21037063 TI - Visual physiology underlying orientation and diel behavior in the sand beach amphipod Talorchestia longicornis. AB - Talitrid amphipods employ vision for zonal recovery behaviors on sand beaches and for entraining circadian activity rhythms. Using a hierarchy of methods, we examined visual spectral and response-intensity functions in Talorchestia longicornis, a species in which orientation and rhythm entrainment are wavelength specific behaviors. Microspectrophotometry, electroretinogram recording and behavioral assays were used to determine visual pigments, retinal spectral sensitivity and whole-animal spectral responsivity, respectively. Diel changes in absolute sensitivity were also investigated at retinal and whole-animal levels. Two receptor spectral classes were identified, with values for visual pigment lambda(max) of 427 and 518 nm. Retinal spectral sensitivity varied with electrode position along the distal-proximal axis. Chromatic adaptation of distal and proximal photoreceptors resulted in sensitivity peaks at 430 and 522 nm, respectively. In accordance with identified visual pigments and spectral sensitivity, T. longicornis photobehavioral responsivity covered a broad range (420-580 nm). Collectively, a dual-pigment visual system underlies wavelength specific behavior in T. longicornis, with the short-wavelength pigment likely to be localized in the distal R5 retinular cell. While response-intensity functions did not change over the diel cycle at the retinal level, behavioral photoresponsiveness varied between day and night. At a wavelength used by T. longicornis for celestial orientation (420 nm), photobehavior was heightened at night, potentially aiding in nocturnal orientation. By contrast, at a wavelength used to entrain its circadian rhythm (520 nm) and for routine visual tasks, photobehavior was heightened during the day, and spectral sensitivity matched to the twilight spectrum, facilitating crepuscular vision and entrainment by irradiance at sunrise and sunset. PMID- 21037064 TI - Long-term effects of the trehalase inhibitor trehazolin on trehalase activity in locust flight muscle. AB - Trehalase (EC 3.2.1.28) hydrolyzes the main haemolymph sugar of insects, trehalose, into the essential cellular substrate glucose. Trehalase in locust flight muscle is bound to membranes that appear in the microsomal fraction upon tissue fractionation, but the exact location in vivo has remained elusive. Trehalase has been proposed to be regulated by a novel type of activity control that is based on the reversible transformation of a latent (inactive) form into an overt (active) form. Most trehalase activity from saline-injected controls was membrane-bound (95%) and comprised an overt form (~25%) and a latent form (75%). Latent trehalase could be assayed only after the integrity of membranes had been destroyed. Trehazolin, a potent tight-binding inhibitor of trehalase, is confined to the extracellular space and has been used as a tool to gather information on the relationship between latent and overt trehalase. Trehazolin was injected into the haemolymph of locusts, and the trehalase activity of the flight muscle was determined at different times over a 30-day period. Total trehalase activity in locust flight muscle was markedly inhibited during the first half of the interval, but reappeared during the second half. Inhibition of the overt form preceded inhibition of the latent form, and the time course suggested a reversible precursor-product relation (cycling) between the two forms. The results support the working hypothesis that trehalase functions as an ectoenzyme, the activity of which is regulated by reversible transformation of latent into overt trehalase. PMID- 21037065 TI - Effect of different glycaemic conditions on gene expression of neuropeptides involved in control of food intake in rainbow trout; interaction with stress. AB - To assess mechanisms relating to food intake and glucosensing in fish, and their interaction with stress, we evaluated changes in the expression of orexigenic (NPY) and anorexigenic (POMC, CART and CRF) peptides in central glucosensing areas (hypothalamus and hindbrain) of rainbow trout subjected to normoglycaemic (control), hypoglycaemic (4 mg insulin kg(-1)) or hyperglycaemic (500 mg glucose kg(-1)) conditions for 6 h under normal stocking density (NSD; 10 kg fish mass m( 3)) or under stress conditions induced by high stocking density (HSD; 70 kg fish mass m(-3)). Hyperglycaemic NSD conditions resulted in decreased mRNA levels of NPY and increased levels of CART and POMC in the hypothalamus as well as increased mRNA levels of CART and CRF in the hindbrain compared with hypo- and normoglycaemic conditions. HSD conditions in normoglycaemic fish induced marked changes in the expression of all peptides assessed: mRNA levels of NPY and CRF increased and mRNA levels of POMC and CART decreased in the hypothalamus, whereas the expression of all four peptides (NPY, POMC, CART and CRF) decreased in the hindbrain. Furthermore, HSD conditions altered the response to changes in glycaemia of NPY and POMC expression in the hypothalamus and CART expression in the hypothalamus and the hindbrain. The results are discussed in the context of food intake regulation by glucosensor systems and their interaction with stress in fish. PMID- 21037066 TI - Vocal power and pressure-flow relationships in excised tiger larynges. AB - Despite the functional importance of loud, low-pitched vocalizations in big cats of the genus Panthera, little is known about the physics and physiology of the mechanisms producing such calls. We investigated laryngeal sound production in the laboratory using an excised-larynx setup combined with sound-level measurements and pressure-flow instrumentation. The larynges of five tigers (three Siberian or Amur, one generic non-pedigreed tiger with Bengal ancestry and one Sumatran), which had died of natural causes, were provided by Omaha's Henry Doorly Zoo over a five-year period. Anatomical investigation indicated the presence of both a rigid cartilaginous plate in the arytenoid portion of the glottis, and a vocal fold fused with a ventricular fold. Both of these features have been confusingly termed 'vocal pads' in the previous literature. We successfully induced phonation in all of these larynges. Our results showed that aerodynamic power in the glottis was of the order of 1.0 W for all specimens, acoustic power radiated (without a vocal tract) was of the order of 0.1 mW, and fundamental frequency ranged between 20 and 100 Hz when a lung pressure in the range of 0-2.0 kPa was applied. The mean glottal airflow increased to the order of 1.0 l s(-1) per 1.0 kPa of pressure, which is predictable from scaling human and canine larynges by glottal length and vibrational amplitude. Phonation threshold pressure was remarkably low, on the order of 0.3 kPa, which is lower than for human and canine larynges phonated without a vocal tract. Our results indicate that a vocal fold length approximately three times greater than that of humans is predictive of the low fundamental frequency, and the extraordinarily flat and broad medial surface of the vocal folds is predictive of the low phonation threshold pressure. PMID- 21037067 TI - Measuring foraging activity in a deep-diving bird: comparing wiggles, oesophageal temperatures and beak-opening angles as proxies of feeding. AB - Quantification of prey consumption by marine predators is key to understanding the organisation of ecosystems. This especially concerns penguins, which are major consumers of southern food webs. As direct observation of their feeding activity is not possible, several indirect methods have been developed that take advantage of miniaturised data logging technology, most commonly: detection of (i) anomalies in diving profiles (wiggles), (ii) drops in oesophageal temperature and (iii) the opening of mouth parts (recorded with a Hall sensor). In the present study, we used these three techniques to compare their validity and obtain information about the feeding activity of two free-ranging king penguins (Aptenodytes patagonicus). Crucially, and for the first time, two types of beak opening events were identified. Type A was believed to correspond to failed prey capture attempts and type B to successful attempts, because, in nearly all cases, only type B was followed by a drop in oesophageal temperature. The number of beak opening events, oesophageal temperature drops and wiggles per dive were all correlated. However, for a given dive, the number of wiggles and oesophageal temperature drops were lower than the number of beak-opening events. Our results suggest that recording beak opening is a very accurate method for detecting prey ingestions by diving seabirds at a fine scale. However, these advantages are counterbalanced by the difficulty, and hence potential adverse effects, of instrumenting birds with the necessary sensor/magnet, which is in contrast to the less accurate but more practicable methods of measuring dive profiles or, to a lesser extent, oesophageal temperature. PMID- 21037068 TI - Pulse sound generation, anterior swim bladder buckling and associated muscle activity in the pyramid butterflyfish, Hemitaurichthys polylepis. AB - Acoustic behaviors are widespread among diverse fish taxa but mechanisms of sound production are known from relatively few species, vary widely and convergent mechanisms are poorly known. We examined the sound production mechanism in the pyramid butterflyfish, Hemitaurichthys polylepis, a member of the socially and ecologically diverse reef fish family Chaetodontidae. In the field, fish produce pulse trains at dusk during social interactions that are probably related to mate attraction and courtship. In laboratory experiments, sound production was synchronized to high-speed video to determine body movement associated with sound generation. In addition, electromyography (EMG) recordings tested the activity of six candidate muscles. Fish produced individual pulses with a mean peak frequency of 97 Hz in rapid succession. EMG experiments show that anterior hypaxial muscles contract at high bilaterally synchronous rates (up to 120 Hz) in near perfect association with rapid inward buckling visible outside the body over the anterior swim bladder. Muscle activity often showed EMG doublets that occurred within the time of a single sound pulse but was not sustained. Buckling and sound pulse rates correlated strongly (R(2)~1.00) and sound pulse rate measured over two successive pulses (maximum of 38 pulses s(-1)) was lower than muscle firing rate. These results show that the extrinsic swim bladder muscles of pyramid butterflyfish involve single contractions that produce pulses in a manner similar to distantly related teleosts, but involve a novel doublet motor-neuron firing pattern. Thus, the sound production mechanism in pyramid butterflyfish is likely convergent with several percomorph taxa and divergent from the related chaetodontid genus Forcipiger. PMID- 21037069 TI - Differential adjustment in gill Na+/K+- and V-ATPase activities and transporter mRNA expression during osmoregulatory acclimation in the cinnamon shrimp Macrobrachium amazonicum (Decapoda, Palaemonidae). AB - We evaluate osmotic and chloride (Cl(-)) regulatory capability in the diadromous shrimp Macrobrachium amazonicum, and the accompanying alterations in hemolymph osmolality and [Cl(-)], gill Na(+)/K(+)-ATPase activity, and expression of gill Na(+)/K(+)-ATPase alpha-subunit and V-ATPase B subunit mRNA during salinity (S) acclimation. We also characterize V-ATPase kinetics and the organization of transport-related membrane systems in the gill epithelium. Macrobrachium amazonicum strongly hyper-regulates hemolymph osmolality and [Cl(-)] in freshwater and in salinities up to 250/00 S. During a 10-day acclimation period to 250/00 S, hemolymph became isosmotic and hypo-chloremic after 5 days, [Cl(-)] alone remaining hyporegulated thereafter. Gill Na(+)/K(+)-ATPase alpha-subunit mRNA expression increased 6.5 times initial values after 1 h, then decreased to 3 to 4 times initial values by 24 h and to 1.5 times initial values after 10 days at 250/00 S. This increased expression was accompanied by a sharp decrease at 5 h then recovery of initial Na(+)/K(+)-ATPase activity within 24 h, declining again after 5 days, which suggests transient Cl(-) secretion. V-ATPase B-subunit mRNA expression increased 1.5-fold within 1 h, then reduced sharply to 0.3 times initial values by 5 h, and remained unchanged for the remainder of the 10-day period. V-ATPase activity dropped sharply and was negligible after a 10-day acclimation period to 210/00 S, revealing a marked downregulation of ion uptake mechanisms. The gill epithelium consists of thick, apical pillar cell flanges, the perikarya of which are coupled to an intralamellar septum. These two cell types respectively exhibit extensive apical evaginations and deep membrane invaginations, both of which are associated with numerous mitochondria, characterizing an ion transporting epithelium. These changes in Na(+)/K(+)- and V ATPase activities and in mRNA expression during salinity acclimation appear to underpin ion uptake and Cl(-) secretion by the palaemonid shrimp gill. PMID- 21037070 TI - Prey type, vibrations and handling interactively influence spider silk expression. AB - The chemical and mechanical properties of spider major ampullate (MA) silks vary in response to different prey, mostly via differential expression of two genes - MaSp1 and MaSp2 - although the spinning process exerts additional influence over the mechanical properties of silk. The prey cues that initiate differential gene expression are unknown. Prey nutrients, vibratory stimuli and handling have been suggested to be influential. We performed experiments to decouple the vibratory stimuli and handling associated with high and low kinetic energy prey (crickets vs flies) from their prey nutrients to test the relative influence of each as inducers of silk protein expression in the orb web spider Nephila pilipes. We found that the MA silks from spiders feeding on live crickets had greater percentages of glutamine, serine, alanine and glycine than those from spiders feeding on live flies. Proline composition of the silks was unaffected by feeding treatment. Increases in alanine and glycine in the MA silks of the live-cricket feeding spiders indicate a probable increase in MaSp1 gene expression. The amino acid compositions of N. pilipes feeding on crickets with fly stimuli and N. pilipes feeding on flies with cricket stimuli did not differ from each other or from pre-treatment responses, so these feeding treatments did not induce differential MaSp expression. Our results indicate that cricket vibratory stimuli and handling interact with nutrients to induce N. pilipes to adjust their gene expression to produce webs with mechanical properties appropriate for the retention of this prey. This shows that spiders can genetically alter their silk chemical compositions and, presumably, mechanical properties upon exposure to different prey types. The lack of any change in proline composition with feeding treatment in N. pilipes suggests that the MaSp model determined for Nephila clavipes is not universally applicable to all Nephila. PMID- 21037071 TI - Short-range allelochemicals from a plant-herbivore association: a singular case of oviposition-induced synomone for an egg parasitoid. AB - Oviposition-induced plant synomones are semiochemical cues used by egg parasitoids during host selection, and are therefore considered important elements of plant defence. In this paper we show that, in the tritrophic system Brassica oleracea-Murgantia histrionica-Trissolcus brochymenae, the latter responded in a closed arena and in a static olfactometer to induced chemicals that are perceived from a very short range and after parasitoid contact with the leaf surface opposite the treated surface. An additive or synergistic effect due to (1) egg deposition, (2) feeding punctures and (3) chemical footprints of M. histrionica was observed. When all three phases were present, the parasitoid reacted to the induced synomone locally on the treated leaf area, at a close distance to the treated area, and on the leaf above the treated one, showing that there is also a systemic effect. When plants with host footprints combined with feeding punctures or with oviposition were tested, responses were obtained both locally and at a close distance, whereas in the remaining assays only local responses were observed. Induction time was less than 24 h, whereas signal duration was apparently related to the suitability of the host eggs, as parasitoids did not respond to plants carrying old or hatched eggs. These oviposition-induced short-range plant synomones might have an important role in the host location process after parasitoid landing on the plant, in different combinations with the host kairomones involved in the system studied here. PMID- 21037072 TI - The mechanics of the adhesive locomotion of terrestrial gastropods. AB - Research on the adhesive locomotion of terrestrial gastropods is gaining renewed interest as it provides a source of guidance for the design of soft biomimetic robots that can perform functions currently not achievable by conventional rigid vehicles. The locomotion of terrestrial gastropods is driven by a train of periodic muscle contractions (pedal waves) and relaxations (interwaves) that propagate from their tails to their heads. These ventral waves interact with a thin layer of mucus secreted by the animal that transmits propulsive forces to the ground. The exact mechanism by which these propulsive forces are generated is still a matter of controversy. Specifically, the exact role played by the complex rheological and adhesive properties of the mucus is not clear. To provide quantitative data that could shed light on this question, we use a newly developed technique to measure, with high temporal and spatial resolution, the propulsive forces that terrestrial gastropods generate while crawling on smooth flat surfaces. The traction force measurements demonstrate the importance of the finite yield stress of the mucus in generating thrust and are consistent with the surface of the ventral foot being lifted with the passage of each pedal wave. We also show that a forward propulsive force is generated beneath each stationary interwave and that this net forward component is balanced by the resistance caused by the outer rim of the ventral foot, which slides at the speed of the center of mass of the animal. Simultaneously, the animal pulls the rim laterally inward. Analysis of the traction forces reveals that the kinematics of the pedal waves is far more complex than previously thought, showing significant spatial variation (acceleration/deceleration) as the waves move from the tail to the head of the animal. PMID- 21037073 TI - Temperature effects in articular cartilage biomechanics. AB - Articular cartilage is the soft tissue that covers contacting surfaces of bones in synovial joints. Cartilage is composed of chondrocytes and an extracellular matrix containing numerous biopolymers, cations and water. Healthy cartilage functions biomechanically to provide smooth and stable joint movement. Degenerative joint diseases such as osteoarthritis involve cartilage deterioration, resulting in painful and cumbersome joint motion. Temperature is a fundamental quantity in mechanics, yet the effects of temperature on cartilage mechanical behavior are unknown. This study addressed the questions of whether cartilage stiffness and stress relaxation change with temperature. Samples of middle-zone bovine calf patellofemoral cartilage were tested in unconfined compression first at 24 degrees C and then again after heating to 60 degrees C. The data reveal that when temperature increases: (1) both peak and equilibrium stiffness increase by 150 and 8%, respectively, and (2) stress relaxation is faster at higher temperature, as shown by a 60% decrease in the time constant. The increases in temperature-dependent stiffness are consistent with polymeric mechanisms of matrix viscoelasticity but not with interstitial fluid flow. The changes in the time constant are consistent with a combination of both fluid flow and matrix viscoelasticity. Furthermore, we discovered a novel phenomenon: at stress-relaxation equilibrium, compressive stress increased with temperature. These data demonstrate a rich area of cartilage mechanics that has previously been unexplored and emphasize the role of polymer dynamics in cartilage viscoelasticity. Further studies of cartilage polymer dynamics may yield additional insight into mechanisms of cartilage material behavior that could improve treatments for cartilage degeneration. PMID- 21037074 TI - Inflammatory bowel disease-associated interleukin-33 is preferentially expressed in ulceration-associated myofibroblasts. AB - Interleukin-33 (IL-33) is a novel member of the interleukin-1 family that induces mucosal pathology in vivo and may drive fibrosis development and angiogenesis. To address its potential role in inflammatory bowel disease, we explored its tissue expression in biopsy specimens from untreated ulcerative colitis patients, observing a 2.6-fold up-regulation of IL-33 mRNA levels, compared to controls. Immunohistochemical analyses of surgical specimens showed that a prominent source of IL-33 in ulcerative colitis lesions were ulceration-associated myofibroblasts that co-expressed the fibroblast marker heat shock protein 47, platelet-derived growth factor receptor (PDGFR)beta, and, in part, the myofibroblast marker alpha smooth muscle actin (SMA). In contrast, IL-33-positive myofibroblasts were almost absent near the deep fissures seen in Crohn's disease. A screen of known and putative activators of IL-33 in cultured fibroblasts revealed that the Toll-like receptor-3 agonist poly (I:C) was among the strongest inducers of IL-33 and that it synergized with transforming growth factor-beta, a combination also known to boost myofibroblast differentiation. Experimental wound healing in rat skin revealed that the de novo induction of IL-33 in pericytes and the possible activation of scattered, tissue-resident IL-33(+)PDGFRbeta(+)alphaSMA(-) fibroblast-like cells were early events that preceded the later appearance of IL 33(+)PDGFRbeta(+)alphaSMA(+) cells. In conclusion, our data point to a novel role for IL-33 in mucosal healing and wound repair and to an interesting difference between ulcerative colitis and Crohn's disease. PMID- 21037075 TI - Activation of phosphatidylinositol 3-kinase/Akt and impairment of nuclear factor kappaB: molecular mechanisms behind the arrested maturation/activation state of Leishmania infantum-infected dendritic cells. AB - Understanding the complex interactions between Leishmania and dendritic cells (DCs) is central to the modulation of the outcome of this infection, given that an effective immune response against Leishmania is dependent on the successful activation and maturation of DCs. We report here that Leishmania infantum promastigotes successfully infect mouse bone marrow-derived DCs without triggering maturation, as shown by a failure in the up-regulation of CD40 and CD86 expression, and that parasites strongly counteract the lipopolysaccharide triggered maturation of DCs. A small increase in interleukin (IL)-12 and IL-10 transcription and secretion and a decrease in IL-6 were observed in infected cells. This arrested DC maturation state is actively promoted by parasites because heat-killed or fixed parasites increased cytokine and costimulatory molecule expression. At a molecular level, L. infantum rapidly induced activation of phosphatidylinositol 3-kinase/Akt and extracellular signal-regulated kinase 1/2, whereas no effect was observed in the c-Jun N-terminal kinase and p38 mitogen-activated protein kinase proinflammatory pathways. Moreover, parasites actively promoted cleavage of the nuclear factor-kappaB p65(RelA) subunit, causing its impairment. The blockade of phosphatidylinositol 3-kinase/Akt by either treatment of bone marrow-derived DCs with wortmannin or transfection with an Akt dominant-negative mutant resulted in a strong decrease in infection rates, revealing for the first time a crucial role of this pathway on Leishmania engulfment by DCs. Overall, our data indicate that activation of Akt and impairment of nuclear factor-kappaB are responsible for immunogenicity subversion of L. infantum-infected DCs. PMID- 21037076 TI - The coagulation system contributes to alphaVbeta6 integrin expression and liver fibrosis induced by cholestasis. AB - Chronic injury to intrahepatic bile duct epithelial cells (BDECs) elicits expression of various mediators, including the alphaVbeta6 integrin, promoting liver fibrosis. We tested the hypothesis that tissue factor (TF)-dependent thrombin generation and protease activated receptor-1 (PAR-1) activation contribute to liver fibrosis induced by cholestasis via induction of alphaVbeta6 expression. To test this hypothesis, mice deficient in either TF or PAR-1 were fed a diet containing 0.025% alpha-naphthylisothiocyanate (ANIT), a BDEC selective toxicant. In genetically modified mice with a 50% reduction in liver TF activity fed an ANIT diet, coagulation cascade activation and liver fibrosis were reduced. Similarly, liver fibrosis was significantly reduced in PAR-1(-/-) mice fed an ANIT diet. Hepatic integrin beta6 mRNA induction, expression of alphaVbeta6 protein by intrahepatic BDECs, and SMAD2 phosphorylation were reduced by TF deficiency and PAR-1 deficiency in mice fed the ANIT diet. Treatment with either an anti-alphaVbeta6 blocking antibody or soluble transforming growth factor-beta receptor type II reduced liver fibrosis in mice fed the ANIT diet. PAR-1 activation enhanced transforming growth factor-beta1-induced integrin beta6 mRNA expression in both transformed human BDECs and primary rat BDECs. Interestingly, TF and PAR-1 mRNA levels were increased in livers from patients with cholestatic liver disease. These results indicate that a TF-PAR-1 pathway contributes to liver fibrosis induced by chronic cholestasis by increasing expression of the alphaVbeta6 integrin, an important regulator of transforming growth factor-beta1 activation. PMID- 21037077 TI - Commensal-epithelial signaling mediated via formyl peptide receptors. AB - Commensal bacteria and/or their products engender beneficial effects to the mammalian gut, including stimulating physiological cellular turnover and enhancing wound healing, without activating overt inflammation. In the present study, we observed commensal bacteria-mediated activation of the noninflammatory extracellular signal-regulated kinase[ERK]/mitogen-activated protein kinase and Akt signaling pathways in gut epithelial cells and delineated a mechanism for this bacterially activated signaling. All tested strains of commensal bacteria induced ERK phosphorylation without stimulating pro-inflammatory phospho-IkappaB or pro-apoptotic phospho-c-Jun NH(2)-terminal kinase, with Lactobacillus species being most potent. This pattern of signaling activation was recapitulated using the peptide N-formyl-Met-Leu-Phe, a bacterial product known to stimulate signaling events in mammalian phagocytes. Sensing of N-formyl-Met-Leu-Phe by gut epithelial cells occurs via recently characterized formyl peptide receptors located in the plasma membrane. Both commensal bacteria and N-formyl-Met-Leu-Phe application to the apical surface of polarized gut epithelial cells resulted in specific formyl peptide receptor activation. In addition, pretreatment of model epithelia and murine colon with Boc2 (a specific peptide antagonist) or pertussis toxin (a G(i)-protein inhibitor) abolished commensal-mediated ERK phosphorylation. Taken together, these data show that commensal bacteria specifically activate the ERK/mitogen-activated protein kinase pathway in an formyl peptide receptor-dependent manner, delineating a mechanism by which commensal bacteria contribute to cellular signaling in gut epithelia. PMID- 21037078 TI - Exacerbation of oxazolone colitis by infection with the helminth Hymenolepis diminuta: involvement of IL-5 and eosinophils. AB - Substantial data show that infection with helminth parasites ameliorates colitis; however, oxazolone-induced colitis is exaggerated in mice infected with the tapeworm, Hymenolepis diminuta. We tested the hypothesis that the IL-5 response to helminth infection enhances the severity of oxazolone-induced colitis. Mice were infected with H. diminuta and 8 days later were treated with oxazolone +/- anti-IL-5 antibodies. Colitis was assessed 72 hours postoxazolone treatment by disease activity scores, myeloperoxidase activity, and histopathology. Other mice received injections of a replication-deficient adenovirus that carried the IL-5 (Ad.IL-5) gene or a control adenovirus (Ad.delete) +/- oxazolone. The effect of H. diminuta+oxazolone in CCL11/CCL22 (eotaxin-1 and 2) knockout (KO) mice was determined. Helminth infection and Ad.IL-5 treatment increased IL-5 and eosinophil numbers. In vivo neutralization of IL-5 significantly reduced the severity of colitis in H. diminuta+oxazolone-treated mice, and H. diminuta did not exaggerate oxazolone-induced colitis in CCL11/CCL22 KO mice. Mice receiving Ad.IL-5 only had no colitis, while oxazolone-induced colitis was more severe in animals cotreated with Ad.IL-5 (Ad.delete + oxazolone was not significantly different from oxazolone only). Thus, while there is much to be gleaned about antiinflammatory mechanisms from rodent-helminth model systems, these data illustrate the caveat that infection with helminth parasites as a therapy could be contraindicated in patients with eosinophilia or elevated IL-5 unless coupled to appropriate measures to block IL-5 and/or eosinophil activity. PMID- 21037079 TI - Pilocytic astrocytomas have telomere-associated promyelocytic leukemia bodies without alternatively lengthened telomeres. AB - Telomere maintenance by either telomerase activity or the recombination-mediated alternative lengthening of telomeres (ALT) mechanism is a hallmark of cancer. Tumors that use ALT as their telomere maintenance mechanism are characterized by long telomeres of great heterogeneity in length and by specific nuclear structures of co-localized promyelocytic leukemia protein and telomere DNA, called ALT-associated promyelocytic leukemia bodies (APBs). Recent advances have revealed a direct role for APBs in telomere recombination in ALT-positive cells. In this study, we investigated the possibility that APBs could occur before the long 'alternatively' lengthened telomeres arise, particularly in low-grade tumors. We measured APBs, telomere length, and telomerase activity in 64 astrocytomas inclusive of grade 1-4 tumors. Almost all grade 1-3 tumors (93%) were APB-positive using published criteria. Grade 2-3 APB-positive tumors also had long telomeres and were confirmed as ALT positive. However, grade 1 tumors lacked long telomeres and were therefore classified as ALT negative, but positive for telomere-associated promyelocytic leukemia bodies (TPB). This is the first report of a TPB-positive but ALT-negative tumor, and suggests that low-grade tumors have the foundation for recombinational telomere repair, as in ALT. Further work is warranted to characterize the TPB-positive phenotype in other early malignancies, as well as to determine whether TPBs predispose to telomere maintenance by ALT. PMID- 21037080 TI - Storage vesicles in neurons are related to Golgi complex alterations in mucopolysaccharidosis IIIB. AB - The accumulation of intracellular storage vesicles is a hallmark of lysosomal storage diseases. Neither the identity nor origin of these implicated storage vesicles have yet been established. The vesicles are often considered as lysosomes, endosomes, and/or autophagosomes that are engorged with undigested materials. Our studies in the mouse model of mucopolysaccharidosis type IIIB, a lysosomal storage disease that induces neurodegeneration, showed that large storage vesicles in cortical neurons did not receive material from either the endocytic or autophagy pathway, which functioned normally. Storage vesicles expressed GM130, a Golgi matrix protein, which mediates vesicle tethering in both pre- and cis-Golgi compartments. However, other components of the tethering/fusion complex were not associated with GM130 on storage vesicles, likely accounting for both the resistance of the vesicles to brefeldin A and the alteration of Golgi ribbon architecture, which comprised distended cisterna connected to LAMP1-positive storage vesicles. We propose that alteration in the GM130-mediated control of vesicle trafficking in pre-Golgi and Golgi compartments affects Golgi biogenesis and gives rise to a dead-end storage compartment. Vesicle accumulation, Golgi disorganization, and alterations of other GM130 functions may account for neuron dysfunction and death. PMID- 21037081 TI - Loss of heterozygosity and DNA methylation affect germline fibroblast growth factor receptor 4 polymorphism to direct allelic selection in breast cancer. AB - Genome-wide association studies highlight the importance of the fibroblast growth factor (FGF) receptor as a risk factor for breast cancer development. In particular, FGFR4 has been implicated in membrane ruffling, cancer cell invasiveness, and clinical chemoresistance in breast cancer. In this work, we studied FGFR4 in both human breast cancers and cell lines. We examined primary human microdissected breast samples for FGFR4 mutations, polymorphisms, loss of heterozygosity (LOH), and DNA methylation status. We identified no activating somatic mutations of FGFR4; however, we did identify a high proportion of the FGFR4-R388 heterozygous germline polymorphism. Analysis of paired microdissected samples uncovered selective LOH at the FGFR4 locus in 50% of primary tumors. This LOH involved the FGFR4-WT allele as frequently as the cancer progression associated FGFR4-G388R polymorphic allele. Further, we identified DNA methylation in one-third of cases that targeted the FGFR4-WT allele more often and occurred more frequently either in concert with or exclusively in lymph node metastases. The role of DNA methylation in silencing the FGFR4-WT allele was supported by azacytidine treatment findings and was also confirmed in mouse xenograft studies, demonstrating selective FGFR4-WT allelic methylation with corresponding gene down regulation. These findings support a growth advantage function for FGFR4-R388 and underscore the complex role of DNA methylation and LOH in determining the penetrance of allelic selection in breast cancer progression. These findings therefore have critical therapeutic importance. PMID- 21037082 TI - Novel application of structural equation modeling to correlation structure analysis of CpG island methylation in colorectal cancer. AB - The CpG island methylator phenotype (CIMP-high, CIMP1) is a distinct phenotype associated with microsatellite instability (MSI) and BRAF mutation in colon cancer. Recent evidence suggests the presence of KRAS mutation-associated CIMP subtype (CIMP-low, CIMP2). We used cluster analysis, principal component analysis (PCA), and structural equation modeling (SEM), a novel strategy, to decipher the correlation structure of CpG island hypermethylation. Using a database of 861 colon and rectal cancers, DNA methylation at 16 CpG islands [CACNA1G, CDKN2A (p16/ink4a), CHFR, CRABP1, HIC1, IGF2, IGFBP3, MGMT, MINT-1, MINT-31, MLH1, NEUROG1, p14 (CDKN2A/arf), RUNX3, SOCS1, and WRN] was quantified by real-time PCR. Tumors were categorized into three groups: Group 1 with wild-type KRAS/BRAF (N = 440); Group 2 with mutant KRAS and wild-type BRAF (N = 308); and Group 3 with wild-type KRAS and mutant BRAF (N = 107). Tumors with mutant KRAS/BRAF (N = 6) were excluded. In unsupervised hierarchical clustering analysis, all but six markers (CACNA1G, IGF2, RUNX3, MGMT, MINT-1, and SOCS1) were differentially clustered with CIMP-high and CIMP-low according to KRAS and BRAF status. In SEM, the correlation structures between CIMP, locus-specific CpG island methylation, and MSI differed according to KRAS and BRAF status, which was consistent with PCA results. In conclusion, KRAS and BRAF mutations appear to differentially influence correlation structure of CpG island methylation. Our novel data suggest two distinct perturbations, resulting in differential locus-specific propensity of CpG methylation. PMID- 21037083 TI - Nf1-/- Schwann cell-conditioned medium modulates mast cell degranulation by c-Kit mediated hyperactivation of phosphatidylinositol 3-kinase. AB - Neurofibromatosis type 1 (NF1) is a common genetic disorder and is characterized by both malignant and nonmalignant neurofibromas, which are composed of Schwann cells, degranulating mast cells, fibroblasts, and extracellular matrix. We and others have previously shown that hyperactivation of the c-Kit pathway in an Nf1 haploinsufficient microenvironment is required for both tumor formation and progression. Mast cells play a key role in both tumorigenesis and neoangiogenesis via the production of matrix metalloproteinases, heparin, and a range of different growth factors. In the present study, we show that tumorigenic Schwann cells derived from Nf1(-/-) embryos promote increased degranulation of Nf1(+/-) mast cells compared with wild-type mast cells via the secretion of the Kit ligand. Furthermore, we used genetic intercrosses as well as pharmacological agents to link the hyperactivation of the p21(Ras)-phosphatidylinositol 3-kinase (PI3K) pathway to the increased degranulation of Nf1(+/-) mast cells both in vitro and in vivo. These studies identify the p21(Ras)-PI3K pathway as a major regulator of the gain in Nf1(+/-) mast cell degranulation in neurofibromas. Collectively, these studies identify both c-Kit and PI3K as molecular targets that modulate mast cell functions in cases of NF1. PMID- 21037084 TI - Glatiramer acetate attenuates pro-inflammatory T cell responses but does not directly protect neurons from inflammatory cell death. AB - Glatiramer acetate (GA) is a synthetic, random, basic copolymer capable of modulating adaptive T cell responses. In animal models of various inflammatory and degenerative central nervous system disorders, GA-induced T cells cross the blood-brain barrier, secrete high levels of anti-inflammatory cytokines and neurotrophins, and thus both reduce neuronal damage and promote neurogenesis. Recently, it has been suggested that GA itself may permeate the (impaired) blood brain-barrier and directly protect neurons under conditions of inflammation mediated neurodegeneration. To test this hypothesis, we examined the direct effects of GA on neuronal functionality and T cell-mediated neuronal apoptosis in culture, acute brain slices, and focal experimental autoimmune encephalomyelitis. GA caused a depolarization of the resting membrane potential and led to an immediate impairment of action potential generation in neurons. Moreover, GA incubated neurons underwent dose-dependent apoptosis. Apoptosis of ovalbumin peptide-loaded major histocompatibility complex class I-expressing neurons induced by ovalbumin-specific effector T cells could be reduced by pre-incubation of T cells, but not neurons with GA. Similar results could be found using acute brain slices. In focal experimental autoimmune encephalomyelitis, lesion size and neuronal apoptosis could be limited by pretreating rats with GA, whereas intracerebral GA application into the inflammatory lesion had no effect on neuronal survival. Our data suggest that GA attenuates adaptive pro-inflammatory T cell responses, but does not exert direct neuroprotective effects. PMID- 21037085 TI - Hematopoietic differentiation of induced pluripotent stem cells from patients with mucopolysaccharidosis type I (Hurler syndrome). AB - Mucopolysaccharidosis type I (MPS IH; Hurler syndrome) is a congenital deficiency of alpha-L-iduronidase, leading to lysosomal storage of glycosaminoglycans that is ultimately fatal following an insidious onset after birth. Hematopoietic cell transplantation (HCT) is a life-saving measure in MPS IH. However, because a suitable hematopoietic donor is not found for everyone, because HCT is associated with significant morbidity and mortality, and because there is no known benefit of immune reaction between the host and the donor cells in MPS IH, gene-corrected autologous stem cells may be the ideal graft for HCT. Thus, we generated induced pluripotent stem cells from 2 patients with MPS IH (MPS-iPS cells). We found that alpha-L-iduronidase was not required for stem cell renewal, and that MPS-iPS cells showed lysosomal storage characteristic of MPS IH and could be differentiated to both hematopoietic and nonhematopoietic cells. The specific epigenetic profile associated with de-differentiation of MPS IH fibroblasts into MPS-iPS cells was maintained when MPS-iPS cells are gene-corrected with virally delivered alpha-L-iduronidase. These data underscore the potential of MPS-iPS cells to generate autologous hematopoietic grafts devoid of immunologic complications of allogeneic transplantation, as well as generating nonhematopoietic cells with the potential to treat anatomical sites not fully corrected with HCT. PMID- 21037086 TI - Morbidity and mortality in long-term survivors of Hodgkin lymphoma: a report from the Childhood Cancer Survivor Study. AB - The contribution of specific cancer therapies, comorbid medical conditions, and host factors to mortality risk after pediatric Hodgkin lymphoma (HL) is unclear. We assessed leading morbidities, overall and cause-specific mortality, and mortality risks among 2742 survivors of HL in the Childhood Cancer Survivor Study, a multi-institutional retrospective cohort study of survivors diagnosed from 1970 to 1986. Excess absolute risk for leading causes of death and cumulative incidence and standardized incidence ratios of key medical morbidities were calculated. Cox regression models were used to estimate hazard ratios (HRs) and 95% confidence intervals (CIs) of risks for overall and cause-specific mortality. Substantial excess absolute risk of mortality per 10,000 person-years was identified: overall 95.5; death due to HL 38.3, second malignant neoplasms 23.9, and cardiovascular disease 13.1. Risks for overall mortality included radiation dose >= 3000 rad ( >= 30 Gy; supra-diaphragm: HR, 3.8; 95% CI, 1.1 12.6; infradiaphragm + supradiaphragm: HR, 7.8; 95% CI, 2.4-25.1), exposure to anthracycline (HR, 2.6; 95% CI, 1.6-4.3) or alkylating agents (HR, 1.7; 95% CI, 1.2-2.5), non-breast second malignant neoplasm (HR, 2.6; 95% CI 1.4-5.1), or a serious cardiovascular condition (HR, 4.4; 95% CI 2.7-7.3). Excess mortality from second neoplasms and cardiovascular disease vary by sex and persist > 20 years of follow-up in childhood HL survivors. PMID- 21037087 TI - Key role of glycoprotein Ib/V/IX and von Willebrand factor in platelet activation dependent fibrin formation at low shear flow. AB - A microscopic method was developed to study the role of platelets in fibrin formation. Perfusion of adhered platelets with plasma under coagulating conditions at a low shear rate (250(-1)) resulted in the assembly of a star-like fibrin network at the platelet surface. The focal fibrin formation on platelets was preceded by rises in cytosolic Ca(2+), morphologic changes, and phosphatidylserine exposure. Fibrin formation was slightly affected by alpha(IIb)beta(3) blockage, but it was greatly delayed and reduced by the following: inhibition of thrombin or platelet activation; interference in the binding of von Willebrand factor (VWF) to glycoprotein Ib/V/IX (GpIb-V-IX); plasma or blood from patients with type 1 von Willebrand disease; and plasma from mice deficient in VWF or the extracellular domain of GpIbalpha. In this process, the GpIb-binding A1 domain of VWF was similarly effective as full-length VWF. Prestimulation of platelets enhanced the formation of fibrin, which was abrogated by blockage of phosphatidylserine. Together, these results show that, in the presence of thrombin and low shear flow, VWF-induced activation of GpIb-V-IX triggers platelet procoagulant activity and anchorage of a star-like fibrin network. This process can be relevant in hemostasis and the manifestation of von Willebrand disease. PMID- 21037088 TI - Cutting edge: adaptive versus innate receptor signals selectively control the pool sizes of murine IFN-gamma- or IL-17-producing gammadelta T cells upon infection. AB - gammadelta T lymphocytes are commonly viewed as embracing properties of both adaptive and innate immunity. Contributing to this is their responsiveness to pathogen products, either with or without the involvement of the TCR and its coreceptors. This study clarifies this paradoxical behavior by showing that these two modes of responsiveness are the properties of two discrete sets of murine lymphoid gammadelta T cells. Thus, MyD88 deficiency severely impaired the response to malaria infection of CD27((-)), IL-17A-producing gammadelta T cells, but not of IFN-gamma-producing gammadelta cells. Instead, the latter compartment was severely contracted by ablating CD27, which synergizes with TCRgammadelta in the induction of antiapoptotic mediators and cell cycle-promoting genes in CD27((+)), IFN-gamma-secreting gammadelta T cells. Hence, innate versus adaptive receptors differentially control the peripheral pool sizes of discrete proinflammatory gammadelta T cell subsets during immune responses to infection. PMID- 21037089 TI - NFAT5 regulates T lymphocyte homeostasis and CD24-dependent T cell expansion under pathologic hypernatremia. AB - Immune cells rely on the transcription factor NFAT5 to adapt to hypertonic stress. The hypertonicity-dependent role of NFAT5 in T cells in vivo remains unclear because mouse models of NFAT5 deficiency have produced substantially different T cell phenotypes. In this study, we analyzed the T cell compartment in NFAT5-null and T cell-specific NFAT5 knockout mice. We found that NFAT5-null mice had constitutive, pronounced hypernatremia and suffered a severe immunodeficiency, with T cell lymphopenia, altered CD8 naive/memory homeostasis, and inability to reject allogeneic tumors. By contrast, T cell-specific NFAT5 knockout mice had normal plasma tonicity, rejected allogeneic tumors, and exhibited only a mild, low-penetrance memory bias in CD8 cells. Notably, when T cells from these mice were cultured ex vivo in hypernatremic media, they exhibited features found in NFAT5-null mice, with pronounced naive/memory imbalance and impaired homeostatic survival in response to IL-7, as well as a severe inhibition of their mitogen-induced proliferation. By analyzing surface receptors whose expression might be affected in NFAT5-deficient cells, we identified CD24 as a novel NFAT5 target induced by hypertonicity both in vitro and in vivo, and required to sustain T cell expansion under osmostress. NFAT5 bound to the Cd24 promoter in response to hypertonicity facilitated the local derepression of chromatin and enhanced the expression of CD24 mRNA and protein. Altogether, our results indicate that the systemic hypernatremia of NFAT5-null mice is a major contributor to their immunodeficiency, and highlight the role of NFAT5 and CD24 in the homeostasis of T cells under osmostress in vivo. PMID- 21037090 TI - TIM-4, a receptor for phosphatidylserine, controls adaptive immunity by regulating the removal of antigen-specific T cells. AB - Adaptive immunity is characterized by the expansion of an Ag-specific T cell population following Ag exposure. The precise mechanisms, however, that control the expansion and subsequent contraction in the number of Ag-specific T cells are not fully understood. We show that T cell/transmembrane, Ig, and mucin (TIM)-4, a receptor for phosphatidylserine, a marker of apoptotic cells, regulates adaptive immunity in part by mediating the removal of Ag-specific T cells during the contraction phase of the response. During Ag immunization or during infection with influenza A virus, blockade of TIM-4 on APCs increased the expansion of Ag specific T cells, resulting in an increase in secondary immune responses. Conversely, overexpression of TIM-4 on APCs in transgenic mice reduced the number of Ag-specific T cells that remained after immunization, resulting in reduced secondary T cell responses. There was no change in the total number of cell divisions that T cells completed, no change in the per cell proliferative capacity of the remaining Ag-specific T cells, and no increase in the development of Ag-specific regulatory T cells in TIM-4 transgenic mice. Thus, TIM-4 expressing cells regulate adaptive immunity by mediating the removal of phosphatidylserine-expressing apoptotic, Ag-specific T cells, thereby controlling the number of Ag-specific T cells that remain after the clearance of Ag or infection. PMID- 21037091 TI - IL-17 regulates adipogenesis, glucose homeostasis, and obesity. AB - Inflammatory mediators have the potential to impact a surprising range of diseases, including obesity and its associated metabolic syndrome. In this paper, we show that the proinflammatory cytokine IL-17 inhibits adipogenesis, moderates adipose tissue (AT) accumulation, and regulates glucose metabolism in mice. IL-17 deficiency enhances diet-induced obesity in mice and accelerates AT accumulation even in mice fed a low-fat diet. In addition to potential systemic effects, IL-17 is expressed locally in AT by leukocytes, predominantly by gammadelta T cells. IL 17 suppresses adipocyte differentiation from mouse-derived 3T3-L1 preadipocytes in vitro, and inhibits expression of genes encoding proadipogenic transcription factors, adipokines, and molecules involved in lipid and glucose metabolism. IL 17 also acts on differentiated adipocytes, impairing glucose uptake, and young IL 17-deficient mice show enhanced glucose tolerance and insulin sensitivity. Our findings implicate IL-17 as a negative regulator of adipogenesis and glucose metabolism in mice, and show that it delays the development of obesity. PMID- 21037092 TI - IgG1 is pathogenic in Leishmania mexicana infection. AB - There are >2 million new cases of leishmaniasis annually, and no effective vaccine has been developed to prevent infection. In murine infection, Leishmania mexicana, which lives intracellularly in host macrophages, has developed pathways to hijack host IgG to induce a suppressive IL-10 response through FcgammaRs, the cell-surface receptors for IgG. To guide vaccine development away from detrimental Ab responses, which can accompany attempts to induce cell-mediated immunity, it is crucial to know which isotypes of IgG are pathogenic in this infection. We found that IgG1 and IgG2a/c induce IL-10 from macrophages in vitro equally well but through different FcgammaR subtypes: IgG1 through FcgammaRIII and IgG2a/c through FcgammaRI primarily, but also through FcgammaRIII. In sharp contrast, mice lacking IgG1 develop earlier and stronger IgG2a/c, IgG3, and IgM responses to L. mexicana infection and yet are more resistant to the infection. Thus, IgG1, but not IgG2a/c or IgG3, is pathogenic in vivo, in agreement with prior studies indicating that FcgammaRIII is required for chronic disease. This calls into question the assumption that macrophages, which should secrete IL-10 in response to IgG1 and IgG2a/c immune complexes, are the most important source of IL-10 generated by IgG-FcgammaR engagement in L. mexicana infection. Further investigations are required to better determine the cell type responsible for this immunosuppressive FcgammaRIII-induced IL-10 pathway and whether IgG2a/c is protective. PMID- 21037093 TI - Chlamydia-specific CD4 T cell clones control Chlamydia muridarum replication in epithelial cells by nitric oxide-dependent and -independent mechanisms. AB - Chlamydia trachomatis serovars D-K are sexually transmitted intracellular bacterial pathogens that replicate in epithelial cells lining the human reproductive tract. It is clear from knockout mice and T cell depletion studies using Chlamydia muridarum that MHC class II and CD4 T cells are critical for clearing bacteria from the murine genital tract. It is not clear how CD4 T cells interact with infected epithelial cells to mediate bacterial clearance in vivo. Previous work using an epithelial tumor cell line showed that a Chlamydia specific CD4 T cell clone was able to inhibit C. muridarum replication in vitro via induction of epithelial NO production. We have previously shown that Chlamydia-specific CD4 T cell clones can recognize and be activated by infected reproductive tract epithelial cells and block Chlamydia replication in them. We extend those observations by investigating the mechanism used by a panel of CD4 T cell clones to control Chlamydia replication in epithelial cells. We found that Chlamydia-specific CD4 T cell clones were cytolytic, but that cytolysis was not likely critical for controlling C. muridarum replication. For one, CD4 T cell clone-induced epithelial NO production was critical for controlling replication; however, the most potent CD4 T cell clones were dependent on T cell degranulation for replication control with only a minor additional contribution from NO production. We discuss our data as they relate to existing knockout mouse studies addressing mechanisms of T cell-mediated control of Chlamydia replication and their implications for intracellular epithelial pathogens in mouse models. PMID- 21037094 TI - Differential regulation of caspase-1 activation via NLRP3/NLRC4 inflammasomes mediated by aerolysin and type III secretion system during Aeromonas veronii infection. AB - Aeromonas spp. are Gram-negative bacteria that cause serious infectious disease in humans. Such bacteria have been shown to induce apoptosis in infected macrophages, yet the host responses triggered by macrophage death are largely unknown. In this study, we demonstrate that the infection of mouse bone marrow derived macrophages with Aeromonas veronii biotype sobria triggers activation of caspase-1 with the ensuing release of IL-1beta and pyroptosis. Caspase-1 activation in response to A. veronii infection requires the adaptor apoptosis associated speck-like protein containing a caspase recruitment domain and both the NLRP3 and NLRC4 inflammasomes. Furthermore, caspase-1 activation requires aerolysin and a functional type III secretion system in A. veronii. Aerolysin inducing caspase-1 activation is mediated through the NLRP3 inflammasome, with aerolysin-mediated cell death being largely dependent on the NLRP3 inflammasome. In contrast, the type III secretion system activates both the NLRP3 and NLRC4 inflammasomes. Inflammasome-mediated caspase-1 activation is also involved in host defenses against systemic A. veronii infection in mice. Our results indicated that multiple factors from both the bacteria and the host play a role in eliciting caspase-1 activation during A. veronii infection. PMID- 21037095 TI - IL-2 complex treatment can protect naive mice from bacterial and viral infection. AB - IL-2 complexes have substantial effects on the cellular immune system, and this approach is being explored for therapeutic application in infection and cancer. However, the impact of such treatments on subsequent encounter with pathogens has not been investigated. In this study, we report that naive mice treated with a short course of IL-2 complexes show enhanced protection from newly encountered bacterial and viral infections. IL-2 complex treatment expands both the NK and CD8 memory cell pool, including a recently described population of preexisting memory-phenotype T cells responsive to previously unencountered foreign Ags. Surprisingly, prolonged IL-2 complex treatment decreased CD8 T cell function and protective immunity. These data reveal the impact of cytokine complex treatment on the primary response to infection. PMID- 21037096 TI - LFA-1 and Mac-1 define characteristically different intralumenal crawling and emigration patterns for monocytes and neutrophils in situ. AB - To exit blood vessels, most (~80%) of the lumenally adhered monocytes and neutrophils crawl toward locations that support transmigration. Using intravital confocal microscopy of anesthetized mouse cremaster muscle, we separately examined the crawling and emigration patterns of monocytes and neutrophils in blood-perfused unstimulated or TNF-alpha-activated venules. Most of the interacting cells in microvessels are neutrophils; however, in unstimulated venules, a greater percentage of the total monocyte population is adherent compared with neutrophils (58.2 +/- 6.1% versus 13.6 +/- 0.9%, adhered/total interacting), and they crawl for significantly longer distances (147.3 +/- 13.4 versus 61.8 +/- 5.4 MUm). Intriguingly, after TNF-alpha activation, monocytes crawled for significantly shorter distances (67.4 +/- 9.6 MUm), resembling neutrophil crawling. Using function-blocking Abs, we show that these different crawling patterns were due to CD11a/CD18 (LFA-1)- versus CD11b/CD18 (Mac-1) mediated crawling. Blockade of either Mac-1 or LFA-1 revealed that both LFA-1 and Mac-1 contribute to monocyte crawling; however, the LFA-1-dependent crawling in unstimulated venules becomes Mac-1 dependent upon inflammation, likely due to increased expression of Mac-1. Mac-1 alone was responsible for neutrophil crawling in both unstimulated and TNF-alpha-activated venules. Consistent with the role of Mac-1 in crawling, Mac-1 block (compared with LFA-1) was also significantly more efficient in blocking TNF-alpha-induced extravasation of both monocytes and neutrophils in cremaster tissue and the peritoneal cavity. Thus, mechanisms underlying leukocyte crawling are important in regulating the inflammatory responses by regulating the numbers of leukocytes that transmigrate. PMID- 21037097 TI - Secreted M-ficolin anchors onto monocyte transmembrane G protein-coupled receptor 43 and cross talks with plasma C-reactive protein to mediate immune signaling and regulate host defense. AB - Although transmembrane C-type lectins (CLs) are known to initiate immune signaling, the participation and mechanism of action of soluble CLs have remained enigmatic. In this study, we found that M-ficolin, a conserved soluble CL of monocyte origin, overcomes its lack of membrane-anchor domain by docking constitutively onto a monocyte transmembrane receptor, G protein-coupled receptor 43 (GPCR43), to form a pathogen sensor-cum-signal transducer. On encountering microbial invaders, the M-ficolin-GPCR43 complex activates the NF-kappaB cascade to upregulate IL-8 production. We showed that mild acidosis at the local site of infection induces conformational changes in the M-ficolin molecule, which provokes a strong interaction between the C-reactive protein (CRP) and the M ficolin-GPCR43 complex. The collaboration among CRP-M-ficolin-GPCR43 under acidosis curtails IL-8 production thus preventing immune overactivation. Therefore, we propose that a soluble CL may become membrane-associated through interaction with a transmembrane protein, whereupon infection collaborates with other plasma protein to transduce the infection signal and regulate host defense. Our finding implies a possible mechanism whereby the host might expand its repertoire of immune recognition-cum-regulation tactics by promiscuous protein networking. Furthermore, our identification of the pH-sensitive interfaces of M ficolin-CRP provides a powerful template for future design of potential immunomodulators. PMID- 21037098 TI - Hyaluronan fragments contribute to the ozone-primed immune response to lipopolysaccharide. AB - Hyaluronan is a high-molecular mass component of pulmonary extracelluar matrix, and lung injury can generate a low-molecular mass hyaluronan (HA) fragment that functions as endogenous ligand to cell surface receptors CD44 and TLR4. This leads to activation of intracellular NF-kappaB signaling and proinflammatory cytokine production. Based on previous information that ozone exposure causes increased HA in bronchial alveolar lavage fluid and ozone pre-exposure primes immune response to inhaled LPS, we hypothesized that HA production during ozone exposure augments the inflammatory response to LPS. We demonstrate that acute ozone exposure at 1 part per million for 3 h primes the immune response to low dose aerosolized LPS in C57BL/6J mice, resulting in increased neutrophil recruitment into the airspaces, increased levels of protein and proinflammatory cytokines in the bronchoalveolar lavage fluid, and increased airway hyperresponsiveness. Intratracheal instillation of endotoxin-free HA (25 MUg) enhances the biological response to inhaled LPS in a manner similar to ozone pre exposure. In vitro studies using bone marrow-derived macrophages indicate that HA enhances LPS responses measured by TNF-alpha production, while immunofluorescence staining of murine alveolar macrophages demonstrates that HA induces TLR4 peripheralization and lipid raft colocalization. Collectively, our observations support that ozone primes macrophage responsiveness to low-dose LPS, in part, due to HA-induced TLR4 peripheralization in lung macrophages. PMID- 21037099 TI - Cutting edge: mechanisms of IL-2-dependent maintenance of functional regulatory T cells. AB - IL-2 controls the survival of regulatory T cells (Tregs), but it is unclear whether IL-2 also directly affects Treg suppressive capacity in vivo. We have found that eliminating Bim-dependent apoptosis in IL-2- and CD25-deficient mice restored Treg numbers but failed to cure their lethal autoimmune disease, demonstrating that IL-2-dependent survival and suppressive activity can be uncoupled in Tregs. Treatment with IL-2-anti-IL-2-Ab complexes enhanced the numbers and suppressive capacity of IL-2-deprived Tregs with striking increases in CD25, CTLA-4, and CD39/CD73 expression. Although cytokine treatment induced these suppressive mechanisms in both IL-2(-/-) and IL-2(-/-)Bim(-/-) mice, it only reversed autoimmune disease in the latter. Our results suggest that successful IL-2 therapy of established autoimmune diseases will require a threshold quantity of Tregs present at the start of treatment and show that the suppressive capacity of Tregs critically depends on IL-2 even when Treg survival is independent of this cytokine. PMID- 21037100 TI - Human papillomavirus 16-associated cervical intraepithelial neoplasia in humans excludes CD8 T cells from dysplastic epithelium. AB - High-grade cervical dysplasia caused by human papillomavirus (HPV) type 16 is a lesion that should be susceptible to an HPV-specific immune response; disease initiation and persistence is predicated on expression of two viral Ags, E6 and E7. In immune-competent subjects, at least 25% of HPV16(+) high-grade cervical dysplasia lesions undergo complete regression. However, in the peripheral blood, naturally occurring IFN-gamma T cell responses to HPV E6 and E7 are weak, requiring ex vivo sensitization to detect, and are not sufficiently sensitive to predict regression. In this study, we present immunologic data directly assessing cervical lymphocytes from this cohort. We found that nearly all cervical tissue T cells express the mucosal homing receptor, alpha(4)beta(7) surface integrin. T cells isolated from dysplastic mucosa were skewed toward a central memory phenotype compared with normal mucosal resident T cells, and dysplastic lesions expressed transcripts for CCL19 and CCL21, raising the possibility that the tissue itself sustains a response that is not detectable in the blood. Moreover, lesion regression in the study window could retrospectively be predicted at study entry by the ability of CD8(+) T cells to gain access to lesional epithelium. Vascular endothelial expression of mucosal addressin cell adhesion molecule-1, the ligand that supports entry of alpha(4)beta(7)(+) T cells into tissues, colocalized tightly with the distribution of CD8 T cells and was not expressed in persistent dysplastic epithelium. These findings suggest that dysregulated expression of vascular adhesion molecules plays a role in immune evasion very early in the course of HPV disease. PMID- 21037101 TI - Phosphoryl moieties of lipid A from Neisseria meningitidis and N. gonorrhoeae lipooligosaccharides play an important role in activation of both MyD88- and TRIF dependent TLR4-MD-2 signaling pathways. AB - We have previously shown that the lipooligosaccharide (LOS) from Neisseria meningitidis and N. gonorrhoeae engages the TLR4-MD-2 complex. In this study, we report that LOS from different meningococcal and gonococcal strains have different potencies to activate NF-kappaB through TLR4-MD-2 and that the relative activation can be correlated with ion abundances in MALDI-TOF mass spectrometry that are indicative of the number of phosphoryl substituents on the lipid A (LA) component of the LOS. The LOSs from three of the strains, meningococcal strain 89I and gonococcal strains 1291 and GC56, representing high, intermediate, and low potency on NF-kappaB activation, respectively, differently activated cytokine expression through the TLR4-MD-2 pathway in monocytes. In addition to induction of typical inflammatory cytokines such as TNF-alpha, IL-1beta, and IL-6, MIP 1alpha and MIP-1beta also were significantly higher in cells treated with 89I LOS, which had the most phosphoryl substitutions on the LA compared with 1291 LOS and GC56 LOS. We found that LOS activated both the MyD88- and TRIF-dependent pathways through NF-kappaB and IFN regulatory factor 3 transcription factors, respectively. Moreover, LOS induced the expression of costimulatory molecule CD80 on the surfaces of monocytes via upregulation of IFN regulatory factor 1. These results suggest that phosphoryl moieties of LA from N. meningitidis and N. gonorrhoeae LOSs play an important role in activation of both the MyD88- and TRIF dependent pathways. Our findings are consistent with the concept that bacteria modulate pathogen-associated molecular patterns by expression of phosphoryl moieties on the LA to optimize interactions with the host. PMID- 21037102 TI - Protective endogenous cyclic adenosine 5'-monophosphate signaling triggered by pemphigus autoantibodies. AB - Pemphigus vulgaris (PV) is an autoimmune skin disease mediated by autoantibodies directed against the cadherin-type cell adhesion molecules desmoglein (Dsg) 3 and Dsg1 and is characterized by loss of keratinocyte cohesion and epidermal blistering. Several intracellular signaling pathways, such as p38MAPK activation and RhoA inhibition, have been demonstrated to be altered following autoantibody binding and to be causally involved in loss of keratinocyte cohesion. In this paper, we demonstrate that cAMP-mediated signaling completely prevented blister formation in a neonatal pemphigus mouse model. Furthermore, elevation of cellular cAMP levels by forskolin/rolipram or beta receptor agonist isoproterenol blocked loss of intercellular adhesion, depletion of cellular Dsg3, and morphologic changes induced by Ab fractions of PV patients (PV-IgG) in cultured keratinocytes. Incubation with PV-IgG alone increased cAMP levels, indicating that cAMP elevation may be a cellular response pathway to strengthen intercellular adhesion. Our data furthermore demonstrate that this protective pathway may involve protein kinase A signaling because protein kinase A inhibition attenuated recovery from PV-IgG-induced cell dissociation. Finally, cAMP increase interfered with PV-IgG-induced signaling by preventing p38MAPK activation both in vitro and in vivo. Taken together, our data provide insights into the cellular response mechanisms following pemphigus autoantibody binding and point to a possible novel and more specific therapeutic approach in pemphigus. PMID- 21037103 TI - Norcoclaurine synthase is a member of the pathogenesis-related 10/Bet v1 protein family. AB - Norcoclaurine synthase (NCS) catalyzes the first committed step in the biosynthesis of benzylisoquinoline alkaloids (BIAs). NCS from Thalictrum flavum (Tf NCS), Papaver somniferum (Ps NCS1 and Ps NCS2), and Coptis japonica (Cj PR10A) share substantial identity with pathogen-related 10 (PR10) and Bet v1 proteins, whose functions are not well understood. A distinct enzyme (Cj NCS1) with similarity to 2-oxoglutarate-dependent dioxygenases was suggested as the bona fide NCS in C. japonica. Here, we validate the exclusive role of PR10/Bet v1 type NCS enzymes in BIA metabolism. Immunolocalization of Ps NCS2 revealed its cell type-specific occurrence in phloem sieve elements, which contain all other known BIA biosynthetic enzymes. In opium poppy, NCS transcripts and proteins were abundant in root and stem, but at low levels in leaf and carpel. Silencing of NCS in opium poppy profoundly reduced alkaloid levels compared with controls. Immunoprecipitation of NCS from total protein extracts of T. flavum cells resulted in a nearly complete attenuation of NCS activity. A Ps NCS2-green fluorescent protein fusion introduced by microprojectile bombardment into opium poppy cells initially localized to the endoplasmic reticulum but subsequently sorted to the vacuole. In our hands, Cj NCS1 did not catalyze the formation of (S)-norcoclaurine from dopamine and 4-hydroxyphenylacetaldehyde. PMID- 21037104 TI - Inactivation of a DNA methylation pathway in maize reproductive organs results in apomixis-like phenotypes. AB - Apomictic plants reproduce asexually through seeds by avoiding both meiosis and fertilization. Although apomixis is genetically regulated, its core genetic component(s) has not been determined yet. Using profiling experiments comparing sexual development in maize (Zea mays) to apomixis in maize-Tripsacum hybrids, we identified six loci that are specifically downregulated in ovules of apomictic plants. Four of them share strong homology with members of the RNA-directed DNA methylation pathway, which in Arabidopsis thaliana is involved in silencing via DNA methylation. Analyzing loss-of-function alleles for two maize DNA methyltransferase genes belonging to that subset, dmt102 and dmt103, which are downregulated in the ovules of apomictic plants and are homologous to the Arabidopsis CHROMOMETHYLASEs and DOMAINS REARRANGED METHYLTRANSFERASE families, revealed phenotypes reminiscent of apomictic development, including the production of unreduced gametes and formation of multiple embryo sacs in the ovule. Loss of DMT102 activity in ovules resulted in the establishment of a transcriptionally competent chromatin state in the archesporial tissue and in the egg cell that mimics the chromatin state found in apomicts. Interestingly, dmt102 and dmt103 expression in the ovule is found in a restricted domain in and around the germ cells, indicating that a DNA methylation pathway active during reproduction is essential for gametophyte development in maize and likely plays a critical role in the differentiation between apomictic and sexual reproduction. PMID- 21037106 TI - Polymorphisms in base excision repair genes as colorectal cancer risk factors and modifiers of the effect of diets high in red meat. AB - BACKGROUND: A diet high in red meat is an established colorectal cancer (CRC) risk factor. Carcinogens generated during meat cooking have been implicated as causal agents and can induce oxidative DNA damage, which elicits repair by the base excision repair (BER) pathway. METHODS: Using a family-based study, we investigated the role of polymorphisms in 4 BER genes (APEX1 Gln51His, Asp148Glu; OGG1 Ser236Cys; PARP Val742Ala; and XRCC1 Arg194Trp, Arg280His, Arg399Gln) as potential CRC risk factors and modifiers of the association between diets high in red meat or poultry and CRC risk. We tested for gene-environment interactions using case-only analyses (n = 577) and compared statistically significant results with those obtained using case-unaffected sibling comparisons (n = 307 sibships). RESULTS: Carriers of the APEX1 codon 51 Gln/His genotype had a reduced CRC risk compared with carriers of the Gln/Gln genotype (odds ratio (OR) = 0.15, 95% CI = 0.03-0.69, P = 0.015). The association between higher red meat intake (>3 servings per week) and CRC was modified by the PARP Val762Ala single-nucleotide polymorphisms (SNP; case-only interaction P = 0.026). This SNP also modified the association between higher intake of high-temperature cooked red meat (case-only interaction P = 0.0009). CONCLUSIONS: We report evidence that the BER pathway PARP gene modifies the association of diets high in red meat cooked at high temperatures with risk of CRC. IMPACT: Our findings suggest a contribution to colorectal carcinogenesis of free radical damage as one of the possible harmful effects of a diet high in red meat. PMID- 21037105 TI - Arabidopsis SET DOMAIN GROUP2 is required for H3K4 trimethylation and is crucial for both sporophyte and gametophyte development. AB - Histone H3 lysine 4 trimethylation (H3K4me3) is abundant in euchromatin and is in general associated with transcriptional activation in eukaryotes. Although some Arabidopsis thaliana SET DOMAIN GROUP (SDG) genes have been previously shown to be involved in H3K4 methylation, they are unlikely to be responsible for global genome-wide deposition of H3K4me3. Most strikingly, sparse knowledge is currently available about the role of histone methylation in gametophyte development. In this study, we show that the previously uncharacterized SDG2 is required for global H3K4me3 deposition and its loss of function causes wide-ranging defects in both sporophyte and gametophyte development. Transcriptome analyses of young flower buds have identified 452 genes downregulated by more than twofold in the sdg2-1 mutant; among them, 11 genes, including SPOROCYTELESS/NOZZLE (SPL/NZZ) and MALE STERILITY1 (MS1), have been previously shown to be essential for male and/or female gametophyte development. We show that both SPL/NZZ and MS1 contain bivalent chromatin domains enriched simultaneously with the transcriptionally active mark H3K4me3 and the transcriptionally repressive mark H3K27me3 and that SDG2 is specifically required for the H3K4me3 deposition. Our data suggest that SDG2-mediated H3K4me3 deposition poises SPL/NZZ and MS1 for transcriptional activation, forming a key regulatory mechanism in the gene networks responsible for gametophyte development. PMID- 21037107 TI - Confounding effects of hormone replacement therapy in protein biomarker studies. AB - BACKGROUND: We have recently investigated effects of hormone replacement therapy (HRT) on the serum proteome, and found a high proportion of proteins with altered levels associated with oral estrogen and/or estrogen plus progesterone treatment. Given this finding, we have investigated the extent to which exposure to HRT may have a confounding effect in the assessment of circulating proteins as cancer biomarkers. METHODS: We utilize mass spectrometry data collected from the HRT serum proteome studies to estimate the overall effect of postmenopausal hormone therapy on candidate ovarian cancer biomarkers that have been previously reported. RESULTS: Levels of approximately half of the proteins reported as potential ovarian cancer biomarkers were found to be affected by HRT. The impact of HRT on levels of insulin-like growth factor and inhibin protein families was found to be substantial. CONCLUSIONS: We conclude that the potential confounding effect of HRT and other types of exposures should be taken into consideration in cancer biomarker study design. IMPACT: HRT significantly affects the serum proteome and should be taken into account as part of biomarker study design and data analysis. PMID- 21037108 TI - Selective inhibition of histone deacetylase 6 (HDAC6) induces DNA damage and sensitizes transformed cells to anticancer agents. AB - Histone deacetylase 6 (HDAC6) is structurally and functionally unique among the 11 human zinc-dependent histone deacetylases. Here we show that chemical inhibition with the HDAC6-selective inhibitor tubacin significantly enhances cell death induced by the topoisomerase II inhibitors etoposide and doxorubicin and the pan-HDAC inhibitor SAHA (vorinostat) in transformed cells (LNCaP, MCF-7), an effect not observed in normal cells (human foreskin fibroblast cells). The inactive analogue of tubacin, nil-tubacin, does not sensitize transformed cells to these anticancer agents. Further, we show that down-regulation of HDAC6 expression by shRNA in LNCaP cells enhances cell death induced by etoposide, doxorubicin, and SAHA. Tubacin in combination with SAHA or etoposide is more potent than either drug alone in activating the intrinsic apoptotic pathway in transformed cells, as evidenced by an increase in PARP cleavage and partial inhibition of this effect by the pan-caspase inhibitor Z-VAD-fmk. HDAC6 inhibition with tubacin induces the accumulation of gammaH2AX, an early marker of DNA double-strand breaks. Tubacin enhances DNA damage induced by etoposide or SAHA as indicated by increased accumulation of gammaH2AX and activation of the checkpoint kinase Chk2. Tubacin induces the expression of DDIT3 (CHOP/GADD153), a transcription factor up-regulated in response to cellular stress. DDIT3 induction is further increased when tubacin is combined with SAHA. These findings point to mechanisms by which HDAC6-selective inhibition can enhance the efficacy of certain anti-cancer agents in transformed cells. PMID- 21037109 TI - Whole-genome sequencing and microarray analysis of ex vivo Plasmodium vivax reveal selective pressure on putative drug resistance genes. AB - Plasmodium vivax causes 25-40% of malaria cases worldwide, yet research on this human malaria parasite has been neglected. Nevertheless, the recent publication of the P. vivax reference genome now allows genomics and systems biology approaches to be applied to this pathogen. We show here that whole-genome analysis of the parasite can be achieved directly from ex vivo-isolated parasites, without the need for in vitro propagation. A single isolate of P. vivax obtained from a febrile patient with clinical malaria from Peru was subjected to whole-genome sequencing (30* coverage). This analysis revealed over 18,261 single-nucleotide polymorphisms (SNPs), 6,257 of which were further validated using a tiling microarray. Within core chromosomal genes we find that one SNP per every 985 bases of coding sequence distinguishes this recent Peruvian isolate, designated IQ07, from the reference Salvador I strain obtained in 1972. This full-genome sequence of an uncultured P. vivax isolate shows that the same regions with low numbers of aligned sequencing reads are also highly variable by genomic microarray analysis. Finally, we show that the genes containing the largest ratio of nonsynonymous-to-synonymous SNPs include two AP2 transcription factors and the P. vivax multidrug resistance-associated protein (PvMRP1), an ABC transporter shown to be associated with quinoline and antifolate tolerance in Plasmodium falciparum. This analysis provides a data set for comparative analysis with important potential for identifying markers for global parasite diversity and drug resistance mapping studies. PMID- 21037110 TI - Interactions between internal forces, body stiffness, and fluid environment in a neuromechanical model of lamprey swimming. AB - Animal movements result from a complex balance of many different forces. Muscles produce force to move the body; the body has inertial, elastic, and damping properties that may aid or oppose the muscle force; and the environment produces reaction forces back on the body. The actual motion is an emergent property of these interactions. To examine the roles of body stiffness, muscle activation, and fluid environment for swimming animals, a computational model of a lamprey was developed. The model uses an immersed boundary framework that fully couples the Navier-Stokes equations of fluid dynamics with an actuated, elastic body model. This is the first model at a Reynolds number appropriate for a swimming fish that captures the complete fluid-structure interaction, in which the body deforms according to both internal muscular forces and external fluid forces. Results indicate that identical muscle activation patterns can produce different kinematics depending on body stiffness, and the optimal value of stiffness for maximum acceleration is different from that for maximum steady swimming speed. Additionally, negative muscle work, observed in many fishes, emerges at higher tail beat frequencies without sensory input and may contribute to energy efficiency. Swimming fishes that can tune their body stiffness by appropriately timed muscle contractions may therefore be able to optimize the passive dynamics of their bodies to maximize peak acceleration or swimming speed. PMID- 21037111 TI - Metal-organic charge transfer can produce biradical states and is mediated by conical intersections. AB - The present paper illustrates key features of charge transfer between calcium atoms and prototype conjugated hydrocarbons (ethylene, benzene, and coronene) as elucidated by electronic structure calculations. One- and two-electron charge transfer is controlled by two sequential conical intersections. The two lowest electronic states that undergo a conical intersection have closed-shell and open shell dominant configurations correlating with the 4s(2) and 4s(1)3d(1) states of Ca, respectively. Unlike the neutral-ionic state crossing in, for example, hydrogen halides or alkali halides, the path from separated reactants to the conical intersection region is uphill and the charge-transferred state is a biradical. The lowest-energy adiabatic singlet state shows at least two minima along a single approach path of Ca to the pi system: (i) a van der Waals complex with a doubly occupied highest molecular orbital, denoted Phi(2)(1), and a small negative charge on Ca and (ii) an open-shell singlet (biradical) at intermediate approach (Ca...C ~2.5-2.7 A) with molecular orbital structure (1)(2), where (2) is an orbital showing significant charge transfer form Ca to the pi-system, leading to a one-electron multicentered bond. A third minimum (iii) at shorter distances along the same path corresponding to a closed-shell state with molecular orbital structure has also been found; however, it does not necessarily represent the ground state at a given CaC distance in all three systems. The topography of the lowest adiabatic singlet potential energy surface is due to the one- and two-electron bonding patterns in Ca-pi complexes. PMID- 21037112 TI - Signaling pathways mediating the induction of apple fruitlet abscission. AB - Apple (Malus * domestica) represents an interesting model tree crop for studying fruit abscission. The physiological fruitlet drop occurring in this species can be easily magnified by using thinning chemicals, such as benzyladenine (BA), to obtain fruits with improved quality and marketability. Despite the economic importance of this process, the molecular determinants of apple fruitlet abscission are still unknown. In this research, BA was used to obtain fruitlet populations with different abscission potentials to be analyzed by means of a newly released 30K oligonucleotide microarray. RNAs were extracted from cortex and seed of apple fruitlets sampled over a 4-d time course, during which BA triggers fruit drop, and used for microarray hybridization. Transcriptomic profiles of persisting and abscising fruitlets were tested for statistical association with abscission potential, allowing us to identify molecular signatures strictly related to fruit destiny. A hypothetical model for apple fruitlet abscission was obtained by putting together available transcriptomic and metabolomic data. According to this model, BA treatment would establish a nutritional stress within the tree that is primarily perceived by the fruitlet cortex whose growth is blocked by resembling the ovary growth inhibition found in other species. In weaker fruits, this stress is soon visible also at the seed level, likely transduced via reactive oxygen species/sugar and hormones signaling cross talk, and followed by a block of embryogenesis and the consequent activation of the abscission zone. PMID- 21037113 TI - Unexpected presence of graminan- and levan-type fructans in the evergreen frost hardy eudicot Pachysandra terminalis (Buxaceae): purification, cloning, and functional analysis of a 6-SST/6-SFT enzyme. AB - About 15% of flowering plants accumulate fructans. Inulin-type fructans with beta(2,1) fructosyl linkages typically accumulate in the core eudicot families (e.g. Asteraceae), while levan-type fructans with beta(2,6) linkages and branched, graminan-type fructans with mixed linkages predominate in monocot families. Here, we describe the unexpected finding that graminan- and levan-type fructans, as typically occurring in wheat (Triticum aestivum) and barley (Hordeum vulgare), also accumulate in Pachysandra terminalis, an evergreen, frost-hardy basal eudicot species. Part of the complex graminan- and levan-type fructans as accumulating in vivo can be produced in vitro by a sucrose:fructan 6 fructosyltransferase (6-SFT) enzyme with inherent sucrose:sucrose 1 fructosyltransferase (1-SST) and fructan 6-exohydrolase side activities. This enzyme produces a series of cereal-like graminan- and levan-type fructans from sucrose as a single substrate. The 6-SST/6-SFT enzyme was fully purified by classic column chromatography. In-gel trypsin digestion led to reverse transcription-polymerase chain reaction-based cDNA cloning. The functionality of the 6-SST/6-SFT cDNA was demonstrated after heterologous expression in Pichia pastoris. Both the recombinant and native enzymes showed rather similar substrate specificity characteristics, including peculiar temperature-dependent inherent 1 SST and fructan 6-exohydrolase side activities. The finding that cereal-type fructans accumulate in a basal eudicot species further confirms the polyphyletic origin of fructan biosynthesis in nature. Our data suggest that the fructan syndrome in P. terminalis can be considered as a recent evolutionary event. Putative connections between abiotic stress and fructans are discussed. PMID- 21037114 TI - Autocrine fibroblast growth factor-2 signaling contributes to altered endothelial phenotype in pulmonary hypertension. AB - Pulmonary vascular remodeling is key to the pathogenesis of idiopathic pulmonary arterial hypertension (IPAH). We recently reported that fibroblast growth factor (FGF)2 is markedly overproduced by pulmonary endothelial cells (P-ECs) in IPAH and contributes significantly to smooth muscle hyperplasia and disease progression. Excessive FGF2 expression in malignancy exerts pathologic effects on tumor cells by paracrine and autocrine mechanisms.We hypothesized that FGF2 overproduction contributes in an autocrine manner to the abnormal phenotype of P ECs, characteristic of IPAH. In distal pulmonary arteries (PAs) of patients with IPAH, we found increased numbers of proliferating ECs and decreased numbers of apoptotic ECs, accompanied with stronger immunoreactivity for the antiapoptotic molecules, B-cell lymphoma (BCL)2, and BCL extra long (BCL-xL) compared with PAs from control patients. These in situ observations were replicated in vitro, with cultured P-ECs from patients IPAH exhibiting increased proliferation and diminished sensitivity to apoptotic induction with marked increases in the antiapoptotic factors BCL2 and BCL-xL and levels of phosphorylated extracellular signal-regulated (ERK)1/2 compared with control P-ECs. IPAH P-ECs also exhibited increased FGF2 expression and an accentuated proliferative and survival response to conditioned P-EC media or exogenous FGF2 treatment. Decreasing FGF2 signaling by RNA interference normalized sensitivity to apoptosis and proliferative potential in the IPAH P-ECs. Our findings suggest that excessive autocrine release of endothelial-derived FGF2 in IPAH contributes to the acquisition and maintenance of an abnormal EC phenotype, enhancing proliferation through constitutive activation of ERK1/2 and decreasing apoptosis by increasing BCL2 and BCL-xL. PMID- 21037116 TI - Independent association of lobular involution and mammographic breast density with breast cancer risk. AB - BACKGROUND: Lobular involution, or age-related atrophy of breast lobules, is inversely associated with breast cancer risk, and mammographic breast density (MBD) is positively associated with breast cancer risk. METHODS: To evaluate whether lobular involution and MBD are independently associated with breast cancer risk in women with benign breast disease, we performed a nested cohort study among women (n = 2666) with benign breast disease diagnosed at Mayo Clinic between January 1, 1985, and December 31, 1991 and a mammogram available within 6 months of the diagnosis. Women were followed up for an average of 13.3 years to document any breast cancer incidence. Lobular involution was categorized as none, partial, or complete; parenchymal pattern was classified using the Wolfe classification as N1 (nondense), P1, P2 (ductal prominence occupying <25%, or >25% of the breast, respectively), or DY (extremely dense). Hazard ratios (HRs) and 95% confidence intervals (CIs) to assess associations of lobular involution and MBD with breast cancer risk were estimated using adjusted Cox proportional hazards model. All tests of statistical significance were two-sided. RESULTS: After adjustment for MBD, having no or partial lobular involution was associated with a higher risk of breast cancer than having complete involution (none: HR of breast cancer incidence = 2.62, 95% CI = 1.39 to 4.94; partial: HR of breast cancer incidence = 1.61, 95% CI = 1.03 to 2.53; P(trend) = .002). Similarly, after adjustment for involution, having dense breasts was associated with higher risk of breast cancer than having nondense breasts (for DY: HR of breast cancer incidence = 1.67, 95% CI = 1.03 to 2.73; for P2: HR of breast cancer incidence = 1.96, 95% CI = 1.20 to 3.21; for P1: HR of breast cancer incidence = 1.23, 95% CI = 0.67 to 2.26; P(trend) = .02). Having a combination of no involution and dense breasts was associated with higher risk of breast cancer than having complete involution and nondense breasts (HR of breast cancer incidence = 4.08, 95% CI = 1.72 to 9.68; P = .006). CONCLUSION: Lobular involution and MBD are independently associated with breast cancer incidence; combined, they are associated with an even greater risk for breast cancer. PMID- 21037115 TI - Genome-wide association analysis of body mass in chronic obstructive pulmonary disease. AB - Cachexia, whether assessed by body mass index (BMI) or fat-free mass index (FFMI), affects a significant proportion of patients with chronic obstructive pulmonary disease (COPD), and is an independent risk factor for increased mortality, increased emphysema, and more severe airflow obstruction. The variable development of cachexia among patients with COPD suggests a role for genetic susceptibility. The objective of the present study was to determine genetic susceptibility loci involved in the development of low BMI and FFMI in subjects with COPD. A genome-wide association study (GWAS) of BMI was conducted in three independent cohorts of European descent with Global Initiative for Chronic Obstructive Lung Disease stage II or higher COPD: Evaluation of COPD Longitudinally to Identify Predictive Surrogate End-Points (ECLIPSE; n = 1,734); Norway-Bergen cohort (n = 851); and a subset of subjects from the National Emphysema Treatment Trial (NETT; n = 365). A genome-wide association of FFMI was conducted in two of the cohorts (ECLIPSE and Norway). In the combined analyses, a significant association was found between rs8050136, located in the first intron of the fat mass and obesity-associated (FTO) gene, and BMI (P = 4.97 * 10(-7)) and FFMI (P = 1.19 * 10(-7)). We replicated the association in a fourth, independent cohort consisting of 502 subjects with COPD from COPDGene (P = 6 * 10(-3)). Within the largest contributing cohort of our analysis, lung function, as assessed by forced expiratory volume at 1 second, varied significantly by FTO genotype. Our analysis suggests a potential role for the FTO locus in the determination of anthropomorphic measures associated with COPD. PMID- 21037117 TI - Lobular involution, mammographic density, and breast cancer risk: visualizing the future? PMID- 21037118 TI - Optical imaging: a new window to the adult brain. PMID- 21037119 TI - Neuropsychiatric complications of efavirenz therapy: suggestions for a new research paradigm. AB - This review gives an up-to-date account of the current state of research on neuropsychiatric complications associated with efavirenz therapy and critiques the methods used in previous studies. The authors suggest an extension of current research strategies using psychophysiological concepts and including behavioral regulation models. From a practical perspective, these may contribute to better screening methods for the identification of those at risk for neuropsychiatric complications and the improvement of neuropsychiatric monitoring during efavirenz treatment. From a theoretical viewpoint, the suggested research paradigms may help to move beyond the current state of descriptive approaches and thus improve our limited understanding of underlying mechanisms. PMID- 21037120 TI - Limbic metabolic abnormalities in remote traumatic brain injury and correlation with psychiatric morbidity and social functioning. AB - The aim of this study was to investigate limbic metabolic abnormalities in remote traumatic brain injury (TBI) and their psychiatric correlates. Twenty patients and 13 age-matched comparison subjects received complete psychiatric evaluation and brain MRI and MR spectroscopy at 3 Tesla. Patients had reduced NAA to creatine ratio in the left hippocampus relative to comparison subjects (mean=1.3 [SD=0.21] compared with mean=1.55 [SD=0.21]; F=10.73, df=1, 30, p=0.003), which correlated with the Social Functioning Examination scores (r(s)=-0.502, p=0.034). Furthermore, patients with mood disorders had reduced NAA to creatine ratio in the left cingulate relative to patients without mood disorders (1.47 compared with 1.68; F=3.393, df=3, 19, p=0.044). Remote TBI displays limbic metabolic abnormalities, which correlate to social outcome and psychiatric status. PMID- 21037121 TI - Anosognosia is a significant predictor of apathy in Alzheimer's disease. AB - Anosognosia and apathy are among the most common behavioral and psychological disorders of Alzheimer's disease and are significantly associated in cross sectional studies. The aim for this study was to carry out for the first time a longitudinal assessment of this association with the aim of clarifying the predictive role between anosognosia and apathy in Alzheimer's disease. A consecutive series of 213 patients with probable Alzheimer's disease were assessed for the presence of apathy and anosognosia using a specific neuropsychiatry assessment. One hundred fifty four of the patients (72%) had a follow-up assessment between 1 and 4 years after the baseline evaluation. Patients with anosognosia at baseline had a significant increase in apathy scores during follow-up relative to patients without anosognosia at both assessments. Conversely, patients with or without apathy had an increase of similar magnitude in anosognosia scores. In conclusion, anosognosia is a significant predictor of apathy in Alzheimer's disease. This may be related to a specific pattern of progression of neuropathology and/or to poor adjustment of Alzheimer's disease patients with poor insight into their functional deficits. PMID- 21037122 TI - The role of mild depression in sleep disturbance and quality of life in Parkinson's disease. AB - This study examined depressive symptoms, sleep disturbance, and quality of life in 35 patients with Parkinson's disease. Results showed that nocturnal sleep disturbance, depressive symptoms, and motor disease severity accounted for over two-thirds of the variance in quality of life scores. Depression was the largest predictor of quality of life, uniquely explaining 21% of the variance. Nocturnal sleep disturbance was associated with depressive symptoms as well as with daytime sleepiness. Overall, these data highlight the need to screen patients for even mild levels of depression because its relationship with sleep and quality of life are evident early in the disease course. PMID- 21037123 TI - Social anxiety in patients with Parkinson's disease. AB - Previous research highlights the presence of social anxiety disorder related to disfiguring diseases, although DSM-IV precludes the diagnosis of social anxiety disorder related to a medical condition. The present study investigated the frequency and severity of social anxiety disorder in patients with Parkinson's disease (n=50) and comparison subjects (n=50). Social anxiety was diagnosed in 16% of patients with Parkinson's disease and 2% of the comparison subjects. Regression analysis revealed younger age and depression as predictive factors of social anxiety. This study supported the likelihood of social anxiety disorder as a comorbid condition in Parkinson's disease. Revision of the criteria for social anxiety disorder in future diagnostic systems is necessary for the detection and management of these patients. PMID- 21037124 TI - Cognitive bizarreness in the dream and waking mentation of nonpsychotic patients with Parkinson's disease. AB - Cognitive bizarreness is a shared feature of the dream and waking mentation of acutely psychotic patients. The authors investigated this measure of the structural architecture of thought in the dream and waking mentation of 20 nonpsychotic patients with Parkinson's disease after treatment with prodopaminergic drugs. Statistically overlapping levels of cognitive bizarreness were found in the waking fantasy and dream reports of the Parkinson's disease population, whereas almost no bizarreness was found in the waking cognition of the comparison group, suggesting it may be an inherent quality of cognition in Parkinson's disease patients, possibly related to the cholinergic/dopaminergic imbalance underlying this complex disorder. PMID- 21037125 TI - Efficiency of venlafaxine in patients with psychogenic nonepileptic seizures and anxiety and/or depressive disorders. AB - An open-label, experimental, prospective 5-month follow-up study was performed with 19 patients with psychogenic nonepileptic seizures and anxiety disorder and/or depression who were treated with venlafaxine. The authors held monthly visits and evaluated changes in baseline Hamilton Depression Rating Scale scores, Hamilton Anxiety Rating Scale scores, and the number of psychogenic nonepileptic seizures. HAM-D scores, HAM-A scores, and the number of nonepileptic seizures showed a statistically significant decrease which was maintained over the study period. Based on this study, the authors conclude that venlafaxine may help reduce nonepileptic seizures in patients with additional anxious and/or depressive symptoms. PMID- 21037126 TI - Cotard syndrome in neurological and psychiatric patients. AB - The authors describe the frequency and characteristics of Cotard syndrome among neurological and psychiatric inpatients at a tertiary referral center. All inpatients from the National Institute of Neurology of Mexico (March 2007-May 2009) requiring neuropsychiatric consultation were reviewed. Among 1,321 inpatient consultations, 63.7% had neurological disease and one (0.11%) had viral encephalitis and Cotard syndrome. Of inpatients, 36.2% had pure psychiatric disorders and three (0.62%) had Cotard syndrome, associated with psychotic depression, depersonalization, and penile retraction (koro syndrome). This review discusses potential mechanisms for Cotard syndrome, including the role of a perceptual-emotional dissociation in self-misattribution in the delire des negations. PMID- 21037127 TI - Predictors of performance on the MMSE and the DRS-2 among American Indian elders. AB - Little is known about factors that predict older American Indians' performance on cognitive tests. This study examined 137 American Indian elders' performance on the MMSE and the Dementia Rating Scale-Second Edition (DRS-2). Multivariate regression identified younger age, more education, not receiving Supplemental Security Income, and frequent receipt of needed health care as predictors of better performance on the MMSE. Better performance on the DRS-2 was predicted by more education, boarding school attendance, not receiving Supplemental Security Income, and frequent receipt of needed health care. This study points to the importance of economic and educational factors on cognitive test performance among American Indian elders. PMID- 21037128 TI - The use of DSM-IV and ICD-10 criteria and diagnostic scales for delirium among cardiac surgery patients: results from the IPDACS study. AB - Diagnostic accuracy of different diagnostic systems in estimating the incidence of delirium among surgery patients has not been investigated to date. Therefore, the authors evaluated the frequency of delirium according to DSM-IV and ICD-10 criteria and the cutoff values of the Memorial Delirium Assessment Scale (MDAS) and Delirium Index in 563 patients undergoing cardiac surgery. DSM-IV criteria were found as more inclusive, while ICD-10 criteria were more restrictive in establishing a diagnosis of postoperative delirium. The cutoff scores of 10 on the MDAS and 7 on the Delirium Index were optimal to the presence or absence of delirium. PMID- 21037129 TI - Increased cortisol levels and anticholinergic activity in cognitively unimpaired patients. AB - Increased patients' serum anticholinergic activity (SAA) is described as a marker of cognitive dysfunction and can be influenced by different exogenous and endogenous factors. The role of cortisol in relation to SAA and cognition in perioperative conditions has not been investigated so far. In 30 men scheduled for urological surgery, the authors determined SAA and cortisol levels in blood and CSF and conducted neuropsychological testing in two subgroups with comparable pre- and intraoperative characteristics, one group with low SAA (mean=2.4 [SD=0.9], n=23) and the other with high SAA (mean=5.1 [SD=2.4], n=7) values. Increased SAA was associated with two times the number of anticholinergic medications but not with patients' age, medical history or impaired cognition. A significant linear correlation was detected between anticholinergic activities and cortisol levels. Thus, endogenous factors such as patients' stress levels should be taken into account for interpretation of the role of SAA. PMID- 21037130 TI - The use of a series of ketamine infusions in two patients with treatment resistant depression. AB - Treatment-resistant depression often leads to increased morbidity and disability. The authors report the use of ketamine, a selective N-methyl-D-aspartate (NMDA) receptor antagonist, in two patients with treatment-resistant depression. Multiple ketamine treatments may provide an effective rapid antidepressant effect with prolonged benefit. PMID- 21037131 TI - Pseudocataplexy and transient functional paralysis: a spectrum of psychogenic motor disorder. AB - The authors describe and discuss a syndrome of transient psychogenic weakness usually mistaken for cataplexy but which has a close association with a depressive mental state. Four patients were referred to the authors with suspected neurological causes of transient weakness, including cataplexy in three cases, for whom the eventual diagnosis was of a functional or psychogenic motor disorder, related in most cases to depression. This variety of transient functional weakness is related to conditions such as nonepileptic attack disorder, persistent functional weakness, catatonia, and depressive motor retardation. These cases point to the existence of a syndrome of transient motor weakness which resembles cataplexy and has features in common with other forms of mood induced psychogenic weakness such as psychomotor retardation and catatonia. Psychogenic "pseudocataplexy" is a diagnostic consideration in patients with atypical cataplexy, especially in the context of mood disturbance. Despite its close resemblance to cataplexy, pseudocataplexy has a different pathogenesis and requires a different approach to management. PMID- 21037132 TI - Affective prosopagnosia and "Hoccleve's Complaint". PMID- 21037133 TI - Delayed Huntington's disease diagnosis in two alcoholic patients with a family history of "Parkinson's disease". PMID- 21037134 TI - Hypochondriacal symptoms as the first sign of frontotemporal dementia. PMID- 21037135 TI - Continue or stop clozapine when patient needs chemotherapy? PMID- 21037136 TI - Co-occurrence of psychiatric symptoms with cerebellar venous malformation: a case report. PMID- 21037137 TI - Schizophrenia-like psychosis and dysfunction of the right-dominant temporoparietal lobe in early-onset Alzheimer's disease. PMID- 21037138 TI - Huntington's disease and behavioral dyscontrol. PMID- 21037139 TI - An interesting link between microgravity and psychiatric diseases. PMID- 21037140 TI - Over-diagnosing dissociative (conversion) disorders. PMID- 21037141 TI - Acute motor axonal neuropathy: a severe complication of alcohol abuse. PMID- 21037142 TI - Craniopharyngioma resulting in pituitary gland insufficiency and coma in an adult with intellectual disability and severe challenging behavior. PMID- 21037143 TI - A perplexing consult for pseudoseizures: stiff-man syndrome. PMID- 21037144 TI - Rapid cycling associated with menstrual periods in an adolescent: electrophysiological underpinnings for bipolarity. PMID- 21037145 TI - Depression and fruit treatment. PMID- 21037146 TI - Modafinil-induced orofacial dyskinesia in an elderly patient with refractory bipolar depression. PMID- 21037147 TI - Zolpidem withdrawal delirium: a case report. PMID- 21037148 TI - Lithium neurotoxicity at normal serum levels. PMID- 21037149 TI - Phenytoin dependence syndrome: a case report. PMID- 21037150 TI - Sleepwalking in multiple sclerosis: a case report. PMID- 21037151 TI - Aripiprazole treatment for coprophagia in autistic disorder. PMID- 21037152 TI - Progression of vascular depression to possible vascular dementia. PMID- 21037153 TI - Ekbom's syndrome as the first manifestation of diabetes mellitus. PMID- 21037154 TI - Paliperidone-induced dystonic Dysphagia. PMID- 21037155 TI - A revisited view of the Paced Auditory Serial Addition Test (PASAT) in multiple sclerosis. PMID- 21037156 TI - Neuropsychiatric symptoms in an early description of pellagra. PMID- 21037157 TI - Representing serial action and perception. AB - This article presents a review on the representational base of sequence learning in the serial reaction time task. The first part of the article addresses the major questions and challenges that underlie the debate on implicit and explicit learning. In the second part, the informational content that underlies sequence representations is reviewed. The latter issue has produced a rich and equivocal literature. A taxonomy illustrates that substantial support exists for associations between successive stimulus features, between successive response features, and between successive response-to-stimulus compounds. We suggest that sequence learning is not predetermined with respect to one particular type of information but, rather, develops according to an overall principle of activation contingent on task characteristics. Moreover, substantiating such an integrative approach is proposed by a synthesis with the dual-system model (Keele, Ivry, Mayr, Hazeltine, & Heuer, 2003). PMID- 21037158 TI - Subjective randomness and natural scene statistics. AB - Accounts of subjective randomness suggest that people consider a stimulus random when they cannot detect any regularities characterizing the structure of that stimulus. We explored the possibility that the regularities people detect are shaped by the statistics of their natural environment. We did this by testing the hypothesis that people's perception of randomness in two-dimensional binary arrays (images with two levels of intensity) is inversely related to the probability with which the array's pattern would be encountered in nature. We estimated natural scene probabilities for small binary arrays by tabulating the frequencies with which each pattern of cell values appears. We then conducted an experiment in which we collected human randomness judgments. The results show an inverse relationship between people's perceived randomness of an array pattern and the probability of the pattern appearing in nature. PMID- 21037159 TI - Prospects behind bars: analyzing decisions under risk in a prison population. AB - Criminal activity often involves considerable risks. It is therefore not surprising that criminals have been speculated to differ from noncriminals in risk attitude. Yet, few data exist to support this assumption. Moreover, the psychological underpinnings of differences in risk attitude are currently little understood. We presented prisoners and controls with sets of risky decision tasks and modeled their responses using cumulative prospect theory (CPT). The two groups showed several differences. Prisoners were more risk seeking than nonprisoners in lotteries involving losses, but they were less risk seeking in lotteries involving high-probability gains. Best-fitting CPT parameters indicated a reduced sensitivity to outcomes, for both gains and losses, and a stronger loss aversion among prisoners. In addition, prisoners showed a diminished sensitivity to the probability of gains. Our results contribute to a better understanding of prisoners' risk attitudes and the underlying mechanisms that distinguish prisoners from nonprisoners and may thus help improve interventions designed to prevent crime. PMID- 21037160 TI - Featural selective attention, exemplar representation, and the inverse base-rate effect. AB - Selective attention plays a central role in theories of category learning and representation. In exemplar theory, selective attention has typically been formalized as operating uniformly across entire stimulus dimensions. Selective featural attention operating within dimensions has been recognized as a conceptual possibility, but relatively little research has focused on evaluating it. In the present research, we explored the usefulness of selective featural attention in the context of exemplar representation. We report the results of embedding the feature-to-category relations typically associated with the inverse base-rate effect--a classic and paradoxical category-learning result--within a perceptual category-learning task using a category structure with three multivalued feature dimensions. An exemplar model incorporating featural selective attention accurately accounted for the inverse base-rate effect that occurred but failed to do so with only dimensional attention. PMID- 21037161 TI - Subtle linguistic cues influence perceived blame and financial liability. AB - When bad things happen, how do we decide who is to blame and how much they should be punished? In the present studies, we examined whether subtly different linguistic descriptions of accidents influence how much people blame and punish those involved. In three studies, participants judged how much people involved in particular accidents should be blamed and how much they should have to pay for the resulting damage. The language used to describe the accidents differed subtly across conditions: Either agentive (transitive) or non-agentive (intransitive) verb forms were used. Agentive descriptions led participants to attribute more blame and request higher financial penalties than did nonagentive descriptions. Further, linguistic framing influenced judgments, even when participants reasoned about a well-known event, such as the "wardrobe malfunction" of Super Bowl 2004. Importantly, this effect of language held, even when people were able to see a video of the event. These results demonstrate that even when people have rich established knowledge and visual information about events, linguistic framing can shape event construal, with important real-world consequences. Subtle differences in linguistic descriptions can change how people construe what happened, attribute blame, and dole out punishment. Supplemental results and analyses may be downloaded from http://pbr.psychonomic-journals.org/content/supplemental. PMID- 21037162 TI - Word category conversion causes processing costs: evidence from adjectival passives. AB - Constructing syntactic representations in language comprehension begins with the identification of word categories. Whether the category information is stored in the mental lexicon is a matter of debate in current linguistic theorizing. The standard view assumes that the syntactic category of a word is lexically specified (lexicalist approach). More recently, it has been proposed within the paradigm of distributed morphology that lexical elements (roots) are stored without any syntactic category information: The syntactic category of a lexical element is determined only by the syntactic context in which it appears (syntactic approach). For processing category-ambiguous words, different hypotheses can be derived from these two accounts. The lexicalist approach predicts that there are productive grammatical processes, such as nominalization and adjectivization, that convert a word of Category A into one of Category B. Such a conversion might be assumed to create additional processing costs. Within the syntactic approach, on the other hand, no additional processing step is expected, because there is no need for any category shift. In a self-paced reading study on so-called adjectival passives, we found evidence of costs predicted under the lexicalist approach (i.e., for a grammatical process that changes the category of a word). More specifically, the present study provides evidence for category conversion from a verbal participle into an adjectival one. We also discuss an alternative explanation for this finding in terms of frequency. PMID- 21037163 TI - Now you see it ... and now again: semantic interference reflects lexical competition in speech production with and without articulation. AB - Semantic interference effects in the picture-word interference (PWI) paradigm have long been assumed to reflect competitive mechanisms during lexical selection, a core component of the speech production system. However, recent observations of facilitative effects have cast doubt on the usefulness of the paradigm for investigating lexicalization, and on the existence of lexical competition in general. An alternative proposal suggests that lexical selection is not by competition, and that interference effects reflect articulatory processes outside the lexical system. Here, we contrast these theoretical alternatives with semantic distractor effects in the PWI paradigm. In two tasks, pictures were either overtly named or the names were manually classified. Interference effects of comparable magnitude were observed in both response modalities, regardless of whether the names were articulated or not. This finding supports lexical competition models and suggests that the articulators are not the source of interference in the PWI paradigm. Supplemental materials for this article may be downloaded from http://pbr.psychonomic journals.org/content/supplemental. PMID- 21037164 TI - Evaluating the random representation assumption of lexical semantics in cognitive models. AB - A common assumption implicit in cognitive models is that lexical semantics can be approximated by using randomly generated representations to stand in for word meaning. However, the use of random representations contains the hidden assumption that semantic similarity is symmetrically distributed across randomly selected words or between instances within a semantic category. We evaluated this assumption by computing similarity distributions for randomly selected words from a number of well-known semantic measures and comparing them with the distributions from random representations commonly used in cognitive models. The similarity distributions from all semantic measures were positively skewed compared with the symmetric normal distributions assumed by random representations. We discuss potential consequences that this false assumption may have for conclusions drawn from process models that use random representations. PMID- 21037165 TI - Quantity, not quality: the relationship between fluid intelligence and working memory capacity. AB - A key motivation for understanding capacity in working memory (WM) is its relationship with fluid intelligence. Recent evidence has suggested a two-factor model that distinguishes between the number of representations that can be maintained in WM and the resolution of those representations. To determine how these factors relate to fluid intelligence, we conducted an exploratory factor analysis on multiple number-limited and resolution-limited measures of WM ability. The results strongly supported the two-factor model, with fully orthogonal factors accounting for performance in the number-limited and resolution-limited conditions. Furthermore, the reliable relationship between WM capacity and fluid intelligence was exclusively supported by the number factor (r = .66), whereas the resolution factor made no reliable contribution (r = -.05). Thus, the relationship between WM capacity and standard measures of fluid intelligence is mediated by the number of representations that can be simultaneously maintained in WM, rather than by the precision of those representations. PMID- 21037166 TI - Improving visual short-term memory by sequencing the stimulus array. AB - When multiple objects are presented briefly and simultaneously in a visual array, visual short-term memory (VSTM) can maintain only a limited number of these items. The present research report reveals that splitting the to-be-remembered items into two sequential arrays significantly increases VSTM performance relative to the simultaneous presentation of the same items. A memory benefit also emerges when the full object array is flashed twice (repeated) rather than being presented continuously for the same duration. Moreover, the sequential and repetition benefits are specifically pronounced for individuals with low performance for simultaneously presented items. Our results suggest that the conventional, simultaneous presentation mode may underestimate VSTM performance due to attentional limitations and/or competition between stimulus representations. In contrast, temporal segregation of the stimulus input may help participants maximize their performance and utilize their full VSTM capacity. PMID- 21037167 TI - The influence of working memory load on the Simon effect. AB - The contribution of spatial and verbal working memory (WM) to the processing of stimulus location in the Simon task was examined in two experiments. Subjects performed the Simon task in single- and dual-task conditions. In the dual-task conditions, individuals first encoded the locations of four boxes/pseudocharacters or the identity of seven characters in memory, then responded to the color of a Simon stimulus, and finally received a test for the WM set. The Simon effect was insensitive to spatial WM load and was abolished by verbal WM load. These data reveal a role of verbal WM in the processing of spatial location in the Simon task. In addition, the data are inconsistent with dual-route models of the Simon effect and may support response discrimination accounts of the mechanisms underlying the processing of spatial location in the Simon task. PMID- 21037168 TI - The surface structure and the deep structure of sequential control: what can we learn from task span switch costs? AB - A large component of response time switch costs in the cued task-switching paradigm is linked to cue changes without task changes, suggesting costs might reflect passive priming rather than endogenous control. In contrast, the task span procedure requires subjects to guide task selection via sequences of memorized task cues and therefore may be better suited to reflect endogenous switch processes (Logan, 2004). The present experiments combined the task span procedure with a 2:1 mapping between cues and tasks, allowing separation of cue switch costs from true task-switch costs. Replicating findings with the cued task switching paradigm, results showed both substantial cue-switch costs and actual task-switch costs (Experiments 1 and 2) as well as sensitivity of cue-switch costs, but not of task-switch costs, to opportunity for preparation (Experiment 2). Apparently, simple action plans use "surface level" phonological or articulatory codes that contain no task information. These results suggest that the distinction between cue-related and task-related processes is critical no matter whether tasks are cued exogenously or endogenously. PMID- 21037169 TI - Stop what you are not doing! Emotional pictures interfere with the task not to respond. AB - Previous research has shown that emotional stimuli interfere with ongoing activities. One explanation is that these stimuli draw attention away from the primary task and thereby hamper the correct execution of the task. Another explanation is that emotional stimuli cause a temporary freezing of all ongoing activity. We used a go/no-go task to differentiate between these accounts. According to the attention account, emotional distractors should impair performance on both go and no-go trials. According to the freezing account, the presentation of emotional stimuli should be detrimental to performance on go trials, but beneficial for performance on no-go trials. Our findings confirm the former prediction: Pictures high in emotional arousal impaired performance on no go trials. PMID- 21037170 TI - Retrieval-induced forgetting in young children. AB - A prominent theory of cognitive development attributes the poor performance that children show in many cognitive tasks to a general lack of inhibitory control. We tested this theory by examining children's inhibitory capabilities in retrieval induced forgetting (RIF), a memory task in which selective retrieval of previously studied material causes forgetting of related, nonretrieved material. Such forgetting is often attributed to inhibitory control processes, which supposedly suppress the nonretrieved items' memory representation. We examined RIF in kindergartners, second graders, and adults, using both recall and recognition testing. Although all three age groups showed significant RIF in recall, only adults and second graders, but not kindergartners, showed RIF in recognition. Because inhibition-based RIF should be present in recall and recognition, these findings indicate that in adults and second graders, but not in kindergartners, RIF is mediated by inhibition. The results support the view of inefficient inhibitory processes in young children's cognition. PMID- 21037171 TI - Eye-response lags during a continuous monitoring task. AB - We measured the temporal relationship between eye movements and manual responses while experts and novices watched a videotaped football match. Observers used a joystick to continuously indicate the likelihood of an imminent goal. We measured correlations between manual responses and between-subjects variability in eye position. To identify the lag magnitude, we repeated these correlations over a range of possible delays between these two measures and searched for the most negative correlation coefficient. We found lags in the order of 2 sec and an effect of expertise on lag magnitude, suggesting that expertise has its effect by directing eye movements to task-relevant areas of a scene more quickly, facilitating a longer processing duration before behavioral decisions are made. This is a powerful new method for examining the eye movement behavior of multiple observers across complex moving images. PMID- 21037172 TI - Coordinating spatial referencing using shared gaze. AB - To better understand the problem of referencing a location in space under time pressure, we had two remotely located partners (A, B) attempt to locate and reach consensus on a sniper target, which appeared randomly in the windows of buildings in a pseudorealistic city scene. The partners were able to communicate using speech alone (shared voice), gaze cursors alone (shared gaze), or both. In the shared-gaze conditions, a gaze cursor representing Partner A's eye position was superimposed over Partner B's search display and vice versa. Spatial referencing times (for both partners to find and agree on targets) were faster with shared gaze than with speech, with this benefit due primarily to faster consensus (less time needed for one partner to locate the target after it was located by the other partner). These results suggest that sharing gaze can be more efficient than speaking when people collaborate on tasks requiring the rapid communication of spatial information. Supplemental materials for this article may be downloaded from http://pbr.psychonomic-journals.org/content/supplemental. PMID- 21037173 TI - Sequence effects in estimating spatial location. AB - Three experiments provide evidence for a primacy effect in judgments of spatial location. Participants viewed and immediately estimated a series of spatial locations that were serially ordered from left to right or from right to left. In a subsequent block, they judged the rightmost, leftmost, and center of the distribution or were shown dots at those locations, which they then estimated from memory. Both judgments and memories were biased toward locations that had been presented earliest in the sequence. The findings indicate that participants incorporate not only geometric categories, but also aspects of their prior spatial experience, when estimating locations. The results mirror recent evidence for a primacy effect in nonspatial category induction, suggesting that this effect generalizes across domains. PMID- 21037174 TI - Features, as well as space and time, guide object persistence. AB - What role do surface features (e.g., color) play in the establishment and maintenance of episodic representations of objects (object files)? Mitroff and Alvarez (2007) showed that stimuli that were linked by a continuous spatiotemporal history yielded object-specific preview benefits--a standard index of object files-whereas stimuli linked only by shared surface features did not. Here, it is shown that abruptly changing the features of an object that has been established on the basis of spatiotemporal history can disrupt object-specific preview benefits (Experiments 1 and 2). Moreover, under some conditions, feature match alone can give rise to the preview benefits (Experiment 3). These results indicate that surface features, as well as spatiotemporal factors, play an important role in establishing and maintaining episodic object representations. PMID- 21037175 TI - Object substitution masking and the object updating hypothesis. AB - The object updating hypothesis of object substitution masking proposes that the phenomenon arises when the visual system fails to individuate target and mask at the level of object token representations. This hypothesis is tested in two experiments using modifications of the dot mask paradigm developed by Lleras and Moore (2003). Target-mask individuation is manipulated by the presentation of additional display items that influence the linking apparent motion seen between a target and a spatially separated mask (Experiment 1), and by the use of placeholders that maintain the target object's presence during mask presentation (Experiment 2). Results in both cases are consistent with the updating hypothesis in showing significantly reduced masking when the conditions promoted target-mask individuation. However, in both experiments, some masking was still present under conditions of individuation, an effect we attribute to attentional capture by the mask. PMID- 21037176 TI - Perceptual integrality of componential and configural information in faces. AB - The relative contribution of componential and configural information to face perception is controversial. We addressed this issue in the present study by examining how componential information and configural information interact during face processing, using Garner's (1974) speeded classification paradigm. When classifying upright faces varying in components (eyes, nose, and mouth) and configural information (intereyes and nose-mouth spacing), observers could not selectively attend to components without being influenced by irrelevant variation in configural information, and vice versa, indicating that componential information and configural information are integral in upright face processing. Performance with inverted faces showed selective attention to components but not to configural information, implying dominance of componential information in processing inverted faces. When faces varied only in components, selective attention to different components was observed in upright and inverted faces, indicating that facial components are perceptually separable. These results provide strong evidence that integrality of componential and configural information, rather than the relative dominance of either, is the hallmark of upright face perception. PMID- 21037177 TI - Form and meaning in early morphological processing: Comment on Feldman, O'Connor, and Moscoso del Prado Martin (2009). AB - Feldman, O'Connor, and Moscoso del Prado Martin (2009) reported evidence for differential priming of semantically transparent (talker-talk) and semantically opaque (corner-corn) morphological pairs under masked presentation conditions. The present commentary argues that these data should not call into question the theory that morphologically structured words undergo a segmentation process based solely on form, because (1) these results do not contradict existing evidence for morpho-orthographic segmentation, (2) funnel plots suggest that the lack of priming observed for semantically opaque items in this study is inconsistent with findings in the existing literature, and (3) orthographic characteristics of the semantically opaque pairs in this study (rather than semantic factors) are the most likely explanation for these discrepant results. PMID- 21037179 TI - The yeast-phase virulence requirement for alpha-glucan synthase differs among Histoplasma capsulatum chemotypes. AB - Histoplasma capsulatum strains can be classified into two chemotypes based on cell wall composition. The cell wall of chemotype II yeast contains a layer of alpha-(1,3)-glucan that masks immunostimulatory beta-(1,3)-glucans from detection by the Dectin-1 receptor on host phagocytes. This alpha-(1,3)-glucan cell wall component is essential for chemotype II Histoplasma virulence. In contrast, chemotype I yeast cells lack alpha-(1,3)-glucan in vitro, yet they remain fully virulent in vivo. Analysis of the chemotype I alpha-glucan synthase (AGS1) locus revealed a 2.7-kb insertion in the promoter region that diminishes AGS1 expression. Nonetheless, AGS1 mRNA can be detected during respiratory infection with chemotype I yeast, suggesting that alpha-(1,3)-glucan could be produced during in vivo growth despite its absence in vitro. To directly test whether AGS1 contributes to chemotype I strain virulence, we prevented AGS1 function by RNA interference and by insertional mutation. Loss of AGS1 function in chemotype I does not impair the cytotoxicity of ags1(-) mutant yeast to cultured macrophages, nor does it affect the intracellular growth of yeast. In a murine model of histoplasmosis, the ags1(-) chemotype I mutant strains show no defect in lung infection or in extrapulmonary dissemination. Together, these studies demonstrate that AGS1 expression is dispensable for chemotype I yeast virulence, in contrast to the case for chemotype II yeast. Despite the absence of cell wall alpha-(1,3) glucan, chemotype I yeast can avoid detection by Dectin-1 in a growth stage dependent manner. This suggests the production of a unique Histoplasma chemotype I factor that, at least partially, circumvents the alpha-(1,3)-glucan requirement for yeast virulence. PMID- 21037178 TI - The role of the prokineticin 2 pathway in human reproduction: evidence from the study of human and murine gene mutations. AB - A widely dispersed network of hypothalamic GnRH neurons controls the reproductive axis in mammals. Genetic investigation of the human disease model of isolated GnRH deficiency has revealed several key genes crucial for GnRH neuronal ontogeny and GnRH secretion. Among these genes, prokineticin 2 (PROK2), and PROK2 receptor (PROKR2) have recently emerged as critical regulators of reproduction in both mice and humans. Both prok2- and prokr2-deficient mice recapitulate the human Kallmann syndrome phenotype. Additionally, PROK2 and PROKR2 mutations are seen in humans with Kallmann syndrome, thus implicating this pathway in GnRH neuronal migration. However, PROK2/PROKR2 mutations are also seen in normosmic GnRH deficiency, suggesting a role for the prokineticin signaling system in GnRH biology that is beyond neuronal migration. This observation is particularly surprising because mature GnRH neurons do not express PROKR2. Moreover, mutations in both PROK2 and PROKR2 are predominantly detected in the heterozygous state with incomplete penetrance or variable expressivity frequently seen within and across pedigrees. In some of these pedigrees, a "second hit" or oligogenicity has been documented. Besides reproduction, a pleiotropic physiological role for PROK2 is now recognized, including regulation of pain perception, circadian rhythms, hematopoiesis, and immune response. Therefore, further detailed clinical studies of patients with PROK2/PROKR2 mutations will help to map the broader biological role of the PROK2/PROKR2 pathway and identify other interacting genes/proteins that mediate its molecular effects in humans. PMID- 21037180 TI - Sawyeria marylandensis (Heterolobosea) has a hydrogenosome with novel metabolic properties. AB - Protists that live under low-oxygen conditions often lack conventional mitochondria and instead possess mitochondrion-related organelles (MROs) with distinct biochemical functions. Studies of mostly parasitic organisms have suggested that these organelles could be classified into two general types: hydrogenosomes and mitosomes. Hydrogenosomes, found in parabasalids, anaerobic chytrid fungi, and ciliates, metabolize pyruvate anaerobically to generate ATP, acetate, CO(2), and hydrogen gas, employing enzymes not typically associated with mitochondria. Mitosomes that have been studied have no apparent role in energy metabolism. Recent investigations of free-living anaerobic protists have revealed a diversity of MROs with a wider array of metabolic properties that defy a simple functional classification. Here we describe an expressed sequence tag (EST) survey and ultrastructural investigation of the anaerobic heteroloboseid amoeba Sawyeria marylandensis aimed at understanding the properties of its MROs. This organism expresses typical anaerobic energy metabolic enzymes, such as pyruvate:ferredoxin oxidoreductase, [FeFe]-hydrogenase, and associated hydrogenase maturases with apparent organelle-targeting peptides, indicating that its MRO likely functions as a hydrogenosome. We also identified 38 genes encoding canonical mitochondrial proteins in S. marylandensis, many of which possess putative targeting peptides and are phylogenetically related to putative mitochondrial proteins of its heteroloboseid relative Naegleria gruberi. Several of these proteins, such as a branched-chain alpha keto acid dehydrogenase, likely function in pathways that have not been previously associated with the well studied hydrogenosomes of parabasalids. Finally, morphological reconstructions based on transmission electron microscopy indicate that the S. marylandensis MROs form novel cup-like structures within the cells. Overall, these data suggest that Sawyeria marylandensis possesses a hydrogenosome of mitochondrial origin with a novel combination of biochemical and structural properties. PMID- 21037181 TI - Histidine kinase two-component response regulator proteins regulate reproductive development, virulence, and stress responses of the fungal cereal pathogens Cochliobolus heterostrophus and Gibberella zeae. AB - Histidine kinase (HK) phosphorelay signaling is a major mechanism by which fungi sense their environment. The maize pathogen Cochliobolus heterostrophus has 21 HK genes, 4 candidate response regulator (RR) genes (SSK1, SKN7, RIM15, REC1), and 1 gene (HPT1) encoding a histidine phosphotransfer domain protein. Because most HKs are expected to signal through RRs, these were chosen for deletion. Except for pigment and slight growth alterations for rim15 mutants, no measurable altered phenotypes were detected in rim15 or rec1 mutants. Ssk1p is required for virulence and affects fertility and proper timing of sexual development of heterothallic C. heterostrophus. Pseudothecia from crosses involving ssk1 mutants ooze masses of single ascospores, and tetrads cannot be found. Wild-type pseudothecia do not ooze. Ssk1p represses asexual spore proliferation during the sexual phase, and lack of it dampens asexual spore proliferation during vegetative growth, compared to that of the wild type. ssk1 mutants are heavily pigmented. Mutants lacking Skn7p do not display any of the above phenotypes; however, both ssk1 and skn7 mutants are hypersensitive to oxidative and osmotic stresses and ssk1 skn7 mutants are more exaggerated in their spore-type balance phenotype and more sensitive to stress than single mutants. ssk1 mutant phenotypes largely overlap hog1 mutant phenotypes, and in both types of mutant, the Hog1 target gene, MST1, is not induced. ssk1 and hog1 mutants were examined in the homothallic cereal pathogen Gibberella zeae, and pathogenic and reproductive phases of development regulated by Ssk1 and Hog1 were found to mirror, but also vary from, those of C. heterostrophus. PMID- 21037182 TI - Thirty years on, and still there is much to accomplish. PMID- 21037183 TI - Patients with joint replacements. PMID- 21037184 TI - More about patients with joint replacement. PMID- 21037185 TI - Protecting the elderly. PMID- 21037186 TI - Oral paresthesia. PMID- 21037187 TI - More about oral paresthesia. PMID- 21037188 TI - Dentists' attitudes about their role in addressing obesity in patients: a national survey. AB - BACKGROUND: Reducing the incidence of obesity requires coordination among primary health care providers. Because of their frequent contact with patients, dentists are positioned to recognize patients at risk of developing obesity. The authors conducted a study to assess dentists' interest in and barriers to providing obesity counseling to patients. METHODS: The authors surveyed a random sample of 8,000 American Dental Association members by mail, stratified according to census region (West, Midwest, South, Northeast) and dentist type (general, pediatric). The authors weighted respondents' data to account for the unequal probability of selection and nonresponse rates among regions and dentist types. RESULTS: In all, 2,965 dentists responded. Overall, 4.8 percent of respondents offered a form of counseling services and 50.5 percent reported that they were interested in offering obesity-related services. More than one-half of the respondents cited fears of offending patients (53.8 percent) and appearing judgmental (52 percent) as major barriers, followed by a paucity of trained personnel (46.3 percent) and patients' rejection of weight-loss advice (45.7 percent). Eighty-two percent of respondents agreed that dentists would be more willing to intervene if obesity were linked definitively to oral disease. CONCLUSIONS: Given continued increases in obesity in the United States and the willingness of dentists to assist in preventive and interventional efforts, experts in obesity intervention, in conjunction with dental educators, should develop models of intervention within the scope of dental practice. CLINICAL IMPLICATIONS: Educating dentists about obesity and counseling may reduce barriers for those interested in addressing obesity in their practices. PMID- 21037189 TI - A clinical comparison of zirconia, metal and alumina fixed-prosthesis frameworks veneered with layered or pressed ceramic: a three-year report. AB - BACKGROUND: The authors conducted a randomized controlled clinical trial to determine whether performance differed between metal, zirconia and alumina fixed partial denture (FPD) frameworks veneered with pressed or layered ceramics designed for each framework type. METHODS: Posterior three-unit FPDs (N = 293) of 10 different framework/veneer ceramic combinations were placed by 115 dentists in 259 patients from their practices according to a masked protocol. Yearly, the clinicians graded the prostheses and the opposing dentition in vivo according to 17 criteria, and two independent scientists graded them in vitro by using gold sputtered dies, scanning electron micrographs and clinical photographs. RESULTS: Three metal and five zirconia frameworks tested were not statistically different, with zero and two fractures, respectively. Alumina frameworks were statistically worse, with 11 fractures. The veneer ceramics CZR Press (Noritake Dental, Aichi, Japan) and Pulse interface (Jensen Dental, North Haven, Conn.) performed best with zirconia and metal frameworks, respectively. Four nonleucite-containing veneer ceramics used with zirconia frameworks had substantially more fractures. CONCLUSIONS: Five zirconia framework brands performed equally well and were statistically comparable with metal frameworks at three years. Two leucite containing veneer ceramics applied by means of pressing techniques had the statistically lowest number of fractures. CLINICAL IMPLICATIONS: Dentists can use metal or zirconia frameworks successfully if they are designed properly, but to avoid veneer ceramic surface crumbling and minimize chipping, use of leucite containing pressed ceramics is indicated. PMID- 21037190 TI - Chronic kidney disease: pharmacological considerations for the dentist. AB - BACKGROUND: Patients with chronic kidney disease (CKD) represent a challenge for the dentist seeking to prescribe medications. Understanding the medical management of renal insufficiency and the pharmacokinetics of common dental drugs will aid clinicians in safely treating these patients. TYPES OF STUDIES REVIEWED: The authors reviewed the literature concerning the medical and pharmacological management of CKD. They reviewed the pharmacokinetic effects of drugs described in case reports and research articles and obtained from them recommendations regarding the use of drugs and adjustment of dosages. CLINICAL IMPLICATIONS: Because CKD is progressive, patients have varying levels of renal function but do not yet have end-stage renal disease. Some drugs that dentists prescribe commonly may worsen a patient's renal function, lead to drug toxicity or both. Managing the care of patients and prescribing medications tailored to their needs begin with a recognition of the patient with renal disease at risk of developing adverse effects. Clinicians can identify these patients from information obtained in their medical histories and from the drugs they may be taking. CONCLUSIONS: To treat patients with kidney disease, clinicians must recognize those at risk, have knowledge of the pharmacokinetic changes that occur and recognize that adjustment of drug dosages often is needed. PMID- 21037191 TI - The contribution of orthodontics to the prosthodontic treatment of ectodermal dysplasia: a long-term clinical report. AB - BACKGROUND: The long-term management of hypohidrotic ectodermal dysplasia (HED) from prepuberty to adulthood often necessitates orthodontic and orthopedic treatment in conjunction with prosthodontic care. CASE DESCRIPTION: The authors present a report regarding the combined orthodontic and prosthodontic treatment of a child with HED-associated oligodontia from the ages of 10 to 18 years. The authors fabricated successive maxillary removable partial dentures, equipped with midline jackscrews, at different phases of the treatment and planned treatment to close the patient's midline diastema. After completion of the orthodontic therapy, three-dimensional analysis of the final dental casts showed a measurable increase in the transverse dimensions of the maxillary dental arch, and posttreatment cephalometric analysis revealed the face to have normal vertical dimensions and a mild retroposition of the maxilla. CONCLUSIONS: and CLINICAL IMPLICATIONS: Orthodontic and orthopedic treatment in coordination with prosthetic restoration at the appropriate time may benefit the stomatognathic function, normal growth, esthetics and emotional well-being of young people with HED. PMID- 21037192 TI - Improperly formed maxillary teeth. PMID- 21037193 TI - Facilitating the transition of patients with special health care needs from pediatric to adult oral health care. AB - BACKGROUND: Without guidelines or policies in dentistry for transitioning adolescents with special heath care needs from pediatric to adult oral health care, little is known about traditional support services. The authors surveyed pediatric dentists about their transition of adolescent patients with and without special health care needs (SHCNs) to adult care. METHODS: In 2009, the authors e mailed a pilot-tested survey modified from a survey used for U.S. pediatricians to 4,000 pediatric dentists. The survey included demographic questions and questions regarding services and barriers associated with the transition of patients to adult care. RESULTS: Responses were obtained from 1,686 (42.2 percent response) pediatric dentists who were mostly in group or solo private practices and were younger, in that most had completed their education in the preceding 15 years. More than one-half practiced in suburban settings, and most worked with both dental hygienists and dental assistants. Most assisted patients with SHCNs with their transitions to adult care, and the predominant barrier to transitioning to adult care was availability of general dentists and specialists who were willing to accept these new patients. Pediatric dentists' answers paralleled those of pediatricians for the most part in terms of services provided and barriers to transition. CONCLUSIONS: Most responding dentists helped adolescents with and without SHCNs make the transition into adult care, but the major barrier was the availability of general dentists and specialists. CLINICAL IMPLICATIONS: With an office protocol in place that includes trained staff members, transitioning patients (especially those with SHCNs) to adult care can be facilitated to provide the appropriate oral health and support services. PMID- 21037194 TI - A randomized clinical trial comparing at-home and in-office tooth whitening techniques: A nine-month follow-up. AB - BACKGROUND: The aim of this split-mouth, randomized controlled trial was to compare the whitening results of at-home and in-office tooth bleaching techniques and the longevity of their effects at nine months after teeth had been bleached. METHODS: The authors conducted a study involving a 14-day bleaching period, during which the first maxillary premolars of 17 participants, who were 20 to 25 years of age, were bleached by means of either an at-home technique involving 10 percent carbamide peroxide or an in-office technique involving 38 percent hydrogen peroxide. The authors recorded color variables as proposed by the Commission Internationale de l'Eclairage-lightness (L*), redness (a*) and yellowness (b*)-by using a spectrophotometer at baseline and at one week, one month and nine months after bleaching. They also calculated a whiteness (W) index that was based on the distance of the color value in the color space from a nominal white point. RESULTS: At the nine-month recall visit, comparison between the at-home and the in-office techniques did not show significantly different values for L* (P = .448), a* (P = .350), b* (P = .144) and W (P = .151) color variables. None of the participants experienced any adverse events related to the bleaching during the treatment period. CONCLUSIONS: The study results showed no clinically significant difference in bleaching efficacy. Both techniques produced satisfactory and long-lasting bleaching results. CLINICAL IMPLICATIONS: In young adults, either the at-home or the in-office technique can be used effectively. PMID- 21037195 TI - The incidence of osteonecrosis of the jaw in patients receiving 5 milligrams of zoledronic acid: data from the health outcomes and reduced incidence with zoledronic acid once yearly clinical trials program. AB - BACKGROUND: The Health Outcomes and Reduced Incidence with Zoledronic Acid Once Yearly-Pivotal Fracture Trial found one case of osteonecrosis of the jaw (ONJ) in a patient with postmenopausal osteoporosis (OP) treated with 5 milligrams of zoledronic acid (ZOL) once yearly and one case in a patient receiving a placebo. The authors examined ONJ incidence in four additional clinical trials involving patients with osteopenia or OP who were treated with ZOL, and they analyzed levels of the bone resorption marker serum beta C-telopeptide (S-CTx) to assess its usefulness in predicting the risk of developing ONJ. METHODS: To determine ONJ prevalence, an independent committee conducted a masked review of the clinical trials' adverse events databases for cases meeting predefined criteria for ONJ. The committee collected S-CTx level data for subsets of patients from these trials. RESULTS: The results of the four additional clinical trials revealed no further cases of ONJ. The S-CTx levels commonly were lower than 0.15 nanograms per milliliter, with no correlation to the incidence of ONJ. CONCLUSIONS: In the clinically diverse group of 5,903 patients who received ZOL in five clinical trials, ONJ incidence was less than one in 14,200 patient treatment-years. Data from four clinical trials indicated that S-CTx levels lower than 0.15 ng/mL were common among patients receiving ZOL for treatment of OP and were not associated with ONJ. CLINICAL IMPLICATIONS: Occurrences of ONJ have been rare in patients with OP who are receiving ZOL. Whereas low S-CTx values may be common in patients receiving bisphosphonates for OP therapy, they are not associated necessarily with an increased risk of developing ONJ. PMID- 21037196 TI - Limited evidence that cantilevers are associated with slightly lower survival rates of implant-supported fixed partial dentures. PMID- 21037197 TI - Dealing with a disgruntled employee. PMID- 21037198 TI - Analyses of nuclearly encoded mitochondrial genes suggest gene duplication as a mechanism for resolving intralocus sexually antagonistic conflict in Drosophila. AB - Gene duplication is probably the most important mechanism for generating new gene functions. However, gene duplication has been overlooked as a potentially effective way to resolve genetic conflicts. Here, we analyze the entire set of Drosophila melanogaster nuclearly encoded mitochondrial duplicate genes and show that both RNA- and DNA-mediated mitochondrial gene duplications exhibit an unexpectedly high rate of relocation (change in location between parental and duplicated gene) as well as an extreme tendency to avoid the X chromosome. These trends are likely related to our observation that relocated genes tend to have testis-specific expression. We also infer that these trends hold across the entire Drosophila genus. Importantly, analyses of gene ontology and functional interaction networks show that there is an overrepresentation of energy production-related functions in these mitochondrial duplicates. We discuss different hypotheses to explain our results and conclude that our findings substantiate the hypothesis that gene duplication for male germline function is likely a mechanism to resolve intralocus sexually antagonistic conflicts that we propose are common in testis. In the case of nuclearly encoded mitochondrial duplicates, our hypothesis is that past sexually antagonistic conflict related to mitochondrial energy function in Drosophila was resolved by gene duplication. PMID- 21037199 TI - Comparative phenotypic assessment of cardiac pathology, physiology, and gene expression in C3H/HeJ, C57BL/6J, and B6C3F1/J mice. AB - Human cardiomyopathies often lead to heart failure, a major cause of morbidity and mortality in industrialized nations. Described here is a phenotypic characterization of cardiac function and genome-wide expression from C3H/HeJ, C57BL/6J, and B6C3F1/J male mice. Histopathologic analysis identified a low-grade background cardiomyopathy (murine progressive cardiomyopathy) in eight of nine male C3H/HeJ mice (age nine to ten weeks), but not in male C57BL/6J and in only of ten male B6C3F1/J mice. The C3H/HeJ mouse had an increased heart rate and a shorter RR interval compared to the B6C3F1/J and C57BL/6J mice. Cardiac genomic studies indicated the B6C3F1/J mice exhibited an intermediate gene expression phenotype relative to the 2 parental strains. Disease-centric enrichment analysis indicated a number of cardiomyopathy-associated genes were induced in B6C3F1/J and C3H/HeJ mice, including Myh7, My14, and Lmna and also indicated differential expression of genes associated with metabolic (e.g., Pdk2) and hypoxic stress (e.g. Hif1a). A novel coexpression and integrated pathway network analysis indicated Prkaa2, Pdk2, Rhoj, and Sgcb are likely to play a central role in the pathophysiology of murine progressive cardiomyopathy in C3H/HeJ mice. Our studies indicate that genetically determined baseline differences in cardiac phenotype have the potential to influence the results of cardiotoxicity studies. PMID- 21037200 TI - Decreases in binding capacity of the mitochondrial 18 kda translocator protein accompany oxidative stress and pathological signs in rat liver after DMBA exposure. AB - 7,12-Dimethylbenz[a]anthracene (DMBA) presents a pollutant implicated in various toxicological effects. The aim of this experiment was to study the effects of DMBA administration on oxidative stress, histopathological signs, and 18 kDa translocator protein (TSPO) binding characteristics in rat liver. We also studied the effects of dose stoichiometry, dose frequency, and duration of protocol of DMBA administration. In this study, rats surviving eighteen weeks after DMBA exposure showed mild to moderate histopathological changes in the liver, mainly characterized by glossy appearance of hepatocytes, heterochromatic nuclei, and glycogen overload in the midzonal region of the hepatic lobe. These changes were accompanied by significant rises in oxidant levels, along with declines in nonenzymic antioxidants, indicating that DMBA induced oxidative stress in the liver. This finding correlated well with decreases in TSPO binding capacity in the liver of the rats in our study. Other studies have shown that TSPO can be affected by oxidative stress, as well as contribute to oxidative stress at mitochondrial levels. Further studies are needed to assay whether the decreases in TSPO density in the liver are part of the damaging effects caused by DMBA or a compensatory response to the oxidative stress induced by DMBA. PMID- 21037201 TI - Letter on behalf of the European college of veterinary clinical pathology in response to the article "international recommendations for training future toxicologic pathologists participating in regulatory-type, nonclinical toxicity studies". PMID- 21037202 TI - Vacuoles in dorsal root Ganglia neurons: some questions. PMID- 21037203 TI - Hepatocellular glycogenosis and hepatic neoplasms. PMID- 21037204 TI - Evolution of structurally disordered proteins promotes neostructuralization. AB - Protein structure is generally more conserved than sequence, but for regions that can adopt different structures in different environments, does this hold true? Understanding how structurally disordered regions evolve altered secondary structure element propensities as well as conformational flexibility among paralogs are fundamental questions for our understanding of protein structural evolution. We have investigated the evolutionary dynamics of structural disorder in protein families containing both orthologs and paralogs using phylogenetic tree reconstruction, protein structure disorder prediction, and secondary structure prediction in order to shed light upon these questions. Our results indicate that the extent and location of structurally disordered regions are not universally conserved. As structurally disordered regions often have high conformational flexibility, this is likely to have an effect on how protein structure evolves as spatially altered conformational flexibility can also change the secondary structure propensities for homologous regions in a protein family. PMID- 21037205 TI - Comparative genomic analysis of fruiting body formation in Myxococcales. AB - Genetic programs underlying multicellular morphogenesis and cellular differentiation are most often associated with eukaryotic organisms, but examples also exist in bacteria such as the formation of multicellular, spore-filled fruiting bodies in the order Myxococcales. Most members of the Myxococcales undergo a multicellular developmental program culminating in the formation of spore-filled fruiting bodies in response to starvation. To gain insight into the evolutionary history of fruiting body formation in Myxococcales, we performed a comparative analysis of the genomes and transcriptomes of five Myxococcales species, four of these undergo fruiting body formation (Myxococcus xanthus, Stigmatella aurantiaca, Sorangium cellulosum, and Haliangium ochraceum) and one does not (Anaeromyxobacter dehalogenans). Our analyses show that a set of 95 known M. xanthus development-specific genes--although suffering from a sampling bias--are overrepresented and occur more frequently than an average M. xanthus gene in S. aurantiaca, whereas they occur at the same frequency as an average M. xanthus gene in S. cellulosum and in H. ochraceum and are underrepresented in A. dehalogenans. Moreover, genes for entire signal transduction pathways important for fruiting body formation in M. xanthus are conserved in S. aurantiaca, whereas only a minority of these genes are conserved in A. dehalogenans, S. cellulosum, and H. ochraceum. Likewise, global gene expression profiling of developmentally regulated genes showed that genes that upregulated during development in M. xanthus are overrepresented in S. aurantiaca and slightly underrepresented in A. dehalogenans, S. cellulosum, and H. ochraceum. These comparative analyses strongly indicate that the genetic programs for fruiting body formation in M. xanthus and S. aurantiaca are highly similar and significantly different from the genetic program directing fruiting body formation in S. cellulosum and H. ochraceum. Thus, our analyses reveal an unexpected level of plasticity in the genetic programs for fruiting body formation in the Myxococcales and strongly suggest that the genetic program underlying fruiting body formation in different Myxococcales is not conserved. The evolutionary implications of this finding are discussed. PMID- 21037206 TI - Progressive pseudogenization: vitamin C synthesis and its loss in bats. AB - For the past 50 years, it was believed that all bats, like humans and guinea pigs, did not synthesize vitamin C (Vc) because they lacked activity of L gulonolactone oxidase (GULO) in their livers. Humans and guinea pigs lack the activity due to pseudogenization of GULO in their genomes, but there is no genetic evidence to show whether such loss in bats is caused by pseudogenization. Unexpectedly, our successful molecular cloning in one frugivorous bat (Rousettus leschenaultii) and one insectivorous bat (Hipposideros armiger) ascertains that no pseudogenization occurs in these species. Furthermore, we find normal GULO protein expression using bat-specific anti-GULO polyclonal antibodies in bats, evaluated by Western blotting. Most surprisingly, GULO activity assays reveal that these two bat species have retained the ability to synthesize Vc, but at low levels compared with the mouse. It is known that bats in the genus Pteropus have lost GULO activity. We then found that functional constraints acting on the GULO of Pteropus vampyrus (which lost its function) are relaxed. These results imply that the ability to synthesize Vc in bats has not been lost completely in species as previously thought. We also suggest that the evolution of bat GULO genes can be a good model to study genetic processes associated with loss-of-function. PMID- 21037207 TI - From predisposition to illness: genetically sensitive intermediate pathways to mood disorders. AB - The contemporary model of mood disorders proposes that multiple susceptibility genes interact with multiple other risk factors. However, the specific nature of the genetic vulnerability and the intermediate causal pathways are not known. In this edition of the Journal, Goodyer and colleagues report new findings suggesting genetic moderation of an association between elevated cortisol and depression in high-risk adolescents. PMID- 21037208 TI - Reflections on PTSD's future in DSM-V. AB - Research findings have fuelled debate on the construct validity of post-traumatic stress disorder (PTSD). Accompanying these issues are competing suggestions to redefine PTSD's criteria, including a recent proposal by DSM-V committee members. We review various approaches to revising the PTSD diagnosis and conclude that proposed changes should be placed in the appendix that the DSM has used for experimental criteria sets. PMID- 21037209 TI - Guidelines for the management of depression: NICE work? AB - The National Institute for Health and Clinical Excellence (NICE) recently updated its guidance on managing depression, adding specific guidance for depression in people with physical illness. The guidance should help improve the targeting of treatments, although implementation of the guidance on depression in physical illness is challenging in the National Health Service (NHS) context of separate primary and secondary care services. PMID- 21037210 TI - Is the EQ-5D fit for purpose in mental health? AB - The EQ-5D is a widely used questionnaire for calculating quality-adjusted life years (QALYs) for assessing cost-effectiveness in healthcare. It reflects the impact of common mental health conditions such as mild to moderate depression but seems to be more problematic for use in people with psychotic and severe and complex non-psychotic disorders. PMID- 21037211 TI - Early intervention services, cognitive-behavioural therapy and family intervention in early psychosis: systematic review. AB - BACKGROUND: Early intervention services for psychosis aim to detect emergent symptoms, reduce the duration of untreated psychosis, and improve access to effective treatments. AIMS: To evaluate the effectiveness of early intervention services, cognitive-behavioural therapy (CBT) and family intervention in early psychosis. METHOD: Systematic review and meta-analysis of randomised controlled trials of early intervention services, CBT and family intervention for people with early psychosis. RESULTS: Early intervention services reduced hospital admission, relapse rates and symptom severity, and improved access to and engagement with treatment. Used alone, family intervention reduced relapse and hospital admission rates, whereas CBT reduced the severity of symptoms with little impact on relapse or hospital admission. CONCLUSIONS: For people with early psychosis, early intervention services appear to have clinically important benefits over standard care. Including CBT and family intervention within the service may contribute to improved outcomes in this critical period. The longer term benefits of this approach and its component treatments for people with early and established psychosis need further research. PMID- 21037212 TI - Physical activity and common mental disorders. AB - BACKGROUND: Previous studies have suggested that physical activity may have antidepressant and/or anti-anxiety effects. AIMS: To examine the bidirectional relationship between physical activity and common mental disorders and establish the importance of context, type and intensity of activity undertaken. METHOD: A clinical examination of 40 401 residents of Norway was undertaken. Participants answered questions relating to the frequency and intensity of both leisure-time and workplace activity. Depression and anxiety were measured using the Hospital Anxiety and Depression Scale (HADS). Biological and social data were also collected. RESULTS: There was an inverse relationship between the amount of leisure-time physical activity and case-level symptoms of depression. This cross sectional association was only present with leisure-time (as opposed to workplace) activity and was not dependent on the intensity of activities undertaken. Higher levels of social support and social engagement were important in explaining the relationship between leisure activity and depression. Biological changes such as alterations to parasympathetic vagal tone (resting pulse) and changes to metabolic markers had a less important role. CONCLUSIONS: Individuals who engage in regular leisure-time activity of any intensity are less likely to have symptoms of depression. The context and social benefits of exercise are important in explaining this relationship. PMID- 21037213 TI - Polymorphisms in BDNF (Val66Met) and 5-HTTLPR, morning cortisol and subsequent depression in at-risk adolescents. AB - BACKGROUND: There is increasing evidence for genetic effects on the hypothalamic pituitary axis system. More than one gene is likely to moderate corticoid mediated activity. AIMS: To investigate whether the brain-derived neurotrophic factor (BDNF) polymorphism (rs6265, Val66Met) is associated with morning waking salivary cortisol and moderates the corticoid-mediated risk for subsequent depressive episode onset independently of the known effects of 5-HTTLPR (the serotonin transporter gene promoter). METHOD: High-risk adolescents (n = 401) were genotyped for Val66Met BDNF and 5-HTTLPR. Salivary samples were obtained on four consecutive school days within 1 h of waking. There were 365 (91%) remaining participants reassessed at 12 months for episodes of psychiatric disorder in the follow-up period. Of these, 357 (89%) had complete data for multivariate modelling. RESULTS: There were 41 (11.2%) individuals who reported a new episode of clinical depression over the follow-up period. Increased risk for subsequent depression was found in carriers of the Val66Val genotype in BDNF with higher morning waking cortisol. This remained present when the known interaction between carriers of a short allele of 5-HTTLPR with higher morning salivary cortisol was taken into account. CONCLUSIONS: Both BDNF and 5-HTTLPR genes show evidence of modifying the risk of a subsequent new depressive episode associated with elevated morning salivary cortisol. In adolescents morning salivary cortisol levels may constitute a biomarker for some forms of unipolar depression. PMID- 21037214 TI - Association of high-sensitivity C-reactive protein with de novo major depression. AB - BACKGROUND: Although there is cross-sectional evidence that changes in the immune system contribute to the pathophysiology of depression, longitudinal data capable of elucidating cause and effect relationships are lacking. AIMS: We aimed to determine whether subclinical systemic inflammation, as measured by serum high sensitivity C-reactive protein (hsCRP) concentration, is associated with an increased risk of de novo major depressive disorder. METHOD: Major depressive disorder was diagnosed using a clinical interview (SCID-I/NP). This is a retrospective cohort study; from a population-based sample of 1494 randomly selected women recruited at baseline during the period 1994-7, 822 were followed for a decade and provided measures of both exposure and outcome. Of these women, 644 (aged 20-84 years) had no prior history of depression at baseline and were eligible for analysis. RESULTS: During 5827 person-years of follow-up, 48 cases of de novo major depressive disorder were identified. The hazard ratio (HR) for depression increased by 44% for each standard deviation increase in log transformed hsCRP (ln-hsCRP) (HR = 1.44, 95% CI 1.04-1.99), after adjusting for weight, smoking and use of non-steroidal anti-inflammatory drugs. Further adjustment for other lifestyle factors, medications and comorbidity failed to explain the observed increased risk for depression. CONCLUSIONS: Serum hsCRP is an independent risk marker for de novo major depressive disorder in women. This supports an aetiological role for inflammatory activity in the pathophysiology of depression. PMID- 21037215 TI - Childhood adversities and adult psychopathology in the WHO World Mental Health Surveys. AB - BACKGROUND: Although significant associations of childhood adversities with adult mental disorders are widely documented, most studies focus on single childhood adversities predicting single disorders. AIMS: To examine joint associations of 12 childhood adversities with first onset of 20 DSM-IV disorders in World Mental Health (WMH) Surveys in 21 countries. METHOD: Nationally or regionally representative surveys of 51 945 adults assessed childhood adversities and lifetime DSM-IV disorders with the WHO Composite International Diagnostic Interview (CIDI). RESULTS: Childhood adversities were highly prevalent and interrelated. Childhood adversities associated with maladaptive family functioning (e.g. parental mental illness, child abuse, neglect) were the strongest predictors of disorders. Co-occurring childhood adversities associated with maladaptive family functioning had significant subadditive predictive associations and little specificity across disorders. Childhood adversities account for 29.8% of all disorders across countries. CONCLUSIONS: Childhood adversities have strong associations with all classes of disorders at all life course stages in all groups of WMH countries. Long-term associations imply the existence of as-yet undetermined mediators. PMID- 21037216 TI - Quality of life of people with schizophrenia, bipolar disorder and other psychotic disorders. AB - BACKGROUND: Health utility and quality of life (QoL) are increasingly important outcome measures in healthcare and health economics. AIMS: To compare the loss of subjective QoL and utility-based health-related quality of life (HRQoL) associated with psychotic disorders. METHOD: A representative sample of 8028 Finns was screened for psychotic disorders and bipolar I disorder. Lifetime psychotic disorders were diagnosed using the Structured Clinical Interview for DSM-IV and/or case records. Health-related quality of life was measured with EQ 5D and 15D, and QoL was measured with a 10-point scale. RESULTS: Schizoaffective disorder was associated with the largest losses of QoL and HRQoL, with bipolar I disorder associated with similar or smaller losses than schizophrenia. Current depressive symptoms explained most of the losses. CONCLUSIONS: Depressive symptoms are the strongest predictors of poor QoL/HRQoL in psychotic disorders. Subjective loss of QoL associated with psychotic disorders may be smaller than objective loss of functioning suggests. The EQ-5D is problematic as an outcome measure in psychotic disorders. PMID- 21037217 TI - Economic costs and preference-based health-related quality of life outcomes associated with childhood psychiatric disorders. AB - BACKGROUND: Childhood psychiatric disorders may have deleterious consequences through childhood and into adulthood. AIMS: To estimate costs and preference based health-related quality of life outcomes (health utilities) associated with a broad range of childhood psychiatric disorders during the eleventh year of life. METHOD: Participants in a whole-population study of extremely preterm children and term-born controls (EPICure) undertook psychiatric assessment using the Development and Well Being Assessment (DAWBA) and the Kaufman-Assessment Battery for Children. Questionnaires completed by parents and teachers described the children's utilisation of health, social and education services during the eleventh year of life. Parents also described their child's health status using the Health Utilities Index Mark 2 and Mark 3 health status classification systems. Descriptive and multiple regression techniques were used to explore the association between psychiatric disorders and economic outcomes. RESULTS: The study presents detailed costs and health utilities associated with psychiatric disorders for the preterm population, term-born population and pooled study population, following appropriate controls. CONCLUSIONS: The results of this study should be used to inform future economic evaluations of interventions aimed at preventing childhood psychiatric disorders or alleviating their effects. Further research is required that identifies, measures and values the longer-term economic impacts of these disorders in a valid and reliable manner. PMID- 21037218 TI - Mental health of UK military personnel while on deployment in Iraq. AB - BACKGROUND: Most research on the mental health of UK armed forces personnel has been conducted either before or after deployment; there is scant evidence concerning personnel while they are on deployment. AIMS: To assess the mental health of UK armed forces personnel deployed in Iraq and identify gaps in the provision of support on operations. METHOD: Personnel completed a questionnaire about their deployment experiences and health status. Primary outcomes were psychological distress (General Health Questionnaire-12, GHQ-12), symptoms of post-traumatic stress disorder (PTSD) and self-rating of overall health. RESULTS: Of 611 participants, 20.5% scored above the cut-off on the GHQ-12 and 3.4% scored as having probable PTSD. Higher risk of psychological distress was associated with younger age, female gender, weaker unit cohesion, poorer perceived leadership and non-receipt of a pre-deployment stress brief. Perceived threat to life, poorer perceived leadership and non-receipt of a stress brief were risk factors for symptoms of PTSD. Better self-rated overall health was associated with being a commissioned officer, stronger unit cohesion and having taken a period of rest and recuperation. Personnel who reported sick for any reason during deployment were more likely to report psychological symptoms. Around 11% reported currently being interested in receiving help for a psychological problem. CONCLUSIONS: In an established operational theatre the prevalence of common psychopathology was similar to rates found in non-deployed military samples. However, there remains scope for further improving in-theatre support mechanisms, raising awareness of the link between reporting sick and mental health and ensuring implementation of current policy to deliver pre-deployment stress briefs. PMID- 21037219 TI - Common mental disorders, subthreshold symptoms and disability: longitudinal study. AB - In a representative sample of the UK population we found that common mental disorders (as a group and in ICD-10 diagnostic categories) and subthreshold psychiatric symptoms at baseline were both independently associated with new onset functional disability and significant days lost from work at 18-month follow-up. Subthreshold symptoms contributed to almost half the aggregate burden of functional disability and over 32 million days lost from work in the year preceding the study. Leaving these symptoms unaccounted for in surveys may lead to gross underestimation of disability related to psychiatric morbidity. PMID- 21037220 TI - Tobacco smoking and depression: results of a cross-sectional study. PMID- 21037221 TI - Erasing trauma memories. PMID- 21037222 TI - Does medication benefit the long-term psychiatric outcomes of children with ADHD? PMID- 21037223 TI - Early intervention in psychosis. PMID- 21037224 TI - GSTM1 null and NAT2 slow acetylation genotypes, smoking intensity and bladder cancer risk: results from the New England bladder cancer study and NAT2 meta analysis. AB - Associations between bladder cancer risk and NAT2 and GSTM1 polymorphisms have emerged as some of the most consistent findings in the genetic epidemiology of common metabolic polymorphisms and cancer, but their interaction with tobacco use, intensity and duration remain unclear. In a New England population-based case-control study of urothelial carcinoma, we collected mouthwash samples from 1088 of 1171 cases (92.9%) and 1282 of 1418 controls (91.2%) for genotype analysis of GSTM1, GSTT1 and NAT2 polymorphisms. Odds ratios and 95% confidence intervals of bladder cancer among New England Bladder Cancer Study subjects with one or two inactive GSTM1 alleles (i.e. the 'null' genotype) were 1.26 (0.85 1.88) and 1.54 (1.05-2.25), respectively (P-trend = 0.008), compared with those with two active copies. GSTT1 inactive alleles were not associated with risk. NAT2 slow acetylation status was not associated with risk among never (1.04; 0.71 1.51), former (0.95; 0.75-1.20) or current smokers (1.33; 0.91-1.95); however, a relationship emerged when smoking intensity was evaluated. Among slow acetylators who ever smoked at least 40 cigarettes/day, risk was elevated among ever (1.82; 1.14-2.91, P-interaction = 0.07) and current heavy smokers (3.16; 1.22-8.19, P interaction = 0.03) compared with rapid acetylators in each category; but was not observed at lower intensities. In contrast, the effect of GSTM1-null genotype was not greater among smokers, regardless of intensity. Meta-analysis of the NAT2 associations with bladder cancer showed a highly significant relationship. Findings from this large USA population-based study provided evidence that the NAT2 slow acetylation genotype interacts with tobacco smoking as a function of exposure intensity. PMID- 21037225 TI - Cisplatin and a potent platinum(IV) complex-mediated enhancement of TRAIL-induced cancer cells killing is associated with modulation of upstream events in the extrinsic apoptotic pathway. AB - TRAIL (tumor necrosis factor-related apoptosis-inducing ligand) can selectively trigger apoptosis in various cancer cell types. However, many cancer cells are resistant to death receptor-mediated apoptosis. Combination therapy with platinum complexes may affect TRAIL-induced signaling via modulation of various steps in apoptotic pathways. Here, we show that cisplatin or a more potent platinum(IV) complex LA-12 used in 20-fold lower concentration enhanced killing effects of TRAIL in human colon and prostate cancer cell lines via stimulation of caspase activity and overall apoptosis. Both platinum complexes increased DR5 surface expression in colon cancer cells. Small interfering RNA-mediated DR5 silencing rescued cells from sensitizing effects of platinum drugs on TRAIL-induced caspase 8 activation and apoptosis, showing the functional importance of DR5 in the effects observed. In addition, both cisplatin and LA-12 triggered the relocalization of DR4 and DR5 receptors to lipid rafts and accelerated internalization of TRAIL, which may also affect TRAIL signaling. Collectively, modulations of the initial steps of the extrinsic apoptotic pathway at the level of DR5 and plasma membrane are important for sensitization of colon and prostate cancer cells to TRAIL-induced apoptosis mediated by LA-12 and cisplatin. PMID- 21037227 TI - Accurate estimation of entropy in very short physiological time series: the problem of atrial fibrillation detection in implanted ventricular devices. AB - Entropy estimation is useful but difficult in short time series. For example, automated detection of atrial fibrillation (AF) in very short heart beat interval time series would be useful in patients with cardiac implantable electronic devices that record only from the ventricle. Such devices require efficient algorithms, and the clinical situation demands accuracy. Toward these ends, we optimized the sample entropy measure, which reports the probability that short templates will match with others within the series. We developed general methods for the rational selection of the template length m and the tolerance matching r. The major innovation was to allow r to vary so that sufficient matches are found for confident entropy estimation, with conversion of the final probability to a density by dividing by the matching region volume, 2r(m). The optimized sample entropy estimate and the mean heart beat interval each contributed to accurate detection of AF in as few as 12 heartbeats. The final algorithm, called the coefficient of sample entropy (COSEn), was developed using the canonical MIT-BIH database and validated in a new and much larger set of consecutive Holter monitor recordings from the University of Virginia. In patients over the age of 40 yr old, COSEn has high degrees of accuracy in distinguishing AF from normal sinus rhythm in 12-beat calculations performed hourly. The most common errors are atrial or ventricular ectopy, which increase entropy despite sinus rhythm, and atrial flutter, which can have low or high entropy states depending on dynamics of atrioventricular conduction. PMID- 21037226 TI - Mechanisms related to NO-induced motility in differentiated rat aortic smooth muscle cells. AB - Nitric oxide (NO) is thought to play an important role as an inhibitor of vascular cell proliferation, motility, and neointima formation. This effect is mediated, in part, via the upregulation of protein tyrosine phosphatase (PTP)1B. Conversely, studies have reported that in presumably hyperinsulinemic mice fed a high-fat diet, NO enhances vascular remodeling, whereas a deficit of NO attenuates vascular remodeling. We have reported that in differentiated cultured smooth muscle cells treated with insulin, NO induces a motogenic effect that is dependent on Src homology-2 domain PTP 2 (SHP2) upregulation. In the present study, we describe novel mechanisms relevant to the motogenic effect of NO. Treatment of cultured cells with the selective angiontensin type 1 receptor antagonist losartan, but not with the selective angiotensin type 2 receptor antagonist PD-123319, blocked the comotogenic capacity of NO and insulin. Insulin and NO increased the secretion of ANG II into the culture media by 2- and 2.5 fold (P < 0.05), respectively, whereas treatment of cells with ANG II uncovered the motogenic effect of NO (1.4-fold above control, P < 0.05) and decreased the levels of PTP1B to 45% of control (P < 0.05). Suppression of PTP1B function was sufficient to uncover the motogenic effect of NO. The capacity of insulin to suppress PTP1B activity was blocked by losartan, implicating ANG II function in mediating this effect. Both insulin and ANG II induced the upregulation of phosphatidyl inositol 3-kinase (PI3K)-delta by two- to threefold (P < 0.05), and this effect was both necessary and sufficient to uncover NO-induced motogenesis. Finally, suppression of PTP1B function potentiated, whereas overexpression of PTP1B inhibited, SHP2-induced motogenesis. These results support the hypothesis that the comotogenic effect of insulin and NO occurs via an ANG II-mediated effect involving the suppression of PTP1B and upregulation of PI3K-delta and SHP2. PMID- 21037228 TI - Reduced conduction reserve in the diabetic rat heart: role of iPLA2 activation in the response to ischemia. AB - Hearts from streptozotocin (STZ)-induced diabetic rats have previously been shown to have impaired intercellular electrical coupling, due to reorganization (lateralization) of connexin43 proteins. Due to the resulting reduction in conduction reserve, conduction velocity in diabetic hearts is more sensitive to conditions that reduce cellular excitability or intercellular electrical coupling. Diabetes is a known risk factor for cardiac ischemia, a condition associated with both reduced cellular excitability and reduced intercellular coupling. Activation of Ca(2+)-independent phospholipase A(2) (iPLA(2)) is known to be part of the response to acute ischemia and may contribute to the intercellular uncoupling by causing increased levels of arachidonic acid and lysophosphatidyl choline. Normally perfused diabetic hearts are known to exhibit increased iPLA(2) activity and may thus be particularly sensitive to further activation of these enzymes. In this study, we used voltage-sensitive dye mapping to assess changes in conduction velocity in response to acute global ischemia in Langendorff-perfused STZ-induced diabetic hearts. Conduction slowing in response to ischemia was significantly larger in STZ-induced diabetic hearts compared with healthy controls. Similarly, slowing of conduction velocity in response to acidosis was also more pronounced in STZ-induced diabetic hearts. Inhibition of iPLA(2) activity using bromoenol lactone (BEL; 10 MUM) had no effect on the response to ischemia in healthy control hearts. However, in STZ-induced diabetic hearts, BEL significantly reduced the amount of conduction slowing observed beginning 5 min after the onset of ischemia. BEL treatment also significantly increased the time to onset of sustained arrhythmias in STZ-induced diabetic hearts but had no effect on the time to arrhythmia in healthy control hearts. Thus, our results suggest that iPLA(2) activation in response to acute ischemia in STZ-induced diabetic hearts is more pronounced than in control hearts and that this response is a significant contributor to arrhythmogenic conduction slowing. PMID- 21037230 TI - The long and short of calcium-dependent automaticity in the sinoatrial node. PMID- 21037229 TI - Phosphorylation of VE-cadherin controls endothelial phenotypes via p120-catenin coupling and Rac1 activation. AB - To establish the role of vascular endothelial (VE)-cadherin in the regulation of endothelial cell functions, we investigated the effect of phosphorylation of a VE cadherin site sought to be involved in p120-catenin binding on vascular permeability and endothelial cell migration. To this end, we introduced either wild-type VE-cadherin or Y658 phosphomimetic (Y658E) or dephosphomimetic (Y658F) VE-cadherin mutant constructs into an endothelial cell line (rat fat pad endothelial cells) lacking endogenous VE-cadherin. Remarkably, neither wild-type- nor Y658E VE-cadherin was retained at cell-cell contacts because of p120-catenin preferential binding to N-cadherin, resulting in the targeting of N-cadherin to cell-cell junctions and the exclusion of VE-cadherin. However, Y658F VE-cadherin was able to bind p120-catenin and to localize at adherence junctions displacing N cadherin. This resulted in an enhanced barrier function and a complete abrogation of Rac1 activation and lamellipodia formation, thereby inhibiting cell migration. These findings demonstrate that VE-cadherin, through the regulation of Y658 phosphorylation, competes for junctional localization with N-cadherin and controls vascular permeability and endothelial cell migration. PMID- 21037231 TI - Hypotonic swelling-induced activation of PKN1 mediates cell survival in cardiac myocytes. AB - Hypotonic cell swelling in the myocardium is induced by pathological conditions, including ischemia-reperfusion, and affects the activities of ion transporters/channels and gene expression. However, the signaling mechanism activated by hypotonic stress (HS) is not fully understood in cardiac myocytes. A specialized protein kinase cascade, consisting of Pkc1 and MAPKs, is activated by HS in yeast. Here, we demonstrate that protein kinase N1 (PKN1), a serine/threonine protein kinase and a homolog of Pkc1, is activated by HS (67% osmolarity) within 5 min and reaches peak activity at 60 min in cardiac myocytes. Activation of PKN1 by HS was accompanied by Thr(774) phosphorylation and concomitant activation of PDK1, a potential upstream regulator of PKN1. HS also activated RhoA, thereby increasing interactions between PKN1 and RhoA. PP1 (10( 5) M), a selective Src family tyrosine kinase inhibitor, significantly suppressed HS-induced activation of RhoA and PKN1. Constitutively active PKN1 significantly increased the transcriptional activity of Elk1-GAL4, an effect that was inhibited by dominant negative MEK. Overexpression of PKN1 significantly increased ERK phosphorylation, whereas downregulation of PKN1 inhibited HS-induced ERK phosphorylation. Downregulation of PKN1 and inhibition of ERK by U-0126 both significantly inhibited the survival of cardiac myocytes in the presence of HS. These results suggest that a signaling cascade, consisting of Src, RhoA, PKN1, and ERK, is activated by HS, thereby promoting cardiac myocyte survival. PMID- 21037232 TI - 2-Aminoethoxydiphenyl borate blocks electrical coupling and inhibits voltage gated K+ channels in guinea pig arteriole cells. AB - 2-Aminoethoxydiphenyl borate (2-APB) analogs are potentially better vascular gap junction blockers than others widely used, but they remain to be characterized. Using whole cell and intracellular recording techniques, we studied the actions of 2-APB and its potent analog diphenylborinic anhydride (DPBA) on vascular smooth muscle cells (VSMCs) and endothelial cells in situ of or dissociated from arteriolar segments of the cochlear spiral modiolar artery, brain artery, and mesenteric artery. We found that both 2-APB and DPBA reversibly suppressed the input conductance (G(input)) of in situ VSMCs (IC(50) ~ 4-8 MUM). Complete electrical isolation of the recorded VSMC was achieved at 100 MUM. A similar gap junction blockade was observed in endothelial cell tubules of the spiral modiolar artery. Similar to the action of 18beta-glycyrrhetinic acid (18beta-GA), 2-APB and DPBA depolarized VSMCs. In dissociated VSMCs, 2-APB and DPBA inhibited the delayed rectifier K(+) current (I(K)) with an IC(50) of ~120 MUM in the three vessels but with no significant effect on G(input) or the current-voltage relation between -140 and -40 mV. 2-APB inhibition of I(K) was more pronounced at potentials of <=20 mV than at +40 mV and more marked on the fast component than on the slow component, which was mimicked by 4-aminopyridine but not by tetraethylammonium, nitrendipine, or charybdotoxin. In contrast, 18beta-GA caused a linear inhibition of I(K) between 0 to +40 mV, which was similar to the action of tetraethylammonium or charybdotoxin. Finally, the 2-APB-induced inhibition of electrical coupling and I(K) was not affected by the inositol 1,4,5-trisphosphate receptor antagonist xestospongin C. We conclude that 2-APB analogs are a class of potent and reversible vascular gap junction blockers with a weak side effect of voltage-gated K(+) channel inhibition. They could be gap junction blockers superior to 18beta-GA only when Ca(2+)-actived K(+) channel inhibition by the latter is a concern but inositol 1,4,5-trisphosphate receptor and voltage-gated K(+) channel inhibitions are not. PMID- 21037233 TI - Anisotropic conduction block and reentry in neonatal rat ventricular myocyte monolayers. AB - Anisotropy can lead to unidirectional conduction block that initiates reentry. We analyzed the mechanisms in patterned anisotropic neonatal rat ventricular myocyte monolayers. Voltage and intracellular Ca (Ca(i)) were optically mapped under the following conditions: extrastimulus (S1S2) testing and/or tetrodotoxin (TTX) to suppress Na current availability; heptanol to reduce gap junction conductance; and incremental rapid pacing. In anisotropic monolayers paced at 2 Hz, conduction velocity (CV) was faster longitudinally than transversely, with an anisotropy ratio [AR = CV(L)/CV(T), where CV(L) and CV(T) are CV in the longitudinal and transverse directions, respectively], averaging 2.1 +/- 0.8. Interventions decreasing Na current availability, such as S1S2 pacing and TTX, slowed CV(L) and CV(T) proportionately, without changing the AR. Conduction block preferentially occurred longitudinal to fiber direction, commonly initiating reentry. Interventions that decreased gap junction conductance, such as heptanol, decreased CV(T) more than CV(L), increasing the AR and causing preferential transverse conduction block and reentry. Rapid pacing resembled the latter, increasing the AR and promoting transverse conduction block and reentry, which was prevented by the Ca(i) chelator 1,2-bis oaminophenoxy ethane-N,N,N',N' tetraacetic acid (BAPTA). In contrast to isotropic and uniformly anisotropic monolayers, in which reentrant rotors drifted and self-terminated, bidirectional anisotropy (i.e., an abrupt change in fiber direction exceeding 45 degrees ) caused reentry to anchor near the zone of fiber direction change in 77% of monolayers. In anisotropic monolayers, unidirectional conduction block initiating reentry can occur longitudinal or transverse to fiber direction, depending on whether the experimental intervention reduces Na current availability or decreases gap junction conductance, agreeing with theoretical predictions. PMID- 21037234 TI - Activation of AMPK stimulates heme oxygenase-1 gene expression and human endothelial cell survival. AB - The present study determined whether AMP-activated protein kinase (AMPK) regulates heme oxygenase (HO)-1 gene expression in endothelial cells (ECs) and if HO-1 contributes to the biological actions of this kinase. Treatment of human ECs with the AMPK activator 5-aminoimidazole-4-carboxamide-1-beta-d-ribofuranoside (AICAR) stimulated a concentration- and time-dependent increase in HO-1 protein and mRNA expression that was associated with a prominent increase in nuclear factor-erythroid 2-related factor 2 (Nrf2) protein. Induction of HO-1 was also observed in rat carotid arteries after the in vivo application of AICAR. Induction of HO-1 by AICAR was blocked by the AMPK inhibitor compound C, the adenosine kinase inhibitor 5'-iodotubercidin, and by silencing AMPK-alpha(1/2) and was mimicked by the AMPK activator A-769662 and by infecting ECs with an adenovirus expressing constitutively active AMPK-alpha(1). AICAR also induced a significant rise in HO-1 promoter activity that was abolished by mutating the antioxidant responsive elements of the HO-1 promoter or by the overexpression of dominant negative Nrf2. Finally, activation of AMPK inhibited cytokine-mediated EC death, and this was prevented by the HO inhibitor tin protoporphyrin-IX or by silencing HO-1 expression. In conclusion, AMPK stimulates HO-1 gene expression in human ECs via the Nrf2/antioxidant responsive element signaling pathway. The induction of HO-1 mediates the antiapoptotic effect of AMPK, and this may provide an important adaptive response to preserve EC viability during periods of metabolic stress. PMID- 21037235 TI - Vascular smooth muscle cell glycocalyx modulates shear-induced proliferation, migration, and NO production responses. AB - The endothelial cell glycocalyx, a structure coating the luminal surface of the vascular endothelium, and its related mechanotransduction have been studied by many over the last decade. However, the role of vascular smooth muscle cells (SMCs) glycocalyx in cell mechanotransduction has triggered little attention. This study addressed the role of heparan sulfate proteoglycans (HSPGs), a major component of the glycocalyx, in the shear-induced proliferation, migration, and nitric oxide (NO) production of the rat aortic smooth muscle cells (RASMCs). A parallel plate flow chamber and a peristaltic pump were employed to expose RASMC monolayers to a physiological level of shear stress (12 dyn/cm(2)). Heparinase III (Hep.III) was applied to selectively degrade heparan sulfate on the SMC surface. Cell proliferation, migration, and NO production rates were determined and compared among the following four groups of cells: 1) untreated with no flow, 2) Hep.III treatment with no flow, 3) untreated with flow of 12 dyn/cm(2) exposure, and 4) Hep.III treatment with flow of 12 dyn/cm(2) exposure. It was observed that flow-induced shear stress significantly suppressed SMC proliferation and migration, whereas cells preferred to aligning along the direction of flow and NO production were enhanced substantially. However, those responses were not found in the cells with Hep.III treatment. Under flow condition, the heparinase III-treated cells remained randomly oriented and proliferated as if there were no flow presence. Disruption of HSPG also enhanced wound closure and inhibited shear-induced NO production significantly. This study suggests that HSPG may play a pivotal role in mechanotransduction of SMCs. PMID- 21037236 TI - Ethics, law, and the junior doctor. PMID- 21037237 TI - Ethical dilemmas: a focus of discussion for junior doctors. PMID- 21037238 TI - Comparison of cutaneous manifestations in chronic kidney disease with or without dialysis. AB - PURPOSE: To study and compare dermatological manifestations in patients with various stages of chronic kidney disease (CKD) and end stage renal disease (ESRD), undialysed and dialysed, in a developing country. STUDY DESIGN: 200 patients were recruited, 50 each in stages 3, 4 and 5 CKD (undialysed) and 50 in stage 5 undergoing maintenance haemodialysis (MHD) for at least 1 month. Patients in stages 3 and 4 constituted pre-ESRD while stage 5 (both dialysed and undialysed) formed the ESRD group. Detailed cutaneous examination was done for all patients and dermatological manifestations were compared among various study groups. RESULTS: 96% of patients had at least one dermatological manifestation. Xerosis was most common and was observed in 72% of patients, followed by pigmentation (50%), pruritus (36%), infections (29%), markers of skin ageing (13%), half-and-half nail (28%), and absent lunula (22%). Perforating disorders (3%), bullous disorders (2%), and nephrogenic systemic fibrosis (1%) were encountered less often. Local complications of dialysis occurred in 64% patients on MHD. Diffuse pigmentation and skin pallor were seen more commonly as compared to findings reported in the west. The frequency of most cutaneous manifestations was similar between dialysed and undialysed patients with ESRD. Xerosis, pigmentation, and pruritus were more frequent in patients with severe disease, and mean duration of disease was significantly higher for patients with pigmentation, pruritus, and half-and-half nail. Longer duration on MHD was associated with greater pigmentation and pruritus. CONCLUSION: Dermatological manifestations increase with increasing duration and severity of renal disease. Dialysis may in turn often perpetuate many of these cutaneous complaints. Recognition and management of some of these dermatological manifestations may vastly reduce the morbidity and improve the cutaneous outcome in these patients. PMID- 21037239 TI - Republished paper: Bad stars or guiding lights? Learning from disasters to improve patient safety. AB - BACKGROUND: Cross-fertilisation of ideas across industries, settings and contexts potentially improves learning by providing fresh insights into error pathways. OBJECTIVES AND HYPOTHESES: To investigate six cases of human error drawn from disasters in the space, shipping, aviation, mining, rail and nuclear industries, and to apprehend similarities and differences in the antecedents to errors, the way they manifest, the course of events and the way they are tackled. The extent to which human intervention can exacerbate the problems by introducing new errors, how the cases are resolved and the lessons learnt were examined. DESIGN, SETTING AND PARTICIPANTS: Exemplar disaster events drawn from a very large sample of human errors. Results It is possible to identify and model a generic disaster pathway that applies across several industries, including healthcare. CONCLUSIONS: Despite differences between industries, it is clear that learning from disasters in other industries may provide important insights on how to prevent or ameliorate them in healthcare. PMID- 21037240 TI - Most genome-wide significant susceptibility loci for schizophrenia and bipolar disorder reported to date cross-traditional diagnostic boundaries. AB - Recent findings from genetic epidemiology and from genome-wide association studies point strongly to a partial overlap in the genes that contribute susceptibility to schizophrenia and bipolar disorder (BD). Previous data have also directly implicated one of the best supported schizophrenia-associated loci, zinc finger binding protein 804A (ZNF804A), as showing trans-disorder effects, and the same is true for one of the best supported bipolar loci, calcium channel, voltage-dependent, L type, alpha 1C subunit (CACNA1C) which has also been associated with schizophrenia. We have undertaken a cross-phenotype study based upon the remaining variants that show genome-wide evidence for association in large schizophrenia and BD meta-analyses. These comprise in schizophrenia, SNPs in or in the vicinity of transcription factor 4 (TCF4), neurogranin (NRGN) and an extended region covering the MHC locus on chromosome 6. For BD, the strongly supported variants are in the vicinity of ankyrin 3, node of Ranvier (ANK3) and polybromo-1 (PBRM1). Using data sets entirely independent of their original discoveries, we observed strong evidence that the PBRM1 locus is also associated with schizophrenia (P = 0.00015) and nominally significant evidence (P < 0.05) that the NRGN and the extended MHC region are associated with BD. Moreover, considering this highly restricted set of loci as a group, the evidence for trans disorder effects is compelling (P = 4.7 * 10(-5)). Including earlier reported data for trans-disorder effects for ZNF804A and CACNA1C, six out of eight of the most robustly associated loci for either disorder show trans-disorder effects. PMID- 21037241 TI - Differences in transcriptional regulatory mechanisms functioning for free lysine content and seed storage protein accumulation in rice grain. AB - Lysine is the most deficient essential amino acid in cereal grains. A bifunctional lysine-degrading enzyme, lysine ketoglutarate reductase/saccharopine dehydrogenase (LKR/SDH), is one of the key regulators determining free lysine content in plants. In rice (Oryza sativa. L), a bifunctional OsLKR/SDH is predominantly present in seeds. Here, we show that OsLKR/SDH is directly regulated by major transcriptional regulators of seed storage protein (SSP) genes: the basic leucine zipper (bZIP) transcription factor (TF), RISBZ1, and the DNA-binding with one finger (DOF) transcription factor, RPBF. OsLKR/SDH was highly expressed in the aleurone and subaleurone layers of the endosperm. Mutation analyses in planta, trans-activation reporter assays in vivo and electrophorestic mobility shift assays in vitro showed that the RPBF-recognizing prolamin box (AAAG) and the RISBZ1-recognizing GCN4 motif (TGAG/CTCA) act as important cis-elements for proper expression of OsLKR/SDH like SSP genes. However, mutation of the GCN4 motif within ProOsLKR/SDH did not alter the spatial expression pattern, whereas mutation of the GCN4 motif within ProGluB-1 did alter spatial expression. Reducing either RISBZ1 or RPBF decreased OsLKR/SDH levels, resulting in an increase in free lysine content in rice grain. This result was in contrast to the fact that a significant reduction of SSP was observed only when these transcription factors were simultaneously reduced, suggesting that RISBZ1 and RPBF regulate SSP genes and OsLKR/SDH with high and limited redundancy, respectively. The same combinations of TF and cis-elements are involved in the regulation of OsLKR/SDH and SSP genes, but there is a distinct difference in their regulation mechanisms. PMID- 21037242 TI - Changes in the localization and levels of starch and lipids in cambium and phloem during cambial reactivation by artificial heating of main stems of Cryptomeria japonica trees. AB - BACKGROUND AND AIMS: Cambial reactivation in trees occurs from late winter to early spring when photosynthesis is minimal or almost non-existent. Reserve materials might be important for wood formation in trees. The localization and approximate levels of starch and lipids (as droplets) and number of starch granules in cambium and phloem were examined from cambial dormancy to the start of xylem differentiation in locally heated stems of Cryptomeria japonica trees in winter. METHODS: Electric heating tape was wrapped on one side of the stem of Cryptomeria japonica trees at breast height in winter. The localization and approximate levels of starch and lipids (as droplets) and number of starch granules were determined by image analysis of optical digital images obtained by confocal laser scanning microscopy. KEY RESULTS: Localized heating induced earlier cambial reactivation and xylem differentiation in stems of Cryptomeria japonica, as compared with non-heated stems. There were clear changes in the respective localizations and levels of starch and lipids (as droplets) determined in terms of relative areas on images, from cambial dormancy to the start of xylem differentiation in heated stems. In heated stems, the levels and number of starch granules fell from cambial reactivation to the start of xylem differentiation. There was a significant decrease in the relative area occupied by lipid droplets in the cambium from cambial reactivation to the start of xylem differentiation in heated stems. CONCLUSIONS: The results showed clearly that the levels and number of storage starch granules in cambium and phloem cells and levels of lipids (as droplets) in the cambium decreased from cambial reactivation to the start of xylem differentiation in heated stems during the winter. The observations suggest that starch and lipid droplets might be needed as sources of energy for the initiation of cambial cell division and the differentiation of xylem in Cryptomeria japonica. PMID- 21037243 TI - Health outcomes in economic evaluation: the QALY and utilities. AB - The quality-adjusted life year (QALY) is routinely used as a summary measure of health outcome for economic evaluation, which incorporates the impact on both the quantity and quality of life. Key studies relating to the QALY and utility measurement are the sources of data. Areas of agreement include the need for a standard measure of health outcome to enable comparisons across different disease areas and populations, and the methods used for valuing health states in utility measurement. Areas of controversy include the limitation of the QALY approach in terms of the health benefits it can capture, its blindness towards equity concerns, the underlying theoretical assumptions and the most appropriate generic preference-based measure of utility. There is growing debate relating to whether a QALY is the same regardless of who accrues it, and also the issue as to who should value health states. Research is required to further enhance the QALY approach to deal with challenges relating to equity-weighted utility maximization and testing the validity of underlying assumptions. Issues around choosing between condition-specific measures and generic instruments also merit further investigation. PMID- 21037244 TI - Bayesian sampling of genomic rearrangement scenarios via double cut and join. AB - MOTIVATION: When comparing the organization of two genomes, it is important not to draw conclusions on their modes of evolution from a single most parsimonious scenario explaining their differences. Better estimations can be obtained by sampling many different genomic rearrangement scenarios. For this problem, the Double Cut and Join (DCJ) model, while less relevant, is computationally easier than the Hannenhalli-Pevzner (HP) model. Indeed, in some special cases, the total number of DCJ sorting scenarios can be analytically calculated, and uniformly distributed random DCJ scenarios can be drawn in polynomial running time, while the complexity of counting the number of HP scenarios and sampling from the uniform distribution of their space is unknown, and conjectured to be #P complete. Statistical methods, like Markov chain Monte Carlo (MCMC) for sampling from the uniform distribution of the most parsimonious or the Bayesian distribution of all possible HP scenarios are required. RESULTS: We use the computational facilities of the DCJ model to draw a sampling of HP scenarios. It is based on a parallel MCMC method that cools down DCJ scenarios to HP scenarios. We introduce two theorems underlying the theoretical mixing properties of this parallel MCMC method. The method was tested on yeast and mammalian genomic data, and allowed us to provide estimates of the different modes of evolution in diverse lineages. AVAILABILITY: The program implemented in Java 1.5 programming language is available from http://www.renyi.hu/~miklosi/DCJ2HP/. PMID- 21037245 TI - Identification of human-specific transcript variants induced by DNA insertions in the human genome. AB - MOTIVATION: Many genes in the human genome produce a wide variety of transcript variants resulting from alternative exon splicing, differential promoter usage, or altered polyadenylation site utilization that may function differently in human cells. Here, we present a bioinformatics method for the systematic identification of human-specific novel transcript variants that might have arisen after the human-chimpanzee divergence. RESULTS: The procedure involved collecting genomic insertions that are unique to the human genome when compared with orthologous chimpanzee and rhesus macaque genomic regions, and that are expressed in the transcriptome as exons evidenced by mRNAs and/or expressed sequence tags (ESTs). Using this procedure, we identified 112 transcript variants that are specific to humans; 74 were associated with known genes and the remaining transcripts were located in unannotated genomic loci. The original source of inserts was mostly transposable elements including L1, Alu, SVA, and human endogenous retroviruses (HERVs). Interestingly, some non-repetitive genomic segments were also involved in the generation of novel transcript variants. Insert contributions to the transcripts included promoters, terminal exons and insertions in exons, splice donors and acceptors and complete exon cassettes. Comparison of personal genomes revealed that at least seven loci were polymorphic in humans. The exaptation of human-specific genomic inserts as novel transcript variants may have increased human gene versatility or affected gene regulation. PMID- 21037246 TI - A stochastic expectation and maximization algorithm for detecting quantitative trait-associated genes. AB - MOTIVATION: Most biological traits may be correlated with the underlying gene expression patterns that are partially determined by DNA sequence variation. The correlations between gene expressions and quantitative traits are essential for understanding the functions of genes and dissecting gene regulatory networks. RESULTS: In the present study, we adopted a novel statistical method, called the stochastic expectation and maximization (SEM) algorithm, to analyze the associations between gene expression levels and quantitative trait values and identify genetic loci controlling the gene expression variations. In the first step, gene expression levels measured from microarray experiments were assigned to two different clusters based on the strengths of their association with the phenotypes of a quantitative trait under investigation. In the second step, genes associated with the trait were mapped to genetic loci of the genome. Because gene expressions are quantitative, the genetic loci controlling the expression traits are called expression quantitative trait loci. We applied the same SEM algorithm to a real dataset collected from a barley genetic experiment with both quantitative traits and gene expression traits. For the first time, we identified genes associated with eight agronomy traits of barley. These genes were then mapped to seven chromosomes of the barley genome. The SEM algorithm and the result of the barley data analysis are useful to scientists in the areas of bioinformatics and plant breeding. AVAILABILITY AND IMPLEMENTATION: The R program for the SEM algorithm can be downloaded from our website: http://www.statgen.ucr.edu. PMID- 21037247 TI - Association of socio-economic, gender and health factors with common mental disorders in women: a population-based study of 5703 married rural women in India. AB - BACKGROUND: There are few population-based studies from low- and middle-income countries that have described the association of socio-economic, gender and health factors with common mental disorders (CMDs) in rural women. METHODS: Population-based study of currently married rural women in the age group of 15-39 years. The baseline data are from the National Family Health Survey-II conducted in 1998. A follow-up study was conducted 4 years later in 2002-03. The outcome of CMD was assessed using the 12-item General Health Questionnaire (GHQ-12). Due to the hierarchical nature and complex survey design, data were analysed using mixed effect logistic regression with random intercept model. RESULTS: A total of 5703 women (representing 83.5% of eligible women) completed follow-up. The outcome of CMD was observed in 609 women (10.7%, 95% confidence interval 9.8-11.6). The following factors were independently associated with the outcome of CMD in the final multivariable model: higher age, low education, low standard of living, recent intimate partner violence (IPV), husband's unsatisfactory reaction to dowry, husband's alcohol use and women's own tobacco use. CONCLUSIONS: Socio economic and gender disadvantage factors are independently associated with CMDs in this population of women. Strategies that address structural determinants, for example to promote women's education and reduce their exposure to IPV, may reduce the burden of CMDs in women. PMID- 21037249 TI - Commentary: cardiovascular risk factors--the next epidemic in Uganda: findings from the population-based HIV/AIDS rural surveillance cohort. PMID- 21037248 TI - Intelligence in youth and all-cause-mortality: systematic review with meta analysis. AB - BACKGROUND: A number of prospective cohort studies have examined the association between intelligence in childhood or youth and life expectancy in adulthood; however, the effect size of this association is yet to be quantified and previous reviews require updating. METHODS: The systematic review included an electronic search of EMBASE, MEDLINE and PSYCHINFO databases. This yielded 16 unrelated studies that met inclusion criteria, comprising 22,453 deaths among 1,107,022 participants. Heterogeneity was assessed, and fixed effects models were applied to the aggregate data. Publication bias was evaluated, and sensitivity analyses were conducted. RESULTS: A 1-standard deviation (SD) advantage in cognitive test scores was associated with a 24% (95% confidence interval 23-25) lower risk of death, during a 17- to 69-year follow-up. There was little evidence of publication bias (Egger's intercept = 0.10, P = 0.81), and the intelligence mortality association was similar for men and women. Adjustment for childhood socio-economic status (SES) in the nine studies containing these data had almost no impact on this relationship, suggesting that this is not a confounder of the intelligence-mortality association. Controlling for adult SES in five studies and for education in six studies attenuated the intelligence-mortality hazard ratios by 34 and 54%, respectively. CONCLUSIONS: Future investigations should address the extent to which attenuation of the intelligence-mortality link by adult SES indicators is due to mediation, over-adjustment and/or confounding. The explanation(s) for association between higher early-life intelligence and lower risk of adult mortality require further elucidation. PMID- 21037250 TI - Predictors and prognostic impact of recurrent myocardial infarction in patients with left ventricular dysfunction, heart failure, or both following a first myocardial infarction. AB - IMS: Recurrent myocardial infarction (MI) is common after a first MI and is associated with increased morbidity and mortality. Predictors and prognosis of a recurrent MI with contemporary management are not well known. METHODS AND RESULTS: We assessed the predictors and prognostic impact of a first recurrent MI in 10,599 patients with left ventricular dysfunction, heart failure, or both following a first MI from the Valsartan in Acute Myocardial Infarction Trial (VALIANT) cohort. During a median follow-up of 27.4 months, 861 patients (9.6%) had a recurrent MI. The median time to recurrence was 136 days (quartiles 35-361 days), with a declining rate of recurrent MI within the first 3 months. The strongest predictors of recurrent MI were reduced estimated glomerular filtration rate, unstable angina, diabetes, and age. Mortality was markedly elevated (20.5%) within the first 7 days of a recurrent MI. Patients who survived 7 days after a recurrent MI continued to be at increased risk of death compared with patients without a recurrent MI and the risk of death remained elevated more than two-fold a year after the recurrent MI (adjusted hazards ratio 2.4, 95% confidence interval 1.7-3.2). One-year mortality for the entire VALIANT cohort was 10.3%, whereas 38.3% of the patients were dead 1 year after recurrent MI. Early reinfarctions (within 1 month) was associated with significantly higher 30-day mortality than later reinfarctions. CONCLUSION: Even in the context of contemporary treatment, a recurrent MI confers a significantly increased risk of death in patients following a high-risk first MI. Strategies aimed at reducing recurrent MI will thus likely prolong survival in post-MI survivors. PMID- 21037251 TI - Comparative evaluation of seven resistance interpretation algorithms and their derived genotypic inhibitory quotients for the prediction of 48 week virological response to darunavir-based salvage regimens. AB - BACKGROUND: the darunavir genotypic inhibitory quotient (gIQ) has been suggested as one of the predictors of virological response to darunavir-containing salvage regimens. Nevertheless, which resistance algorithm should be used to optimize the calculation of gIQ is still debated. The aim of our study was to compare seven different free-access resistance algorithms and their derived gIQs as predictors of 48 week virological response to darunavir-based salvage therapy in the clinical setting. METHODS: patients placed on two nucleoside reverse transcriptase inhibitors + 600/100 mg of darunavir/ritonavir twice daily +/- enfuvirtide were prospectively evaluated. Virological response was assessed at 48 weeks. Darunavir resistance interpretation was performed according to seven different algorithms, of which two were weighted algorithms. Analysis of other factors potentially associated with virological response at 48 weeks was performed. RESULTS: fifty-six treatment-experienced patients were included. Overall, 35 patients (62.5%) had a virological response at 48 weeks. Receiver operator characteristic curve analysis showed that De Meyer's weighted score (WS) and its derived gIQ (gIQ WS) were the most accurate parameters defining virological response, and related cut-offs showed the best sensitivity/specificity pattern. In univariate logistic regression analysis, baseline log viral load (P = 0.028), optimized background score >= 2 (P = 0.048), WS >5 (P = 0.001) and WS gIQ >= 600 (P < 0.0001) were independently associated with virological response. In multivariate analysis, only baseline log viral load (P = 0.008) and WS gIQ >= 600 (P < 0.0001) remained in the model. CONCLUSIONS: in our study, although different resistance interpretation algorithms and derived gIQs were associated with virological response, gIQ WS was the most accurate predictive model for achieving a successful virological response. PMID- 21037252 TI - Peri-procedural myocardial injury during percutaneous coronary intervention: an important target for cardioprotection. AB - Percutaneous coronary intervention (PCI) has become the predominant procedure for coronary revascularization in patients with both stable and unstable coronary artery disease (CAD). Over the past two decades, technical advances in PCI have resulted in a better and safer therapeutic procedure with minimal procedural complications. However, about 30% of patients undergoing elective PCI sustain myocardial injury arising from the procedure itself, the extent of which is significant enough to carry prognostic importance. The peri-procedural injury which accompanies PCI might therefore reduce some of the beneficial effects of coronary revascularization. The availability of more sensitive serum biomarkers of myocardial injury such as creatine phosphokinase MB isoenzyme (CK-MB), Troponin T, and Troponin I has enabled the quantification of previously undetectable myocardial injury. Peri-procedural myocardial injury (PMI) can also be visualized by cardiac magnetic resonance imaging, a technique which allows the detection and quantification of myocardial necrosis following PCI. The identification of CAD patients at greatest risk of sustaining PMI during PCI would allow targeted treatment with novel therapies capable of limiting the extent of PMI or reducing the number of patients experiencing PMI. PMID- 21037253 TI - Brachial artery low-flow-mediated constriction is increased early after coronary intervention and reduces during recovery after acute coronary syndrome: characterization of a recently described index of vascular function. AB - AIMS: The endothelium plays a role in regulating vascular tone. Acute and dynamic changes in low-flow-mediated constriction (L-FMC) and how it changes with regard to traditional flow-mediated dilatation (FMD) have not been described. We aimed to investigate the changes in brachial artery L-FMC following percutaneous coronary intervention (PCI) and during recovery from non-ST-segment elevation myocardial infarction (NSTEMI). METHODS AND RESULTS: FMD was performed in accordance with a previously described technique in patients before and after PCI and in the recovery phase of NSTEMI, but in addition, L-FMC data were acquired from the last 30 s of cuff inflation. About 135 scans were performed in 96 participants (10 healthy volunteers and 86 patients). Measurement of brachial L FMC was reproducible over hours. L-FMC was greater among patients with unstable vs. stable coronary atherosclerosis (-1.33 +/-1.09% vs. -0.03 +/- 1.26%, P < 0.01). Following PCI, FMD reduced (4.43 +/- 2.93% vs. 1.66 +/- 2.16%, P < 0.01) and L-FMC increased (-0.33 +/- 0.76% vs. -1.63 +/- 1.15%, P = 0.02). Furthermore, during convalescence from NSTEMI, L-FMC reduced (-1.37 +/- 1.19% vs. 0.01 +/- 0.82%, P = 0.02) in parallel with improvements in FMD (2.54 +/- 2.19% vs. 5.15 +/ 3.07%, P < 0.01). CONCLUSION: Brachial L-FMC can be measured reliably. Differences were observed between patients with stable and unstable coronary disease. L-FMC was acutely increased following PCI associated with reduced FMD and, in the recovery from NSTEMI, L-FMC reduced associated with increased FMD. These novel findings characterize acute and subacute variations in brachial L FMC. The pathophysiological and clinical implications of these observations require further study. PMID- 21037254 TI - Diagnostic performance of non-invasive multidetector computed tomography coronary angiography to detect coronary artery disease using different endpoints: detection of significant stenosis vs. detection of atherosclerosis. AB - AIMS: The positive predictive value of multidetector computed tomography angiography (CTA) for detecting significant stenosis remains limited. Possibly CTA may be more accurate in the evaluation of atherosclerosis rather than in the evaluation of stenosis severity. However, a comprehensive assessment of the diagnostic performance of CTA in comparison with both conventional coronary angiography (CCA) and intravascular ultrasound (IVUS) is lacking. Therefore, the aim of the study was to systematically investigate the diagnostic performance of CTA for two endpoints, namely detecting significant stenosis (using CCA as the reference standard) vs. detecting the presence of atherosclerosis (using IVUS as the reference of standard). METHODS AND RESULTS: A total of 100 patients underwent CTA followed by both CCA and IVUS. Only those segments in which IVUS imaging was performed were included for CTA and quantitative coronary angiography (QCA) analysis. On CTA, each segment was evaluated for significant stenosis (defined as >= 50% luminal narrowing), on CCA significant stenosis was defined as a stenosis >= 50%. Second, on CTA, each segment was evaluated for atherosclerotic plaque; atherosclerosis on IVUS was defined as a plaque burden of >= 40% cross sectional area. CTA correctly ruled out significant stenosis in 53 of 53 (100%) patients. However, nine patients (19%) were incorrectly diagnosed as having significant lesions on CTA resulting in sensitivity, specificity, positive, and negative predictive values of 100, 85, 81, and 100%. CTA correctly ruled out the presence of atherosclerosis in 7 patients (100%) and correctly identified the presence of atherosclerosis in 93 patients (100%). No patients were incorrectly classified, resulting in sensitivity, specificity, positive, and negative predictive values of 100%. Conclusions The present study is the first to confirm using both CCA and IVUS that the diagnostic performance of CTA is superior in the evaluation of the presence or the absence of atherosclerosis when compared with the evaluation of significant stenosis. PMID- 21037255 TI - The future of clinical trials in secondary prevention after acute coronary syndromes. PMID- 21037256 TI - Indian genetic disease database. AB - Indians, representing about one-sixth of the world population, consist of several thousands of endogamous groups with strong potential for excess of recessive diseases. However, no database is available on Indian population with comprehensive information on the diseases common in the country. To address this issue, we present Indian Genetic Disease Database (IGDD) release 1.0 (http://www.igdd.iicb.res.in)--an integrated and curated repository of growing number of mutation data on common genetic diseases afflicting the Indian populations. Currently the database covers 52 diseases with information on 5760 individuals carrying the mutant alleles of causal genes. Information on locus heterogeneity, type of mutation, clinical and biochemical data, geographical location and common mutations are furnished based on published literature. The database is currently designed to work best with Internet Explorer 8 (optimal resolution 1440 * 900) and it can be searched based on disease of interest, causal gene, type of mutation and geographical location of the patients or carriers. Provisions have been made for deposition of new data and logistics for regular updation of the database. The IGDD web portal, planned to be made freely available, contains user-friendly interfaces and is expected to be highly useful to the geneticists, clinicians, biologists and patient support groups of various genetic diseases. PMID- 21037257 TI - ENCODE whole-genome data in the UCSC genome browser (2011 update). AB - The ENCODE project is an international consortium with a goal of cataloguing all the functional elements in the human genome. The ENCODE Data Coordination Center (DCC) at the University of California, Santa Cruz serves as the central repository for ENCODE data. In this role, the DCC offers a collection of high throughput, genome-wide data generated with technologies such as ChIP-Seq, RNA Seq, DNA digestion and others. This data helps illuminate transcription factor binding sites, histone marks, chromatin accessibility, DNA methylation, RNA expression, RNA binding and other cell-state indicators. It includes sequences with quality scores, alignments, signals calculated from the alignments, and in most cases, element or peak calls calculated from the signal data. Each data set is available for visualization and download via the UCSC Genome Browser (http://genome.ucsc.edu/). ENCODE data can also be retrieved using a metadata system that captures the experimental parameters of each assay. The ENCODE web portal at UCSC (http://encodeproject.org/) provides information about the ENCODE data and links for access. PMID- 21037258 TI - miRBase: integrating microRNA annotation and deep-sequencing data. AB - miRBase is the primary online repository for all microRNA sequences and annotation. The current release (miRBase 16) contains over 15,000 microRNA gene loci in over 140 species, and over 17,000 distinct mature microRNA sequences. Deep-sequencing technologies have delivered a sharp rise in the rate of novel microRNA discovery. We have mapped reads from short RNA deep-sequencing experiments to microRNAs in miRBase and developed web interfaces to view these mappings. The user can view all read data associated with a given microRNA annotation, filter reads by experiment and count, and search for microRNAs by tissue- and stage-specific expression. These data can be used as a proxy for relative expression levels of microRNA sequences, provide detailed evidence for microRNA annotations and alternative isoforms of mature microRNAs, and allow us to revisit previous annotations. miRBase is available online at: http://www.mirbase.org/. PMID- 21037259 TI - Expanding the chemical scope of RNA:methyltransferases to site-specific alkynylation of RNA for click labeling. AB - This work identifies the combination of enzymatic transfer and click labeling as an efficient method for the site-specific tagging of RNA molecules for biophysical studies. A double-activated analog of the ubiquitous co-substrate S adenosyl-l-methionine was employed to enzymatically transfer a five carbon chain containing a terminal alkynyl moiety onto RNA. The tRNA:methyltransferase Trm1 transferred the extended alkynyl moiety to its natural target, the N2 of guanosine 26 in tRNA(Phe). LC/MS and LC/MS/MS techniques were used to detect and characterize the modified nucleoside as well as its cycloaddition product with a fluorescent azide. The latter resulted from a labeling reaction via Cu(I) catalyzed azide-alkyne 1,3-cycloaddition click chemistry, producing site specifically labeled RNA whose suitability for single molecule fluorescence experiments was verified in fluorescence correlation spectroscopy experiments. PMID- 21037260 TI - New tools and methods for direct programmatic access to the dbSNP relational database. AB - Genome-wide association studies often incorporate information from public biological databases in order to provide a biological reference for interpreting the results. The dbSNP database is an extensive source of information on single nucleotide polymorphisms (SNPs) for many different organisms, including humans. We have developed free software that will download and install a local MySQL implementation of the dbSNP relational database for a specified organism. We have also designed a system for classifying dbSNP tables in terms of common tasks we wish to accomplish using the database. For each task we have designed a small set of custom tables that facilitate task-related queries and provide entity relationship diagrams for each task composed from the relevant dbSNP tables. In order to expose these concepts and methods to a wider audience we have developed web tools for querying the database and browsing documentation on the tables and columns to clarify the relevant relational structure. All web tools and software are freely available to the public at http://cgsmd.isi.edu/dbsnpq. Resources such as these for programmatically querying biological databases are essential for viably integrating biological information into genetic association experiments on a genome-wide scale. PMID- 21037261 TI - RegPhos: a system to explore the protein kinase-substrate phosphorylation network in humans. AB - Protein phosphorylation catalyzed by kinases plays crucial regulatory roles in intracellular signal transduction. With the increasing number of experimental phosphorylation sites that has been identified by mass spectrometry-based proteomics, the desire to explore the networks of protein kinases and substrates is motivated. Manning et al. have identified 518 human kinase genes, which provide a starting point for comprehensive analysis of protein phosphorylation networks. In this study, a knowledgebase is developed to integrate experimentally verified protein phosphorylation data and protein-protein interaction data for constructing the protein kinase-substrate phosphorylation networks in human. A total of 21,110 experimental verified phosphorylation sites within 5092 human proteins are collected. However, only 4138 phosphorylation sites (~20%) have the annotation of catalytic kinases from public domain. In order to fully investigate how protein kinases regulate the intracellular processes, a published kinase specific phosphorylation site prediction tool, named KinasePhos is incorporated for assigning the potential kinase. The web-based system, RegPhos, can let users input a group of human proteins; consequently, the phosphorylation network associated with the protein subcellular localization can be explored. Additionally, time-coursed microarray expression data is subsequently used to represent the degree of similarity in the expression profiles of network members. A case study demonstrates that the proposed scheme not only identify the correct network of insulin signaling but also detect a novel signaling pathway that may cross-talk with insulin signaling network. This effective system is now freely available at http://RegPhos.mbc.nctu.edu.tw. PMID- 21037262 TI - UniPROBE, update 2011: expanded content and search tools in the online database of protein-binding microarray data on protein-DNA interactions. AB - The Universal PBM Resource for Oligonucleotide-Binding Evaluation (UniPROBE) database is a centralized repository of information on the DNA-binding preferences of proteins as determined by universal protein-binding microarray (PBM) technology. Each entry for a protein (or protein complex) in UniPROBE provides the quantitative preferences for all possible nucleotide sequence variants ('words') of length k ('k-mers'), as well as position weight matrix (PWM) and graphical sequence logo representations of the k-mer data. In this update, we describe >130% expansion of the database content, incorporation of a protein BLAST (blastp) tool for finding protein sequence matches in UniPROBE, the introduction of UniPROBE accession numbers and additional database enhancements. The UniPROBE database is available at http://uniprobe.org. PMID- 21037263 TI - starBase: a database for exploring microRNA-mRNA interaction maps from Argonaute CLIP-Seq and Degradome-Seq data. AB - MicroRNAs (miRNAs) represent an important class of small non-coding RNAs (sRNAs) that regulate gene expression by targeting messenger RNAs. However, assigning miRNAs to their regulatory target genes remains technically challenging. Recently, high-throughput CLIP-Seq and degradome sequencing (Degradome-Seq) methods have been applied to identify the sites of Argonaute interaction and miRNA cleavage sites, respectively. In this study, we introduce a novel database, starBase (sRNA target Base), which we have developed to facilitate the comprehensive exploration of miRNA-target interaction maps from CLIP-Seq and Degradome-Seq data. The current version includes high-throughput sequencing data generated from 21 CLIP-Seq and 10 Degradome-Seq experiments from six organisms. By analyzing millions of mapped CLIP-Seq and Degradome-Seq reads, we identified ~1 million Ago-binding clusters and ~2 million cleaved target clusters in animals and plants, respectively. Analyses of these clusters, and of target sites predicted by 6 miRNA target prediction programs, resulted in our identification of approximately 400,000 and approximately 66,000 miRNA-target regulatory relationships from CLIP-Seq and Degradome-Seq data, respectively. Furthermore, two web servers were provided to discover novel miRNA target sites from CLIP-Seq and Degradome-Seq data. Our web implementation supports diverse query types and exploration of common targets, gene ontologies and pathways. The starBase is available at http://starbase.sysu.edu.cn/. PMID- 21037264 TI - Optimisation of internal contamination monitoring programme by integration of uncertainties. AB - Potential internal contamination of workers is monitored by periodic bioassay measurements interpreted in terms of intake and committed effective dose by the use of biokinetic and dosimetric models. After a prospective evaluation of exposure at a workplace, a suitable monitoring programme can be defined by choosing adequate measurement techniques and frequency. In this study, the sensitivity of a programme is evaluated by the minimum intake and dose, which may be detected with a given level of confidence by taking into account uncertainties on exposure conditions and measurements. This is made for programme optimisation, which is performed by comparing the sensitivities of different alternative programmes. These methods were applied at the AREVA NC reprocessing plant and support the current monitoring programme as the best compromise between the cost of the measurements and the sensitivity of the programme. PMID- 21037265 TI - Child injury surveillance that guides interventions: the Beijing primary healthcare experience. AB - OBJECTIVES: To provide feedback to guide injury prevention interventions and to test the feasibility of integrating injury surveillance into the existing primary healthcare service for children under 6 years of age. DESIGN: This surveillance system was integrated into existing primary healthcare services at the community primary healthcare centre (PHC) and community kindergartens. Parents reported injury incidents during their child's routine health services at scheduled intervals. Kindergarten nurses registered injury incidents at the absentee recording system. A total of 8358 children annually were included into the surveillance in 2006-07. Setting Two urban communities and three rural communities in Beijing, China. RESULTS: The coverage rates were 93.7% in PHCs and 98% in kindergartens. The injury incidence rates in year 1 and year 2 were 2.7% and 1.9% respectively. The leading causes were falls, being hit by blunt object, and animal injury. Major causes of injury were different between urban and rural children. Over the two-year surveillance period, injury incidence rates declined significantly from 2.7% to 1.7% in urban communities. No significant decrease was seen in rural communities. CONCLUSION: Surveillance through the primary healthcare system in Beijing was useful in child injury prevention as data collected allowed managers to design targeted interventions that resulted in decreased injury. In comparison with hospital based surveillance, PHC based surveillance demonstrated an advantage in wide access to children and allowed calculation of injury incidence rates to monitor trends over time. PHC based surveillance can serve as a useful venue to collect child injury data. PMID- 21037266 TI - Eye tracking for assessment of workload: a pilot study in an anaesthesia simulator environment. AB - BACKGROUND: Workload assessment is an important tool for improving patient safety in anaesthesia. We tested the hypothesis that heart rate, pupil size, and duration of fixation increase, whereas saccade amplitude decreases with increased workload during simulated critical incidents. METHODS: Fifteen trainee anaesthetists participated in this randomized cross-over trial. Each participant used a head-mounted eye-tracking device (EyeSeeCam) during induction of general anaesthesia in a full-scale simulation during three different sessions. No critical incident was simulated in the first session. In a randomized order, workload was increased by simulation of a critical incident in the second or third session. Pupil size, duration of fixations, saccadic amplitude, and heart rate of each participant and the simulator conditions were recorded continuously and synchronized. The data were analysed by paired sample t-tests and mixed effects regression analysis. RESULTS: The findings of the second and third sessions of 11 participants were analysed. Pupil diameter and heart rate increased simultaneously as the severity of the simulated critical incident increased. Allowing for individual effects, the simulator conditions explained 92.6% of the variance in pupil diameter and 93.6% of the variance in heart rate (both P<0.001). The duration of fixation decreased with increased workload. The saccadic amplitude remained unaffected by workload changes. CONCLUSIONS: Pupil size and heart rate reflect workload increase within simulator sessions, but they do not permit overall workload comparisons between individuals or sessions. Contrary to our assumption, the duration of fixation decreased with increased workload. Saccade amplitude did not reflect workload fluctuations. PMID- 21037267 TI - Comparison of three cuffed emergency percutaneous cricothyroidotomy devices to conventional surgical cricothyroidotomy in a porcine model. AB - BACKGROUND: Emergency cricothyroidotomy is a potentially life-saving procedure in the 'cannot intubate cannot ventilate (CICV)' scenario. Although surgical cricothyroidotomy remains the technique recommended in many 'CICV' algorithms, the insertion of a tracheostomy as a cannula over a trocar, or using the Seldinger method, may have advantages as they are more familiar to the anaesthetist. We compared the utility of three cuffed cricothyroidotomy devices: cuffed Melker(r), Quicktrach 2(r), and PCK(r) devices, with surgical cricothyroidotomy. METHODS: After ethical committee approval and written informed consent, 20 anaesthetists performed cricothyroidotomy with all four devices in random order, in a pig larynx and trachea model covered in cured pelt. The primary endpoints were the rate of successful placement of the cricothyroidotomy device into the trachea and the duration of the insertion attempt. RESULTS: The Melker(r) and Quicktrach 2(r) devices possessed advantages over the surgical approach, in contrast to the PCK(r) device, which performed less well. All 20 participants inserted the Melker(r), with 19 being successful using the surgical approach and the Quicktrach 2(r), whereas only 12 successfully inserted the PCK(r) device (PCK(r) vs surgical, P=0.02). The Quicktrach 2(r) had the fastest insertion times and caused least trauma to the posterior tracheal wall. The Melker(r) was rated highest by the participants and was the only device rated higher than the surgical technique. CONCLUSIONS: The Melker(r) and Quicktrach 2(r) devices appear to hold particular promise as alternatives to surgical cricothyroidotomy. Further studies, in more clinically relevant models, are required to confirm these initial positive findings. PMID- 21037268 TI - ED50 and ED95 of intrathecal levobupivacaine with opioids for Caesarean delivery. AB - BACKGROUND: This prospective randomized double-blind dose-response study aimed to determine the ED50 and ED95 of intrathecal levobupivacaine combined with morphine and sufentanil for elective Caesarean delivery. METHODS: Parturients undergoing elective Caesarean delivery were included and allocated to five levobupivacaine dose groups (6, 8, 10, 12, or 14 mg). Combined spinal-epidural (CSE) anaesthesia was performed, allowing intrathecal administration of the allocated dose of levobupivacaine with intrathecal morphine 100 ug and intrathecal sufentanil 2.5 ug, and insertion of epidural catheter for completing anaesthesia in the case of failure. The dose was considered as successful if a bilateral T6 sensory block to pinprick occurred in 15 min and if no epidural supplement was required during surgery. A probit regression analysis was performed to calculate the ED50 and ED95 of intrathecal levobupivacaine for Caesarean delivery. RESULTS: Eighty-five parturients were included. A block to T6 sensory level was reached in 15 min for most of the patients. The ED50 and ED95 of levobupivacaine were 6.2 mg (95% CI: 2.6-7.6) and 12.9 mg (11.1-17.9), respectively. Haemodynamic stability and the rate of nausea and vomiting were similar among groups. Greater doses of levobupivacaine were associated with increased motor block duration. CONCLUSIONS: When combined with intrathecal sufentanil 2.5 ug and intrathecal morphine 100 ug, the ED95 of intrathecal levobupivacaine is 12.9 mg for Caesarean delivery. If doses of levobupivacaine less than the ED95, particularly near the ED50, are used, these doses should be administered under a CSE technique. PMID- 21037269 TI - When smokers move out and non-smokers move in: residential thirdhand smoke pollution and exposure. AB - BACKGROUND: This study examined whether thirdhand smoke (THS) persists in smokers' homes after they move out and non-smokers move in, and whether new non smoking residents are exposed to THS in these homes. METHODS: The homes of 100 smokers and 50 non-smokers were visited before the residents moved out. Dust, surfaces, air and participants' fingers were measured for nicotine and children's urine samples were analysed for cotinine. The new residents who moved into these homes were recruited if they were non-smokers. Dust, surfaces, air and new residents' fingers were examined for nicotine in 25 former smoker and 16 former non-smoker homes. A urine sample was collected from the youngest resident. RESULTS: Smoker homes' dust, surface and air nicotine levels decreased after the change of occupancy (p<0.001); however dust and surfaces showed higher contamination levels in former smoker homes than former non-smoker homes (p<0.05). Non-smoking participants' finger nicotine was higher in former smoker homes compared to former non-smoker homes (p<0.05). Finger nicotine levels among non-smokers living in former smoker homes were significantly correlated with dust and surface nicotine and urine cotinine. CONCLUSIONS: These findings indicate that THS accumulates in smokers' homes and persists when smokers move out even after homes remain vacant for 2 months and are cleaned and prepared for new residents. When non-smokers move into homes formerly occupied by smokers, they encounter indoor environments with THS polluted surfaces and dust. Results suggest that non-smokers living in former smoker homes are exposed to THS in dust and on surfaces. PMID- 21037270 TI - Effects of written action plan adherence on COPD exacerbation recovery. AB - BACKGROUND: The effects of written action plans on recovery from exacerbations of chronic obstructive pulmonary disease (COPD) have not been well studied. The aims of this study were to assess the effects of adherence to a written action plan on exacerbation recovery time and unscheduled healthcare utilisation and to explore factors associated with action plan adherence. METHODS: This was a 1-year prospective cohort study embedded in a randomised controlled trial. Exacerbation data were recorded for 252 patients with COPD who received a written action plan for prompt treatment of exacerbations with the instructions to initiate standing prescriptions for both antibiotics and prednisone within 3 days of exacerbation onset. Following the instructions was defined as adherence to the action plan. RESULTS: From the 288 exacerbations reported by 143 patients, start dates of antibiotics or prednisone were provided in 217 exacerbations reported by 119 patients (53.8% male, mean age 65.4 years, post-bronchodilator forced expiratory volume in 1 s (FEV(1)) 43.9% predicted). In 40.1% of exacerbations, patients adhered to their written action plan. Adherence reduced exacerbation recovery time with statistical (p=0.0001) and clinical (-5.8 days) significance, but did not affect unscheduled healthcare utilisation (OR 0.94, 95% CI 0.49 to 1.83). Factors associated with an increased likelihood of adherence were influenza vaccination, cardiac comorbidity, younger age and lower FEV(1) as percentage predicted. CONCLUSIONS: This study shows that adherence to a written action plan is associated with a reduction in exacerbation recovery time by prompt treatment. Knowing the factors that are associated with proper and prompt utilisation of an action plan permits healthcare professionals to better focus their self management support on appropriate patients. PMID- 21037271 TI - Serum 25-hydroxy vitamin D and exercise capacity in COPD. PMID- 21037272 TI - Risk disclosure prior to bronchoscopy. PMID- 21037273 TI - Association of the CBLB gene with multiple sclerosis: new evidence from a replication study in an Italian population. AB - BACKGROUND: The T allele of rs9657904 within the CBLB gene was recently found to be significantly associated with multiple sclerosis (MS) in a genome-wide association study in Sardinia. OBJECTIVE: To replicate this association in an independent population with a different genetic background. METHODS: The rs9657904 variant was typed in a sample of 1435 cases and 1466 controls from the Italian mainland. RESULTS: It was found that in this sample also, the common allele T of rs9657904 is significantly positively associated (one-tailed p=7.35 * 10(-5)) and with a comparable effect size with MS (OR=1.31, 95% CI 1.14 to 1.52). CONCLUSION: These data provide further evidence of the association of MS disease with variation within CBLB. PMID- 21037274 TI - Reduced expression by SETBP1 haploinsufficiency causes developmental and expressive language delay indicating a phenotype distinct from Schinzel-Giedion syndrome. AB - BACKGROUND: Mutations of the SET binding protein 1 gene (SETBP1) on 18q12.3 have recently been reported to cause Schinzel-Giedion syndrome (SGS). As rare 18q interstitial deletions affecting multiple genes including SETBP1 correlate with a milder phenotype, including minor physical anomalies and developmental and expressive speech delay, mutations in SETBP1 are thought to result in a gain-of function or a dominant-negative effect. However, the consequence of the SETBP1 loss-of-function has not yet been well described. METHODS: Microarray-based comparative genomic hybridisation (aCGH) analyses were performed to identify genetic causes for developmental and expressive speech delay in two patients. SETBP1 expression in fibroblasts obtained from one of the patients was analysed by real-time RT-PCR and western blotting. A cohort study to identify nucleotide changes in SETBP1 was performed in 142 Japanese patients with developmental delay. RESULTS: aCGH analyses identified submicroscopic deletions of less than 1 Mb exclusively containing SETBP1. Both patients show global developmental, expressive language delay and minor facial anomalies. Decreased expression of SETBP1 was identified in the patient's skin fibroblasts. No pathogenic mutation of SETBP1 was identified in the cohort study. CONCLUSION: SETBP1 expression was reduced in a patient with SETBP1 haploinsufficiency, indicating that the SETBP1 deletion phenotype is allele dose sensitive. In correlation with the exclusive deletion of SETBP1, this study delimits a milder phenotype distinct from SGS overlapping with the previously described phenotype of del(18)(q12.2q21.1) syndrome including global developmental, expressive language delay and distinctive facial features. These findings support the hypothesis that mutations in SETBP1 causing SGS may have a gain-of-function or a dominant-negative effect, whereas haploinsufficiency or loss-of-function mutations in SETBP1 cause a milder phenotype. PMID- 21037275 TI - CANT1 mutation is also responsible for Desbuquois dysplasia, type 2 and Kim variant. AB - BACKGROUND: Desbuquois dysplasia (DD) is a recessively inherited condition characterised by short stature, generalised skeletal dysplasia and advanced bone maturation. DD is both clinically and radiographically heterogeneous, and two subtypes have been distinguished based on the presence (type 1) or absence (type 2) of an accessory metacarpal bone. In addition, an apparently distinct variant without additional metacarpal bone but with short metacarpals and long phalanges (Kim variant) has been described recently. Mutations in the gene that encodes for CANT1 (calcium-activated nucleotidase 1) have been identified in a subset of patients with DD type 1. METHODS: A series of 11 subjects with DD from eight families (one type 1, two type 2, five Kim variant) were examined for CANT1 mutations by direct sequencing of all coding exons and their flanking introns. RESULTS: Eight distinct mutations were identified in seven families (one type 1, one type 2 and all 5 Kim variant): three were nonsense and five were missense. All missense mutations occurred at highly conserved amino acids in the nucleotidase conserved regions of CANT1. Measurement of nucleotidase activity in vitro showed that the missense mutations were all associated with loss-of function. CONCLUSION: The clinical-radiographic spectrum produced by CANT1 mutations must be extended to include DD type 2 and Kim variant. While presence or absence of an additional metacarpal ossification centre has been used to distinguish subtypes of DD, this sign is not a distinctive criterion to predict the molecular basis in DD. PMID- 21037276 TI - GeneScreen: a program for high-throughput mutation detection in DNA sequence electropherograms. AB - BACKGROUND: While massively parallel DNA sequencing methods continue to evolve rapidly, the benchmark technique for detection and verification of rare (particularly disease-causing) sequence variants remains four-colour dye terminator sequencing by capillary electrophoresis. The high throughput and long read lengths currently available have shifted the bottleneck in mutation detection away from data generation to data analysis. While excellent computational methods have been developed for quantifying sequence accuracy and detecting variants, either during de novo sequence assembly or for single nucleotide polymorphism detection, the identification, verification and annotation of very rare sequence variants remains a rather labour-intensive process for which few software aids exist. AIM: To provide a freely available, intuitive software application for highly efficient mutation screening of large sequence batches. METHODS AND RESULTS: The authors developed GeneScreen, a desktop program that analyses capillary electropherograms and compares their sequences with a known reference for identification of mutations. The detected sequence variants are then made available for rapid assessment and annotation via a graphical user interface, allowing chosen variants to be exported for reporting and archiving. The program was validated using more than 16,000 diagnostic laboratory sequence traces. CONCLUSION: Using GeneScreen, a single user requires only a few minutes to identify rare mutations in hundreds of sequence traces, with comparable sensitivity to expensive commercial products. PMID- 21037277 TI - Biopharmaceuticals and monoclonal antibodies in oncology trials--a cross sectional analysis. AB - Protein engineering has led to a significantly improved understanding of the biophysical properties of proteins and, importantly, of the molecular mechanisms of disease. Moreover, it has enabled scientists to modify the molecular characteristics of peptides and proteins, leading to improved pharmacokinetics and pharmacodynamics of protein therapeutics. Consequently, biopharmaceuticals, such as monoclonal antibodies (mAbs), interferons/cytokines or vaccines, contribute increasingly to clinical practice. Some of these new treatments have dramatically changed the outcome of specific diseases. However, treatment options remain limited in many conditions, particularly in malignant disease, despite a much-improved understanding of the molecular mechanisms underlying cancer. With the successful pre-clinical development of therapeutic biomolecules, the most significant barrier prior to implementation into clinical practice is proof of concept in humans. This is in part addressed by clinical trials that evaluate the toxicology, dose response and efficacy of the molecules. This observational study summarises the current state of biopharmaceuticals in clinical trials and provides a particular focus on oncology trials. It identifies those cancer types that are most likely to benefit from the efforts made in pre-clinical protein science and establishes evidence that engineered proteins and peptides are set to play a growing role in clinical practice. This study was based on the 95,254 trials registered on the National Institute of Health Clinical Trials Database by 31 August 2010. Of these, 25,525 trials assigned to cancer conditions, including leukaemia and lymphoma, were further analysed, with a particular focus on the 3653 interventional trials that were based on biological interventions. The inclusion criterion for the analysis was registration on the Clinical Trials Database by the above date. No other trials were included. Biopharmaceuticals were the more prevalent intervention in cancer trials (14%) compared with trials in non-cancer conditions (6%). Further subgroup analysis based on the 20 cancer subtypes with the highest mortality revealed that biological therapeutics comprise 43% in malignant melanoma trials and more than 20% in five other cancer types. Two-thirds of all monoclonal antibody are registered in cancer trials (1033, 4.6% of all cancer trials). The subgroup analysis demonstrated a predominance of lymphoma and leukaemia trials for antibody interventions, with 204 and 163 trials registered, respectively. In non-cancer conditions only 503 (0.9%) trials investigate monoclonal antibody interventions. A retrospective longitudinal analysis of the trials demonstrated that monoclonal antibody trials are increasingly frequently registered in non-cancer as well as cancer conditions. However, biopharmaceutical trials continue to be registered more frequently only in non-cancer conditions, but have come to a plateau in cancers. This study is limited by analysis of data from one database only. While the NIH Clinical Trials Database used is the most comprehensive and internationally recognised of its kind, it is possible that the results may have been modified if other databases were also included. Protein engineering has paved the way for biopharmaceutical clinical interventions. A cross-sectional analysis of trials registered on the NIH Clinical Trial Database shows that biological interventions are increasingly entered into clinical trials. While oncological diseases used to lead this effort, biotherapeutic trials in non-cancer conditions have now become more frequent in comparison. Monoclonal antibodies, however, are still mainly investigated in oncological conditions. Haemato-oncological diseases are most frequently investigated for mAb interventions, although they are not among the eight most common causes of cancer mortality. This may reflect the fact that pre clinical research, understanding of molecular mechanisms and target identification in other malignancies and diseases is less developed. PMID- 21037278 TI - Humanization strategies for an anti-idiotypic antibody mimicking HIV-1 gp41. AB - Anti-idiotypic antibodies could represent an alternative vaccination approach in human therapy. The anti-idiotypic antibody Ab2/3H6 was generated in mouse and is directed against the human monoclonal antibody 2F5, which broadly and potently neutralizes primary HIV-1 isolates. Ab2/3H6 is able to mimic the antigen recognition site of 2F5 making it a putative candidate for HIV-1 vaccine purposes. In order to reduce immunogenicity of therapeutic proteins, humanization methods have been developed. The mouse variable regions of Ab2/3H6 were subjected to three different humanization approaches, namely resurfacing, complementarity determining region (CDR)-grafting and superhumanization. Four different humanized Ab2/3H6 variants were characterized for their binding affinity to 2F5 in comparison to the chimeric Ab2/3H6. The resurfaced and the 'conservative' CDR grafted variants showed similar binding properties to 2F5 when compared to the chimeric version, while the 'aggressive' CDR-grafted antibody showed reduced affinity and the superhumanized type lost its binding ability. In this study, we developed humanized Ab2/3H6 variants that retained the same affinity as the parental antibody, and are therefore of potential interest for future clinical trails. PMID- 21037279 TI - Phosphotriesterase variants with high methylphosphonatase activity and strong negative trade-off against phosphotriesters. AB - The most lethal organophosphorus nerve agents (NA), like sarin, soman, agent-VX and Russian-VX, share a methylphosphonate moiety. Pseudomonas diminuta phosphotriesterase (PTE) catalyses the hydrolysis of methylphosphonate NA analogues with a catalytic efficiency orders of magnitude lower than that towards the pesticide paraoxon. With a view to obtaining PTE variants that more readily accept methylphosphonate NA, ~75,000 PTE variants of the substrate-binding residues Gly-60, Ile-106, Leu-303 and Ser-308 were screened with fluorogenic analogues of the NA Russian-VX and cyclosarin. Seven new PTE variants were isolated, purified and their k(cat)/K(M) determined against five phosphotriesters and five methylphosphonate analogues of sarin, cyclosarin, soman, agent-VX and Russian-VX. The novel PTE variants exhibited as much as a 10-fold increase in activity towards the methylphosphonate compounds--many reaching a k(cat)/K(M) of 106 M-1 s-1--and as much as a 29,000-fold decrease in their phosphotriesterase activity. The mutations found in two of the variants, SS0.5 (G60V/I106L/S308G) and SS4.5 (G60V/I106A/S308G), were modelled into a high-resolution structure of PTE-wild type and docked with analogues of cyclosarin and Russian-VX using Autodock 4.2. The kinetic data and docking simulations suggest that the increase in activity towards the methylphosphonates and the loss of function against the phosphotriesters were due to an alteration of the shape and hydrophobicity of the binding pocket that hinders the productive binding of non-chiral racemic phosphotriesters, yet allows the binding of the highly asymmetric methylphosphonates. PMID- 21037280 TI - Structural and biophysical characterisation of agrin laminin G3 domain constructs. AB - Agrin mediates accumulation of acetylcholine receptors (AChRs) at the developing neuromuscular junction, but has also been implicated as a regulator of central nervous system (CNS) synapses. A C-terminal region of agrin (Ag-C20) binds to the alpha3 subunit of the sodium-potassium ATPase (NKA) in CNS neurons suggesting that alpha3NKA is a neuronal agrin receptor, whereas a shorter agrin fragment (Ag C15) was shown to act as a competitive antagonist. Here, we show that the agrin C22 construct, which represents the naturally occurring neurotrypsin cleavage product, constitutes a well-folded, stable domain, while the deletion of 48 residues that correspond to strands beta1-beta4 of the agrin laminin G3 domain imposed by the agrin C15 construct leads to a misfolded protein. PMID- 21037281 TI - Laser therapy for twin-to-twin transfusion syndrome causing amniotic band syndrome. PMID- 21037282 TI - Effect of the introduction of diagnosis related group systems on the distribution of admission weights in very low birthweight infants. AB - BACKGROUND: Admission weight to neonatal intensive care units is used in several diagnosis related group (DRG) systems to assess reimbursement by health insurance programs for premature infants. Modifications of admission weights could significantly affect reimbursement in either direction. OBJECTIVE: Whether the introduction of the German DRG system in 2004 influenced the distribution of admission weights of premature infants was investigated. DESIGN/METHODS: All very low birthweight infants in the most heavily populated German federal state, North Rhine-Westphalia, born in 1999-2001 and 2004-2006, were investigated. Data were retrieved from the medical association of North Rhine-Westphalia on 13 180 very low birthweight infants (VLBW; birth weight <1500 g). These data included admission weight and gestational age. RESULTS: In 2004-2006 compared to 1999 2001, there were significantly more infants with admission weights below 1000 g (2004-2006; 949-999 g: 460 infants, p=0.007) and 1500 g (2004-2006; 1449-1499 g: 815 infants, p=0.0019), and fewer infants with documented weights above these cut off values (1999-2001; 1000-1049 g: 346 infants and 2004-2006; 1500-1549 g: 658 infants). CONCLUSIONS: The introduction of the DRG system in Germany has affected the distribution of admission weights of premature infants. Potential reasons for this effect including upcoding are discussed. PMID- 21037283 TI - The global burden of Rh disease. PMID- 21037284 TI - Nurse:patient ratio and achievement of oxygen saturation goals in premature infants. AB - BACKGROUND: Premature newborns often experience oxygen saturations outside policy specified targets, which may be associated with increased morbidity. Nurse workload may affect oxygen management. OBJECTIVE: To examine the relationship between number of patients assigned to neonatal intensive care unit (NICU) nurses and achievement of oxygen saturation goals in premature newborns. DESIGN: The authors linked nurse-patient assignment data with continuous oxygen saturation data for infants <29 weeks' gestation in a single NICU between January and June 2008. The proportion of time oxygen saturation was in policy-specified target range (85-92%) and proportion of time hyperoxaemic (98-100%) were determined for multiple 6 h monitoring periods. Each period was characterised by a single nurse, respiratory support mode and fraction of inspired oxygen (Fio(2)) level (0.22 0.49 or >=0.5). The nurse:patient ratio for the infant's nurse for each monitoring period was determined. Factors associated with Spo(2) target achievement and hyperoxaemia were identified. RESULTS: The authors analysed 1019 monitoring periods from 14 infants with a mean (SD) birth weight of 860 (270) g and gestational age of 26.6 (1.6) weeks. The mean (range) postmenstrual age for all monitoring periods was 31.6 (24.1-40.7) weeks. Eighty-seven nurses provided care. In a multivariate cross-classified hierarchical regression, the nurse:patient ratio, postmenstrual age, respiratory support mode and Fio(2) were significantly associated with oxygen saturation outcomes. Fewer patients per nurse was significantly associated with a higher saturation target achievement among patients on high-frequency ventilation, and with reduced hyperoxaemia among patients on nasal cannula. CONCLUSIONS: Fewer patients per nurse may be associated with improved achievement of oxygen saturation goals and may be an important modifiable factor influencing oxygen-related outcomes in premature newborns. This effect may vary with mode of respiratory support. PMID- 21037285 TI - Improving antibiotic prescribing in neonatal units: time to act. AB - Antibiotics are increasingly prescribed in the peripartum period, for both maternal and fetal indications. Their effective use can be life-saving, however, injudicious use drives antibiotic resistance and contributes to the development of abnormal faecal flora and subsequent immune dysregulation. Neonatal units are a high risk area for the selection and transmission of multi-resistant organisms. Very few new antibiotics with activity against Gram-negative bacteria are under development, and no significantly new Gram-negative antibiotics will be available in the next decade. This review seeks to summarise current practice, and suggests restrictive antibiotic strategies based on epidemiological data from recently published UK neonatal infection surveillance studies. PMID- 21037286 TI - Klebsiella brain abscess in a neonate. AB - Klebsiella pneumoniae is rarely associated with neonatal cerebral abscess. A case of Klebsiella brain abscess in a neonate is described. Diagnosis of abscess was confirmed by magnetic resonance imaging and ultrasound-guided aspiration. PMID- 21037287 TI - Assessment of myocardial function in neonates using tissue Doppler imaging. AB - OBJECTIVE: To measure the left and right ventricular myocardial velocities using tissue Doppler imaging (TDI) in the first 24 h of life in neonates. DESIGN: Left and right ventricular peak systolic (S'), early diastolic (E') and late diastolic (A') myocardial velocities were measured using TDI alongside standard echocardiography (including peak diastolic atrioventricular flow, E). E/E' ratio was calculated for both ventricles. SETTING: UK neonatal intensive care unit. PATIENTS: 43 neonates were prospectively recruited into three groups: term (n=16), preterm (30-36 weeks, n=12) and very preterm (<30 weeks, n=15). RESULTS: Myocardial velocities increased with increasing gestation. Right ventricular velocities were significantly greater than left. E/E' ratio decreased with increasing gestation. Left E/E' ratio was higher than right in each group. CONCLUSIONS: TDI is feasible in preterm neonates and enables the acquisition of myocardial velocities. With increasing gestation, higher myocardial velocities and lower E/E' ratios were found. The addition of TDI to standard neonatal echocardiography may provide additional information about cardiac function. PMID- 21037288 TI - Neonatal organ donation: has the time come? PMID- 21037289 TI - Regulatory T cells interfere with glutathione metabolism in dendritic cells and T cells. AB - Naturally occurring CD4(+)CD25(+)Foxp3(+) regulatory T cells (Tregs) suppress proliferation of CD4(+)CD25(-) effector T cells (Teffs) by mechanisms that are not well understood. We have previously demonstrated a novel mechanism of Treg suppression, i.e. interference with extracellular redox remodeling that occurs during activation of T cells by dendritic cells. In this study, we demonstrate that Treg-mediated redox perturbation is antigen-dependent but not antigen specific, is CTLA-4-dependent, and requires cell-cell contact. Furthermore, we show that Tregs use multiple strategies for extracellular redox remodeling, including diminished GSH synthesis in dendritic cells via decreased expression of gamma-glutamylcysteine synthetase, the limiting enzyme for GSH synthesis. Tregs also consume extracellular cysteine and partition it more proficiently to the oxidation product (sulfate), whereas Teffs divert more of the cysteine pool toward protein and GSH synthesis. Tregs appear to block GSH redistribution from the nucleus to the cytoplasm in Teffs, which is abrogated by the addition of exogenous cysteine. Together, these data provide novel insights into modulation of sulfur-based redox metabolism by Tregs, leading to suppression of T cell activation and proliferation. PMID- 21037290 TI - Production of glutaconic acid in a recombinant Escherichia coli strain. AB - The assembly of six genes that encode enzymes from glutamate-fermenting bacteria converted Escherichia coli into a glutaconate producer when grown anaerobically on a complex medium. The new anaerobic pathway starts with 2-oxoglutarate from general metabolism and proceeds via (R)-2-hydroxyglutarate, (R)-2-hydroxyglutaryl coenzyme A (CoA), and (E)-glutaconyl-CoA to yield 2.7 +/- 0.2 mM (E)-glutaconate in the medium. PMID- 21037291 TI - Ethanolamine utilization contributes to proliferation of Salmonella enterica serovar Typhimurium in food and in nematodes. AB - Only three pathogenic bacterial species, Salmonella enterica, Clostridium perfringens, and Listeria monocytogenes, are able to utilize both ethanolamine and 1,2-propanediol as a sole carbon source. Degradation of these substrates, abundant in food and the gut, depends on cobalamin, which is synthesized de novo only under anaerobic conditions. Although the eut, pdu, and cob-cbi gene clusters comprise 40 kb, the conditions under which they confer a selection advantage on these food-borne pathogens remain largely unknown. Here we used the luciferase reporter system to determine the response of the Salmonella enterica serovar Typhimurium promoters P(eutS), P(pocR), P(pduF), and P(pduA) to a set of carbon sources, to egg yolk, to whole milk, and to milk protein or fat fractions. Depending on the supplements, specific inductions up to 3 orders of magnitude were observed for P(eutS) and P(pduA), which drive the expression of most eut and pdu genes. To correlate these significant expression data with growth properties, nonpolar deletions of pocR, regulating the pdu and cob-cbi genes, and of eutR, involved in eut gene activation, were constructed in S. Typhimurium strain 14028. During exponential growth of the mutants 14028DeltapocR and 14028DeltaeutR, 2- to 3-fold-reduced proliferation in milk and egg yolk was observed. Using the Caenorhabditis elegans infection model, we could also demonstrate that the proliferation of S. Typhimurium in the nematode is supported by an active ethanolamine degradation pathway. Taking these findings together, this study quantifies the differential expression of eut and pdu genes under distinct conditions and provides experimental evidence that the ethanolamine utilization pathway allows salmonellae to occupy specific metabolic niches within food environments and within their host organisms. PMID- 21037292 TI - Preclinical class 1 integron with a complete Tn402-like transposition module. AB - The presence of integrons was assessed in gut bacteria isolated from wild-caught prawns. A pseudomonad was recovered that contained a Tn402-like class 1 integron with a complete transposition module and two gene cassettes. One cassette was identical to a previously described cassette from a chromosomal class 3 integron in Delftia tsuruhatensis. PMID- 21037293 TI - Transcriptomic and phenotypic analyses identify coregulated, overlapping regulons among PrfA, CtsR, HrcA, and the alternative sigma factors sigmaB, sigmaC, sigmaH, and sigmaL in Listeria monocytogenes. AB - A set of seven Listeria monocytogenes 10403S mutant strains, each bearing an in frame null mutation in a gene encoding a key regulatory protein, was used to characterize transcriptional networks in L. monocytogenes; the seven regulatory proteins addressed include all four L. monocytogenes alternative sigma factors (sigma(B), sigma(C), sigma(H), and sigma(L)), the virulence gene regulator PrfA, and the heat shock-related negative regulators CtsR and HrcA. Whole-genome microarray analyses, used to identify regulons for each of these 7 transcriptional regulators, showed considerable overlap among regulons. Among 188 genes controlled by more than one regulator, 176 were coregulated by sigma(B), including 92 genes regulated by both sigma(B) and sigma(H) (with 18 of these genes coregulated by sigma(B), sigma(H), and at least one additional regulator) and 31 genes regulated by both sigma(B) and sigma(L) (with 10 of these genes coregulated by sigma(B), sigma(L), and at least one additional regulator). Comparative phenotypic characterization measuring acid resistance, heat resistance, intracellular growth in J774 cells, invasion into Caco-2 epithelial cells, and virulence in the guinea pig model indicated contributions of (i) sigma(B) to acid resistance, (ii) CtsR to heat resistance, and (iii) PrfA, sigma(B), and CtsR to virulence-associated characteristics. Loss of the remaining transcriptional regulators (i.e., sigH, sigL, or sigC) resulted in limited phenotypic consequences associated with stress survival and virulence. Identification of overlaps among the regulons provides strong evidence supporting the existence of complex regulatory networks that appear to provide the cell with regulatory redundancies, along with the ability to fine-tune gene expression in response to rapidly changing environmental conditions. PMID- 21037294 TI - Longitudinal molecular epidemiological study of thermophilic campylobacters on one conventional broiler chicken farm. AB - Improved understanding of the ecology and epidemiology of Campylobacter in the poultry farm environment is key to developing appropriate farm-based strategies for preventing flock colonization. The sources of Campylobacter causing broiler flock colonization were investigated on one poultry farm and its environment, from which samples were obtained on three occasions during each of 15 crop cycles. The farm was adjacent to a dairy farm, with which there was a shared concreted area and secondary entrance. There was considerable variation in the Campylobacter status of flocks at the various sampling times, at median ages of 20, 26, and 35 days, with 3 of the 15 flocks remaining negative at slaughter. Campylobacters were recoverable from various locations around the farm, even while the flock was Campylobacter negative, but the degree of environmental contamination increased substantially once the flock was positive. Molecular typing showed that strains from house surroundings and the dairy farm were similar to those subsequently detected in the flock and that several strains intermittently persisted through multiple crop cycles. The longitudinal nature of the study suggested that bovine fecal Campylobacter strains, initially recovered from the dairy yard, may subsequently colonize poultry. One such strain, despite being repeatedly recovered from the dairy areas, failed to colonize the concomitant flock during later crop cycles. The possibility of host adaptation of this strain was investigated with 16-day-old chickens experimentally exposed to this strain naturally present in, or spiked into, bovine feces. Although the birds became colonized by this infection model, the strain may preferentially infect cattle. The presence of Campylobacter genotypes in the external environment of the poultry farm, prior to their detection in broiler chickens, confirms the horizontal transmission of these bacteria into the flock and highlights the risk from multispecies farms. PMID- 21037295 TI - Cadherin, alkaline phosphatase, and aminopeptidase N as receptors of Cry11Ba toxin from Bacillus thuringiensis subsp. jegathesan in Aedes aegypti. AB - Cry11Ba is one of the most toxic proteins to mosquito larvae produced by Bacillus thuringiensis. It binds Aedes aegypti brush border membrane vesicles (BBMV) with high affinity, showing an apparent dissociation constant (K(d)) of 8.2 nM. We previously reported that an anticadherin antibody competes with Cry11Ba binding to BBMV, suggesting a possible role of cadherin as a toxin receptor. Here we provide evidence of specific cadherin repeat regions involved in this interaction. Using cadherin fragments as competitors, a C-terminal fragment which contains cadherin repeat 7 (CR7) to CR11 competed with Cry11Ba binding to BBMV. This binding was also efficiently competed by the CR9, CR10, and CR11 peptide fragments. Moreover, we show CR11 to be an important region of interaction with Cry11Ba toxin. An alkaline phosphatase (AaeALP1) and an aminopeptidase-N (AaeAPN1) also competed with Cry11Ba binding to Ae. aegypti BBMV. Finally, we found that Cry11Ba and Cry4Ba share binding sites. Synthetic peptides corresponding to loops alpha8, beta2-beta3 (loop 1), beta8-beta9, and beta10 beta11 (loop 3) of Cry4Ba compete with Cry11Ba binding to BBMV, suggesting Cry11Ba and Cry4Ba have common sites involved in binding Ae. aegypti BBMV. The data suggest that three different Ae. aegypti midgut proteins, i.e., cadherin, AaeALP1, and AaeAPN1, are involved in Cry11Ba binding to Ae. aegypti midgut brush border membranes. PMID- 21037296 TI - Nuclear targeting of a bacterial integrase that mediates site-specific recombination between bacterial and human target sequences. AB - TrwC is a bacterial protein involved in conjugative transfer of plasmid R388. It is transferred together with the DNA strand into the recipient bacterial cell, where it can integrate the conjugatively transferred DNA strand into its target sequence present in the recipient cell. Considering that bacterial conjugation can occur between bacteria and eukaryotic cells, this protein has great biotechnological potential as a site-specific integrase. We have searched for possible TrwC target sequences in the human genome. Recombination assays showed that TrwC efficiently catalyzes recombination between its natural target sequence and a discrete number of sequences, located in noncoding sites of the human genome, which resemble this target. We have determined the cellular localization of TrwC and derivatives in human cells by immunofluorescence and also by an indirect yeast-based assay to detect both nuclear import and export signals. The results indicate that the recombinase domain of TrwC (N600) has nuclear localization, but full-length TrwC locates in the cytoplasm, apparently due to the presence of a nuclear export signal in its C-terminal domain. The recombinase domain of TrwC can be transported to recipient cells by conjugation in the presence of the helicase domain of TrwC, but with very low efficiency. We mutagenized the trwC gene and selected for mutants with nuclear localization. We obtained one such mutant with a point A904T mutation and an extra peptide at its C terminus, which maintained its functionality in conjugation and recombination. This TrwC mutant could be useful for future TrwC-mediated site-specific integration assays in mammalian cells. PMID- 21037297 TI - Raman spectroscopy of xylitol uptake and metabolism in Gram-positive and Gram negative bacteria. AB - Visible-wavelength Raman spectroscopy was used to investigate the uptake and metabolism of the five-carbon sugar alcohol xylitol by Gram-positive viridans group streptococcus and the two extensively used strains of Gram-negative Escherichia coli, E. coli C and E. coli K-12. E. coli C, but not E. coli K-12, contains a complete xylitol operon, and the viridans group streptococcus contains an incomplete xylitol operon used to metabolize the xylitol. Raman spectra from xylitol-exposed viridans group streptococcus exhibited significant changes that persisted even in progeny grown from the xylitol-exposed mother cells in a xylitol-free medium for 24 h. This behavior was not observed in the E. coli K-12. In both viridans group streptococcus and the E. coli C derivative HF4714, the metabolic intermediates are stably formed to create an anomaly in bacterial normal survival. The uptake of xylitol by Gram-positive and Gram-negative pathogens occurs even in the presence of other high-calorie sugars, and its stable integration within the bacterial cell wall may discontinue bacterial multiplication. This could be a contributing factor for the known efficacy of xylitol when taken as a prophylactic measure to prevent or reduce occurrences of persistent infection. Specifically, these bacteria are causative agents for several important diseases of children such as pneumonia, otitis media, meningitis, and dental caries. If properly explored, such an inexpensive and harmless sugar-alcohol, alone or used in conjunction with fluoride, would pave the way to an alternative preventive therapy for these childhood diseases when the causative pathogens have become resistant to modern medicines such as antibiotics and vaccine immunotherapy. PMID- 21037298 TI - Development of an efficient real-time quantitative PCR protocol for detection of Xanthomonas arboricola pv. pruni in Prunus species. AB - Xanthomonas arboricola pv. pruni, the causal agent of bacterial spot disease of stone fruit, is considered a quarantine organism by the European Union and the European and Mediterranean Plant Protection Organization (EPPO). The bacterium can undergo an epiphytic phase and/or be latent and can be transmitted by plant material, but currently, only visual inspections are used to certify plants as being X. arboricola pv. pruni free. A novel and highly sensitive real-time TaqMan PCR detection protocol was designed based on a sequence of a gene for a putative protein related to an ABC transporter ATP-binding system in X. arboricola pv. pruni. Pathogen detection can be completed within a few hours with a sensitivity of 10(2) CFU ml(-1), thus surpassing the sensitivity of the existing conventional PCR. Specificity was assessed for X. arboricola pv. pruni strains from different origins as well as for closely related Xanthomonas species, non-Xanthomonas species, saprophytic bacteria, and healthy Prunus samples. The efficiency of the developed protocol was evaluated with field samples of 14 Prunus species and rootstocks. For symptomatic leaf samples, the protocol was very efficient even when washed tissues of the leaves were directly amplified without any previous DNA extraction. For samples of 117 asymptomatic leaves and 285 buds, the protocol was more efficient after a simple DNA extraction, and X. arboricola pv. pruni was detected in 9.4% and 9.1% of the 402 samples analyzed, respectively, demonstrating its frequent epiphytic or endophytic phase. This newly developed real-time PCR protocol can be used as a quantitative assay, offers a reliable and sensitive test for X. arboricola pv. pruni, and is suitable as a screening test for symptomatic as well as asymptomatic plant material. PMID- 21037299 TI - Expanding the genetic toolbox for Leptospira species by generation of fluorescent bacteria. AB - Our knowledge of the genetics and molecular basis of the pathogenesis associated with Leptospira, in comparison to those of other bacterial species, is very limited. An improved understanding of pathogenic mechanisms requires reliable genetic tools for functional genetic analysis. Here, we report the expression of gfp and mRFP1 genes under the control of constitutive spirochetal promoters in both saprophytic and pathogenic Leptospira strains. We were able to reliably measure the fluorescence of Leptospira by fluorescence microscopy and a fluorometric microplate reader-based assay. We showed that the expression of the gfp gene had no significant effects on growth in vivo and pathogenicity in L. interrogans. We constructed an expression vector for L. biflexa that contains the lacI repressor, an inducible lac promoter, and gfp as the reporter, demonstrating that the lac system is functional in Leptospira. Green fluorescent protein (GFP) expression was induced by the addition of isopropyl-beta-d-thiogalactopyranoside (IPTG) in L. biflexa transformants harboring the expression vector. Finally, we showed that GFP can be used as a reporter to assess promoter activity in different environmental conditions. These results may facilitate further advances for studying the genetics of Leptospira spp. PMID- 21037300 TI - Binding of virus-like particles of Norwalk virus to romaine lettuce veins. AB - Noroviruses (NoV) annually cause millions of cases of gastrointestinal disease in the United States. NoV are associated with raw shellfish outbreaks, particularly oysters, which are thought to bioaccumulate NoV particles during the filter feeding process. NoV outbreaks, however, have also been known to occur from other common-source food-borne vehicles, such as lettuce, frozen raspberries, and salad. In this study, we evaluated romaine lettuce as a potential vehicle for NoV transmission by testing the binding and distribution of NoV to the surface of romaine. Recombinant Norwalk virus-like particles (rNVLP) applied to the surface of romaine lettuce localized as large clusters primarily on the leaf veins. An extract of romaine lettuce leaves in phosphate-buffered saline (PBS) (romaine extract [RE]) bound rNVLP in a dose-dependent manner. RE did not bind rNVLP by histo-blood group antigens (HBGA), nor was RE competitive with rNVLP binding to porcine gastric mucin. These results suggested that non-HBGA molecules in RE bind rNVLP by a binding site(s) that is different from the defined binding pocket on the virion. Extracts of cilantro, iceberg lettuce, spinach, and celery also bound rNVLP. Samples of each of the vegetables spiked with rNVLP and tested with anti NVLP antibody revealed by confocal microscopy the presence of rNVLP not only on the veins of cilantro but also throughout the surface of iceberg lettuce. PMID- 21037301 TI - Verotoxins in bovine and meat verotoxin-producing Escherichia coli isolates: type, number of variants, and relationship to cytotoxicity. AB - In this study, we determined vt subtypes and evaluated verotoxicity in basal as well as induced conditions of verotoxin-producing Escherichia coli (VTEC) strains isolated from cattle and meat products. Most (87%) of the 186 isolates carried a vt(2) gene. Moreover, the vt(2) subtype, which is associated with serious disease, was present in 42% of our VTEC collection. The other vt subtypes detected were vt(1), vt(1d), vt(2vha), vt(2vhb), vt(2O118), vt(2d) (mucus activatable), and vt(2g). A total of 41 (22%) of the isolates possessed more than one vt subtype in its genome, and among them the most frequent combination was vt(1)/vt(2), but we also observed multiple combinations among vt(2) subtypes. Differences in verotoxicity titers were found among a selection of 54 isolates. Among isolates with a single vt(2) variant, those carrying the vt(2) subtype had high titers under both uninduced and induced conditions. However, the highest increase in cytotoxicity under mitomycin C treatment was detected among the strains carrying vt(2vha) or vt(2hb) variants. Notably, the isolates carrying the vt(1) subtype showed a lesser increase than that of most of the vt(2)-positive VTEC strains. Furthermore, the presence of more than one vt gene variant in the same isolate was not reflected in higher titers, and generally the titers were lower than those for strains with only one gene variant. The main observation was that both basal and induced cytotoxic effects seemed to be associated with the type and number of vt variants more than with the serotype or origin of the isolate. PMID- 21037302 TI - Podospora anserina hemicellulases potentiate the Trichoderma reesei secretome for saccharification of lignocellulosic biomass. AB - To improve the enzymatic hydrolysis (saccharification) of lignocellulosic biomass by Trichoderma reesei, a set of genes encoding putative polysaccharide-degrading enzymes were selected from the coprophilic fungus Podospora anserina using comparative genomics. Five hemicellulase-encoding genes were successfully cloned and expressed as secreted functional proteins in the yeast Pichia pastoris. These novel fungal CAZymes belonging to different glycoside hydrolase families (PaMan5A and PaMan26A mannanases, PaXyn11A xylanase, and PaAbf51A and PaAbf62A arabinofuranosidases) were able to break down their predicted cognate substrates. Although PaMan5A and PaMan26A displayed similar specificities toward a range of mannan substrates, they differed in their end products, suggesting differences in substrate binding. The N-terminal CBM35 module of PaMan26A displayed dual binding specificity toward xylan and mannan. PaXyn11A harboring a C-terminal CBM1 module efficiently degraded wheat arabinoxylan, releasing mainly xylobiose as end product. PaAbf51A and PaAbf62A arabinose-debranching enzymes exhibited differences in activity toward arabinose-containing substrates. Further investigation of the contribution made by each P. anserina auxiliary enzyme to the saccharification of wheat straw and spruce demonstrated that the endo-acting hemicellulases (PaXyn11A, PaMan5A, and PaMan26A) individually supplemented the secretome of the industrial T. reesei CL847 strain. The most striking effect was obtained with PaMan5A that improved the release of total sugars by 28% and of glucose by 18%, using spruce as lignocellulosic substrate. PMID- 21037303 TI - Prevalence and genetic diversity of bartonella species detected in different tissues of small mammals in Nepal. AB - Bartonellae were detected in a total of 152 (23.7%) of 642 tissues from 108 (48.4%) of 223 small mammals trapped in several urban areas of Nepal. Based on rpoB and gltA sequence analyses, genotypes belonging to seven known Bartonella species and five genotypes not belonging to previously known species were identified in these animals. PMID- 21037304 TI - Diffusion of nanoparticles in biofilms is altered by bacterial cell wall hydrophobicity. AB - Diffusion of entities inside biofilm triggers most mechanisms involved in biofilm specific phenotypes. Using genetically engineered hydrophilic and hydrophobic cells of Lactococcus lactis yielding similar biofilm architectures, we demonstrated by fluorescence correlation spectroscopy that bacterial surface properties affect diffusion of nanoparticles through the biofilm matrix. PMID- 21037305 TI - Simultaneous presence of PrtH and PrtH2 proteinases in Lactobacillus helveticus Strains improves breakdown of the pure alphas1-casein. AB - Lactobacillus helveticus can possess one or two cell envelope proteinases (CEPs), called PrtH2 and PrtH. The aim of this work was to explore the diversity of 15 strains of L. helveticus, isolated from various origins, in terms of their proteolytic activities and specificities on pure caseins or on milk casein micelles. CEP activity differed 14-fold when the strains were assayed on a synthetic substrate, but no significant differences were detected between strains possessing one or two CEPs. No correlation was observed between the proteolytic activities of the strains and their rates of acidification in milk. The kinetics of hydrolysis of purified alpha(s1)- and beta-casein by L. helveticus whole cells was monitored using Tris-Tricine sodium dodecyl sulfate (SDS) electrophoresis, and for four strains, the peptides released were identified using mass spectrometry. While rapid hydrolysis of pure beta-casein was observed for all strains, the hydrolysis kinetics of alpha(s1)-casein was the only criterion capable of distinguishing between the strains based on the number of CEPs. Fifty four to 74 peptides were identified for each strain. When only PrtH2 was present, 22 to 30% of the peptides originated from alpha(s1)-casein. The percentage increased to 41 to 49% for strains in which both CEPs were expressed. The peptide size ranged from 6 to 33 amino acids, revealing a broad range of cleavage specificities, involving all classes of amino acids (Leu, Val, Ala, Ile, Glu, Gln, Lys, Arg, Met, and Pro). Regions resistant to proteolysis were identified in both caseins. When strains were grown in milk, a drastic reduction in the number of peptides was observed, reflecting changes in accessibility and/or peptide assimilation during growth. PMID- 21037306 TI - Detection of clonal group A Escherichia coli isolates from broiler chickens, broiler chicken meat, community-dwelling humans, and urinary tract infection (UTI) patients and their virulence in a mouse UTI model. AB - Escherichia coli clonal group A isolates cause infections in people. We investigated 158 phylogroup D E. coli isolates from animals, meat, and humans. Twenty-five of these isolates were of clonal group A, and 15 isolates were shown to cause infection in a mouse urinary tract infection (UTI) model. We conclude that clonal group A isolates are found in both broiler chickens and broiler chicken meat and may cause UTI in humans. PMID- 21037307 TI - Same-day detection of Escherichia coli O157:H7 from spinach by using electrochemiluminescent and cytometric bead array biosensors. AB - Contamination of fresh produce with Escherichia coli O157:H7 and other pathogens commonly causes food-borne illness and disease outbreaks. Thus, screening for pathogens is warranted, but improved testing procedures are needed to allow reproducible same-day detection of low initial contamination levels on perishable foods, and methods for detecting numerous pathogens in a single test are desired. Experimental procedures were developed to enable rapid screening of spinach for E. coli O157:H7 by using multiplex-capable immunological assays that are analyzed using biosensors. Detection was achieved using an automated electrochemiluminescent (ECL) assay system and a fluorescence-based cytometric bead array. Using the ECL system, less than 0.1 CFU of E. coli O157:H7 per gram of spinach was detected after 5 h of enrichment, corresponding to 6.5 h of total assay time. Using the cytometric bead array, less than 0.1 CFU/g was detected after 7 h of enrichment, with a total time to detection of less than 10 h. These results illustrate that both biosensor assays are useful for rapid detection of E. coli O157:H7 on produce in time frames that are comparable to or better than those of other testing formats. Both methods may be useful for multiplexed pathogen detection in the food industry and other testing situations. PMID- 21037308 TI - Development of a fatty acid and RNA stable isotope probing-based method for tracking protist grazing on bacteria in wastewater. AB - Removal of potential pathogenic bacteria, for example, during wastewater treatment, is effected by sorption, filtration, natural die-off, lysis by viruses, and grazing by protists, but the actual contribution of grazing has never been assessed quantitatively. A methodical approach for analyzing the grazing of protists on (13)C-labeled prey bacteria was developed which enables mass balances of the carbon turnover to be drawn, including yield estimation. Model experiments for validating the approach were performed in closed microcosms with the ciliate Uronema sp. and (13)C-labeled Escherichia coli as model prey. The transfer of bacterial (13)C into grazing protist biomass was investigated by fatty acid (FA) and RNA stable isotope probing (SIP). Uronema sp. showed ingestion rates of ~390 bacteria protist(-1) h(-1), and the temporal patterns of (13)C assimilation from the prey bacteria to the protist FA were identified. Nine fatty acids specific for Uronema sp. were found (20:0, i20:0, 22:0, 24:0, 20:1omega9c, 20:1omega9t, 22:1omega9c, 22:1omega9t, and 24:1). Four of these fatty acids (22:0, 20:1omega9t, 22:1omega9c, and 22:1omega9t) were enriched very rapidly after 3 h, indicating grazing on bacteria without concomitant cell division. Other fatty acids (20:0, i20:0, and 20:1omega9c) were found to be indicative of growth with cell division. The fatty acids were found to be labeled with a percentage of labeled carbon (atoms percent [atom%]) up to 50. Eighteen percent of the E. coli-derived (13)C was incorporated into Uronema biomass, whereas 11% was mineralized. Around 5 mol bacterial carbon was necessary in order to produce 1 mol protist carbon (y(x)(/)(s) ~ 0.2), and the temporal pattern of (13)C labeling of protist rRNA was also shown. A consumption of around 1,000 prey bacteria (~98 atom% (13)C) per protist cell appears to be sufficient to provide detectable amounts of label in the protist RNA. The large shift in the buoyant density fraction of (13)C-labeled protist RNA demonstrated a high incorporation of (13)C, and reverse transcription-real-time PCR (RT-qPCR) confirmed that protist rRNA increasingly dominated in the heavy RNA fraction. PMID- 21037309 TI - Histamine: from flop to flip-flop. PMID- 21037310 TI - What are chemokine signalling systems doing in the brain? PMID- 21037311 TI - Muscle spindle afferents and the mystery of the alpha3 isoform of Na+,K+-ATPase. PMID- 21037312 TI - Water cotransport in pigmented epithelial cells. PMID- 21037313 TI - Bring on the heat: transient receptor potential vanilloid type-1 (TRPV-1) channels as a sensory link for local thermal hyperaemia. PMID- 21037314 TI - The peptide or the neuron? PMID- 21037315 TI - Visualising vagal afferent neurons and their terminals whilst silencing TRPV1. PMID- 21037316 TI - Wasting away in Mars-Aritaville. PMID- 21037317 TI - Intensity-dependent activation of intracellular signalling pathways in skeletal muscle: role of fibre type recruitment during exercise. PMID- 21037318 TI - Crossbridge recruitment by stretching does not invalidate force spectroscopy experiments in living skeletal muscle fibres. PMID- 21037319 TI - Echocardiographic Brockenbrough-Braunwald-Morrow sign. AB - The Brockenbrough-Braunwald-Morrow sign is the paradoxical decrease in pulse pressure during the post-extrasystole beat seen in patients with hypertrophic obstructive cardiomyopathy. We present a case of intermittent left ventricular outflow tract obstruction and secondary mitral regurgitation resulting from post extrasystolic potentiation following a premature atrial beat, demonstrating using echocardiography the mechanism behind this sign. PMID- 21037320 TI - Between clinical medicine and the laboratory: medical research funding in France from 1945 to the present. AB - By focusing on funding methods, this paper considers the way in which medical research eventually led to the science-based medicine that is prevalent in France today. This process seems to have taken place in three stages during the second half of the twentieth century. In the 1940s and 1950s, two major events occurred. The first was the creation of a national health insurance fund in France, which opened up new reasons for, and ways of, funding medical research. The second was the development of antibiotics, which triggered a revival of clinical medicine. In the 1960s and 1970s, a proactive government science policy allowed the life sciences and medical research to come together in the wake of a burgeoning new science: molecular biology. Thus, in 1964, the creation of the National Health and Medical Research Institute (Institut national de la sante et de la recherche medicale or INSERM), destined to "molecularize" medical research, was seen as the fulfillment of the government's ambitious research policy. Today, with medicine irreversibly embedded in scientific and technical rationality, health has become a major issue in modern societies. This paper therefore touches on some of the key features of biomedical research, including the revival of funding systems for clinical research and the development of a system of research grants that was made possible by patient organizations and the creation of new funding agencies. PMID- 21037321 TI - Novel aspects of the pathogenesis of aneurysms of the abdominal aorta in humans. AB - Aneurysm of the abdominal aorta (AAA) is a particular, specifically localized form of atherothrombosis, providing a unique human model of this disease. The pathogenesis of AAA is characterized by a breakdown of the extracellular matrix due to an excessive proteolytic activity, leading to potential arterial wall rupture. The roles of matrix metalloproteinases and plasmin generation in progression of AAA have been demonstrated both in animal models and in clinical studies. In the present review, we highlight recent studies addressing the role of the haemoglobin-rich, intraluminal thrombus and the adventitial response in the development of human AAA. The intraluminal thrombus exerts its pathogenic effect through platelet activation, fibrin formation, binding of plasminogen and its activators, and trapping of erythrocytes and neutrophils, leading to oxidative and proteolytic injury of the arterial wall. These events occur mainly at the intraluminal thrombus-circulating blood interface, and pathological mediators are conveyed outwards, where they promote matrix degradation of the arterial wall. In response, neo-angiogenesis, phagocytosis by mononuclear cells, and a shift from innate to adaptive immunity in the adventitia are observed. Abdominal aortic aneurysm thus represents an accessible spatiotemporal model of human atherothrombotic progression towards clinical events, the study of which should allow further understanding of its pathogenesis and the translation of pathogenic biological activities into diagnostic and therapeutic applications. PMID- 21037322 TI - Efficacy of adjunctive ablation of complex fractionated atrial electrograms and pulmonary vein isolation for the treatment of atrial fibrillation: a meta analysis of randomized controlled trials. AB - AIMS: Although useful, percutaneous left atrial ablation for pulmonary vein isolation (PVI) does not eliminate atrial fibrillation (AF) in all patients. The ablation of complex fractionated atrial electrograms (CFAEs) has been proposed as an adjunctive strategy to improve the maintenance of sinus rhythm after PVI. Our objective was to analyse the efficacy of adjunctive CFAE ablation. METHODS AND RESULTS: We meta-analysed six randomized controlled trials (total, n=538) using random-effects modelling to compare PVI (n=291) with PVI plus CFAE ablation (PVI+CFAE) (n=237). The primary outcome was freedom from AF or other atrial tachyarrhythmias (ATs) after a single ablation with or without antiarrhythmic drugs. Following a single ablation, PVI+CFAE improved the odds of freedom from any AF/AT compared with PVI alone (odds ratio 2.0, 95% confidence interval 1.04 3.8, P=0.04) at >=3-month follow-up. There was moderate heterogeneity among trials (I2=63.0%). Complex fractionated atrial electrogram ablation significantly increased mean procedural (178.5+/-66.9 vs. 331.5+/-92.6 min, P<0.001), mean fluoroscopy (59.5+/-22.2 vs. 115.5+/-35.3 min, P<0.001), and mean radiofrequency (RF) energy application times (46.9+/-36.6 vs. 74.4+/-43.0 min, P<0.001). CONCLUSIONS: Pulmonary vein isolation followed by adjunctive CFAE ablation is associated with increased freedom from AF after a single procedure. Adjunctive CFAE ablation increased procedural, fluoroscopy, and RF application times, and the risk/benefit profile of adjunctive CFAE ablation deserves further evaluation with additional studies and longer-term follow-up. PMID- 21037324 TI - Control of iron homeostasis as a key component of macrophage polarization. PMID- 21037323 TI - Cut-like homeobox 1 (CUX1) regulates expression of the fat mass and obesity associated and retinitis pigmentosa GTPase regulator-interacting protein-1-like (RPGRIP1L) genes and coordinates leptin receptor signaling. AB - The first intron of FTO contains common single nucleotide polymorphisms associated with body weight and adiposity in humans. In an effort to identify the molecular basis for this association, we discovered that FTO and RPGRIP1L (a ciliary gene located in close proximity to the transcriptional start site of FTO) are regulated by isoforms P200 and P110 of the transcription factor, CUX1. This regulation occurs via a single AATAAATA regulatory site (conserved in the mouse) within the FTO intronic region associated with adiposity in humans. Single nucleotide polymorphism rs8050136 (located in this regulatory site) affects binding affinities of P200 and P110. Promoter-probe analysis revealed that binding of P200 to this site represses FTO, whereas binding of P110 increases transcriptional activity from the FTO as well as RPGRIP1L minimal promoters. Reduced expression of Fto or Rpgrip1l affects leptin receptor isoform b trafficking and leptin signaling in N41 mouse hypothalamic or N2a neuroblastoma cells in vitro. Leptin receptor clusters in the vicinity of the cilium of arcuate hypothalamic neurons in C57BL/6J mice treated with leptin, but not in fasted mice, suggesting a potentially important role of the cilium in leptin signaling that is, in part, regulated by FTO and RPGRIP1L. Decreased Fto/Rpgrip1l expression in the arcuate hypothalamus coincides with decreased nuclear enzymatic activity of a protease (cathepsin L) that has been shown to cleave full-length CUX1 (P200) to P110. P200 disrupts (whereas P110 promotes) leptin receptor isoform b clustering in the vicinity of the cilium in vitro. Clustering of the receptor coincides with increased leptin signaling as reflected in protein levels of phosphorylated Stat3 (p-Stat3). Association of the FTO locus with adiposity in humans may reflect functional consequences of A/C alleles at rs8050136. The obesity-risk (A) allele shows reduced affinity for the FTO and RPGRIP1L transcriptional activator P110, leading to the following: 1) decreased FTO and RPGRIP1L mRNA levels; 2) reduced LEPR trafficking to the cilium; and, as a consequence, 3) a diminished cellular response to leptin. PMID- 21037325 TI - Non-erythroid effects of erythropoietin. PMID- 21037326 TI - Autoimmune lymphoproliferative syndrome: a multifactorial disorder. PMID- 21037327 TI - Antenatal treatment of fetal alloimmune thrombocytopenia: a current perspective. PMID- 21037328 TI - B-cell-directed therapy for chronic graft-versus-host disease. PMID- 21037330 TI - Allergy--will we ever meet the unmet need? PMID- 21037332 TI - An ugly metamorphosis? PMID- 21037333 TI - Meetings at the RSM. PMID- 21037334 TI - Evidence-based mechanistic reasoning. PMID- 21037335 TI - Prolonged work- and computer-related seated immobility and risk of venous thromboembolism. AB - OBJECTIVE: To determine the risk of venous thromboembolism (VTE) associated with prolonged work- and computer-related seated immobility. DESIGN: Case-control study in which cases were patients aged 18-65 years attending outpatient VTE clinics, and controls were patients aged 18-65 years admitted to CCU with a condition other than VTE. Interviewer-administered questionnaires obtained detailed information on VTE risk factors and clinical details. SETTING: VTE Clinics and Coronary Care Unit (CCU), Wellington and Kenepuru Hospitals, Wellington between February 2007 and February 2009. MAIN OUTCOME MEASURE: The relative risk of VTE associated with prolonged work- and computer-related seated immobility, defined as being seated at work and on the computer at home, at least 10 hours in a 24-hour period and at least 2 hours at a time without getting up, during the four weeks prior to the onset of symptoms that led to VTE diagnosis or CCU admission. RESULTS: There were 197 cases and 197 controls. Prolonged work- and computer-related seated immobility was present in 33/197 (16.8%) and 19/197 (9.6%) cases and controls, respectively. In multivariate analyses, prolonged work and computer-related seated immobility was associated with an increased risk of VTE, odds ratio 2.8 (95% CI 1.2-6.1, P=0.013). The maximum and average number of hours seated in a 24-hour period were associated with an increased risk of VTE, with odds ratios of 1.1 (95% CI 1.0-1.2, P=0.008) and 1.1 (95% CI 1.0-1.2, P=0.014) per additional hour seated. CONCLUSION: Prolonged work- and computer related seated immobility increases the risk of VTE. We suggest that there needs to be both a greater awareness of the role of prolonged work-related seated immobility in the pathogenesis of VTE, and the development of occupational strategies to decrease the risk. PMID- 21037336 TI - Martin Arrowsmith's clinical trial: scientific precision and heroic medicine. PMID- 21037337 TI - White paper whiteout. PMID- 21037339 TI - Fractures of the pelvis. AB - High energy fractures of the pelvis are a challenging problem both in the immediate post-injury phase and later when definitive fixation is undertaken. No single management algorithm can be applied because of associated injuries and the wide variety of trauma systems that have evolved around the world. Initial management is aimed at saving life and this is most likely to be achieved with an approach that seeks to identify and treat life-threatening injuries in order of priority. Early mortality after a pelvic fracture is most commonly due to major haemorrhage or catastrophic brain injury. In this article we review the role of pelvic binders, angiographic embolisation, pelvic packing, early internal fixation and blood transfusion with regard to controlling haemorrhage. Definitive fixation seeks to prevent deformity and reduce complications. We believe this should be undertaken by specialist surgeons in a hospital resourced, equipped and staffed to manage the whole spectrum of major trauma. We describe the most common modes of internal fixation by injury type and review the factors that influence delayed mortality, adverse functional outcome, sexual dysfunction and venous thromboembolism. PMID- 21037340 TI - Earlier diagnosis of bone and soft-tissue tumours. AB - Although bone and soft-tissue sarcomas are rare, early diagnosis and prompt referral to a specialised unit offers the best chance of a successful outcome both in terms of survival and surgical resection. This paper highlights the clinical and radiological features that might suggest the possibility of a bone or soft-tissue sarcoma and suggests a succinct management pathway for establishing whether a suspicious bone or soft-tissue lesion could be malignant. PMID- 21037341 TI - Resurfacing registers concern. AB - We review the history and literature of hip resurfacing arthroplasty. Resurfacing and the science behind it continues to evolve. Recent results, particularly from the national arthroplasty registers, have spread disquiet among both surgeons and patients. A hip resurfacing arthroplasty is not a total hip replacement, but should perhaps be seen as a means of delaying it. The time when hip resurfacing is offered to a patient may be different from that for a total hip replacement. The same logic can apply to the timing of revision surgery. Consequently, the comparison of resurfacing with total hip replacement may be a false one. Nevertheless, the need for innovative solutions for young arthroplasty patients is clear. Total hip replacement can be usefully delayed in many of these patients by the use of hip resurfacing arthroplasty. PMID- 21037342 TI - The ABC Travelling Fellowship 2010. AB - The 2010 Fellows undertook a six-week journey through centres of orthopaedic excellence along the East Coast of Canada and the United States. What we learned and gained from the experience and each other is immeasurable, but five areas particularly stand out; education, research, service delivery, financial insights and professional development. PMID- 21037343 TI - Early failure of the Ultima metal-on-metal total hip replacement in the presence of normal plain radiographs. AB - Metal-on-metal total hip replacement has been targeted at younger patients with anticipated long-term survival, but the effect of the production of metal ions is a concern because of their possible toxicity to cells. We have reviewed the results of the use of the Ultima hybrid metal-on-metal total hip replacement, with a cemented polished tapered femoral component with a 28 mm diameter and a cobalt-chrome (CoCr) modular head, articulating with a 28 mm CoCr acetabular bearing surface secured in a titanium alloy uncemented shell. Between 1997 and 2004, 545 patients with 652 affected hips underwent replacement using this system. Up to 31 January 2008, 90 (13.8%) hips in 82 patients had been revised. Pain was the sole reason for revision in 44 hips (48.9%) of which 35 had normal plain radiographs. Peri-prosthetic fractures occurred in 17 hips (18.9%) with early dislocation in three (3.3%) and late dislocation in 16 (17.8%). Infection was found in nine hips (10.0%). At operation, a range of changes was noted including cavities containing cloudy fluid under pressure, necrotic soft tissues with avulsed tendons and denuded osteonecrotic upper femora. Corrosion was frequently observed on the retrieved cemented part of the femoral component. Typically, the peri-operative findings confirmed those found on pre-operative metal artefact reduction sequence MRI and histological examination showed severe necrosis. Metal artefact reduction sequence MRI proved to be useful when investigating these patients with pain in the absence of adverse plain radiological features. PMID- 21037344 TI - Changes in bone mineral density in the proximal femur after hip resurfacing and uncemented total hip replacement: A prospective randomised controlled study. AB - We undertook a randomised prospective follow-up study of changes in peri prosthetic bone mineral density (BMD) after hip resurfacing and compared them with the results after total hip replacement. A total of 59 patients were allocated to receive a hip resurfacing (n = 29) or an uncemented distally fixed total hip replacement (n = 30). The BMD was prospectively determined in four separate regions of interest of the femoral neck and in the calcar region corresponding to Gruen zone 7 for the hip resurfacing group and compared only to the calcar region in the total hip replacement group. Standardised measurements were performed pre-operatively and after three, six and 12 months. The groups were well matched in terms of gender distribution and mean age. The mean BMD in the calcar region increased after one year to 105.2% of baseline levels in the resurfaced group compared with a significant decrease to 82.1% in the total hip replacement group (p < 0.001) by 12 months. For the resurfaced group, there was a decrease in bone density in all four regions of the femoral neck at three months which did not reach statistical significance and was followed by recovery to baseline levels after 12 months. Hip resurfacing did indeed preserve BMD in the inferior femoral neck. In contrast, a decrease in the mean BMD in Gruen zone 7 followed uncemented distally fixed total hip replacement. Long term follow-up studies are necessary to see whether this benefit in preservation of BMD will be clinically relevant at future revision surgery. PMID- 21037345 TI - The histology around the cemented Muller straight stem: A post-mortem analysis of eight well-fixed stems with a mean follow-up of 12.1 years. AB - The aim of this study was to obtain detailed long-term data on the cement-bone interface in patients with cemented stems, implanted using the constrained fixation technique. A total of eight stems were removed together with adjacent bone during post-mortem examinations of patients with well-functioning prostheses. Specimens were cut at four defined levels, contact radiographs were obtained for each level, and slices were prepared for histological analysis. Clinical data, clinical radiographs, contact radiographs and histological samples were examined for signs of loosening and remodelling. The mean radiological follow-up was 9.6 years and all stems were well-fixed, based on clinical and radiological criteria. Contact radiographs revealed an incomplete cement mantle but a complete filling of the medullary canal for all implants. Various amounts of polyethylene particles were evident at the cement-bone interface of seven stems, with no accompanying inflammatory reaction. Cortical atrophy and the formation of an 'inner cortex' were confirmed in six of eight stems by contact radiographs and histology, but were only visible on two clinical radiographs. Our results confirm that a complete cement mantle is not essential for the survival of Muller straight stems into the mid term, and support our hypothesis that no benefit to long-term survival can be expected from modern cementing techniques. PMID- 21037346 TI - Transient subluxation of the femoral head after total hip replacement. AB - Dislocation is a common and well-studied complication after total hip replacement. However, subluxation, which we define as a clinically recognised episode of incomplete movement of the femoral head outside the acetabulum with spontaneous reduction, has not been studied previously. Out of a total of 2521 hip replacements performed over 12 years by one surgeon, 30 patients experienced subluxations which occurred in 31 arthroplasties. Data were collected prospectively with a minimum follow-up of two years. Subluxation occurred significantly more frequently after revision than after primary hip replacement, and resolved in 19 of 31 cases (61.3%). In six of the 31 hips (19.4%) the patient subsequently dislocated the affected hip, and in six hips (19.4%) intermittent subluxation continued. Four patients had a revision operation for instability, three for recurrent dislocation and one for recurrent subluxation. Clinical and radiological comparisons with a matched group of stable total hips showed no correlation with demographic or radiological parameters. Patients with subluxing hips reported significantly more concern that their hip would dislocate, more often changed their behaviour to prevent instability and had lower postoperative Harris hip scores than patients with stable replacements. PMID- 21037347 TI - The relationship between head-neck ratio and pseudotumour formation in metal-on metal resurfacing arthroplasty of the hip. AB - Pseudotumour is a rare but important complication of metal-on-metal hip resurfacing that occurs much more commonly in women than in men. We examined the relationship between head-neck ratio (HNR) and pseudotumour formation in 18 resurfaced hips (18 patients) revised for pseudotumour and 42 asymptomatic control resurfaced hips (42 patients). Patients in whom pseudotumour formation had occurred had higher pre-operative HNR than the control patients (mean 1.37 (sd 0.10) vs mean 1.30 (sd 0.08) p = 0.001). At operation the patients with pseudotumours had a greater reduction in the size of their femoral heads (p = 0.035) and subsequently had greater neck narrowing (mean 10.1% (sd 7.2) vs mean 3.8% (sd 3.2) p < 0.001). No female patient with a pre-operative HNR <= 1.3 developed a pseudotumour. We suggest that reducing the size of the femoral head, made possible by a high pre-operative HNR, increases the risk of impingement and edge loading, and may contribute to high wear and pseudotumour formation. As the incidence of pseudotumour is low in men, it appears safe to perform resurfacing in men. However, this study suggests that it is also reasonable to resurface in women with a pre-operative HNR <= 1.3. PMID- 21037348 TI - Rates of infection and revision in patients with renal disease undergoing total knee replacement in Scotland. AB - We undertook a study to determine the rates of infection and revision of total knee replacement (TKR) in patients with renal failure, renal transplantation and those undergoing renal dialysis in Scotland. The overall early and late infection rates were 1.10% and 2.19% compared with 1.06% and 2.01%, respectively, for non renal patients. Patients with renal failure had a significantly increased risk of early infection (1.6%, relative risk 1.52, p = 0.002) and late infection (4.47%, relative risk 2.22, p < 0.001). Those on renal dialysis had significantly increased risks of late infection (8.03%, relative risk 3.99, p < 0.001) and early revision (3.70%, relative risk 4.40, p < 0.001). Renal transplant patients had a significantly increased risk of late infection, regardless of whether renal transplantation occurred before TKR (9.09%, relative risk 4.517, p = 0.027) or at any time (8.0%, relative risk 3.975, p = 0.047). There were significantly increased rates of comorbidities associated with infection for all the renal patient groups. Logistic regression analysis showed that renal failure and renal dialysis were independent risk factors for early infection and revision, respectively. PMID- 21037349 TI - Total ankle replacement in association with hindfoot fusion: Outcome and complications. AB - We report the clinical and radiological outcome of total ankle replacement performed in conjunction with hindfoot fusion or in isolation. Between May 2003 and June 2008, 60 ankles were treated with total ankle replacement with either subtalar or triple fusion, and the results were compared with a control group of 288 ankles treated with total ankle replacement alone. After the mean follow-up of 39.5 months (12 to 73), the ankles with hindfoot fusion showed significant improvement in the mean visual analogue score for pain (p < 0.001), the mean American Orthopaedic Foot and Ankle Society score (p < 0.001), and the mean of a modified version of this score (p < 0.001). The mean visual analogue pain score (p = 0.304) and mean modified American Orthopaedic Foot and Ankle Society score (p = 0.119) were not significantly different between the hindfoot fusion and the control groups. However, the hindfoot fusion group had a significantly lower mean range of movement (p = 0.009) and a higher rate of posterior focal osteolysis (p = 0.04). Both groups showed various complications (p = 0.131) and failure occurring at a similar rate (p = 0.685). Subtalar or triple fusion is feasible and has minimal adverse effects on ankles treated with total ankle replacement up to midterm follow-up. The clinical outcome of total ankle replacement when combined with hindfoot fusion is comparable to that of ankle replacement alone. Thus, hindfoot fusion should be performed in conjunction with total ankle replacement when indicated. PMID- 21037350 TI - Anterior fusion alone compared with combined anterior and posterior fusion for the treatment of degenerative cervical kyphosis. AB - We evaluated the efficacy of anterior fusion alone compared with combined anterior and posterior fusion for the treatment of degenerative cervical kyphosis. Anterior fusion alone was undertaken in 15 patients (group A) and combined anterior and posterior fusion was carried out in a further 15 (group B). The degree and maintenance of the angle of correction, the incidence of graft subsidence, degeneration at adjacent levels and the rate of fusion were assessed radiologically and clinically and the rate of complications recorded. The mean angle of correction in group B was significantly higher than in group A (p = 0.0009). The mean visual analogue scale and the neck disability index in group B was better than in group A (p = 0.043, 0.0006). The mean operation time and the blood loss in B were greater than in group A (p < 0.0001, 0.037). Pseudarthrosis, subsidence of the cage, and problems related to the hardware were more prevalent in group A than in group B (p = 0.034, 0.025, 0.013). Although the combined procedure resulted in a longer operating time and greater blood loss than with anterior fusion alone, our results suggest that for the treatment of degenerative cervical kyphosis the combined approach leads to better maintenance of sagittal alignment, a higher rate of fusion, a lower incidence of complications and a better clinical outcome. PMID- 21037351 TI - Osteoporotic vertebral compression fractures with an intravertebral cleft treated by percutaneous balloon kyphoplasty. AB - We investigated the safety and efficacy of treating osteoporotic vertebral compression fractures with an intravertebral cleft by balloon kyphoplasty. Our study included 27 patients who were treated in this way. The mean follow-up was 38.2 months (24 to 54). The anterior and middle heights of the vertebral body and the kyphotic angle were measured on standing lateral radiographs before surgery, one day after surgery, and at final follow-up. Leakage of cement was determined by CT scans. A visual analogue scale and the Oswestry disability index were chosen to evaluate pain and functional activity. Statistically significant improvements were found between the pre- and post-operative assessments (p < 0.05) but not between the post-operative and final follow-up assessments (p > 0.05). Asymptomatic leakage of cement into the paravertebral vein occurred in one patient, as did leakage into the intervertebral disc in another patient. We suggest that balloon kyphoplasty is a safe and effective minimally invasive procedure for the treatment of osteoporotic vertebral compression fractures with an intravertebral cleft. PMID- 21037352 TI - Initial shortening and internal fixation in combination with a Sauve-Kapandji procedure for severely comminuted fractures of the distal radius in elderly patients. AB - We identified 11 women with a mean age of 74 years (65 to 81) who sustained comminuted distal radial and ulnar fractures and were treated by volar plating and slight shortening of the radius combined with a primary Sauve-Kapandji procedure. At a mean of 46 months (16 to 58), union of distal radial fractures and arthrodesis of the distal radioulnar joint was seen in all patients. The mean shortening of the radius was 12 mm (5 to 18) compared to the contralateral side. Flexion and extension of the wrist was a mean of 54 degrees and 50 degrees , respectively, and the mean pronation and supination of the forearm was 82 degrees and 86 degrees , respectively. The final mean disabilities of the arm, shoulder and hand score was 26 points. According to the Green and O'Brien rating system, eight patients had an excellent, two a good and one a fair result. The good clinical and radiological results, and the minor complications without the need for further operations related to late ulnar-sided wrist pain, justify this procedure in the elderly patient. PMID- 21037353 TI - Early or delayed limb lengthening after acute shortening in the treatment of traumatic below-knee amputations and Gustilo and Anderson type IIIC open tibial fractures: The results of a case series. AB - We present the results of 13 patients who suffered severe injuries to the lower leg. Five sustained a traumatic amputation and eight a Gustilo-Anderson type IIIC open fracture. All were treated with debridement, acute shortening and stabilisation of the fracture and vascular reconstruction. Further treatment involved restoration of tibial length by callus distraction through the distal or proximal metaphysis, which was commenced soon after the soft tissues had healed (n = 8) or delayed until union of the fracture (n = 5). All patients were male with a mean age of 28.4 years (17 to 44), and had sustained injury to the leg only. Chen grade II functional status was achieved in all patients. Although the number of patients treated with each strategy was limited, there was no obvious disadvantage in the early lengthening programme, which was completed more quickly. PMID- 21037354 TI - The outcome of pelvic reconstruction with non-vascularised fibular grafts after resection of bone tumours. AB - We retrospectively evaluated 18 patients with a mean age of 37.3 years (14 to 72) who had undergone pelvic reconstruction stabilised with a non-vascularised fibular graft after resection of a primary bone tumour. The mean follow-up was 10.14 years (2.4 to 15.7). The mean Musculoskeletal Tumor Society Score was 76.5% (50% to 100%). Primary union was achieved in the majority of reconstructions within a mean of 22.9 weeks (7 to 60.6). The three patients with delayed or nonunion all received additional therapy (chemotherapy/radiation) (p = 0.0162). The complication rate was comparable to that of other techniques described in the literature. Non-vascularised fibular transfer to the pelvis is a simpler, cheaper and quicker procedure than other currently described techniques. It is a biological reconstruction with good results and a relatively low donor site complication rate. However, adjuvant therapy can negatively affect the outcome of such grafts. PMID- 21037355 TI - Free vascularised fibular graft for reconstruction of defects of the lower limb after resection of tumour. AB - We describe a retrospective review of 38 cases of reconstruction following resection of the metaphysiodiaphysis of the lower limb for malignant bone tumours using free vascularised fibular grafts. The mean follow-up was for 7.6 years (0.4 to 18.4). The mean Musculoskeletal Tumor Society score was 27.2 (20 to 30). The score was significantly higher when the graft was carried out in a one-stage procedure after resection of the tumour rather than in two stages. Bony union was achieved in 89% of the cases. The overall mean time to union was 1.7 years (0.2 to 10.3). Free vascularised fibular transfer is a major operation with frequent, but preventable, complications which allows salvage of the limb with satisfactory functional results. PMID- 21037356 TI - The prognosis for patients with osteosarcoma who have received prior manipulative therapy. AB - We evaluated the long-term outcome of patients with an osteosarcoma who had undergone prior manipulative therapy, a popular treatment in Asia, and investigated its effects on several prognostic factors. Of the 134 patients in this study, 70 (52%) patients had manipulative therapy and 64 (48%) did not. The age, location, and size of tumour were not significantly different between the groups. The five-year overall survival rate was 58% and 92% in the groups with and without manipulative therapy (p = 0.004). Both the primary and overall rates of lung metastasis were significantly higher in the manipulative group (primary: 32% vs 3%, p = 0.003; overall lung metastasis rate: 51.4% vs 18.8%, p < 0.001). Patients who had manipulative therapy had higher local recurrence rates in comparison to patients who did not (29% vs 6%, p = 0.011). The prognosis for patients with osteosarcoma who had manipulative therapy was significantly poorer than those who had not. Manipulative therapy was an independent factor for survival. This form of therapy may serve as a mechanism to accelerate the spread of tumour cells, and therefore must be avoided in order to improve the outcome for patients with an osteosarcoma. PMID- 21037357 TI - The association between clubfoot and developmental dysplasia of the hip. AB - The association between idiopathic congenital talipes equinovarus (CTEV) and developmental dysplasia of the hip is uncertain. We present an observational cohort study spanning 6.5 years of selective ultrasound screening of hips in clubfoot. From 119 babies with CTEV there were nine cases of hip dysplasia, in seven individuals. This suggests that 1 in 17 babies with CTEV will have underlying hip dysplasia. This study supports selective ultrasound screening of hips in infants with CTEV. PMID- 21037358 TI - A modified Gaines procedure for spondyloptosis. AB - We present an illustrative case using a modification of the Gaines procedure for the surgical management of patients with spondyloptosis. It involves excision of the inferior half of the body of L5 anteriorly combined with posterior reduction and fusion. PMID- 21037359 TI - Metastatic adenocarcinoma after intramedullary fixation of a tibial fracture: A report of two cases. AB - We present two cases of metastatic lung cancer which occurred at the site of a previously united tibial fracture. Both patients were treated with a locked intramedullary nail. The patients presented with metastases at the site of their initial fracture approximately 16 and 13 months after injury respectively. We discuss this unusual presentation and review the relevant literature. We are unaware of any previous reports of a metastatic tumour occurring at the site of an orthopaedic implant used to stabilise a non-pathological fracture. These cases demonstrate the similar clinical presentation of infection and malignancy: a diagnosis which should always be considered in such patients. PMID- 21037360 TI - Delayed-type hypersensitivity reaction to piperacillin/tazobactam in a patient with an infected total knee replacement. AB - We describe a patient who developed a delayed-type hypersensitivity reaction to piperacillin/tazobactam in the cement beads and a spacer inserted at revision of total replacement of the left knee. We believe that this is the first report of such a problem. Our experience suggests that a delayed-type hypersensitivity reaction should be considered when a mixture of antibiotics such as piperacillin/tazobactam has been used in the bone cement, beads or spacer and the patient develops delayed symptoms of pain or painful paraesthesiae, fever, rash and abnormal laboratory findings in the absence of infection. The diagnosis was made when identical symptoms were induced by a provocation challenge test. PMID- 21037361 TI - Intercarpal ligamentous laxity in cadaveric wrists. AB - The purposes of this study were to define the range of laxity of the interosseous ligaments in cadaveric wrists and to determine whether this correlated with age, the morphology of the lunate, the scapholunate (SL) gap or the SL angle. We evaluated 83 fresh-frozen cadaveric wrists and recorded the SL gap and SL angle. Standard arthroscopy of the wrist was then performed and the grades of laxity of the scapholunate interosseous ligament (SLIL) and the lunotriquetral interosseous ligament (LTIL) and the morphology of the lunate were recorded. Arthroscopic evaluation of the SLIL revealed four (5%) grade I specimens, 28 (34%) grade II, 40 (48%) grade III and 11 (13%) grade IV. Evaluation of the LTIL showed 17 (20%) grade I specimens, 40 (48%) grade II, 28 (30%) grade III and one (1%) grade IV. On both bivariate and multivariate analysis, the grade of both the SLIL and LTIL increased with age, but decreased with female gender. The grades of SLIL or LTIL did not correlate with the morphology of the lunate, the SL gap or the SL angle. The physiological range of laxity at the SL and lunotriquetral joints is wider than originally described. The intercarpal ligaments demonstrate an age-related progression of laxity of the SL and lunotriquetral joints. There is no correlation between the grades of laxity of the SLIL or LTIL and the morphology of the lunate, the SL gap or the SL grade. Based on our results, we believe that the Geissler classification has a role in describing intercarpal laxity, but if used alone it cannot adequately diagnose pathological instability. We suggest a modified classification with a mechanism that may distinguish physiological laxity from pathological instability. PMID- 21037362 TI - Enhancement of bone formation in an experimental bony defect using ferumoxide labelled mesenchymal stromal cells and a magnetic targeting system. AB - We used interconnected porous calcium hydroxyapatite ceramic to bridge a rabbit ulnar defect. Two weeks after inducing the defect we percutaneously injected rabbit bone marrow-derived mesenchymal stromal cells labelled with ferumoxide. The contribution of an external magnetic targeting system to attract these cells into the ceramic and their effect on subsequent bone formation were evaluated. This technique significantly facilitated the infiltration of ferumoxide-labelled cells into ceramic and significantly contributed to the enhancement of bone formation even in the chronic phase. As such, it is potentially of clinical use to treat fractures, bone defects, delayed union and nonunion. PMID- 21037363 TI - Ablation of bone cells by electroporation. AB - Short intense electrical pulses transiently increase the permeability of the cell membrane, an effect known as electroporation. This can be combined with antiblastic drugs for ablation of tumours of the skin and subcutaneous tissue. The aim of this study was to test the efficacy of electroporation when applied to bone and to understand whether the presence of mineralised trabeculae would affect the capability of the electric field to porate the membrane of bone cells. Different levels of electrical field were applied to the femoral bone of rabbits. The field distribution and modelling were simulated by computer. Specimens of bone from treated and control rabbits were obtained for histology, histomorphometry and biomechanical testing. After seven days, the area of ablation had increased in line with the number of pulses and/or with the amplitude of the electrical field applied. The osteogenic activity in the ablated area had recovered by 30 days. Biomechanical testing showed structural integrity of the bone at both times. Electroporation using the appropriate combination of voltage and pulses induced ablation of bone cells without affecting the recovery of osteogenic activity. It can be an effective treatment in bone and when used in combination with drugs, an option for the treatment of metastases. PMID- 21037364 TI - Finding the way through the respiratory symptoms jungle: PAL can help: respiratory Infections Assembly contribution to the celebration of 20 years of the ERS. PMID- 21037365 TI - Prudent use of antibiotics: acute exacerbation of COPD as an example. PMID- 21037366 TI - Pulmonary hypertension and pulmonary arterial hypertension: a clarification is needed. PMID- 21037367 TI - Cystic fibrosis: to ion transport and beyond. PMID- 21037368 TI - Update on the European respiratory review. PMID- 21037369 TI - Pathophysiology of bronchial smooth muscle remodelling in asthma. AB - Whereas the role of bronchial smooth muscle remains controversial in healthy subjects its role is well established in asthmatics. Bronchial smooth muscle contraction induces airway narrowing. The smooth muscle also contributes to bronchial inflammation by secreting a range of inflammatory mediators, recruiting and activating inflammatory cells, such as mast cells or T-lymphocytes. In addition, bronchial smooth muscle mass is significantly increased in asthma. Such an increase has been related to a deposition of extracellular matrix proteins, and an increase in both cell size and number. However, the mechanisms of this smooth muscle remodelling are complex and not completely understood. The article will review recent data regarding the pathophysiology of bronchial smooth muscle remodelling in asthma. PMID- 21037370 TI - The relationship between sarcoidosis and lymphoma. PMID- 21037371 TI - Imatinib inhibits bone marrow-derived c-kit+ cell mobilisation in hypoxic pulmonary hypertension. PMID- 21037372 TI - Pulmonary hypertension in a patient with Abt-Letterer-Siwe syndrome and episodes of HAPE. PMID- 21037373 TI - Pulmonary alveolar proteinosis associated with Epstein-Barr virus infection. PMID- 21037374 TI - The fear of volcano: short-term health effects after Mount Etna's eruption in 2002. PMID- 21037375 TI - From Paul's predictions in the World Cup to the publication bias in genetic studies on complex traits. PMID- 21037376 TI - Do the current house dust mite-driven models really mimic allergic asthma? PMID- 21037377 TI - Risk factors of community-acquired pneumonia in children. PMID- 21037378 TI - Vital capacity in lying position: important in Duchenne patients. PMID- 21037380 TI - [Molecular mechanisms of vascular calcification]. AB - Vascular calcification is an active process similar to physiological mineralization of skeletal tissues. Not only apoptosis, cellular senescence, and osteochondrogenic transdifferentiation of vascular smooth muscle cells, but also degeneration and degradation of elastin may play an important role in its developmental process. Vascular calcification is induced by the interactions among various regulatory molecules for calcification in the progression of atherosclerosis or arteriosclerosis. PMID- 21037379 TI - Regulatory roles of tankyrase 1 at telomeres and in DNA repair: suppression of T SCE and stabilization of DNA-PKcs. AB - Intrigued by the dynamics of the seemingly contradictory yet integrated cellular responses to the requisites of preserving telomere integrity while also efficiently repairing damaged DNA, we investigated roles of the telomere associated poly(adenosine diphosphate [ADP]-ribose) polymerase (PARP) tankyrase 1 in both telomere function and the DNA damage response following exposure to ionizing radiation. Tankyrase 1 siRNA knockdown in human cells significantly elevated recombination specifically within telomeres, a phenotype with the potential of accelerating cellular senescence. Additionally, depletion of tankyrase 1 resulted in concomitant and rapid reduction of the nonhomologous end joining protein DNA-PKcs, while Ku86 and ATM protein levels remained unchanged; DNA-PKcs mRNA levels were also unaffected. We found that the requirement of tankyrase 1 for DNA-PKcs protein stability reflects the necessity of its PARP enzymatic activity. We also demonstrated that depletion of tankyrase 1 resulted in proteasome-mediated DNA-PKcs degradation, explaining the associated defective damage response observed; i.e., increased sensitivity to ionizing radiation induced cell killing, mutagenesis, chromosome aberration and telomere fusion. We provide the first evidence for regulation of DNA-PKcs by tankyrase 1 PARP activity and taken together, identify roles of tankyrase 1 with implications not only for DNA repair and telomere biology, but also for cancer and aging. PMID- 21037381 TI - [Arterial calcification and risk of cardiovascular events in diabetes mellitus]. AB - The cohort studies reported the subclinical vascular calcification including atheroslerosis starts during prediabetic state characterized by impaired fasting glucose. In the cardiovascular systems of diabetes mellitus there is an original mechanism to induce the medial calcification other than intimal calcification observed in the classical atherosclerosis. This is characterized as the ectipic osteogenesis induced by paracrine signals from inflammatory lesions in the adventitia. On the other hand, many internal systems have been discovered to inhibit vascular calcification. PMID- 21037382 TI - [Aortic calcification and calcium]. AB - Vascular calcification occurred as the last step of arteriosclerosis makes a lot of disturbances on vascular function and should influence on the worsening of the vascular diseases. Calcium is the main component of the vascular calcification like bone, and one of causes of vascular calcification should be the hypoparathyroidism due to the lowering of serum calcium and the following calcium paradox seen in osteoporosis. Bone calcium must shift to the arterial wall from the bone. Medial calcification could be formed under the molecular regulatory control like in bone by differentiated osteoblast or chondroblast from pericyte like cell origin smooth muscle cell. Many substances such as osteopontine, osteocalcine, bone morphogenetic protein 2, matrix Gla protein and alkaliphosphatase were found in calcified area. In intimal calcification, degenerated elastin and macrophage originated calcification were found. In the process of degeneration of elastin polypentapeptide structure in elastin can be easily conbined to Ca(2+), elastin-Ca(2+) complex is neutralized by PO4(2-) and calcium phosphate is accumulated in degenerated elastin. PMID- 21037383 TI - [Differentiation of smooth muscle cells and vascular calcification]. AB - Vascular calcification is an important problem among diabetic and chronic kidney disease (CKD) patients, and contributes to the increased risk of cardiovascular events. Vascular calcification is an active and tightly regulated by a process similar to bone formation. Increasing evidence demonstrates that vascular smooth muscle cells (SMC) undergo osteogenic differentiation through the mechanisms involving key osteogenic transcription factors such as Msx2 and Runx2. We reported that Notch signaling induces osteogenic differentiation and mineralization of SMC. PMID- 21037384 TI - [Molecular mechanism of vascular aging: impact of vascular smooth muscle cell calcification via cellular senescence]. AB - Atherosclerotic vascular damage associated with aging manifest several features, namely atherosis, sclerosis and calcified change, finally leading to cardiovascular (CV) events. Accumulating recent reports show the importance of cellular senescence in atherosclerogenesis; however, few reports have addressed whether cellular senescence is associated with smooth muscle cells (SMC) calcification. Recent report has demonstrated the association of senescent phenotypic change with osteoblastic trans-differentiation in VSMC. In addition, our new findings show that the possibility of dynamic action of sirtuin, which is well known as a longevity gene, as a negative regulator in the cellular senescence-related vascular calcification. Strategies how to manage senescent phenotypic change in VSMC may provide novel therapeutic opportunities for the prevention of vascular calcification. PMID- 21037385 TI - [Clinical relevance of coronary artery calcification, as a risk factor for plaque rupture: viewpoint from pathology]. AB - Atherosclerotic calcification is usually associated with long - standing atherosclerosis. There is accumulating evidence that both apoptosis and osteogenic differentiation of vascular smooth muscle cells contribute to arterial calcification. Our long experience of cardiovascular pathology over many years revealed that ruptured plaques were closely associated with areas of small calcium deposits. To further clarify the association between patterns of coronary arterial calcification and morphology of atherosclerotic plaques, we studied preinterventional intravascular ultrasound (IVUS) images at the site of culprit lesions in patients with acute myocardial infarction (AMI), unstable angina pectoris, or stable angina pectoris. Our study demonstrated that small calcium deposits within an arc of < 90 degrees significantly more frequent in the culprit lesion segments of AMI patients. Thus, our study revealed that the spotty calcification pattern of the coronary culprit segment is associated with unstable plaques in AMI patients. PMID- 21037386 TI - [Animal models for vascular calcification]. AB - Analysis of animal models is indispensable to elucidate the molecular mechanism in vascular calcification (VC) as well as to develop new therapies for VC. Various gene-modified mice that show VC have been reported, and considerable progress has been made through the analyses of these animals. Mice of which bone calcification regulatory factors were modified are the representative animal models for VC, indicating that these factors certainly regulate VC as well as bone-calcification. Inducible VC in wild-type animals is also an important research tool for developing preventive and therapeutic approach for VC. PMID- 21037387 TI - [Vascular calcification: mutual interaction between bone and blood vessel]. AB - Vascular calcification often associates with bone-cartilage formation. Artery sclerotic lesions accompany the expression of bone matrix proteins such as osteopontin, osteocalcin and matrix Gla protein and transcription factors including Runx2, osterix and Sox9. These lesions also express BMP, osteoprotegerin (OPG) and RANKL, which are important factor regulating bone formation and resorption. MGP-deficient mice exhibited extensive artery calcification as well as OPG-deficient mice. Thus, bone metabolism-related factors actively participate in vascular calcification, which had been interpreted as a passive calcification due to dystrophic calcification. PMID- 21037388 TI - [Regulation of calcium homeostasis by alpha-Klotho and FGF23]. AB - alpha-klotho was first identified as an aging gene and was later shown to be a regulator of phosphate and vitamin D metabolism, and a regulator of calcium homeostasis. alpha-kl is expressed in the parathyroid glands, choroid plexus and kidney, where alpha-Kl binds to Na(+), K(+)-ATPase. Low extracellular calcium concentrations trigger rapid translocation of alpha-Kl/Na(+), K(+)-ATPase complex from endosomal organella to the plasma membrane. This may lead to the PTH secretion in parathyroid glands and transepithelial transport of calcium in kidney and choroid plexus. FGF members are divided into 7 subfamilies. Among them, metabolic FGF subfamily, consisted of FGF19, FGF21 and FGF23, is characterized as their hormonal effects. Indeed,FGF23 is secreted from bone and is circulated to kidney where FGF23 tranceduces signals that suppress vitamin D synthesis and phosphate reabsorption fgf23 deficient phenotypes were reminiscent of those of mice lacking the alpha-kl gene, which led us the discovery of molecular interaction and functional crosstalk of alpha-Kl and FGF23. FGF23 binds to alpha-Kl and converts the canonical FGF receptor 1c to specific for FGF23 and we proposed a comprehensive regulatory scheme of mineral homeostasis involving the mutually regulated positive/negative feedback actions of alpha-Kl, FGF23, PTH and 1,25 (OH) (2)D. alpha-Kl and FGF23 are novel regulators that integrate the fields of mineral homeostasis, life-style related diseases and aging. This newly established field is widely and remarkably progressing and expected to be fruitful. PMID- 21037389 TI - [Quantitative analyses of coronary artery calcification by using clinical cardiovascular imaging]. AB - Coronary artery calcification (CAC) is a common phenomenon, but the clinical relevance of this phenomenon, for instance as a risk factor for plaque vulnerability, is still controversial. After the introduction of electron-beam computed tomography (EBCT), multislice computed tomography (MSCT), and intravascular ultrasound (IVUS), which enables quantitative assessment of CAC, the number of clinical studies concerning CAC has rapidly increased. In this review, we focus on the quantitative analyses of CAC by using clinical cardiovascular imaging and the clinical significance of CAC. PMID- 21037390 TI - [Vascular calcification and serum markers]. AB - Recently, the multidetector computed tomography (CT) is available to measure quantitative analysis of coronary vascular calcification (coronary calcification score [CACscore]). Vascular calcification is recognized not only in the end stage of atherosclerosis but also in the early stage of atherosclerosis. Recent data suggested that bone- related factors are closely related to coronary artery disease and vascular calcification. In this review, we discuss for regulatory mechanisms of vascular calcification. PMID- 21037391 TI - [Treatment of hyperphosphatemia with sevelamer hydrochloride in CKD patients: effects on vascular calcification and mortality]. AB - Hyperphosphatemia with decline of kidney function has been associated with increased mortality and the development of cardiovascular calcification. Sevelamer hydrochloride was the first synthetic non-aluminum and calcium-free phosphate binder commercially available. Sevelamer is as effective as calcium based binders in lowering phosphate, but without the tendency to promote hypercalcemia. There is some evidence that sevelamer hydrochloride can attenuate cardiovascular calcification compared with calcium-based phosphate binders. Furthermore, sevelamer has several additional pleiotropic effects that may extend its basic indication, some of which may help attenuate vascular calcification. These includes effects on lipid metabolism, and systemic inflammatory response. PMID- 21037392 TI - [Positioning of bisphosphonates for vascular calcification]. AB - High-frequency severe atherosclerosis/calcinosis is observed in aging, diabetes mellitus and chronic dialysis, which leads to cardiovascular events. Recent progress in basic research has revealed certain similarities between processes of bone calcification and calcifications of vascular tissues which contribute to several cardiovascular diseases. These findings extend the link between bone remodeling and vascular calcification, opening perspectives toward novel therapeutic strategies, however, current evidence is not conclusive and further research is necessary to confirm these actions in the clinical setting. PMID- 21037393 TI - [Can statins slow the process of vascular calcification? Possibilities of lipid lowering therapy and pleiotropic effect by statin treatment]. AB - Widespread vascular calcification is a ubiquitous feature of aging and is prevalent in association with several atherosclerotic diseases. HMG-CoA reductase inhibitors (statins) have been shown to exert protective potentials against cardiovascular diseases via the lipid-lowering and/or their independent pleiotropic effects. Recently, statins have been extensively investigated as potential therapeutic agents capable of slowing the progression of vascular and valvular calcification. However, accumulating recent evidences show that there are conflicting data regarding beneficial effects of statins on progression of cardiovascular calcification. In particular, regarding coronary artery calcification, which is shown to can predict coronary events, it still remains unclear and controversial. To address the positioning of statins as therapeutic strategy for cardiovascular calcification more clearly, clinical studies by intensive therapy using statins throughout long-term period is indispensable in near future. In addition, future investigation about the detailed molecular mechanisms how statins affect calcification process in vascular cells is necessary. PMID- 21037394 TI - [Treatment of coronary artery calcification]. AB - Coronary calcification is found in intima as atherosclerotic lesions with cholesterol clefts and thrombi as well as in media (so called Moncheberg type). In coronary intervention, as coronary calcification disturbs dilatation, rotational atherectomy is necessary for calcified lesions. Coronary artery bypass is also difficult to suture at the calcified sites. Thus, surgeons have to find non-calcified area. Severe coronary artery disease complicates coronary calcification. Thus, it is important to improve success rate in coronary intervention as well as bypass surgery. PMID- 21037395 TI - Presidential address: The origins and evolution of the Mayo Clinic from 1864 to 1939: a Minnesota family practice becomes an international "medical Mecca". AB - This paper describes the origins and international impact of the Mayo Clinic through 1939. Multispecialty group practice was invented at the clinic a century ago. A visiting Canadian physician wrote in 1906, "Specialization and cooperation, with the best that can be had in each department, is here the motto. Cannot these principles be tried elsewhere?" Mayo Clinic's major (and underappreciated) role in the development of rigorous postgraduate (specialty) training is addressed. Unlike traditional academic medical centers that emphasize research, Mayo's main mission has always been patient care. This activity has been undertaken in an environment enriched by extensive programs devoted to specialty training and clinical research. PMID- 21037396 TI - Stomach and psyche: eating, digestion, and mental illness in the medicine of Philippe Pinel. AB - In premodern medicine eating and digestion were often linked to psychic disturbance, yet modern "mental medicine" is generally thought to have abandoned this ancient assumption. The work of Philippe Pinel, founder of French psychiatry and advocate of the "moral treatment," has been regarded as indicative of this process, but in fact eating and digestion remained important to Pinel's understanding of the neuroses, the variety of disease within which he classified both mild and severe forms of mental illness. Pinel's theoretical and clinical innovations in regard to maladies that blended mental and gastric distress left an important legacy both to asylum-based psychiatry and to medical generalists working in private settings in the nineteenth century. Today his work remains valuable for its insistence on the inextricability of the "physical and the moral" in psycho-gastric illness. PMID- 21037397 TI - "Living versus dead": The Pasteurian paradigm and imperial vaccine research. AB - The Semple antirabies vaccine was developed by David Semple in India in 1911. Semple introduced a peculiarly British approach within the Pasteurian tradition by using carbolized dead virus. This article studies this unique phase of vaccine research between 1910 and 1935 to show that in the debates and laboratory experiments around the potency and safety of vaccines, categories like "living" and "dead" were often used as ideological and moral denominations. These abstract and ideological debates were crucial in defining the final configuration of the Semple vaccine, the most popular antirabies vaccine used globally, and also in shaping international vaccination policies. PMID- 21037398 TI - Beyond a shadow of a doubt? Experts, lay knowledge, and the role of radiography in the diagnosis of silicosis in Britain, c. 1919-1945. AB - The history of silicosis provides an important chapter in the history of occupational and environmental health. Recent historical scholarship has drawn attention to the importance of patient attitudes, popular protests, and compensation claims in the formation of a "lay epidemiology" of such a disease, frequently challenging the scientific orthodoxies devised by large corporations and medical specialists. Surprisingly little research has been undertaken on the United Kingdom, which provided much of the early expertise and medical research in respiratory diseases among industrial workers. This article examines the introduction of a particular technique, x-radiography, and its use by radiologists and others in debates on the causes and consequences of silica inhalation by the laboring population in Britain during the early decades of the twentieth century. In contrast to some recent interpretations, and also to the narrative of progress that practitioner historians have developed since the 1940s, this article suggests that the use of this technology was contested for much of this period and the interpretation of X-rays remained disputed and uncertain into the 1950s. The article also questions recent accounts of lay epidemiology as an adequate model for understanding the progress of such innovations in medical history. PMID- 21037403 TI - Embryology and disorders of sexual development. AB - Recent consensus is that individuals with atypical male or female phenotype are to be considered to have a "disorder of sexual development." The goal is to eliminate previous terminology that included the terms intersex, hermaphrodite, or pseudohermaphrodite. However, the teaching of embryology, and particularly teaching about the development of the reproductive system, still has not made the change to the new terminology. If those who teach embryology to health-care professionals remain unaware of the controversies associated with the old terminology and continue to use it, they will perpetuate a nomenclature that can be destructive. Any terminology must be used carefully to avoid dehumanizing the individual to a disease or a medical state. We should be able to state clearly the variations in morphology that exist, attend to the immediate health of the individual, and avoid any attempt to stigmatize gender-atypical individuals. PMID- 21037404 TI - From insulin and insulin-like activity to the insulin superfamily of growth promoting peptides: a 20th-century odyssey. AB - In 1941, Gellhorn reported that administration of human blood to hypophysectomized/adrenodemedullated rats caused a fall in blood sugar. This was among the early demonstrations that human blood possesses glucose-lowering or insulin-like activity (ILA). Gellhorn assumed he had detected only insulin. During the 1960s, however, it became evident that plasma ILA contained at least two components: one, suppressible ILA (SILA), was inactivated by anti-insulin antibody and was therefore considered to be indistinguishable from pancreatic insulin; the other, nonsuppressible ILA (NSILA), was unaffected by anti-insulin antibody. Subsequent work resolved NSILA into insulin-like growth factors I and II (IGF-I and IGF-II), two 7.5 kilodalton peptides with potent mitogenic properties; established their identity with the somatomedins; and investigated both their therapeutic potential and role in the pathogenesis of neoplastic and other human diseases. Insulin and the IGFs exhibit striking homologies in amino acid composition and some degree of overlap in their signaling pathways and actions. Moreover, insulin-like proteins have been identified not only in all vertebrate classes but also in molluscs, insects, and worms. These observations are the basis for the hypothesis that the genes encoding vertebrate insulins and IGFs and invertebrate insulin-like molecules evolved from a common ancestral gene, and for the concept of an insulin superfamily of growth-promoting peptides. PMID- 21037405 TI - Semiotics and the placebo effect. AB - Despite substantial progress in elucidating its neurobiological mechanisms, theoretical understanding of the placebo effect is poorly developed. Application of the semiotic theory developed by the American philosopher Charles Peirce offers a promising account of placebo effects as involving the apprehension and response to signs. The semiotic approach dovetails with the various psychological mechanisms invoked to account for placebo effects, such as conditioning and expectation, and bridges the biological and cultural dimensions of this fascinating phenomenon. PMID- 21037406 TI - Ethical issues in translational research. AB - The translation of biomedical research knowledge to effective clinical treatment is essential to the public good and is a main focus of current health policy. However, recent health policy initiatives intended to foster the translation of basic science into clinical and public health advances must also consider the unique bioethical issues raised by the increased focus on translational research. Safety of study participants and balancing of risk due to treatment with the potential benefits of the research is tantamount. This article synthesizes theory from clinical ethics, operational design, and philosophy to provide a bioethical framework for the health policy of translational research. PMID- 21037407 TI - Financial conflicts of interest and the ethical obligations of medical school faculty and the profession. AB - Despite their potential benefits, relationships linking medical school faculty and the pharmaceutical and device industries may also challenge the professional value of primacy of patient welfare, a point highlighted in a recent Institute of Medicine report. Academic medical centers and professors have the added professional obligation to ensure the unbiased, evidence-based education of future doctors. This essay argues that faculty financial conflicts of interest may threaten this obligation by propagating the bias introduced by these relationships to students. This could occur directly through the process of curriculum determination and delivery, and also indirectly through the "hidden curriculum," which deserves particular attention, as its lessons may conflict with those professed in the formal curriculum. The essay concludes with guiding principles to consider when developing a conflict of interest policy at academic medical centers. PMID- 21037408 TI - The philosophical "mind-body problem" and its relevance for the relationship between psychiatry and the neurosciences. AB - Parallel to psychiatry, "philosophy of mind" investigates the relationship between mind (mental domain) and body/brain (physical domain). Unlike older forms of philosophy of mind, contemporary analytical philosophy is not exclusively based on introspection and conceptual analysis, but also draws upon the empirical methods and findings of the sciences. This article outlines the conceptual framework of the "mind-body problem" as formulated in contemporary analytical philosophy and argues that this philosophical debate has potentially far-reaching implications for psychiatry as a clinical-scientific discipline, especially for its own autonomy and its relationship to neurology/neuroscience. This point is illustrated by a conceptual analysis of the five principles formulated in Kandel's 1998 article "A New Intellectual Framework for Psychiatry." Kandel's position in the philosophical mind-body debate is ambiguous, ranging from reductive physicalism (psychophysical identity theory) to non-reductive physicalism (in which the mental "supervenes" on the physical) to epiphenomenalist dualism or even emergent dualism. We illustrate how these diverging interpretations result in radically different views on the identity of psychiatry and its relationship with the rapidly expanding domain of neurology/neuroscience. PMID- 21037409 TI - Oswald T. Avery: Nbel Lureate or noble luminary? AB - In 1944, Oswald T. Avery and his associates reported that DNA was the chemical substance acting to genetically transform species of pneumococcal bacteria. Many believe that Avery warranted the Nobel Prize for this discovery. Avery's work is evaluated here in light of the Nobel archives, which have made public the names of those who nominated Avery for this award and the basis for each of the nominations. Based on the archival record, it seems that key biological chemists "were not convinced by Avery's claim that DNA was the basis of heredity, that no geneticists nominated Avery, and that most nominators overlooked Avery's work on DNA in favor of his work on the immunogenicity of the bacterial capsule. Three critical scientific factors that adversely affected acceptance of Avery's work were the possibility of protein contamination of DNA, the role of DNA transformation limited to a few species of bacteria, and the possibility that DNA was acting as a chemical mutagen on the true genetic substance. In addition, Avery's own idiosyncratic behavior may have unintentionally confounded acceptance of his groundbreaking discovery. PMID- 21037410 TI - Reflections on basic science. AB - After almost 50 years in science, I believe that there is an acceptable, often advantageous chasm between open-ended basic research-free exploration without a practical destination and in which the original ideas may fade into new concepts and translational research or clinical research. My basic research on crystalline (proteins conferring the optical properties of the eye lens) led me down paths I never would have considered if I were conducting translational research. My investigations ranged from jellyfish to mice and resulted in the gene-sharing concept, which showed that the same protein can have distinct molecular functions depending upon its expression pattern and, conversely, that different proteins can serve similar functional roles. This essay portrays basic science as a creative narrative, comparable to literary and artistic endeavors. Preserving the autonomy of open-ended basic research and recognizing its artistic, narrative qualities will accelerate the development of innovative concepts, create a rich resource of information feeding translational research, and have a positive impact by attracting creative individuals to science. PMID- 21037411 TI - Chaos, fractals, and our concept of disease. AB - The classic anatomo-clinic paradigm based on clinical syndromes is fraught with problems. Nevertheless, for multiple reasons, clinicians are reluctant to embrace a more pathophysiological approach, even though this is the prevalent paradigm under "which basic sciences work. In recent decades, nonlinear dynamics ("chaos theory") and fractal geometry have provided powerful new tools to analyze physiological systems. However, these tools are embedded in the pathophysiological perspective and are not easily translated to our classic syndromes. This article comments on the problems raised by the conventional anatomo-clinic paradigm and reviews three areas in which the influence of nonlinear dynamics and fractal geometry can be especially prominent: disease as a loss of complexity, the idea of homeostasis, and fractals in pathology. PMID- 21037412 TI - Psychoanalysis and detective fiction: a tale of Freud and criminal storytelling. AB - Much has been written about Freud's influence on popular culture. This article addresses the influence of literature on Freud's psychoanalytical theory, specifically the role that modern detective fiction played in shaping Freudian theory. Edgar Allan Poe gave Freud the literary precedent; Sir Arthur Conan Doyle's creation Sherlock Holmes gave him the analytical model. In turn, the world of crime story-telling embedded Freudian theories in subsequent forms, spinning the tales of crime into a journey into the human mind. As these tales were popularized on the silver screen in the early 20th century, psychoanalytical ideas moved from the lecture halls into the cultural mainstream. PMID- 21037413 TI - The many worlds of Ida. AB - The early primate fossil that forms the type specimen of Darwinius masillae, known informally as Ida, was first announced in a spectacular media blitz in May 2009, including a publication in the journal PLoS ONE, a public unveiling at the American Museum of Natural History, massive coverage by television and newspapers, a documentary program on the History Channel, and a book. However, reaction to the fossil by other scientists was largely critical of its purported significance, and later publications have reached very different conclusions than those promoted in the original announcements. Moreover, there are inconsistencies in the information provided by the scientific paper, the book, and the public announcements. What is the source of these discrepancies, and what is the relationship between scientific progress and media coverage? PMID- 21037414 TI - Does pediatrics need its own bioethics? AB - Pediatric bioethics raises unique issues because children are constantly growing, developing, and changing. The ethical issues that arise for newborns are different from those that arise for seven-year-olds or 17-year-olds. Furthermore, children do not develop cognitive capacities or moral reasoning skills at the same rate. Thus, it is difficult to generalize about what is appropriate or inappropriate for children in either the clinical or the research setting. This article responds to some of the issues raised by a new volume of essays about pediatric bioethics. It puts these issues into historical context by examining the implications of Saul Krugman's famous studies on the etiology and prevention of hepatitis at New York's Willowbrook State School. PMID- 21037415 TI - Morality, adapted. AB - Over the last few decades, scientists have been busy debunking the myth that nonhuman animals relate to each other in a primarily competitive, aggressive way. What they have found is that many species of animal, including many of those most closely related to humans, display a remarkable range of cooperative, "prosocial" behavior. In fact, it appears that some animal societies adhere to a moral code. What is preventing us, then, from saying that the members of these societies are moral beings? Nothing important, according to a recent book. Probing further into this question, I suggest that in fact quite a lot is at risk in making this move. To integrate nonhuman animals fully into the moral domain, we may have to adapt our conception of morality in some very troublesome ways. PMID- 21037417 TI - Red flags: to screen or not to screen? PMID- 21037416 TI - Making fat work. AB - The burgeoning obesity and metabolic disease epidemics in the developed world are exerting a terrible toll on society, yet the precise mechanisms responsible for the emergence of these dramatic trends over a relatively short period of time remain poorly understood. Philip A.Wood's book How Fat Works provides important insights into cellular lipid metabolism, as well as discussing some of the important external contributors to the development of human obesity. The foundation provided by this book allows for the exploration of how body fat has gone from hero during the millennia when starvation was the paramount nutritional risk to its current role as villain in our period of caloric excess. With the incredible personal and societal costs brought about by excess body weight, a comprehensive understanding of the mechanisms responsible for obesity is fundamentally necessary if we are to reverse these dire trends. Here, we delve deeper into some of the forces contributing to the obesity epidemic and discuss some individual measures as well as public policy decisions that may help reverse weight trends, while specifically focusing on the growing problem of pediatric obesity. PMID- 21037418 TI - Transfer RNA's latest port of call. AB - Transfer RNA, or tRNA, has the dubious honor of being a recurring historical figure in molecular biology. Much like the lead character in Woody Allen's movie Zelig, tRNA keeps on turning up in history at the right place at the right time. In this respect the timing of the 23rd installment of the International tRNA Workshop just a few months after the awarding of the Nobel Prize for the structure of the ribosome was particularly fitting. Over 250 scientists gathered from January 28 to February 2, 2010 in the charming town of Aveiro on the Atlantic coast of Portugal to discuss the latest advances in our understanding of the myriad roles of tRNA, which stretch far beyond acting as a simple adaptor in protein synthesis. Topics covered ranged from well-established areas such as the complex post-transcriptional modification of tRNAs, tRNA aminoacylation and protein synthesis, to emerging areas such as mistranslation and human disease, and roles for tRNA outside translation. PMID- 21037419 TI - Why mouse oocytes and early embryos ignore miRNAs? AB - Small RNA molecules regulating gene expression received a status of omnipresent master regulators of eukaryotic lives with almost supernatural powers. Mammals hold at least three mechanisms employing small RNA molecules for regulating gene expression. One of these mechanisms, the microRNA (miRNA) pathway, involves currently over a thousand of genome-encoded different miRNAs that are claimed to extend their control over more than a half of a genome. Here, I discuss how and why mouse oocytes and early embryos ignore the regulatory power of miRNAs, adding another surprising feature to the field of small RNAs. PMID- 21037420 TI - Regulation of virulence gene expression in Streptococcus pyogenes: determinants of differential mRNA decay. AB - Differential mRNA stability is an important mechanism for regulation of virulence factors in Streptococcus pyogenes (group A streptococcus, GAS), a serious and prevalent human pathogen. We have described 2 Classes of mRNA in GAS that are distinguishable by 1) stability in the stationary phase of growth, 2) kinetics of decay in exponential phase, and 3) effect of depletion of RNases J1 and J2 and polynucleotide phosphorylase (PNPase) on decay in exponential phase. We discuss features of the structure of an mRNA that appear to be important for determining the Class to which it belongs and present a model to explain differential mRNA decay. PMID- 21037421 TI - tmRNA to the rescue: structural motives for the salvage of stalled ribosomes. AB - During translation, mRNA molecules are incidentally damaged, leaving the ribosome unable to reach or recognize the stop codon and thus stalled with mRNA and a potentially harmful polypeptide product attached to tRNA in the ribosomal P-site. In bacteria, a process called trans-translation has evolved, where a protein-RNA complex (smpB-tmRNA) mimicks the role of aminoacyl charged tRNA, replacing stalled tRNA in the ribosomal A-site. The ribosome then resumes protein synthesis guided by an mRNA-like portion of the tmRNA which ends with a stop codon, and codes for a peptide sequence susceptible to proteolysis, thus allowing the bacteria to salvage stalled ribosomes and degrade ill-defined and potentially harmful protein products. In this article, we will recollect how structural studies have yielded a model for how the pre-translocation stages of trans translation employing structural mimicry. We will also discuss possible models for how the translocation may be carried out. PMID- 21037422 TI - NcRNA-microchip analysis: a novel approach to identify differential expression of noncoding RNAs. AB - Epstein-Barr virus (EBV) infection of human B cells requires the presence of non coding RNAs (ncRNAs), which regulate expression of viral and host genes. To identify differentially expressed regulatory ncRNAs involved in EBV infection, a specialized cDNA library, enriched for ncRNAs derived from EBV-infected cells, was subjected to deep-sequencing. From the deep-sequencing analysis, we generated a custom-designed ncRNA-microchip to investigate differential expression of ncRNA candidates. By this approach, we identified 25 differentially expressed novel host-encoded ncRNA candidates in EBV-infected cells, comprised of six non-repeat derived and 19 repeat-derived ncRNAs. Upon EBV infection of B cells, we also observed increased expression levels of oncogenic miRNAs mir-221 and mir-222, which might contribute to EBV-related tumorigenesis, as well as decreased expression levels of RNase P RNA, a ribozyme involved in tRNA maturation. Thus, in this study we demonstrate that our ncRNA-microchip approach serves as a powerful tool to identify novel differentially expressed ncRNAs acting as potential regulators of gene expression during EBV infection. PMID- 21037423 TI - Kernelized Z' factor in multiparametric screening technology. AB - RNA interference (RNAi) high-content screening (HCS) enables massive parallel gene silencing and is increasingly being used to reveal novel connections between genes and disease-relevant phenotypes. The application of genome-scale RNAi relies on the development of high quality HCS assays. The Z' factor statistic provides a way to evaluate whether or not screening run conditions (reagents, protocols, instrumentation, kinetics, and other conditions not directly related to the test compounds) are optimized. Z' factor, introduced by Zhang et al. (1), is a dimensionless value that represents both the variability and the dynamic range between two sets of sample control data. This paper describes a new extension of the Z' factor, which integrates multiple readouts for screening quality assessment. Currently presented multivariate Z' factor is based on linear projection, which may not be suitable for data with nonlinear structure. This paper proposes an algorithm which extends existing algorithm to deal with nonlinear data by using the kernel function. Using kernel methods for projections, multiple readouts are condensed to a single parameter, based on which the screening run quality is monitored. PMID- 21037425 TI - Advancing surgical research in a sea of complexity. PMID- 21037424 TI - Analysis of A to I editing of miRNA in macrophages exposed to Salmonella. AB - The main mediator of the lipopolysaccharide (LPS) response in macrophages is activation of Toll-like receptor 4 (TLR4). This generates interferon-beta (INF beta) production that stimulates increased expression of the RNA editing enzyme ADAR1. To determine if there is an increase in RNA editing in mature miRNA in response to TLR4 activation upon Salmonella infection of macrophages we analyzed small RNA deep sequencing data. Interestingly, we found that direct infection of macrophage cell lines with Salmonella does not result in an increase of edited mature miRNA. Thus, despite elevated levels of ADAR1 during TLR4 activation of macrophages mediated by Salmonella infection, ADAR1 does not result in redirection of miRNA. The most common consequence of ADAR activity on miRNA is a reduction in the mature miRNA level due to interference with miRNA processing of pri-miRNA. However, we found very few miRNAs with reductions in level, and no significant difference between miRNAs previously reported to be edited and those reported to be not edited. In particular, we did not see significant decrease in mir-22 and mir-142, nor editing of pri-mir-22 or pri-mir-142 in infected RAW macrophages. Thus, ADAR1 has very little, if any, effect on the miRNA machinery following TL4 activation by Salmonella infection. PMID- 21037426 TI - Fate of the peer review process at the ESA: long-term outcome of submitted studies over a 5-year period. AB - OBJECTIVE: To critically evaluate the outcome of the peer review process of the European Surgical Association (ESA) and its contribution to Annals of Surgery. BACKGROUND: The ESA was created in 1993 as an equivalent of the well-established American Surgical Association. Submitted abstracts and manuscripts were subjected to a stringent multistep peer review process to offer only the best studies for publication in the special issue of Annals of Surgery. A critical evaluation was felt necessary to identify factors that favored the acceptance of abstracts and manuscripts, respectively. The citations of the manuscripts published in Annals of Surgery and the outcome of the rejected studies were also researched. METHODS: All submissions to the ESA between 2002 and 2007 were analyzed and followed over a period of 2 years. A database was established to identify factors favoring acceptance. A comprehensive search was undertaken to identify plagiarisms and the 2-year citations of all accepted manuscripts and later publications of the rejected studies in Annals of Surgery or elsewhere. RESULTS: Altogether, 545 abstracts were submitted to the ESA during the study period. About one-third was accepted for presentation at the annual meeting, and, of those, 40% were published in Annals of Surgery. The majority of these studies originated from 4 European Countries. The only independent factors favoring presentation were randomized controlled trials and a sample size of more than 100 patients. All plagiarisms were identified before acceptance. Only 4% and 2% of the rejected abstracts and manuscripts, respectively, were published in higher impact factor journals than in Annals of Surgery. Twelve percent of the rejected manuscripts were eventually published in a later issue of Annals of Surgery, whereas more than two-thirds of the rejected studies appeared in a journal with a lower impact factor. The 2-year citations of the ESA manuscripts were in the range of all the other types of manuscripts published in Annals of Surgery. Only manuscripts originating from the American Surgical Association had slightly higher citations. CONCLUSIONS: The ESA successfully spent its early years, providing high-quality manuscripts to Annals of Surgery. Only few rejected manuscripts reached higher ranked journals. The focus should now turn toward stimulating other European countries to submit their best studies and attract more well-designed randomized controlled trials. PMID- 21037427 TI - Novel and simple preoperative score predicting complications after liver resection in noncirrhotic patients. AB - OBJECTIVE: To develop and validate a simple score to predict postoperative complications by severity after liver resection, using readily available preoperative risk factors. BACKGROUND: Although liver surgery has enjoyed major development with dramatic reduction in mortality rates, the incidence of serious yet nonlethal complications remains high. No scoring system is currently available to identify those patients at higher risk for a complicated course. METHODS: Complications were prospectively assessed in 615 consecutive noncirrhotic patients undergoing liver resection at the same institution. In randomly selected 60% of the population, multivariate-logistic-regression analysis was used to develop a score to predict severe complications defined as complications grades III, IV, and mortality (grade V) (Clavien-Dindo classification). The score was validated by calibration within the remaining 40% of the patients. RESULTS: Grades III to V complications occurred in 159 (26%) of the 615 patients after liver resection, 90 (15%) were grade III, 48 (8%) grade IV, and 21 (3%) grade V. Four preoperative parameters were identified as independent predictors including American Society of Anesthesiologists category, transaminases levels (aspartate aminotransferase), extent of liver resection (>3 vs <3 segments), and the need for an additional hepaticojejunostomy or colon resection. A prediction score was calculated on the basis of 60% of the population (369 patients) using the 4 independent predictors ranging from 0 to 10 points. The risk to develop serious postoperative complications was 16% in "low risk" patients (0-2 points), 37% in "intermediate risk" patients (3-5 points) and 60% in "high risk" patients (6-10 points). The predicted mean for absolute risk for grades III to V complications was 27% in the validation population including 40% of the patients (n = 246), whereas the observed risk was 24%. Predicted and observed risks were similar throughout the different risk categories (P = 0.8). The score was significantly associated with hospital and intensive care unit stays. Costs of the entire procedure doubled among the 3 risk groups. CONCLUSIONS: This novel and simple score accurately predicts postoperative complications and cost in patients undergoing liver resection. This score allows early identification of patients at risk and may impact not only decision making for surgical intervention but also quality assessment and reimbursement. PMID- 21037428 TI - Extended liver resection for polycystic liver disease can challenge liver transplantation. AB - OBJECTIVE: In an attempt to refine the indications for liver resection (LR) for highly symptomatic polycystic liver disease (PLD), we focused on the characteristics, technical difficulties, postoperative outcome, and long-term follow-up of PLD patients who underwent either LR or liver transplantation (LT). METHODS: Since 1995, among 72 patients with massive hepatomegaly, 45 patients underwent LR associated with contralateral cyst fenestration whereas 27 underwent LT associated with simultaneous kidney transplantations in 23. The LR group was characterized by absence of end-stage renal insufficiency, absence of ascites, and better nutrition status. In the LR group, the volumetry of the spared noncystic parenchyma was preoperatively assessed whereas pathological analysis focused on fibrosis and vascular congestion. RESULTS: After LR, the mortality was nil and overall morbidity was 71%, including biliary leak in 20% and ascites in 42%. Persistent and massive ascites was observed in 8 patients who have undergone extensive resection and had significantly more frequently fibrosis on the analysis of their resected surgical specimens (P = 0.002). A volume of the remnant noncystic parenchyma less than 30% and the presence of vascular changes on the specimen were associated with higher risk of complications. The 5-year survival was 95% and among the 43 survivors, after a mean follow-up of 41 months, 36 (83%) patients stated that they were satisfied, with complete relief of symptoms in 30 (70%). After LT, the postoperative mortality was 15% and the overall morbidity was 85%, including 12 patients who required reoperation. Severe complications were more frequent in the presence of denutrition and preoperative ascites. The 5-year survival was 85% and after a mean follow-up of 36 months all survivors had complete relief of symptoms due to hepatomegaly. CONCLUSIONS: In case of massive hepatomegaly from PLD without end-stage renal failure, LR should be considered first when preserved remnant liver volume represents at least 30% of the total volume liver in the absence of vascular changes or fibrosis. PMID- 21037429 TI - A multicenter study of survival after neoadjuvant radiotherapy/chemotherapy and esophagectomy for ypT0N0M0R0 esophageal cancer. AB - OBJECTIVE: To evaluate 5-year survival of patients with locally advanced esophageal cancer (LAEC) who have undergone multimodality treatment with complete histopathologic response. BACKGROUND: Patients with LAEC may obtain excellent local-regional response to multimodality therapy. The overall benefit of a complete histopathologic response, when no viable tumor is present in the surgical specimen, is incompletely understood and existing data are limited to single-center studies with relatively few patients. The aim of this multicenter study was to define the outcome of patients with complete histopathologic response after multimodality therapy for LAEC. METHODS: The study population included 299 patients (229 male, 70 female; median age: 60 years) with LAEC (cT2N1M0, T3-4N0-1M0; 181 adenocarcinomas, 118 squamous carcinomas) who underwent either neoadjuvant radiochemotherapy (n = 284) or chemotherapy (n = 15) followed by esophagectomy at 6 specialized centers: Europe (3) and United States (3). All patients in the study had stage ypT0N0M0R0 after resection. RESULTS: Esophagectomy with thoracotomy (n = 255) was more frequent than with a transhiatal approach (n = 44). The median number of analyzed lymph nodes in the surgical specimens was 20 (minimum-maximum: 1-77). Thirty-day mortality rate was 2.4% and 90-day mortality rate was 5.7%. Overall 5-year survival rate was 55%. The disease-specific 5-year survival rate was 68%, with a recurrence rate of 23.4% (n = 70; local vs distant recurrence: 3.3% vs 20.1%). Cox regression analysis identified age as the only independent predictor of survival, whereas gender, histology, type of esophagectomy, type of neoadjuvant therapy, and the number of resected lymph nodes had no prognostic impact. CONCLUSION: Patients with histopathologic complete response at the time of resection of LAEC achieve excellent survival. PMID- 21037430 TI - Carcinoid tumors of the rectum: a multi-institutional international collaboration. AB - OBJECTIVE: This study aims to describe recent experience with rectal carcinoids in European and North American centers. BACKGROUND: While considered indolent, the propensity of carcinoids to metastasize can be significant. METHODS: Rectal carcinoid patients were identified from prospective databases maintained at 9 institutions between 1999 and 2008. Demographic, clinical, and histologic data were collated. Median follow-up was 5 years (range, 0.5-10 years). RESULTS: Two hundred two patients were identified. The median age was 55 years (range, 31-81 years). The majority of tumors were an incidental finding (n = 115, 56.9%). The median tumor size was 10 mm (range, 2-120 mm). Overall, 93 (49%) tumors were limited to the mucosa or submucosa, 45 (24%) involved the muscularis propria, 29 (15%) extended into the perirectal fat, and 6 (3%) reached the visceral peritoneum. The primary treatment modalities were endoscopic resection (n = 86, 43%) and surgical extirpation (n = 102, 50%). Forty-one patients (40%) underwent a high anterior resection, whereas 45 (44%) underwent anterior resection with total mesorectal excision. Seven patients (7%) underwent Hartman's procedure, 7 (7%) underwent abdomino-perineal resection, and 6 (6%) had transanal endoscopic microsurgery, whereas 4 (4%) patients underwent a transanal excision. Multiple variable logistic regression analysis demonstrated that tumor size greater than 10 mm and lymphovascular invasion were predictors of nodal involvement (P = 0.006 and < 0.001, respectively), whereas the presence of lymph node metastases and lymphovascular invasion was associated with subsequent development of distant metastases (P = 0.033 and 0.022, respectively). The presence of nodal metastases has a profound effect upon survival, with a 5-year survival rate of 70%, and 10 year survival of 60% for node positive tumors. Patients with distant metastases have a 4-year survival of 38%. CONCLUSION: Tumor size greater than 10 mm and lymphovascular invasion are significantly associated with the presence of nodal disease, rendering mesorectal excision advisable. Transanal excision is adequate for smaller tumors. PMID- 21037431 TI - Machine perfusion versus cold storage for the preservation of kidneys donated after cardiac death: a multicenter, randomized, controlled trial. AB - OBJECTIVE: Hypothermic machine perfusion may improve outcome after transplantation of kidneys donated after cardiac death (DCD), but no sufficiently powered prospective studies have been reported. Because organ shortage has led to an increased use of DCD kidneys, we aimed to compare hypothermic machine perfusion with the current standard of static cold storage preservation. METHODS: Eighty-two kidney pairs from consecutive, controlled DCD donors 16 years or older were included in this randomized controlled trial in Eurotransplant. One kidney was randomly assigned to machine perfusion and the contralateral kidney to static cold storage according to computer-generated lists created by the permuted block method. Kidneys were allocated according to standard rules, with concealment of the preservation method. Primary endpoint was delayed graft function (DGF), defined as dialysis requirement in the first week after transplantation. All 164 recipients were followed until 1 year after transplantation. RESULTS: Machine perfusion reduced the incidence of DGF from 69.5% to 53.7% (adjusted odds ratio: 0.43; 95% confidence interval 0.20-0.89; P = 0.025). DGF was 4 days shorter in recipients of machine-perfused kidneys (P = 0.082). Machine-perfused kidneys had a higher creatinine clearance up to 1 month after transplantation (P = 0.027). One-year graft and patient survival was similar in both groups (93.9% vs 95.1%). CONCLUSIONS: Hypothermic machine perfusion was associated with a reduced risk of DGF and better early graft function up to 1 month after transplantation. Routine preservation of DCD kidneys by hypothermic machine perfusion is therefore advisable. PMID- 21037432 TI - Infrapopliteal percutaneous transluminal angioplasty versus bypass surgery as first-line strategies in critical leg ischemia: a propensity score analysis. AB - INTRODUCTION: Recently, endovascular revascularization (percutaneous transluminal angioplasty [PTA]) has challenged surgery as a method for the salvage of critically ischemic legs (CLI). Comparison of surgical and endovascular techniques in randomized controlled trials is difficult because of differences in patient characteristics. To overcome this problem, we adjusted the differences by using propensity score analysis. MATERIALS AND METHODS: The study cohort comprised 1023 patients treated for CLI with 262 endovascular and 761 surgical revascularization procedures to their crural or pedal arteries. A propensity score was used for adjustment in multivariable analysis, for stratification, and for one-to-one matching. RESULTS: In the overall series, PTA and bypass surgery achieved similar 5-year leg salvage (75.3% vs 76.0%), survival (47.5% vs 43.3%), and amputation-free survival (37.7% vs 37.3%) rates and similar freedom from any further revascularization (77.3% vs 74.4%), whereas freedom from surgical revascularization was higher after bypass surgery (94.3% vs 86.2%, P < 0.001). In propensity-score-matched pairs, outcomes did not differ, except for freedom from surgical revascularization, which was significantly higher in the bypass surgery group (91.4% vs 85.3% at 5 years, P = 0.045). In a subgroup of patients who underwent isolated infrapopliteal revascularization, PTA was associated with better leg salvage (75.5% vs 68.0%, P = 0.042) and somewhat lower freedom from surgical revascularization (78.8% vs 85.2%, P = 0.17). This significant difference in the leg salvage rate was also observed after adjustment for propensity score (P = 0.044), but not in propensity-score-matched pairs (P = 0.12). CONCLUSIONS: When feasible, infrapopliteal PTA as a first-line strategy is expected to achieve similar long-term results to bypass surgery in CLI when redo surgery is actively utilized. PMID- 21037433 TI - Is perioperative chemotherapy useful for solitary, metachronous, colorectal liver metastases? AB - BACKGROUND: Chemotherapy is increasingly used in colorectal liver metastases (CRLMs) even when they are initially resectable. The aim of our study was to address the still pending question of whether perioperative chemotherapy is really beneficial in patients developing solitary metastases at a distance from surgery of the primary. METHODS: We analyzed a multicentric cohort of 1471 patients resected for solitary, metachronous, primarily resectable CRLMs without extrahepatic disease in the LiverMetSurvey International Registry over a 15-year period. Patients who received at least 3 cycles of oxaliplatin- or irinotecan based chemotherapy before liver surgery (group CS, n = 169) were compared with those who were resected upfront (group S, n = 1302). RESULTS: Patients of group CS were more frequently females (49% vs 36%, P = 0.001) and had larger metastases (>=5 cm, 33% vs 23%, P = 0.007); no difference was observed with regard to age, site of the primary tumour, time delay to occurrence of metastases, and carcinoembryonic antigen (CEA) levels at the time of diagnosis in the 2 groups. The rate of postoperative complications was significantly higher in group CS (37.2% vs 24% in group S, P = 0.006). At univariate analysis, preoperative chemotherapy did not impact the overall survival (OS) (60% at 5 years in both groups); however, postoperative chemotherapy was associated with better OS (65% vs 55% at 5 years, P < 0.01). At multivariate analysis, age 70 years or older (P = 0.05), lymph node positivity in the primary tumor (P = 0.02), a primary-to metastases time delay of less than 12 months (P = 0.04), raised CEA levels of more than 5 ng/mL at diagnosis (P < 0.01), a tumor diameter of 5 cm or more (P < 0.01), noncurative liver resection (P < 0.01), and the absence of postoperative chemotherapy (P < 0.01) were independent prognostic factors of survival. The disease-free survival (DFS) was negatively influenced by CEA level of more than 5 ng/mL (P < 0.01), size of the metastases 5 cm or more (P = 0.05), and the absence of postoperative chemotherapy (P < 0.01). When patients with metastases of less than 5 cm in size were compared to those with metastases of size 5 cm or more, preoperative chemotherapy did not influence the OS or DFS in either group. Postoperative chemotherapy, on the other hand, improved OS and DFS in patients with metastases of size 5 cm or more but not in patients with metastases of less than 5 cm in size. CONCLUSIONS: Although preoperative chemotherapy does not seem to benefit the outcome of patients with solitary, metachronous CRLM, postoperative chemotherapy is associated with better OS and DFS, mainly when the tumor diameter exceeds 5 cm. PMID- 21037434 TI - Interval between neoadjuvant chemoradiotherapy and surgery for squamous cell carcinoma of the thoracic esophagus: does delayed surgery have an impact on outcome? AB - OBJECTIVE: Aim of this study was to evaluate whether delayed surgery after neoadjuvant chemoradiotherapy (CRT) affects postoperative outcomes in patients with locally advanced squamous cell carcinoma (SCC) of the thoracic esophagus. BACKGROUND: Esophagectomy is usually recommended within 4 to 6 weeks after completion of neoadjuvant CRT. However, the optimal timing of surgery is not clearly defined. METHODS: A total of 129 consecutive patients with locally advanced esophageal cancer, treated between 1998 and 2007, were retrospectively analyzed using prospectively collected data. Patients were divided into 3 groups on the basis of timing to surgery: group 1, <=30 days (n = 17); group 2, 31 to 60 days (n = 83); and group 3, 61 to 90 days (n = 29). Subsequently, only 2 numerically more consistent-groups were studied, using the median value of timing intervals as a cutoff level: group A, <=46 days (n = 66); and group B, >46 days (n = 63). RESULTS: Groups were comparable in terms of patient and tumor characteristics, type of neoadjuvant regimen, toxicity, postoperative morbidity and mortality rates, tumor downstaging, and pathologic complete responses. The overall 5-year actuarial survival rate was 0% in group 1, 43.1% in group 2, and 35.9% in group 3 (P = 0.13). After R0 resection (n = 106), the 5-year actuarial survival rate was 0%, 51%, and 47.3%, respectively (P = 0.18). Tumor recurrence after R0 resection seemed to be inversely related, even if not significantly (P = 0.17), to the time interval between chemoradiation and surgery: 50% in group 1, 40.6% in group 2, and 21.7% in group 3. When considering only 2 groups, the overall 5-year survival was 33.1% in group A and 42.7% in group B (P = 0.64); after R0 resection, the 5-year survival was 37.8% and 56.3%, respectively (P = 0.18). The rate of tumor recurrence was significantly lower in group B (25%) than in group A (48.3%) (P = 0.02). CONCLUSION: Delayed surgery after neoadjuvant chemoradiation does not compromise the outcomes of patients with locally advanced SCC of the esophagus. Delaying surgery up to 90 days offers relevant advantages in the clinical management of the patients, can reduce tumor recurrences, and may improve prognosis after complete R0 resection surgery. PMID- 21037435 TI - The severity of neural invasion is a crucial prognostic factor in rectal cancer independent of neoadjuvant radiochemotherapy. AB - OBJECTIVE: To provide a comprehensive characterization of neural invasion (NI) in rectal adenocarcinoma (RC), to establish a novel NI-severity scoring system, and to assess the prognostic value of NI with emphasis on its localization and severity. BACKGROUND: The literature merely contains small-scale studies with limited histopathological characterization of NI in RC. METHODS: Neural invasion was thoroughly characterized in 296 patients with locally advanced uT3-RC (139 with primary resection and 157 with neoadjuvant radiochemotherapy [nRCTx]). To identify the precise localization of NI, we investigated the main tumor, peritumoral area, adjacent normal tissue, and all lymph nodes. To classify the clinical impact of NI, an NI severity score was established and related to patient prognosis. RESULTS: Neural invasion was detected in 32% of patients with primary resection and in 19% (P = 0.010) receiving nRCTx. The major location of NI was found in the peritumoral area. The prevalence of NI in the main tumor within the primary resection group was 6%, whereas it was absent in the nRCTx group (P = 0.002). Increasing NI severity, but not NI localization, was associated with a significantly poorer survival and increased local recurrence rate in both groups. Multivariate analysis (including TNM-stage, grading, and Carcinoembryonic antigen (CEA)) revealed NI prevalence and severity as independent prognostic factors. CONCLUSIONS: Neural invasion in RC has a heterogeneous appearance in regard to its localization and its severity. nRCTx seems to have a suppressive effect on NI. Neural invasion severity might be applied as a novel tool to estimate accurately patient's prognosis and thus should be considered in pathology reports. PMID- 21037436 TI - Rate and predictability of graft rupture after endovascular and open abdominal aortic aneurysm repair: data from the EVAR Trials. AB - OBJECTIVE: To assess the rate and factors associated with rupture after endovascular aneurysm repair (EVAR) or open repair (OR) of abdominal aortic aneurysm. BACKGROUND: Graft rupture after EVAR has been reported, often preceded by graft-related complications. Graft rupture has also been reported after OR. METHODS: By July 2009, a total of 848 elective EVARs and 594 elective ORs were performed in the United Kingdom EVAR trials 1 and 2. Patients were followed up for complications, reinterventions, and rupture. The incidence of rupture was explored in relation to baseline anatomy and subsequent complications in a Cox regression analysis. RESULTS: There were no ruptures in the OR patients. A total of 27 ruptures occurred after EVAR during a mean follow-up of 4.8 years: crude rate = 0.7 [95% confidence interval (CI): 0.5-1.0] ruptures per 100 person-years. Eighteen patients (67%) died within 30 days of rupture. Five ruptures occurred in the first 30 postoperative days and 22 after that: crude rates of rupture = 7.2 (95% CI: 3.0-17.4) and 0.6 (95% CI: 0.4-0.9) per 100 person-years, respectively. Previous complications (endoleak type 1, type 2 with sac expansion, type 3, migration or kinking) increased the risk of rupture, adjusted hazard ratio 8.83 (95% CI 3.76-20.76), P < 0.0001. CONCLUSIONS: There were no ruptures after OR and a low rate after EVAR. Mortality after graft rupture is high and previous serious complications are significantly associated with the risk of rupture. Few ruptures after EVAR seem to be spontaneous without complications identified during optimal surveillance. PMID- 21037437 TI - Effects of pentoxifylline on liver regeneration: a double-blinded, randomized, controlled trial in 101 patients undergoing major liver resection. AB - OBJECTIVES: To evaluate the effects of pentoxifylline (PTX) on liver regeneration in patients undergoing major liver resection. BACKGROUND: Recent experimental data suggest that PTX, a tumor necrosis factor (TNF) alpha inhibitor, enhances liver regeneration and reduces ischemic injury through activation of the interleukin-6 (IL-6) signaling pathway. However, the clinical impact of PTX in patients undergoing major liver surgery is unknown. METHODS: One hundred one consecutive noncirrhotic patients undergoing major liver surgery with inflow occlusion were included in a double-blinded, randomized, controlled trial (RCT) at a single tertiary care center (2006-2009). Fifty-one patients received intravenous administration of PTX starting 12 hours before and ending 72 hours after surgery, whereas 50 control patients received a placebo infusion. Primary endpoint was liver regeneration as assessed by three-dimensional volumetry based on magnetic resonance (MR) tomography at postoperative day 8 compared with preoperative images. Secondary endpoints were transaminases, cytokines, and postoperative complications. RESULTS: Both groups were comparable regarding demographics, risk score, preoperative laboratory tests, and type and extent of liver resection. Treatment with PTX resulted in significantly better volume regeneration for small remnant livers [remnant liver to body weight (RLBW) ratio <= 1.2%], whereas no beneficial effect was observed for RLBW ratio of more than 1.2%. There was a 3.6-fold stronger induction of IL-6 mRNA for the PTX group (P < 0.001). Postoperative alanine aminotransferase (AST) levels were significantly decreased for the PTX group on the second postoperative day (442 vs 585 U/L, P = 0.025). No significant benefit could be identified regarding the number and severity of postoperative complications and median ICU (1 vs 1 day) and hospital stay (10 vs 10 days). However, the PTX group had significantly more drug-related adverse events (23 vs 8, P = 0.007). CONCLUSIONS: This is the first RCT evaluating the effects of PTX on liver regeneration after major liver resection. The study demonstrates beneficial effects of PTX on regeneration of small remnant livers (RLBW ratio <= 1.2%) that seems to be mediated by IL-6. PMID- 21037438 TI - Surgical management of submucosal esophageal cancer: extended or regional lymphadenectomy? AB - INTRODUCTION: Radical esophagectomy is considered the standard therapy for tumors that infiltrate the submucosa of the esophagus (T1b), as the prevalence of lymph node metastases has been reported in up to 40% of these patients. It remains unclear whether radical esophagectomy with extended lymphadenectomy is needed or whether a surgical procedure with only regional lymphadenectomy suffices. The aim of this study was to compare outcomes of patients who underwent esophagectomy for T1b cancer through a transthoracic approach with extended lymphadenectomy (TTE) with those of patients in whom transhiatal esophagectomy (THE) was performed with a regional lymph node dissection. METHODS: Patients who underwent esophagectomy for T1b cancer between 1990 and 2004 and who did not receive (neo)adjuvant therapy were included. Data were collected from prospective databases of 4 centers. In Leuven, Belgium (n = 101), and Los Angeles, CA (n = 31), patients with T1b tumors had been operated on via TTE with extended lymphadenectomy, whereas in Amsterdam (n = 43) and Rotterdam (n = 47), the Netherlands, THE with regional lymphadenectomy had been performed. RESULTS: The 2 patient groups (TTE, n = 132; THE, n = 90) were comparable with regard to age, body mass index, and ASA classification. Operative time was longer in patients who underwent TTE (390 minutes) versus THE (250 minutes) (P < 0.001). The yield of lymph nodes resected was higher in the TTE group (median: 32) versus THE (median: 10) (P < 0.001). Overall morbidity, in-hospital mortality, and length of hospital stay were comparable between both the groups. In the TTE group, 27.3% of complications were classified as major versus 14.4% in the THE group (P < 0.001); however, the reoperation rate was higher after THE (12.2%) versus TTE (3.8%) (P = 0.01). There was no difference in pathological outcomes (infiltration depth, pN stage, pM stage, positive lymph node ratio) between both groups. Overall, 5-year survival (63.4% TTE vs 69.4% THE; P = 0.55) and disease-free 5-year survival (76.9% TTE vs 78.3% THE; P = 0.65) were comparable between both the groups. In patients with N1 disease, disease-free 5-year survival was 49.8% in the TTE group versus 40.0% in the THE group (P = 0.57). CONCLUSIONS: In patients with submucosal esophageal cancer (T1b), TTE with extended lymphadenectomy and THE with regional lymphadenectomy had similar short-term outcome and long-term survival. In the selected group of T1bN1 patients, TTE may be the preferred operative technique because of a potential disease-free survival benefit; in patients with T1bN0 disease, THE with en bloc dissection of the esophagus and regional lymph nodes offers an oncologically safe and less invasive treatment. PMID- 21037439 TI - 10-year follow-up of laparoscopic vertical banded gastroplasty: good results in selected patients. AB - OBJECTIVE: To evaluate the long-term results of laparoscopic vertical banded gastroplasty (VBG) for morbid obesity. BACKGROUND: Laparoscopic VBG, a safe and straightforward bariatric procedure characterized by good short-term results, has been progressively replaced by other more complex procedures on the basis of a presumed high rate of long-term failure. Nevertheless, some authors have recently reported long-term efficacy in selected patients. METHODS: All patients who underwent laparoscopic VBG were included in a prospective database. Patients reaching 10-year follow-up received a complete evaluation including clinical, endoscopic, and biochemical examinations. RESULTS: Between January 1996 and March 1999, 266 morbidly obese patients underwent bariatric procedures. Among them, 213 were selected for laparoscopic VBG; exclusion criteria were as follows: contraindications to pneumoperitoneum, gastroesophageal reflux disease, and psychological contraindications to restrictive procedures. Mean age, preoperative weight, and body mass index were 36.9 years, 123.6 kg, and 45.4 kg/m, respectively. Intraoperative complication rate and conversion rate were 0.9% and 0.9%, respectively. Early postoperative complication rate was 4.2% and early reoperation rate was 0.5%. Mean hospital length of stay was 6.3 days. Mortality was nil. The 10-year follow-up rate was 70.4% (150 patients). Late postoperative complication rate was 14.7%, and 10-year revisional surgery rate was 10.0%. The excess weight loss percentages at 3, 5, and 10 years were 65.0%, 59.9%, and 59.8%, respectively. The resolution and/or improvement rate for comorbidity were 47.5% for hypertension, 55.6% for diabetes, 75% for sleep apnea, and 47.4% for arthritis. Mean Moorehead-Ardelt Quality of Life Questionnaire and BAROS values were 1.4 and 3.8, respectively. CONCLUSIONS: The present study demonstrates that laparoscopic VBG in carefully selected patients leads to long-term results comparable with more complex and invasive procedures. Given the low postoperative morbidity for laparoscopic VBG, its present clinical role should be, in our opinion, reevaluated. PMID- 21037440 TI - Therapeutic delay and survival after surgery for cancer of the pancreatic head with or without preoperative biliary drainage. AB - OBJECTIVE: To evaluate the relation between delay in surgery because of preoperative biliary drainage (PBD) and survival in patients scheduled for surgery for pancreatic head cancer. BACKGROUND: Patients with obstructive jaundice due to pancreatic head cancer can undergo PBD. The associated delay of surgery can lead to more advanced cancer stages at surgical exploration, affecting resection rate and survival. METHODS: We conducted a multicenter, randomized controlled clinical trial to compare PBD with early surgery (ES) for pancreatic head cancer for complications. We obtained Kaplan-Meier estimates of overall survival for patients with pathology-proven malignancy and compared survival functions of ES and PBD groups using log-rank test statistics. Multivariable Cox regression analyses were performed to evaluate the prognostic role of time to surgery for overall survival. RESULTS: Mean times from randomization to surgery were 1.2 (0.9-1.5) and 5.1 (4.8-5.5) weeks in the ES and PBD groups, respectively (P < 0.001). In the ES group, 60 (67%) of 89 patients underwent resection, versus 53 (58%) of 91 patients in the PBD group (P = 0.20). Median survival after randomization was 12.2 (9.1-15.4) months in the ES group versus 12.7 (8.9-16.6) months in the PBD group (P = 0.91). A longer time to surgery was significantly associated with slightly lower mortality rate after surgery (hazard ratio = 0.90, 95% CI, 0.83-0.97), when taking into account resection, bilirubin, complications, pancreatic adenocarcinoma, tumor-positive lymph nodes, and microscopically residual disease. CONCLUSIONS: In patients with pancreatic head cancer, the delay in surgery associated with PBD does not impair or benefit survival rate. PMID- 21037441 TI - The impact of 68Ga-DOTATOC positron emission tomography/computed tomography on the multimodal management of patients with neuroendocrine tumors. AB - OBJECTIVE: To evaluate the impact of 68Ga-DOTATOC positron emission tomography (PET)/computed tomography (CT) on the multimodal management of neuroendocrine tumors (NET). BACKGROUND: Establishment of the extent and progression of NET are necessary to decide which treatment option to choose. However, morphological imaging with CT or magnetic resonance imaging (MRI) is often inadequate in identifying the primary tumor and/or in detecting small metastatic lesions. METHODS: In total, 52 patients (27 women and 25 men) with histologically proven NET could be included in the protocol of comparison between 68Ga-DOTATOC PET/CT and CT and/or MRI. The examinations were performed in terms of tumor staging and, in some instances, also of primary tumor site identification to evaluate the patient's eligibility for treatment. Each patient presented with either CT and/or MRI performed elsewhere and consecutively underwent 68Ga-DOTATOC PET/CT in our institution. RESULTS: In all 52 patients, 68Ga-DOTATOC PET/CT demonstrated pathologically increased uptake for at least 1 tumor site, yielding a sensitivity of 100% on a patient basis. In 3 of 4 patients with unknown primary tumor site, 68Ga-DOTATOC PET/CT visualized the primary tumor region (jejunum, ileum, and pancreas, respectively) not identified on CT and/or MRI. 68Ga-DOTATOC PET/CT detected additional hepatic and/or extrahepatic metastases in 22 of the 33 patients diagnosed with hepatic metastases on CT and/or MRI. Of the 15 patients evaluated for liver transplantation, we omitted 7 (46.6%) from further screening because of evidence of metastatic deposits not seen by conventional imaging. Overall, 68Ga-DOTATOC PET/CT altered our treatment decision based on CT and/or MRI alone, in 31 (59.6%) of the 52 patients. CONCLUSIONS: In this study, 68Ga DOTATOC PET/CT proved clearly superior to CT and/or MRI for detection and staging of NET. More important, 68Ga-DOTATOC PET/CT impacted our treatment decision in more than every second patient. PMID- 21037442 TI - Laparoscopic sphincter augmentation device eliminates reflux symptoms and normalizes esophageal acid exposure: one- and 2-year results of a feasibility trial. AB - OBJECTIVES: One- and 2-year evaluation of a feasibility trial (clinicaltrials.gov registration numbers NCT01057992, NCT01058070, and 01058564) to assess the safety and efficacy of a laparoscopically implanted sphincter augmentation device for the treatment of gastroesophageal reflux disease (GERD). METHODS: A sphincter augmentation device (LINX Reflux Management System; Torax Medical, Shoreview, MN), designed to prevent reflux due to abnormal opening of the lower esophageal sphincter (LES), was laparoscopically implanted at the gastroesophageal junction in 44 patients. At baseline, all patients had abnormal esophageal acid exposure on 24-hour pH monitoring and improved, but persistent, typical GERD symptoms while on acid suppression therapy with proton pump inhibitors (PPIs). The device comprises a miniature string of interlinked titanium beads, with magnetic cores, placed around the gastroesophageal junction. The magnetic bond between adjacent beads augments sphincter competence. The beads temporarily separate to accommodate a swallowed bolus, allow belching or vomiting, and reapproximate to augment the LES in the closed position. Patients were evaluated after surgery by GERD Health-Related Quality of Life symptom score, PPI usage, endoscopy, esophageal manometry, and 24-hour esophageal pH monitoring. RESULTS: The total mean GERD Health-Related Quality of Life symptom scores improved from a mean baseline value of 25.7 to 3.8 and 2.4 at 1- and 2-year follow-up, representing an 85% and 90% reduction, respectively (P < 0.0001). Complete cessation of PPI use was reported by 90% of patients at 1 year and by 86% of patients at 2 years. Early dysphagia occurred in 43% of the patients and self-resolved by 90 days. One device was laparoscopically explanted for persistent dysphagia without disruption of the anatomy or function of the cardia. There were no device migrations, erosions, or induced mucosal injuries. At 1 and 2 years, 77% and 90% of patients had a normal esophageal acid exposure. The mean percentage time pH was less than 4 decreased from a baseline of 11.9% to 3.1% (P < 0.0001) at 1 year and to 2.4% (P < 0.0001) at 2 years. Patient satisfaction was 87% at 1 year and 86% at 2 years. CONCLUSIONS: The new laparoscopically implanted sphincter augmentation device eliminates GERD symptoms without creating undue side effects and is effective at 1 and 2 years of follow-up. PMID- 21037443 TI - Rectal cancer surgery with or without bowel preparation: The French GRECCAR III multicenter single-blinded randomized trial. AB - OBJECTIVE: To assess with a single-blinded, multicenter, randomized trial, the postoperative results in patients undergoing sphincter-saving rectal resection for cancer without preoperative mechanical bowel preparation (MBP). BACKGROUND: The collective evidence from literature strongly suggests that MBP, before elective colonic surgery, is of no benefit in terms of postoperative morbidity. Very few data and no randomized study are available for rectal surgery and preliminary results conclude toward the safety of rectal resection without MBP. METHODS: From October 2007 to January 2009, patients scheduled for elective rectal cancer sphincter-saving resection were randomized to receive preoperative MBP (ie, retrograde enema and oral laxatives) or not. Primary endpoint was the overall 30-day morbidity rate. Secondary endpoints included mortality rate, anastomotic leakage rate, major morbidity rate (Dindo III or more), degree of discomfort for the patient, and hospital stay. RESULTS: A total of 178 patients (103 men), including 89 in both groups (no-MBP and MBP groups), were included in the study. The overall and infectious morbidity rates were significantly higher in no-MBP versus MBP group, 44% versus 27%, P = 0.018, and 34% versus 16%, P = 0.005, respectively. Regarding both anastomotic leakage and major morbidity rates, there was no significant difference between no-MBP and MBP group: 19% versus 10% (P = 0.09) and 18% versus 11% (P = 0.69), respectively. Moderate or severe discomfort was reported by 40% of prepared patients. Mortality rate (1.1% vs 3.4%) and mean hospital stay (16 vs 14 days) did not differ significantly between both groups. CONCLUSIONS: This first randomized trial demonstrated that rectal cancer surgery without MBP was associated with higher risk of overall and infectious morbidity rates without any significant increase of anastomotic leakage rate. Thus, it suggests continuing to perform MBP before elective rectal resection for cancer. PMID- 21037444 TI - Bacterial colonization of colonic crypt mucous gel and disease activity in ulcerative colitis. AB - OBJECTIVE: To optimize total bacterial 16S rRNA quantification in microdissected colonic crypts in healthy controls and patients with ulcerative colitis (UC) and to characterize the findings with disease activity. BACKGROUND: Microscopic and molecular techniques have recently converged to allow bacterial enumeration in remote anatomic locations [eg, crypt-associated mucous gel (CAMG)]. The aims of this study were to combine laser capture microdissection (LCM) and 16S rRNA-based quantitative polymerase chain reaction (qPCR) to determine total bacterial copy number in CAMG both in health and in UC and to characterize the findings with disease activity. METHODS: LCM was used to microdissect CAMG from colonic mucosal biopsies from controls (n = 20) and patients with acute (n = 10) or subacute (n = 10) UC. Pan-bacterial 16S rRNA copy number per millimeter square in samples from 6 locations across the large bowel was obtained by qPCR using Desulfovibrio desulfuricans as a reference strain. Copy numbers were correlated with the UC disease activity index (UCDAI) and the simple clinical colitis activity index (SCCAI). RESULTS: Bacterial colonization of CAMG was detectable in all groups. Copy numbers were significantly reduced in acute UC. In subacute colitis, there was a positive correlation between copy number and UCDAI and SCCAI in the ascending, transverse and sigmoid colon. CONCLUSIONS: This study describes a sensitive method of quantitatively assessing bacterial colonization of the colonic CAMG. A positive correlation was found between CAMG bacterial load and subacute disease activity in UC, whereas detectable bacterial load was reduced in acute UC. PMID- 21037445 TI - Computer-assisted surgery planning for complex liver resections: when is it helpful? A single-center experience over an 8-year period. AB - OBJECTIVE: The purpose of this study was (1) to compare 2-dimensional computed tomographic (2D-CT) and 3D-CT computer-assisted preoperative surgical planning, and (2) to define the indications for the latter method. BACKGROUND: The determination of functional residual liver volumes and the imaging of intrahepatic anatomy are critical when planning complex liver resections. PATIENTS AND METHODS: Prospective study of 202 consecutive patients who underwent high-risk procedures (extended right/left hepatectomies, central resections, polysegmentectomies, large atypical resections, repeated resections, and hepatectomies in the setting of abnormal liver parenchyma). Preoperative evaluation included 3D-CT computer-assisted surgical planning (3D-CASP) and conventional 2D-CT imaging. Endpoints of the study were (1) determination of resectability and (2) changes in operative strategy (resection modifications/extensions/intrahepatic vascular reconstructions). RESULTS: Thirty four of 202 cases were considered nonresectable on the basis of both 2D and 3D imaging results. In 56 (33%) instances, 3D-CASP either changed the 2D strategy (expansion of resection, n = 40; intrahepatic vascular reconstructions, n = 13) or provided an entirely different approach (n = 3). Eleven (5.4%) cases were considered unresectable at laparotomy on the basis of poor liver quality (n = 8) or unfeasible vascular reconstructions resulting in remnants too small to sustain physiologic function (n = 3). Significant differences between resectional 2D and functional 3D remnant liver volumes were observed in extended left hepatectomies and left trisectionectomies. CONCLUSIONS: 3D-CASP was particularly helpful in patients with unconventional resection planes and in those with central left tumors. Its main advantages were the individualized inflow/outflow virtual analyses and the accurate determination of safely perfused/drained retained liver volumes. PMID- 21037446 TI - A new sutureless telescoping anastomotic technique for major aortic branch revascularization with minimal dissection and ischemia. AB - OBJECTIVES: Aortic surgery involving major aortic branches (supraaortic trunks, visceral, renal arteries, and iliac arteries) is complicated by the requirement to dissect and occlude them during revascularization. We report an 8-year experience with a sutureless telescoping anastomotic technique to revascularize these branches with minimal branch dissection and organ ischemia. METHODS: Over an 8-year period, 246 major aortic branches in 142 patients were revascularized by the following technique: After limited dissection of the most easily accessible wall of the target artery, a self-expanding but unexpanded stent graft, Viabahn (5-13 mm in diameter; 5-15 cm long) was introduced into a standard vascular graft (SVG) 1 mm less in diameter than the expanded stent graft. The target artery was punctured and over a guide wire the unexpanded stent graft was introduced 1 to 2 cm in artery. The SVG was advanced over the nondeployed stent graft up to the artery puncture site. Then the stent graft was deployed (partly in the branch and partly in the SVG). After balloon dilatation of the stent graft, the balloon and guide wire were removed and 2 stitches placed to penetrate the arterial wall and stent graft to fix it in the artery. Usually the proximal end of the SVG was already anastomosed to an aortic replacement graft, the aorta or an iliac artery before stent-graft branch revascularization was performed so that ischemia to the organs supplied by the aortic branch was minimized. RESULTS: This technique was used for revascularization of supraaortic trunks (45 target vessels), and renal and/or visceral arteries and/or hypogastric arteries (201 target vessels), mostly in debranching procedures to allow endovascular aneurysm repair. The immediate technical success rate was 98%. Overall mean ischemia time was less than 4 minutes. The 30-day patency rate was 94%, and the mid-term (4-5 year) patency rate was 91%. CONCLUSIONS: This technique simplifies and shortens performance of aortic branch revascularization during aortic reconstructions for aneurysmal or occlusive disease. It minimizes vessel dissection and ischemia time and is of particular value in hybrid procedures, anatomically challenging situations, and in extensive scarring encountered in redo surgery. PMID- 21037448 TI - Intra-abdominal pressure measurement using a U-tube technique: caveat emptor! PMID- 21037449 TI - Variability in length of stay after colorectal surgery: assessment of 182 hospitals in the national surgical quality improvement program. PMID- 21037450 TI - Is sleeve gastrectomy a restrictive or an adaptive procedure? Reflections on the concepts of restriction and adaptation. PMID- 21037452 TI - Potential bias in a randomized trial of laparoscopic gastric bypass versus laparoscopic adjustable gastric banding. PMID- 21037453 TI - Humor, spontaneity, and sanity: a lesson in relationships. PMID- 21037455 TI - Self-regulate or self-medicate: we all must choose. PMID- 21037456 TI - Coconut fragrance and cardiovascular response to laboratory stress: results of pilot testing. AB - There is preliminary evidence that pleasant fragrances may alter response to stressors in different settings. This pilot study examined the effect of coconut fragrance on cardiovascular response to standard laboratory stressors. While inhaling coconut fragrance (n = 17) or air (n = 15), subjects performed a Stroop color-word task and a mental arithmetic task. Heart rate (HR), heart period variability (HPV) and blood pressure were measured during the 5-minute baseline, the task, and the recovery periods. The results indicated that subjects breathing coconut fragrance had higher HR and lower HPV than those who performed tasks while breathing air. HR response to mental arithmetic seemed to be blunted in the subjects breathing coconut; however, the lack of a difference in HPV seems to indicate that the blunting may be due to decreased sympathetic response, not decreased parasympathetic withdrawal under stress. Blood pressure recovery was slightly enhanced in subjects under coconut fragrance. Thus, the results of this pilot test suggest that coconut fragrance may alter cardiovascular activity both at rest and in response to stressors. Future experimentation should attempt to replicate and extend these findings in larger samples in clinical settings. PMID- 21037457 TI - Exploring perceptions of "do not resuscitate" and "allowing natural death" among physicians and nurses. AB - Many patients spend their last days in expensive, painful intensive care units instead of receiving comfortable, palliative care. This study surveyed perceptions of physicians and nurses about using the more holistic "allow natural death" (AND) terminology in end-of-life care as opposed to the current "do not resusciate" (DNR) order. PMID- 21037458 TI - Prayer practices among young adults. AB - Prayer is the most common complementary and alternative intervention used by most Americans. Yet, little is known about the prayer practices of young adults. In this exploratory study, 4 types of prayer practices of 62 young adults (21-30 years old) are described. The 4 different categories of prayer were: contemplative-meditative, ritualistic, petitionary, and colloquial. Participants most often used colloquial prayer practice, that is, asking God to provide guidance or talking to God in their own words. Recommendations for future research are included. PMID- 21037459 TI - Qigong: an innovative intervention for rural women at risk for type 2 diabetes. AB - Perceived healthiness and a belief in one's ability to make lifestyle changes may exert influence on individual health behaviors and outcomes. The purpose of this study was to determine the relationship of qigong exercise with perception of healthiness as well as with selected serum laboratory measures and blood pressure in women at risk for type 2 diabetes. Perception of healthiness was favorably affected; however, no significant differences were noted in serum laboratory measures or blood pressure. The centuries-old traditional Chinese medicine practice of qigong has potential to improve perceptions of healthiness, as well as physiologic measurements of health, among women at risk for type 2 diabetes. PMID- 21037460 TI - Aromatic plants, spirituality, and sacred traditions II. PMID- 21037461 TI - Type 2 diabetes mellitus: metabolic surgery and gastric submucosal islet transplantation, is there a connection? PMID- 21037462 TI - Umbilical cord blood-derived mesenchymal cell fate after mouse umbilical cord blood transplantation. PMID- 21037463 TI - mTOR immunosuppression in HIV-positive liver transplant recipients. PMID- 21037464 TI - The spleen as a site for hematopoiesis. PMID- 21037467 TI - Immunotherapy for head and neck cancer: advances and deficiencies. AB - The concept of immunotherapy as a treatment for cancer patients has been in existence for decades. However, more recent immune therapeutic approaches have involved targeting of tumor-specific antigens. Although improvements have been made in using such immune stimulatory treatment strategies for a variety of solid cancers, the use of these strategies for patients with head and neck squamous cell carcinoma (HNSCC) is lagging behind. Immunotherapeutic approaches for HNSCC are particularly complicated by the profound immune suppression that is induced by HNSCC, which potentially decreases the effectiveness of immune stimulatory efforts. Trials involving patients with various solid cancers have shown the enhanced effectiveness of combining various immunotherapeutic approaches or combining immunotherapy with chemotherapy or radiation therapy. Treatment of HNSCC with such combination approaches has not been extensively investigated and has the added challenge of the need to overcome the HNSCC-induced immune suppression. This study focuses on clinical trials that have tested immunotherapeutic approaches for HNSCC patients and the challenges associated with such approaches. In addition, it will call attention to immunotherapeutic strategies that have been shown to be successful in the treatment of other solid cancers to identify potential strategies that may apply to the treatment of HNSCC. PMID- 21037468 TI - mTOR inhibition in advanced renal cell carcinoma: which criteria should be used to evaluate therapeutic outcome? AB - With the implementation of mammalian target of rapamycin (mTOR) inhibitors in the systemic treatment of advanced renal cell carcinoma (RCC), considerable progress has been made regarding survival time and quality of life (QoL) compared with the treatment options used earlier. The prognostic factors used and the diagnostic measures taken to evaluate the oncological outcome and QoL of affected patients have not been adapted to this development adequately. This study analyses the recent phase III mammalian target of rapamycin inhibition trials for patients with metastatic RCC focussing on parameters for measurement of efficacy and QoL. It emphasizes the importance of adequate evaluation criteria for survival and QoL, as achieved by quality adjusted-time without symptoms and toxicity, in the palliative setting of advanced RCC. PMID- 21037469 TI - Comparison of norepinephrine-dobutamine to epinephrine for hemodynamics, lactate metabolism, and organ function variables in cardiogenic shock. A prospective, randomized pilot study. AB - OBJECTIVE: There is no study that has compared, in a randomized manner, which vasopressor is most suitable in optimizing both systemic and regional hemodynamics in cardiogenic shock patients. Hence, the present study was designed to compare epinephrine and norepinephrine-dobutamine in dopamine-resistant cardiogenic shock. DESIGN: Open, randomized interventional human study. SETTING: Medical intensive care unit in a university hospital. PATIENTS: Thirty patients with a cardiac index of <2.2 L/min/m and a mean arterial pressure of <60 mm Hg resistant to combined dopamine-dobutamine treatment and signs of shock. Patients were not included in cases of cardiogenic shock secondary to acute ischemic events such as myocardial infarction. Noninclusion criteria also included immediate indication of mechanical assistance. INTERVENTIONS: Patients were randomized to receive an infusion of either norepinephrine-dobutamine or epinephrine titrated to obtain a mean arterial pressure of between 65 and 70 mm Hg with a stable or increased cardiac index. MAIN RESULTS: Both regimens increased cardiac index and oxygen-derived parameters in a similar manner. Patients in the norepinephrine-dobutamine group demonstrated heart rates lower (p<.05) than those in the epinephrine group. Epinephrine infusion was associated with new arrhythmias in three patients. When compared to baseline values, after 6 hrs, epinephrine infusion was associated with an increase in lactate level (p<.01), whereas this level decreased in the norepinephrine-dobutamine group. Tonometered PCO2 gap, a surrogate for splanchnic perfusion adequacy, increased in the epinephrine-treated group (p<.01) while decreasing in the norepinephrine group (p<.01). Diuresis increased in both groups but significantly more so in the norepinephrine-dobutamine group, whereas plasma creatinine decreased in both groups. CONCLUSIONS: When considering global hemodynamic effects, epinephrine is as effective as norepinephrine-dobutamine. Nevertheless, epinephrine is associated with a transient lactic acidosis, higher heart rate and arrhythmia, and inadequate gastric mucosa perfusion. Thus, the combination norepinephrine dobutamine appears to be a more reliable and safer strategy. PMID- 21037470 TI - Jejunal tube placement in critically ill patients: A prospective, randomized trial comparing the endoscopic technique with the electromagnetically visualized method. AB - OBJECTIVE: Head-to-head comparison of the success rate of jejunal placement of a new electromagnetically visualized jejunal tube with that of the endoscopic technique in critically ill patients. DESIGN: : Prospective, randomized clinical trial. SETTING: Two intensive care units at a university hospital. PATIENTS: : A total of 66 critically ill patients not tolerating intragastric nutrition. INTERVENTIONS: Patients were randomly assigned (2:1 ratio) to receive an electromagnetically visualized jejunal feeding tube or an endoscopically placed jejunal tube. The success rate of correct jejunal placement after 24 hrs was the main outcome parameter. MEASUREMENTS AND MAIN RESULTS: The correct jejunal tube position was reached in 21 of 22 patients using the endoscopic technique and in 40 of 44 patients using the electromagnetically visualized jejunal tube (95% vs. 91%; relative risk 0.9524, confidence interval 0.804-1.127, p = .571). In the remaining four patients, successful endoscopic jejunal tube placement was performed subsequently. The implantation times, times in the right position, and occurrences of nose bleeding were not different between the two groups. The electromagnetically visualized technique resulted in the correct jejunal position more often at the first attempt. Factors associated with successful placement at the first attempt of the electromagnetically visualized jejunal tube seem to be a higher body mass index and absence of emesis. This trial is registered at ClinicalTrials.gov, number NCT00500851. CONCLUSIONS: In a head-to-head comparison correct jejunal tube placement using the new electromagnetically visualized method was as fast, safe, and successful as the endoscopic method in a comparative intensive care unit patient population. PMID- 21037471 TI - Do-not-attempt-resuscitation orders and prognostic models for intraparenchymal hemorrhage. AB - OBJECTIVES: Statistical models predicting outcome after intraparenchymal hemorrhage include patients irrespective of do-not-attempt-resuscitation orders. We built a model to explore how the inclusion of patients with do-not-attempt resuscitation orders affects intraparenchymal hemorrhage prognostic models. DESIGN: Retrospective, observational cohort study from May 2001 until September 2003. SETTING: University-affiliated tertiary referral hospital in Seattle, WA. PATIENTS: Four hundred twenty-four consecutive patients with spontaneous intraparenchymal hemorrhage. MEASUREMENTS AND MAIN RESULTS: We retrospectively abstracted information from medical records of intraparenchymal hemorrhage patients admitted to a single hospital. Using multivariate logistic regression of presenting clinical characteristics, but not do-not-attempt-resuscitation status, we generated a prognostic score for favorable outcome (defined as moderate disability or better at discharge). We compared observed probability of favorable outcome with that predicted, stratified by do-not-attempt-resuscitation status. We then generated a modified prognostic score using only non-do-not-attempt resuscitation patients. Records of 424 patients were reviewed: 44% had favorable outcome, 43% had a do-not-attempt-resuscitation order, and 38% died in hospital. The observed and predicted probability of favorable outcome agreed well with all patients taken together. The observed probability of favorable outcome was significantly higher than predicted in non-do-not-attempt-resuscitation patients and significantly lower in do-not-attempt-resuscitation patients. Results were similar when applying a previously published and validated prognostic score. Our modified prognostic score was no longer pessimistic in non-do-not-attempt resuscitation patients but remained overly optimistic in do-not-attempt resuscitation patients. CONCLUSIONS: Although our prognostic model was well calibrated when assessing all intraparenchymal hemorrhage patients, predictions were significantly pessimistic in patients without and optimistic in those with do-not-attempt-resuscitation orders. Such pessimism may drive decisions not to attempt resuscitation in patients in whom a favorable outcome may have been possible, thereby creating a self-fulfilling prophecy. To be most useful in clinical decision making, intraparenchymal hemorrhage prognostic models should be calibrated to large intraparenchymal hemorrhage cohorts in whom do-not-attempt resuscitation orders were not used. PMID- 21037472 TI - Postintensive care unit psychological burden in patients with chronic obstructive pulmonary disease and informal caregivers: A multicenter study. AB - OBJECTIVE: To determine the prevalence and risk factors of symptoms of anxiety, depression, and posttraumatic stress disorder-related symptoms in patients with chronic obstructive pulmonary disease and their relatives after an intensive care unit stay. DESIGN: Prospective multicenter study. SETTING: Nineteen French intensive care units. SUBJECTS: One hundred twenty-six patients with chronic obstructive pulmonary disease who survived an intensive care unit stay and 102 relatives. INTERVENTION: None. MEASUREMENTS AND MAIN RESULTS: Patients and relatives were interviewed at intensive care unit discharge and 90 days later to assess symptoms of anxiety and depression using Hospital Anxiety and Depression Scale (HADS) and posttraumatic stress disorder-related symptoms using the Impact of Event Scale (IES). At intensive care unit discharge, 90% of patients recollected traumatic psychological events in the intensive care unit. At day 90, we were able to conduct telephone interviews with 53 patients and 47 relatives. Hospital Anxiety and Depression Scale scores indicated symptoms of anxiety and depression in 52% and 45.5% of patients at intensive care unit discharge and in 28.3% and 18.9% on day 90, respectively. Corresponding prevalence in relatives were 72.2% and 25.7% at intensive care unit discharge and 40.4% and 14.9% on day 90, respectively. The Impact of Event Scale indicated posttraumatic stress disorder-related symptoms in 20.7% of patients and 29.8% of relatives on day 90. Peritraumatic dissociation assessed using the Peritraumatic Dissociative Experiences Questionnaire was independently associated with posttraumatic stress disorder-related symptoms in the patients and relatives. Previous intensive care unit experience and recollection of bothersome noise in the intensive care unit predicted posttraumatic stress disorder-related symptoms in the patients. CONCLUSIONS: Psychiatric symptoms were found to be common in a group of 126 patients with chronic obstructive pulmonary disease who survived an intensive care unit stay and their relatives at intensive care unit discharge and 90 days later. Peritraumatic dissociation at intensive care unit discharge was found to independently predict posttraumatic stress disorder-related symptoms in this sample of patients and relatives. PMID- 21037473 TI - Intracranial pressure following resuscitation with albumin or saline in a cat model of meningitis. AB - OBJECTIVE: To compare the intracranial pressure after resuscitation to normovolemia by using 20% albumin or normal saline in a cat model of meningitis. DESIGN: Prospective, randomized animal study. SETTING: University hospital laboratory. SUBJECTS: Twenty adult, male cats. INTERVENTIONS: Meningitis was induced by intrathecal injection of Escherichia coli-derived lipopolysaccharide (0.8 * 10 units/kg). Four hours after the lipopolysaccharide injection, the animals were randomized to intravenous treatment with 0.4 mL/kg/hr of 20% albumin or 7.5 mL/kg/hr of 0.9% sodium chloride for 6 hrs (n = 7 per group). A control group receiving lipopolysaccharide but no fluid was also studied (n = 6). MEASUREMENTS AND MAIN RESULTS: Effects on intracranial pressure, mean arterial pressure, plasma volume (I-albumin technique), plasma oncotic pressure, and brain metabolism via cerebral interstitial lactate/pyruvate ratio and glycerol and glucose levels (microdialysis technique) were evaluated. Plasma volume decreased by approximately 20% and intracranial pressure increased from 10 to approximately 20 mm Hg at 4 hrs after the lipopolysaccharide injection. Six hours later, plasma volume had returned to baseline in both fluid groups while there was a further reduction in the control group. Intracranial pressure was higher in the saline group than in the albumin and control groups and was 25.8 +/- 2.8 mm Hg, 18.3 +/- 0.6 mm Hg, and 20.4 +/- 1.7 mm Hg, respectively. Plasma oncotic pressure was higher in the albumin group than in the saline and control groups. Mean arterial pressure and microdialysis data were within normal range and did not differ among the groups. CONCLUSIONS: The results showed that the choice of resuscitation fluid may influence intracranial pressure in meningitis. The lower intracranial pressure in the colloid group may be explained by a higher plasma oncotic pressure and less fluid distribution to the brain interstitium. PMID- 21037474 TI - Using evidence-based medicine to protect healthcare workers from pandemic influenza: Is it possible? AB - OBJECTIVE: To use evidence-based principles to develop infection control algorithms to ensure the protection of healthcare workers and the continuity of health service provision during a pandemic. DESIGN: : Evidence-based algorithms were developed from published research as well as "needs and values" assessments. Research evidence was obtained from 97 studies reporting the protectiveness of antiviral prophylaxis, seasonal vaccination, and mask use. Needs and values assessments were undertaken by international experts in pandemic infection control and local healthcare workers. Opportunity and resources costs were not determined. SETTING: The Australian government commissioned the development of an evidence-based algorithm for inclusion in the 2008 revision of the Australian Health and Management Plan for Pandemic Influenza. PARTICIPANTS: Two international infection control teams responsible for healthcare worker safety during the Severe Acute Respiratory Syndrome outbreak reviewed the evidence-based algorithms. The algorithms were then reviewed for needs and values by eight local clinicians who were considered key frontline clinicians during the contain and sustain phases. The international teams reviewed for practicability of implementation, whereas local clinicians reviewed for clinician compliance. RESULTS: Despite strong evidence for vaccination and antiviral prophylaxis providing significant protection, clinicians believed they required the additional combinations of both masks and face shields. Despite the equivocal evidence for the efficacy of surgical and N95 masks and the provision of algorithms appropriate for the level of risk according to clinical care during a pandemic, clinicians still demanded N95 masks plus face shields in combination with prophylaxis and novel vaccination. CONCLUSIONS: Conventional evidence-based principles could not be applied to formulate recommendations due to the lack of pandemic-specific efficacy data of protection tools and the inherent unpredictability of pandemics. As an alternative, evidence-based principles have been used to formulate recommendations while giving priority to the needs and values of healthcare workers over the research evidence. PMID- 21037475 TI - Handover in the perioperative care process. AB - PURPOSE OF REVIEW: To summarize recent developments in the study of perioperative handovers, when patients are transferred between various hospital locations (emergency room, ward, operating room, recovery room, intensive care unit) and handovers between care providers (doctors and nurses) when changing shifts. RECENT FINDINGS: There has been tremendous activity in studying handovers during the last 2 years, and many potential improvements were developed, implemented and evaluated in real-life care settings. In hospitals that have electronic patient records (EPRs), a promising approach is to support the various verbal handover processes with software tools that can combine specific handover items such as to do lists, daily goals, and concerns, with automatically extracted data from the EPRs. SUMMARY: There is now widespread consensus that robust, structured handover processes are critical for safe patient care. Checklists and software tools to facilitate the handover process may improve the reliability of handovers and relieve the stress on residents of handing over their patients to the incoming resident. However, there is no 'one size fits all' solution to the problems of handover. Handover improvements will need to be tailored to the specific care setting and handover type. PMID- 21037476 TI - Administration and monitoring of intravenous anesthetics. AB - PURPOSE OF REVIEW: The importance of accuracy in controlling the dose-response relation for intravenous anesthetics is directly related to the importance of optimizing the efficacy and quality of anesthesia while minimizing adverse drug effects. Therefore, it is important to measure and control all steps of the pharmacokinetic and dynamic cascade influencing this dose-effect relationship. RECENT FINDINGS: The ultimate goal when administering a particular dose of a drug is to obtain the desired clinical effect, taking into account interindividual pharmacokinetic and dynamic variability. Recent findings suggest that effect compartment-controlled target-controlled infusion systems and measurement of (surrogate) clinical drug effects might be helpful in an attempt to optimize the administration intravenous anesthetics and opioids. Additionally, recent findings suggest that the pharmacokinetic and dynamic interaction between anesthetics and opioids is important and such be taking into account when optimizing drug administration. Hereby, feedback control technology and advisory displays depicting these interactions have been studied. SUMMARY: Anesthetic drug administration might be optimized by applying knowledge from clinical pharmacokinetics and dynamics. PMID- 21037477 TI - Aortitis, periaortitis, and retroperitoneal fibrosis, as manifestations of IgG4 related systemic disease. AB - PURPOSE OF REVIEW: In last few years, there have been significant advances in our understanding of a newly recognized condition known as IgG4-related systemic disease. This review will focus on IgG4-related systemic disease as a cause of thoracic aortitis, inflammatory abdominal aortic aneurysm or periaortitis, and retroperitoneal fibrosis. RECENT FINDINGS: A significant fraction of thoracic lymphoplasmacytic aortitis cases, about 40% of inflammatory abdominal aortic aneurysms/abdominal periaortitis cases, and a portion of retroperitoneal fibrosis cases are all caused by IgG4-related systemic disease. Assessing pathologic specimens for the fraction of plasma cells that express IgG4 is useful in identifying patients with this disorder. Recently reported data may indicate IgG4 related aortic disease to be more common than widely realized. SUMMARY: IgG4 related systemic disease is a newly recognized disorder that may manifest as thoracic aortitis, inflammatory abdominal aortic aneurysm or retroperitoneal fibrosis. IgG4-related systemic disease should be considered in any patient found to have aortitis or periaortitis. Further studies into diagnostic criteria, disease prevalence, prognosis, therapeutic interventions, and differentiating possible localized hypersensitivity reactions from systemic disease are areas of active investigation. Criteria are presented for the pathologic diagnosis of IgG4 related aortitis. PMID- 21037478 TI - Pyoderma gangraenosum. AB - PURPOSE OF REVIEW: To describe current progress in understanding pyoderma gangraenosum, illustrate clinical observations and discuss therapeutic interventions. RECENT FINDINGS: The proline-rich, glutamic acid-rich, serine-rich and threonine-rich (PEST) family of protein tyrosine phosphatases is a critical regulator of adhesion and migration. PSTPIP1 is a cytoskeleton-associated adaptor protein that links PEST-type phosphatases to their substrates. This pathway seems to be involved in diseases related to pyoderma gangraenosum such as chronic inflammatory bowel disease and aseptic abscesses syndrome. Pyoderma gangraenosum is one of the most common extra-intestinal manifestations of chronic inflammatory bowel disease. In multivariate analyses, pyoderma gangraenosum was significantly and independently associated with black African origin, familial history of ulcerative colitis, uninterrupted pancolitis as the initial location of inflammatory bowel disease, permanent stoma, eye involvement and erythema nodosum. The treatment of choice for idiopathic pyoderma gangraenosum is systemic corticosteroids but cyclosporine A, mycophenolate mofetil and tumour necrosis factor-alpha inhibitors have been successful to control pyoderma gangraenosum as second line or adjuvant options. In addition, small studies have been published with successful therapeutic intervention using alefacept, visilizumab or anakinra but controlled trials are warranted. Although systemic immunosuppressants remain the choice therapy for most cases of pyoderma gangraenosum, a local approach should be considered in localized disease. Recently, topical tacrolimus has successfully been used as an off-label drug in localized disease. SUMMARY: By a better understanding of the underlying pathology and recent drug developments patients with pyoderma gangraenosum will benefit. For several new drugs, however, controlled trials are warranted. PMID- 21037479 TI - Lower extremity vasculitis in polymyalgia rheumatica and giant cell arteritis. AB - PURPOSE OF REVIEW: Recent studies suggest that extracranial involvement of giant cell arteritis (GCA) may be more extensive than previously appreciated and clinicians should be aware of this complication. RECENT FINDINGS: Imaging studies in GCA and polymyalgia rheumatica (PMR) suggest that vasculitis can affect multiple vascular territories including the lower extremities. The findings of imaging studies, clinical features and outcomes of patients with lower extremity vasculitis are explored in this review. Possible mechanisms for the observed distribution of vessel involvement are discussed. SUMMARY: Lower extremity involvement in GCA and PMR may be associated with significant morbidity and is likely underrecognized clinically. Imaging studies can be useful in identifying this uncommon complication. PMID- 21037480 TI - Has the quality of abstracts for randomised controlled trials improved since the release of Consolidated Standards of Reporting Trial guideline for abstract reporting? A survey of four high-profile anaesthesia journals. AB - BACKGROUND AND OBJECTIVE: Randomised controlled trial (RCT) abstracts published in journal articles have traditionally been deficient of crucial information. To improve the quality of RCT abstracts, in January 2008, the Consolidated Standards of Reporting Trial (CONSORT) group published a checklist of essential information for inclusion. The current study assessed whether there has been an improvement in the quality of RCT abstracts published in main anaesthesia journals since this new guideline was introduced. METHODS: Articles involving human RCTs published in four high-profile anaesthesia journals (Anaesthesia, Anesthesia & Analgesia, Anesthesiology and the European Journal of Anaesthesiology) were reviewed, comparing those published from October 2005 to September 2006 (pre-CONSORT abstracts) with those published from October 2008 to September 2009 (post-CONSORT abstracts). Trials involving healthy volunteers or cadavers, cost-effectiveness studies, meta-analyses and letters were excluded. Abstracts from remaining RCTs were randomly assigned to four reviewers in a blinded fashion and reviewed for content using the new CONSORT checklist. RESULTS: In total, 527 RCT abstracts (pre-CONSORT RCTs, n = 275 and post-CONSORT RCTs, n = 252) were analysed. The majority of abstracts in both groups provided an appropriate description of study interventions (73.1 and 73.8%, pre-CONSORT abstracts versus post-CONSORT abstracts, respectively), objective (91.3 and 90.1%) and conclusions (72.4 and 66.3%). From pre-CONSORT to post-CONSORT guidelines for abstract reporting, there were significant improvements in correctly identifying blinding (18.2-29%) and harmful effects (31.6-42.1%). The improvement in reporting the nature of the trial in abstract titles (20.1-29%) and primary outcome measure in the methods section (22.9-30.6%) did not reach significance. There was no clear improvement in the already poor reporting of trial design, participants, randomisation, recruitment, outcomes, trial registration and funding sources. CONCLUSIONS: Despite some promising improvements and inter-journal differences, the overall quality of RCT abstracts and adherence to the CONSORT checklist for abstracts remains poor. PMID- 21037481 TI - Deficits in muscle strength, mass, quality, and mobility in people with chronic obstructive pulmonary disease. AB - PURPOSE: Midthigh intramuscular fat (IF), a feature of reduced muscle quality, is an important predictor of self-reported mobility loss in the elderly. This study compared measures of muscle strength, mass, IF, and mobility in patients with chronic obstructive pulmonary disease (COPD) and healthy subjects. Associations between measures of muscle strength, mass, IF, and mobility were explored. METHODS: Knee extensor muscle strength was assessed with an isokinetic dynamometer. Cross-sectional area and IF of the thigh muscles were measured with computerized tomography. Mobility was assessed with the repetitive sit-to-stand, self-selected gait speed, and 6-minute walk tests. RESULTS: Patients with COPD (n = 21, age 71.3 +/- 8.1 years, and a percentage predicted force expiratory volume in 1 second of 47.2 +/- 12.9) and 21 healthy subjects matched for age (67.4 +/- 8.6 years), gender, and body mass participated in the study. Patients with COPD showed reduced average knee extensor strength (29%, P = .016) cross-sectional area of the thigh muscles (17%, P = .007) and mobility measures (~23%, P <= .001). Knee extensor and flexor IF was 2-folds greater in people with COPD (P <= .005). Measures of knee extensor muscle strength, mass, and IF were not associated with mobility measures. CONCLUSIONS: Compared with healthy controls, patients with moderate to severe COPD show marked deficits in muscle strength, mass, quality, and mobility. More studies with larger sample size are required to elucidate whether any of these muscle deficits can explain mobility impairments in COPD. PMID- 21037482 TI - The effects of a cardiac rehabilitation program tailored for women on their perceptions of health: a randomized clinical trial. AB - PURPOSE: The aim of this study was to compare the effects of a cardiac rehabilitation (CR) program tailored for women with a traditional program on perceptions of health among women with coronary heart disease. METHODS: This 2 group randomized clinical trial compared the perceptions of health among 92 women completing a traditional 12-week CR program with those of 133 women completing a tailored program that included motivational interviewing guided by the transtheoretical model of behavior change. Perceptions of health were measured using the SF-36 Health Survey at baseline, postintervention, and at 6-month follow-up. Analysis of variance was used to compare changes in SF-36 Health Survey subscale scores over time. RESULTS: The group-by-time interaction was significant for the general health (F2,446 = 3.80, P = .023), social functioning (F2,446 = 4.85, P = .008), vitality (F2,446 = 5.85, P = .003), and mental health (F2,446 = 3.61, P = .028) subscales, indicating that the pattern of change was different between the 2 groups. Of the 4 subscales on which there were significant group-by-time interactions, the tailored group demonstrated improved scores over time on all 4 subscales, while the traditional group improved on only the emotional role limitations and vitality subscales. CONCLUSIONS: A tailored CR program improved general health perceptions, mental health, vitality, and social functioning in women when compared with traditional CR. To the extent that perceptions of health contribute to healthy behaviors fostered in CR programs, tailoring CR programs to alter perceptions of health may improve adherence. PMID- 21037483 TI - Participation in community-based exercise maintenance programs after completion of hospital-based cardiac rehabilitation: a mixed-method study. AB - OBJECTIVE: To understand the characteristics associated with participation in community-based exercise maintenance programs in patients with coronary heart disease (CHD) after completion of hospital-based cardiac rehabilitation in Scotland. METHODS: A mixed-methods approach was used (survey and focus groups) to explore determinants of participation in community-based exercise maintenance programs. RESULTS: Of 112 patients (67% male), 81 patients responded (73% response rate). Knowledge was high of the importance (19.2%) or high importance (75.6%) of physical activity to cardiac health. However, the focus groups identified that use of community-based exercise maintenance programs was affected by personal confidence, perceived meaningful support for people with CHD, and suitability of exercises to CHD patients. CONCLUSIONS: Decisions regarding the use of community-based exercise maintenance programs after hospital-based cardiac rehabilitation appear to be impacted by a range of factors linked to the views of exercise, confidence, and suitability of the program regimen to the individual with CHD. PMID- 21037484 TI - Evaluation of an evidence-based, nurse-driven checklist to prevent hospital acquired catheter-associated urinary tract infections in intensive care units. AB - Catheter-associated urinary tract infections account for 40% of all health care associated infections. An evidence-based, nurse-driven daily checklist for initiation and continuance of urinary catheters was implemented in 5 adult intensive care units. Measures of compliance, provider satisfaction, and clinical outcomes were recorded. Compliance with the checklist was 50 to 100%: catheter associated urinary tract infections decreased from 2.88 to 1.46 per 1000 catheter days and catheter days decreased in 2 intensive care units. PMID- 21037485 TI - Link between patients' perceptions of their acute care hospital experience and institutions' injurious fall rates. AB - This exploratory study used 4 publicly available large data sets to determine whether significant correlations exist between patients' perceptions of their acute care hospital experience and hospital-acquired injurious fall rates at their hospitals in the states of California, Florida, and New York in 2007. The results showed that the higher the inpatient satisfaction levels with the responsiveness of hospital staff and cleanliness and quietness of the hospital environment, the lower were the injurious fall rates. PMID- 21037486 TI - The impact of menopause on vocal quality. AB - OBJECTIVE: The purpose of this study was to measure and describe the effect of menopause on vocal characteristics by comparing premenopausal and postmenopausal women (not taking hormone therapy [HT]). METHODS: Thirty-eight postmenopausal women (mean age, 58 y) not taking HT participated in the study. The control group consisted of 34 premenopausal women (mean age, 48 y). To determine the vocal characteristics in both groups, objective (aerodynamic measurements, vocal range measurements, acoustic analysis, electroglottography, and a determination of the Dysphonia Severity Index) and subjective (perceptual evaluation, videostroboscopic evaluation, and Voice Handicap Index) assessment techniques were used. RESULTS: Postmenopausal women showed a good overall vocal quality, with a Dysphonia Severity Index value of 3.3. Significant differences in aerodynamic parameters (vital capacity and phonation quotient), vocal range (lowest frequency), and acoustic parameters (fundamental frequency [F0] during reading, variation of F0, F0 tremor intensity index, and amplitude tremor intensity index) were found between the premenopausal and postmenopausal women. However, when controlling for age, only phonation quotient and F0 during reading were significantly lower in the group of postmenopausal women. For the perceptual evaluation, significant differences in grade of dysphonia, breathiness, and strained vocal quality were found. CONCLUSIONS: Postmenopausal women not taking HT had a good overall vocal quality. However, in comparison with premenopausal women, they showed a lower habitual F0 in continuous speech. PMID- 21037487 TI - Taxane-based regimens as a risk factor for chemotherapy-induced amenorrhea. AB - OBJECTIVE: The objective of our study was to show the impact of different chemotherapy regimens on the incidence of amenorrhea (chemotherapy-induced amenorrhea [CIA]) in premenopausal women of various ages with breast cancer. METHODS: This is a follow-up study of 226 premenopausal women with breast cancer who had received one of three chemotherapy regimens: conventional (cyclophosphamide/methotrexate/5-fluorouracil), anthracycline based, and anthracycline-taxane based. They were evaluated for the incidence of CIA in the follow-up clinic of the Iranian Center for Breast Cancer. A statistical analysis using SPSS software was performed, and logistic regression and Cox regression model were used to determine the risk factors for CIA. RESULTS: Of the 226 women with a median age of 40 years (range, 26-56 y) who participated in this study, 154 (68.1%) developed CIA. In 101 (65.6%) of these women, CIA was established. CIA was present in 52.5% of the women who had been treated with conventional regimens (cyclophosphamide/methotrexate/5-fluorouracil), 66.7% of the women who had been treated with anthracycline, and 78.7% of the women who had been treated with anthracycline-taxane. Therefore, the frequency of CIA was significantly higher in the taxane-based chemotherapy group than in the other groups (P = 0.015). Although a slightly higher incidence of CIA in women with hormone insensitive tumors (estrogen receptor negative and progesterone receptor negative) versus hormone-sensitive tumors (estrogen receptor positive and progesterone receptor positive) who had been treated with combination regimens was observed, no statistically significant difference was found (P = 0.629). Of all of the risk factors that were evaluated in the study, anthracycline-taxane based regimens (odds ratio, 4.059; 95% CI, 1.6-9.8) and age older than 40 years (odds ratio, 3.5; 95% CI, 1.9-6.6) were the most important factors in the development of CIA. CONCLUSIONS: The type of chemotherapy and the age of the woman at the onset of breast cancer are the most important risk factors in CIA. Taxane-based regimens induced more CIA than did other regimens. PMID- 21037488 TI - Increased cortisol level: a possible link between climacteric symptoms and cardiovascular risk factors. AB - OBJECTIVE: Vasomotor symptoms may increase the risk for cardiovascular diseases through still elusive mechanisms. Increased cortisol release may favor atherosclerosis. In this study, we tested whether vasomotor and psychological symptoms are associated with an increase in cortisol levels. METHODS: A cross sectional investigation on women in early menopause enrolled consecutively between January and June 2009 was conducted. This study was set at a menopause outpatient service at University Hospital. Participants included 85 healthy women who were 6 months to 5 years postmenopause. The 24-hour urinary cortisol level and Greene Climacteric Scale scores were evaluated. Anthropometric parameters and fasting blood samples for the determination of high-density lipoprotein (HDL) cholesterol, total cholesterol, triglycerides, glucose, and insulin levels were measured. Body mass index, waist-to-hip ratio, and homeostatic model assessment of insulin resistance were calculated. The relation between Greene Climacteric Scale scores and 24-hour urinary cortisol level and between 24-hour urinary cortisol level and lipid levels or insulin resistance was determined. RESULTS: The Greene Climacteric Scale score for climacteric symptoms (coefficient of regression [CR], 1.343; 95% CI, 0.441-2.246) and body mass index (CR, 4.469; 95% CI, 1.259-7.678) explained 32.5% and 10.3%, respectively, of the variance in 24 hour urinary cortisol level (r = 0.428; P = 0.0003). Twenty-four-hour urinary cortisol level was inversely related to HDL-cholesterol level (CR, -0.065; 95% CI, -0.114 to -0.017; r = 0.283; P = 0.009) and was related to waist girth (CR, 0.685; 95% CI, 0.306-1.063) and homeostatic model assessment of insulin resistance (CR, 0.097; 95% CI, 0.032-0.162; r = 0.510; P = 0.0001). CONCLUSIONS: In early postmenopausal women, the Greene Climacteric Scale score is associated with increased 24-hour urinary cortisol level. Increased cortisol level is associated with known risk factors for cardiovascular disease, such as insulin resistance and decreased HDL-cholesterol level. PMID- 21037489 TI - The selective estrogen receptor modulator DT56a (Femarelle) does not affect platelet reactivity in normal or thrombophilic postmenopausal women. AB - OBJECTIVE: The purpose of this study was to assess the effect of DT56a (Femarelle), a selective estrogen receptor modulator, on platelet function in normal and thrombophilic women being treated for severe menopausal symptoms. METHODS: The Platelet Function Analyzer-100 (PFA-100) was used to asses platelet reactivity at baseline and after 8 weeks of treatment with Femarelle (644 mg/d in divided doses) in 25 symptomatic postmenopausal women with normal clotting times and seven symptomatic women with shortened clotting times (<61 s). The PFA-100 measure of closure time is considered equal to clotting time in assessing clotting function and platelet adhesion, aggregation, and blood coagulation factors. Closure times were measured after 3 and 8 weeks in all participants and at 1 year in the women with shortened clotting times. The nonparametric Wilcoxon signed rank test was used to assess the changes between baseline and each of the three subsequent measurements. RESULTS: Pretreatment study of all seven women with shortened closure times confirmed abnormalities associated with thrombophilia: four women were heterozygous for the factor V Leiden gene mutation, one was heterozygous for the prothrombin gene mutation, one was found to have protein S deficiency, and one had increased anticardiolipin antibodies. All participants reported improved symptoms during the treatment period. No significant change in closure times was found in the normally clotting participants after 3 or 8 weeks of Femarelle therapy (P > 0.26). No significant change in closure time was seen in the seven thrombophilic women after 3 or 8 weeks or 1 year of Femarelle treatment (P > 0.26). The regression curve for measures over time was not significant (P = 0.26). CONCLUSIONS: Femarelle, whose active ingredient is DT56a, did not adversely affect platelet reactivity as measured by PFA closure times in symptomatic thrombophilic postmenopausal women or normal controls. Femarelle, a novel selective estrogen receptor modulator that inhibits menopausal symptoms without thrombogenicity, may offer a new clinical choice for therapy of symptomatic postmenopausal women. PMID- 21037490 TI - Omega-3 fatty acids for major depressive disorder associated with the menopausal transition: a preliminary open trial. AB - OBJECTIVES: We sought to obtain preliminary data regarding the efficacy of omega 3 fatty acids for major depressive disorder associated with the menopausal transition. Secondary outcomes were assessed for vasomotor symptoms (or hot flashes). METHODS: After a single-blind placebo lead-in, participants received 8 weeks of treatment with open-label omega-3 fatty acid capsules (eicosapentaenoic acid and docosahexaenoic acid, 2 g/d). The Montgomery-Asberg Depression Rating Scale (MADRS) was the primary outcome measure. Hot flashes were monitored prospectively using daily diaries and the Hot Flash Related Daily Interference Scale. Blood samples for plasma pretreatment and posttreatment essential fatty acid assays were obtained. Because of the small sample size, data were analyzed using nonparametric techniques. RESULTS: Of 20 participants treated with omega-3 fatty acids, 19 (95%) completed the study. None discontinued because of adverse effects. The pretreatment and final mean MADRS scores were 24.2 and 10.7, respectively, reflecting a significant decrease in MADRS scores (P < 0.0001). The response rate was 70% (MADRS score decrease of >=50%), and the remission rate was 45% (final MADRS score of <=). Responders had significantly lower pretreatment docosahexaenoic acid levels than nonresponders did (P = 0.03). Hot flashes were present in 15 (75%) participants. Among those with hot flashes at baseline, the number of hot flashes per day improved significantly from baseline (P = 0.02) and Hot Flash Related Daily Interference Scale scores decreased significantly (P = 0.006). CONCLUSIONS: These data support further study of omega-3 fatty acids for major depressive disorder and hot flashes in women during the menopausal transition. PMID- 21037491 TI - Acetaminophen inhibits status epilepticus in cultured hippocampal neurons. AB - Status epilepticus (SE) is a major neurological disorder and SE survivors often develop acquired epilepsy and cognitive deficits. Thus, it is important to stop SE and limit brain damage. However, rapid pharmacoresistance develops to anticonvulsants as seizure duration lengthens. Recently, acetaminophen was reported to increase endocannabinoid levels by its conversion to AM 404. Further, cannabinoids are potent anticonvulsants. Here we investigated whether acetaminophen would block SE-like activity in hippocampal neurons. Exposure of cultured hippocampal neurons to a low Mg2+ medium elicits high-frequency epileptiform discharges that exceed 3 Hz (in-vitro SE). Acetaminophen (500 MUM) blocks the SE-like activity. CB1 receptor antagonist SR 141716A (1 MUM) blocked this inhibitory effect of acetaminophen on SE, indicating that acetaminophen was mediating its anticonvulsant effects through CB1 receptors. PMID- 21037492 TI - Simulated visual impairment leads to cognitive slowing in older adults. AB - PURPOSE: To investigate the impact of different levels of simulated visual impairment on the cognitive test performance of older adults and to compare this with previous findings in younger adults. METHODS: Cognitive performance was assessed in 30 visually normal, community-dwelling older adults (mean = 70.2 +/- 3.9 years). Four standard cognitive tests were used including the Digit Symbol Substitution Test, Trail Making Tests A and B, and the Stroop Color Word Test under three visual conditions: normal baseline vision and two levels of cataract simulating filters (Vistech), which were administered in a random order. Distance high-contrast visual acuity and Pelli-Robson letter contrast sensitivity were also assessed for all three visual conditions. RESULTS: Simulated cataract significantly impaired performance across all cognitive test performance measures. In addition, the impact of simulated cataract was significantly greater in this older cohort than in a younger cohort previously investigated. Individual differences in contrast sensitivity better predicted cognitive test performance than did visual acuity. CONCLUSIONS: Visual impairment can lead to slowing of cognitive performance in older adults; these effects are greater than those observed in younger participants. This has important implications for neuropsychological testing of older populations who have a high prevalence of cataract. PMID- 21037493 TI - Discus: investigating subjective judgment of optic disc damage. AB - PURPOSE: To describe a software package (Discus) for investigating clinicians' subjective assessment of optic disc damage [diagnostic accuracy in detecting visual field (VF) damage, decision criteria, and agreement with a panel of experts] and to provide reference data from a group of expert observers. METHODS: Optic disc images were selected from patients with manifest or suspected glaucoma or ocular hypertension who attended the Manchester Royal Eye Hospital. Eighty images came from eyes without evidence of VF loss in at least four consecutive tests (VF negatives), and 20 images from eyes with repeatable VF loss (VF positives). Software was written to display these images in randomized order, for up to 60 s. Expert observers (n = 12) rated optic disc damage on a 5-point scale (definitely healthy, probably healthy, not sure, probably damaged, and definitely damaged). RESULTS: Optic disc damage as determined by the expert observers predicted VF loss with less than perfect accuracy (mean area under receiver operating characteristic curve, 0.78; range, 0.72 to 0.85). When the responses were combined across the panel of experts, the area under receiver-operating characteristic curve reached 0.87, corresponding to a sensitivity of ~60% at 90% specificity. Although the observers' performances were similar, there were large differences between the criteria they adopted (p < 0.001), even though all observers had been given identical instructions. CONCLUSIONS: Discus provides a simple and rapid means for assessing important aspects of optic disc interpretation. The data from the panel of expert observers provide a reference against which students, trainees, and clinicians may compare themselves. The program and the analyses described in this article are freely accessible from http://www.discusproject.blogspot.com/. PMID- 21037494 TI - Multiparameter correction equation for Goldmann applanation tonometry. AB - PURPOSE: To develop a correction factor to improve the accuracy of intraocular pressure (IOP) measurements made by the Goldmann applanation tonometer (GAT), which considers the combined effects of variations in central corneal thickness (CCT), central anterior curvature (R), age, and the IOP level itself. METHODS: Nonlinear numerical simulations based on the finite element method were used to represent corneal behavior under the effect of IOP and external tonometric pressure. The simulations considered various biomechanical corneal properties including the cornea's nonuniform thickness, elliptical topography, weak stromal interlamellar cohesion, low epithelial and endothelial stiffness, and hyperelastic and hysteretic material behavior. The simulations were used to model the GAT procedure on corneas to obtain a correction equation based on the values of CCT, R, age, and IOP measured using GAT (IOPG). The efficiency of the equation in reducing the effects of corneal parameters on IOPG measurements was also assessed using an independent clinical database. RESULTS: The individual effects of variations in CCT, R, and age were estimated at 1.66 mm Hg/100 MU of CCT, 0.89 mm Hg/1 mm of R, and 0.12 mm Hg/decade of age. The correction equation reduced the association between clinical IOP measurements and corneal parameters with r2 reducing from 11.8 to 0.02%. CONCLUSIONS: The GAT correction factor can consider the combined effect of variations in corneal thickness, curvature, age, and IOP. The factor could significantly reduce the reliance of IOPG measurements on corneal stiffness parameters. PMID- 21037495 TI - Inherent ocular spherical aberration and multifocal contact lens optical performance. AB - PURPOSE: The role of inherent spherical aberration (SA) in the optical performance of presbyopic eyes corrected with simultaneous vision multifocal contact lenses was investigated. METHODS: Presbyopic schematic eyes were modeled with partial accommodative function to represent 45- and 55-year olds and were further classified into five categories based on their magnitude of inherent SA. Two representative ametropic models of each category were corrected with four multifocal contact lens iterations. High-add designs were used to correct 55-year olds, whereas low-add designs served 45-year ones. The overall performances were gauged in terms of visual Strehl ratio and area under through-focus modulation transfer function. RESULTS: The root mean square error of higher order aberrations of the eye and correcting lens combination were significantly different (p < 0.05) within the five inherent SA models, for all pupils and accommodative states. Area under through-focus modulation transfer function at all three spatial frequencies tested was found to be significantly different (p < 0.05) within the five SA models. Visual Strehl ratio measures were also different but statistically insignificant. Eyes having the same refractive prescriptions but diverse levels of inherent SA perform differently even when corrected with identical multifocal designs, and the performance is dependent on pupil size and level of residual accommodation. Overall, the distinct performances within the five SA models were optically relevant for pupils ~4 mm and greater. Among the designs investigated, the low-add multizone iteration demonstrated performance relatively independent of the inherent SA because of the favorable interactions of defocus with primary, secondary, and tertiary SA. CONCLUSIONS: These findings confirm that the coupling of ocular SA and correcting lens aberrations contributes to the multifocal functionality. PMID- 21037496 TI - Comparison of scanning laser polarimetry and optical coherence tomography in preperimetric glaucoma. AB - PURPOSE: This study was performed to compare the effectiveness of scanning laser polarimetry with variable corneal compensation (GDx VCC) and optical coherence tomography (Stratus OCT) for the detection of loss of the retinal nerve fiber layer (RNFL) in preperimetric glaucomatous eyes. METHODS: Sixty subjects with preperimetric glaucoma (60 eyes) and 60 normal subjects (60 eyes) were included. We measured the RNFL thickness with GDx VCC and Stratus OCT and analyzed the results by 12 clock hour RNFL measurements. The area under the receiver-operating characteristic curve was calculated, and the data from all clock hour segments were compared using regression analyses. RESULTS: The mean RNFL thickness for GDx VCC were 49.00 +/- 17.23 MUm and 59.4 +/- 8.38 MUm (p < 0.01), and for Stratus OCT, they were 86.43 +/- 20.49 MUm and 106.61 +/- 9.57 MUm (p < 0.01) in the patients with preperimetric glaucoma and normal group, respectively. The mean RNFL thickness for the clock hour evaluations were significantly different between the patients with preperimetric glaucoma and the normal group (p < 0.05). In preperimetric glaucoma, the area under the receiver-operating characteristic curve was the highest for the 12 clock hour RNFL thickness for GDx VCC (0.905) and the 7 clock hour RNFL thickness for Stratus OCT (0.903). GDx VCC and Stratus OCT RNFL measurements had significantly high correlations in the superior and inferior quadrants (r >0.750) and low correlation at the nasal quadrant (r = 0.210). CONCLUSIONS: Both GDx VCC and Stratus OCT instruments had similar correlations at each clock hour segment, and both were useful in the early detection of patients with preperimetric glaucoma. PMID- 21037497 TI - Discontinuation of orthokeratology and myopic progression. AB - PURPOSE: To report the effect of stopping orthokeratology (ortho-k) lens wear on the changes in refractive errors and axial elongation in a girl who has been wearing ortho-k lenses for myopic control for over 2 years. CASE REPORT: A girl with a history of fast myopic progression enrolled in ortho-k treatment when she was 6 years old. She switched to spectacle wear after receiving ortho-k treatment for 38 months and then switched back to ortho-k lens wear. Refractive errors and axial lengths were monitored for 8 months with ortho-k lens wear, followed by about 61/2 months of lens discontinuation and spectacle wear, and finally another 6 months of resumed ortho-k lens wear. The residual refractive errors in the 8 months before discontinuation of ortho-k lens wear were not more than +/-0.25 diopter (D) and -0.50 D in spherical and cylindrical powers, respectively, and the average increases in axial length were 0.02 mm (OD) and 0.03 mm (OS) per month. Myopia increased by 0.75 D (OD) and 1.25 D (OS) during the lens discontinuation period, with corresponding axial elongations of 0.06 mm (OD and OS) per month. No significant changes were observed in axial elongation or residual refractive errors during the 6-month period of resumed lens wear. CONCLUSIONS: When a child who had been wearing ortho-k lenses for myopic control for over 2 years ceased lens wear, small net amounts of axial elongation were observed during the subsequent months with spectacle wear. These changes took place at a faster rate relative to the ortho-k lens wear period. Ortho-k lens wear appeared to slow myopic progression for this child. PMID- 21037498 TI - Corneal sensitivity as an ophthalmic marker of diabetic neuropathy. AB - PURPOSE: The objective of this study was to explore the discriminative capacity of non-contact corneal esthesiometry (NCCE) when compared with the neuropathy disability score (NDS) score-a validated, standard method of diagnosing clinically significant diabetic neuropathy. METHODS: Eighty-one participants with type 2 diabetes, no history of ocular disease, trauma, or surgery and no history of systemic disease that may affect the cornea were enrolled. Participants were ineligible if there was history of neuropathy due to non-diabetic cause or current diabetic foot ulcer or infection. Corneal sensitivity threshold was measured on the eye of dominant hand side at a distance of 10 mm from the center of the cornea using a stimulus duration of 0.9 s. The NDS was measured producing a score ranging from 0 to 10. To determine the optimal cutoff point of corneal sensitivity that identified the presence of neuropathy (diagnosed by NDS), the Youden index and "closest-to-(0,1)" criteria were used. RESULTS: The receiver operator characteristic curve for NCCE for the presence of neuropathy (NDS >=3) had an area under the curve of 0.73 (p = 0.001) and, for the presence of moderate neuropathy (NDS >=6), area of 0.71 (p = 0.003). By using the Youden index, for an NDS >=3, the sensitivity of NCCE was 70% and specificity was 75%, and a corneal sensitivity threshold of 0.66 mbar or higher indicated the presence of neuropathy. When NDS >=6 (indicating risk of foot ulceration) was applied, the sensitivity was 52% with a specificity of 85%. CONCLUSIONS: NCCE is a sensitive test for the diagnosis of minimal and more advanced diabetic neuropathy and may serve as a useful surrogate marker for diabetic and perhaps other neuropathies. PMID- 21037499 TI - Agreement between the Heidelberg Retina Tomograph (HRT) stereometric parameters estimated using HRT-I and HRT-II. AB - PURPOSE: To assess agreement between Heidelberg Retina Tomograph (HRT)-I and HRT II stereometric parameters and to determine whether parabolic error correction (PEC) to the topographies improves agreement. METHODS: University of California San Diego Diagnostic Innovations in Glaucoma Study participants with two HRT-II examinations (n = 380) or one HRT-I and one HRT-II examinations (n = 344) acquired on the same day were included. From the group of 380 eyes, 200 eyes were randomly selected to estimate the repeatability coefficients of HRT-II rim area and volume, cup area and volume, and mean retinal nerve fiber layer (RNFL) thickness parameters (HRT-II control group), and the remaining 180 eyes were used to assess agreement between two HRT-II examinations (HRT-II study group). Agreement between stereometric parameters of HRT-I and HRT-II examinations (HRT-I vs. HRT-II study group) were assessed with (1) no PEC, (2) HRT PEC, and (3) a modified PEC. Bland-Altman plots were used to assess agreement using estimates of bias and clinical limits of agreement (CLA) based on repeatability coefficients. RESULTS: In the HRT-II study group, agreement between stereometric parameters was good, with no statistically significant biases. For all parameters, differences were within the CLA in 94% of participants. In the HRT-I vs. HRT-II study group, there was a small statistically significant bias between the stereometric parameters, but all differences were within CLA for >=95% of participants. In both study groups, PEC did not improve agreement. CONCLUSIONS: Agreement between HRT-I and HRT-II stereometric parameters was good, and PEC did not improve agreement. These results suggest that HRT-I and HRT-II examinations can be used interchangeably to detect changes in stereometric parameters over time. PMID- 21037500 TI - Prediction of extubation outcome in preterm infants by composite extubation indices. AB - OBJECTIVE: To determine whether composite extubation indices can predict extubation outcome in preterm infants. DESIGN: Prospective observational study. SETTING: Level III neonatal intensive care unit. PATIENTS: Fifty-six preterm infants cared for in the neonatal intensive care unit of a tertiary teaching hospital during 2007 and 2008. INTERVENTIONS: None. MEASUREMENTS AND MAIN RESULTS: The study consisted of two parts. In the first part, different extubation indices were evaluated in a group of 28 neonates (derivation group). These indices included the diaphragmatic pressure-time index, the respiratory muscle pressure-time index, the maximal transdiaphragmatic pressure, the maximal inspiratory pressure, the airway pressure generated 100 milliseconds after an occlusion/maximal transdiaphragmatic pressure ratio, the airway pressure generated 100 milliseconds after an occlusion/maximal inspiratory pressure ratio, the tidal volume, and the respiratory rate to tidal volume ratio. After exploratory analysis, the best performing indices and the optimal threshold values to predict extubation outcome were selected. In the second part of the study, these indices were validated at the predetermined threshold values in an additional group of 28 preterm neonates (validation group). Four infants (14.3%) in the derivation group and four in the validation group (14.3%) failed extubation. Receiver operator characteristic curve analysis revealed that a diaphragmatic pressure-time index of <=0.12, a respiratory muscle pressure-time index <=0.10, a airway pressure generated 100 milliseconds after an occlusion/maximal transdiaphragmatic pressure of <=0.14, and a airway pressure generated 100 milliseconds after an occlusion/maximal inspiratory pressure of <=0.09 were the most accurate predictors of extubation outcome in the derivation group. In the validation group, a diaphragmatic pressure-time index of <=0.12 and a respiratory muscle pressure-time index of <=0.10 both had zero false-positive results, predicting with accuracy successful extubation. CONCLUSION: Composite extubation indices such as the diaphragmatic pressure-time index and the noninvasive respiratory muscle pressure-time index can accurately predict extubation outcome in preterm neonates. PMID- 21037501 TI - Brain injuries and neurological system failure are the most common proximate causes of death in children admitted to a pediatric intensive care unit. AB - OBJECTIVE: Mortality rates from critical illness in children have declined over the past several decades, now averaging between 2% and 5% in most pediatric intensive care units. Although these rates, and mortality rates from specific disorders, are widely understood, the impact of acute neurologic injuries in such children who die and the role of these injuries in the cause of death are not well understood. We hypothesized that neurologic injuries are an important cause of death in children. DESIGN: Retrospective review. SETTING: Pediatric intensive care unit at Children's Hospital of Pittsburgh, an academic tertiary care center. PATIENTS: Seventy-eight children who died within the pediatric intensive care unit from April 2006 to February 2008. INTERVENTIONS: None. MEASUREMENTS AND MAIN RESULTS: Data regarding admission diagnosis, presence of chronic illness, diagnosis of brain injury, and cause of death were collected. Mortality was attributed to brain injury in 65.4% (51 of 78) of deaths. Ninety-six percent (28 of 29) of previously healthy children died with brain injuries compared with 46.9% (23 of 49) of chronically ill children (p < .05). The diagnosed brain injury was the proximate cause of death in 89.3% of previously healthy children and 91.3% with chronic illnesses. Pediatric intensive care unit and hospital length of stay was longer in those with chronic illnesses (38.8 +/- 7.0 days vs. 8.9 +/- 3.7 days and 49.2 +/- 8.3 days vs. 9.0 +/- 3.8 days, p < .05 and p < .001, respectively). CONCLUSION: Brain injury was exceedingly common in children who died in our pediatric intensive care unit and was the proximate cause of death in a large majority of cases. Neuroprotective measures for a wide variety of admission diagnoses and initiatives directed to prevention or treatment of brain injury are likely to attain further improvements in mortality in previously healthy children in the modern pediatric intensive care unit. PMID- 21037502 TI - In vitro performance comparison of the Sensormedics 3100A and B high-frequency oscillatory ventilators. AB - OBJECTIVE: The Sensormedics 3100A and 3100B are widely used to provide high frequency oscillatory ventilation in clinical practice. Infants and children <35 kg are typically oscillated with the 3100A and >35 kg with the 3100B. This study compares the effect of ventilator and patient parameters on delivered tidal volume during high-frequency oscillatory ventilation of a test lung with these devices. DESIGN: Laboratory-based study. SUBJECTS: Test lung and Sensormedics 3100A and 3100B high-frequency oscillators. INTERVENTIONS: A previously validated hot-wire flowmeter (Florian) was placed in series with either a 3100A (n = 3) or 3100B (n = 3) ventilator and a Michigan test lung. Tidal volumes were measured over a range of mean airway pressure, inspiratory:expiratory ratio, frequency, pressure amplitude, and endotracheal tube internal diameter. MEASUREMENTS AND MAIN RESULTS: The 3100A and 3100B delivered similar tidal volumes across a range of ventilator parameters for an inspiratory:expiratory ratio of 1:1, differing by <10%. However, at an inspiratory:expiratory ratio of 1:2, there was a statistically significant decrease in tidal volume for the 3100B compared with the 3100A at lower frequencies, which was partially mitigated by increasing pressure amplitude. The difference in the generated pressure and flow waveforms may account for the observed tidal volume differences between the high-frequency oscillatory ventilation models. Delivered tidal volume was highly dependent on endotracheal tube size. CONCLUSIONS: Multiple variables contribute to the delivered tidal volume during high-frequency oscillatory ventilation, including ventilator model selection and endotracheal tube size. It is possible that real time, clinical monitoring of delivered tidal volume during high-frequency oscillatory ventilation would allow better titration and maximize performance of these ventilators in caring for critically ill patients. PMID- 21037503 TI - The diagnostic dilemma of ventilator-associated pneumonia in critically ill children. AB - OBJECTIVE: A review of the existing literature on ventilator-associated pneumonia in children with emphasis on problems in diagnosis. DATA SOURCES: A systematic literature review from 1947 to 2010 using Ovid MEDLINE, PubMed, Cochrane Central Register of Controlled Trials, and ISI Web of Science using key words "ventilator associated pneumonia" and "children." Where pediatric data were lacking, appropriate adult studies were reviewed and similarly referenced. STUDY SELECTION: Two hundred sixty-two pediatric articles were reviewed and data from 48 studies selected. Data from 61 adult articles were also included in this review. DATA EXTRACTION AND SYNTHESIS: Ventilator-associated pneumonia is the second most common nosocomial infection and the most common reason for antibiotic use in the pediatric intensive care unit. Attributable mortality is uncertain but ventilator-associated pneumonia is associated with significant morbidity and cost. Diagnosis is problematic in that clinical, radiologic, and microbiologic criteria lack sensitivity and specificity relative to autopsy histopathology and culture. Qualitative tracheal aspirate cultures are commonly used in diagnosis but lack specificity. Quantitative tracheal aspirate cultures have sensitivity (31-69%) and specificity (55-100%) comparable to bronchoalveolar lavage (11-90% and 43-100%, respectively) but concordance for the same bacterial species when compared with autopsy lung culture was better for bronchoalveolar lavage (52-90% vs. 50-76% for quantitative tracheal aspirate). Staphylococcus aureus and Pseudomonas species are the most common organisms, but microbiologic flora change over time and with antibiotic use. Initial antibiotics should offer broad spectrum coverage but should be narrowed as clinical response and cultures dictate. CONCLUSIONS: Ventilator-associated pneumonia is an important nosocomial infection in the pediatric intensive care unit. Conclusions regarding epidemiology, treatment, and outcomes are greatly hampered by the inadequacies of current diagnostic methods. We recommend a more rigorous approach to diagnosis by using the Centers for Disease Control and Prevention algorithm. Given that ventilator-associated pneumonia is the most common reason for antibiotic use in the pediatric intensive care unit, more systematic studies are sorely needed. PMID- 21037504 TI - Pediatric mass critical care in a pandemic. AB - OBJECTIVES: Previous simulation studies suggest that temporary pediatric mass critical care approaches would accommodate plausible hypothetical sudden-impact public health emergencies. However, the utility of sustained pediatric mass critical care responses in prolonged pandemics has not been evaluated. The objective of this study was to compare the ability of a typical region to serve pediatric intensive care unit needs in hypothetical pandemics, with and without mass critical care responses sufficient to triple usual pediatric intensive care unit capacity. DESIGN, SETTING, PATIENTS, AND INTERVENTIONS: The Monte Carlo simulation method was used to model responses to hypothetical pandemics on the basis of national historical evidence regarding pediatric intensive care unit admission and length of stay in pandemic and nonpandemic circumstances. Assuming all ages are affected equally, federal guidelines call for plans to serve moderate and severe pandemics requiring pediatric intensive care unit care for 457 and 5,277 infants and children per million of the population, respectively. MEASUREMENTS AND MAIN RESULTS: A moderate pandemic would exceed ordinary surge capacity on 13% of pandemic season days but would always be accommodated by mass critical care approaches. In a severe pandemic, ordinary surge methods would accommodate all the patients on only 32% of pandemic season days and would accommodate 39% of needed patient days. Mass critical care approaches would accommodate all the patients on 82% of the days and would accommodate 64% of all patient days. CONCLUSION: Mass critical care approaches would be essential to extend care to the majority of infants and children in a severe pandemic. However, some patients needing critical care still could not be accommodated, requiring consideration of rationing. PMID- 21037505 TI - Red blood cell-coupled tissue plasminogen activator prevents impairment of cerebral vasodilatory responses through inhibition of c-Jun-N-terminal kinase and potentiation of p38 mitogen-activated protein kinase after cerebral photothrombosis in the newborn pig. AB - OBJECTIVE: Pediatric ischemic stroke is a poorly understood, yet clinically important, problem. The sole approved treatment for acute stroke is tissue-type plasminogen activator. However, tissue plasminogen activator vasoactivity aggravates hypoxia/ischemia-induced impairment of cerebrovasodilation in response to hypercapnia and hypotension in newborn pigs. Mitogen-activated protein kinase (a family of 3 kinases, extracellular signal-related kinase, p38, and c-Jun-N terminal kinase) is upregulated after hypoxia/ischemia. Coupling of tissue plasminogen activator to red blood cells prevented hypoxia/ischemia-induced impairment of dilation and suppressed extracellular signal-related kinase mitogen activated protein kinase activation. This study investigated the differential roles of mitogen-activated protein kinase isoforms in the effects of red blood cells-tissue plasminogen activator on cerebrovasodilation in a translationally relevant injury model, photothrombosis. DESIGN: Prospective, randomized animal study. SETTING: : University laboratory. SUBJECTS: Newborn (1- to 5-day-old) pigs. INTERVENTIONS: Cerebral blood flow and pial artery diameter were determined before and after photothrombotic injury (laser 532 nm and erythrosine B) was produced in piglets equipped with a closed cranial window. Cerebral blood flow extracellular signal-related kinase, p38, and c-Jun-N-terminal kinase mitogen activated protein kinase were determined by enzyme-linked immunosorbent assay. MEASUREMENTS AND MAIN RESULTS: Tissue plasminogen activator and red blood cells tissue plasminogen activator alleviated reduction of cerebral blood flow after photothrombotic injury. Cerebrovasodilation was blunted by photothrombotic injury, reversed to vasoconstriction by tissue plasminogen activator, but dilation was maintained by red blood cells-tissue plasminogen activator. Cerebral blood flow c-Jun-N-terminal kinase and p38 mitogen-activated protein kinase but not extracellular signal-related kinase mitogen-activated protein kinase was elevated by photothrombotic injury, an effect potentiated by tissue plasminogen activator. Red blood cells-tissue plasminogen activator blocked c-Jun-N-terminal kinase but potentiated p38 mitogen-activated protein kinase upregulation after photothrombotic injury. A c-Jun-N-terminal kinase mitogen-activated protein kinase antagonist prevented, a p38 mitogen-activated protein kinase antagonist potentiated, whereas an extracellular signal-related kinase mitogen-activated protein kinase antagonist had no effect on dilator impairment after photothrombotic injury. CONCLUSIONS: These data indicate that in addition to restoring perfusion, red blood cells-tissue plasminogen activator prevents impairment of cerebrovasodilation after photothrombotic injury through blockade of c-Jun-N-terminal kinase and potentiation of p38 mitogen-activated protein kinase. These data suggest tissue plasminogen activator coupling to red blood cells offers a novel approach to increase the benefit/risk ratio of thrombolytic therapy to treat central nervous system ischemic disorders. PMID- 21037506 TI - Impact of a computerized note template/checklist on documented adherence to institutional criteria for determination of neurologic death in a pediatric intensive care unit. AB - OBJECTIVE: Variability exists in the documentation of death by neurologic criteria in children. We hypothesized that the use of a note template/checklist, which included directive (educational) prompts based on institutional neurologic determination of death criteria, improved thoroughness of documentation within our institutional guidelines for the neurologic determination of death. DESIGN: Retrospective chart review. SETTING: Twenty-one bed pediatric intensive care unit in a freestanding pediatric teaching hospital. PATIENTS: Children 0-18 yrs undergoing evaluation for cessation of neurologic function from May 2000 to June 2006. INTERVENTIONS: Introduction of a computerized note template/checklist with educational prompts to document cessation of neurologic function. MEASUREMENTS AND MAIN RESULTS: Documentation of 15 specific elements derived from our institution's neurologic determination of death guidelines was evaluated. Age, gender, primary diagnosis, observation interval between examinations, the use of appropriate ancillary testing, and apnea test element documentation were also studied. There were 490 deaths in the pediatric intensive care unit, of which 82 (16.7%) had at least one examination for cessation of neurologic function. Neurologic determination of death examination was performed 136 times in 78 patients (mean 1.74 examinations/patient); four charts were missing. Life support was withdrawn before the second examination in 14.1% of patients. Documentation was handwritten for 37.5% of the notes. The mean number of examination elements documented by handwritten note was 11.1 +/- 2.2 vs. 14.9 +/- 0.7 in the template/checklist group (p < .0001). Use of a template/checklist was associated with neurologic determination of death documentation of 98.6% of essential elements compared with 73.9% of the elements in handwritten notes (p < .0001). Compliance with intervals between examinations conformed to guidelines in 64.0% of cases. Documentation of apnea duration and pco2 increase was significantly greater with the template/checklist (p < .025 and p < .001, respectively). CONCLUSIONS: Use of a note template/checklist to guide and document neurologic determination of death improved adherence to institutional criteria for assessment of cessation of neurologic function. PMID- 21037507 TI - Fever control and application of hypothermia using intravenous cold saline. AB - OBJECTIVES: To describe the use and feasibility of cold saline to decrease body temperature in pediatric neurocritical care. DESIGN: Retrospective chart review. SETTING: Pediatric tertiary care university hospital. PATIENTS: Children between 1 wk and 17 yrs of age admitted to the pediatric intensive care unit with acute brain injury and having received intravenous cold saline between June and August 2009. INTERVENTIONS: None. MEASUREMENTS AND MAIN RESULTS: Eighteen subjects accounted for 20 infusions with mean infusion volume 18 +/- 10 mL/kg. Eight subjects had traumatic brain injury, two had intracranial hemorrhage, six had cardiac arrest, and one each had ischemic stroke and status epilepticus. The mean age was 9.5 +/- 4.8 yrs. Temperature decreased from 38.7 +/- 1.1 degrees C to 37.7 +/- 1.2 degrees C and from 37.0 +/- 2.0 degrees C to 35.3 +/- 1.6 degrees C 1 hr after infusion for fever (n = 14; p < .05) or hypothermia induction (n = 6; p = .05), respectively. Cold saline was not bloused but rather infused over 10-15 mins. Mean arterial blood pressure and oxygenation parameters (PaO2/FIO2 ratio, mean airway pressure) were unchanged, but heart rate decreased in those with hypothermia (121 +/- 4 beats per minute vs. 109 +/- 12 beats per minute; p < .05). Serum sodium concentration and international normalized ratio were significantly increased after cold saline infusion. There were no differences between preinfusion and postinfusion serum glucose and hematocrit, or between cerebral perfusion pressure and intracranial pressure in traumatic brain injury patients. CONCLUSIONS: Cold saline was an effective method of reducing temperature in children with acute brain injury. This approach can be considered to treat fever or to induce hypothermia. A prospective study comparing safety and efficacy vs. other cooling measures should be considered. PMID- 21037508 TI - Association of bacterial pneumonia and respiratory failure in children with community-acquired influenza infection. AB - OBJECTIVE: To investigate the association of bacterial pneumonia and respiratory failure in children with community-acquired influenza infection presenting to a pediatric intensive care unit. DESIGN: Retrospective cohort study. SETTING: Pediatric intensive care unit at an urban academic tertiary-care children's hospital. PATIENTS: All patients aged <18 yrs admitted to our pediatric intensive care unit with laboratory-confirmed influenza infection between October 2002 and September 2008. INTERVENTIONS: All patients who met our clinical definitions of respiratory failure and bacterial pneumonia were identified. Patients were stratified by presence or absence of chronic medical conditions associated with an increased risk of influenza-related complications. MEASUREMENTS AND MAIN RESULTS: There were 59 patients admitted to the pediatric intensive care unit with laboratory-confirmed community-acquired influenza during the period of study. Twenty-four patients (41%) had respiratory failure and 14 patients (24%) met the definition of bacterial pneumonia. The risk of respiratory failure was increased in the presence of bacterial pneumonia (p = .04). Adjusting for age and chronic medical conditions, patients with bacterial pneumonia had a 3.7 times greater odds (p = .04) of respiratory failure. CONCLUSIONS: Our findings suggest that bacterial pneumonia was associated with increased odds of respiratory failure in both previously healthy children and those with chronic medical conditions. Early aggressive therapy should be considered for patients with severe influenza. PMID- 21037509 TI - Variants of ADAMTS1 modify the effectiveness of statins in reducing the risk of myocardial infarction. AB - OBJECTIVE: To investigate the influence of tagging single-nucleotide polymorphisms (SNPs) within candidate genes involved in the putative anti inflammatory effects of statins on the effectiveness of statins in reducing the risk of myocardial infarction (MI). METHODS: We conducted a case-control study in a population-based registry of pharmacy records linked to hospital discharge records (PHARMO). Cases and controls were selected from within a hypercholesterolemic cohort. Cases were hospitalized for MI, whereas controls were not. Logistic regression analysis was used to investigate pharmacogenetic interactions. RESULTS: The study population comprised 668 cases and 1217 controls. We genotyped 84 SNPs in 24 genes. The effectiveness of statins was found to be modified by seven SNPs in three genes. Five out of six SNPs that were selected in the A disintegrin and metallopeptidase with thrombospondin motif type I (ADAMTS1) gene were associated with a modified response to statins, three of which were in strong linkage disequilibrium. The strongest interaction was found for ADAMTS1 rs402007. Homozygous carriers of the variant allele had the most benefit from statins [adjusted odds ratio (OR): 0.10, 95% confidence interval (CI): 0.03-0.35], compared with heterozygous (OR: 0.43, 95% CI: 0.24-0.51) and homozygous wildtype carriers (OR: 0.49, 95% CI: 0.32-0.57). CONCLUSION: Consistent with earlier findings, polymorphisms within the ADAMTS1 gene influenced the effectiveness of statins in reducing the risk of MI. Other pharmacogenetic interactions with SNPs in the TNFRSF1A and ITGB2 genes were established and the confirmation will be pursued in future studies. PMID- 21037510 TI - Association of somatoform disorder symptoms with genetic variants potentially involved in the modulation of nociception. PMID- 21037511 TI - Papillary renal cell carcinoma in the horseshoe kidney. AB - Papillary renal cell carcinoma in the horseshoe kidney is uncommon. We report a case of papillary renal cell carcinoma in the horseshoe kidney and discuss its incidence, diagnosis, and treatment. PMID- 21037512 TI - Cryptococcosis in sarcoidosis. PMID- 21037513 TI - Surgical competence today: what have we gained? What have we lost? AB - The complexity of modern surgical practice and the cognitive and technical overload to which trainees are subjected places practitioners and residents alike in jeopardy of developing areas of incompetence. Inadequate exposure to essential operations during residency forces trainees to seek further expertise in fellowships. At the same time, practice burdens increase stress levels on academic and community surgeons, resulting in a 30 to 40% burnout rate. Solutions include modification of restricted duty hours for residents and the institution of a simulation-based national surgical curriculum. PMID- 21037514 TI - Novel endoscopic triangulation approach to percutaneous transgastric placement of jejunal extension feeding tube. AB - In patients who have surgically-altered upper gastrointestinal anatomy, postoperative endoscopic enteral nutrition options can be limited by issues such as bowel stenosis and/or acute angulation. This report details the use of an endoscopic triangulation method combining per-oral and percutaneous transgastric approaches to overcome an efferent gastrojejunostomy limb stenosis, to successfully place a jejunal extension feeding tube through a newly placed PEG site. This description provides an alternative endoscopically feasible option for successful enteral nutrition access, thus obviating the need for additional operations to place surgical feeding tubes or to commit patients to long-term total parenteral nutrition. PMID- 21037515 TI - Visceral leishmaniasis mimicking lymphoproliferative disease. PMID- 21037516 TI - Pregnancy complicated by plasminogen activator inhibitor type 1 deficiency. AB - We present the case of a patient with a history of hemorrhage following prior surgery whose pregnancy was complicated by plasminogen activator inhibitor type 1 deficiency. To our knowledge, this is the first reported case of a pregnancy complicated by plasminogen activator inhibitor type 1 (PAI-1) deficiency. PMID- 21037517 TI - Gallbladder endometrioma associated with obstructive jaundice and a serous ovarian cystic adenoma. AB - The occurrence of pelvic endometriosis is not uncommon, but endometriosis of the gallbladder is extremely rare. To our knowledge, only one such case has previously been described in the literature. This report concerns another patient with gallbladder endometriosis, which formed two distinct lesions at the fundus of the organ. The clinicopathological findings and pathogenesis are discussed. Endometriosis should be considered in the differential diagnosis of a fertile woman with a painful mass, particularly if the mass is associated in size and tenderness with menstrual variability. PMID- 21037518 TI - Nipple adenoma of the breast: sonographic imaging findings. PMID- 21037519 TI - Disseminated Nocardia nova infection. AB - We report the case of a 61-year-old female with ulcerative colitis on therapy with prednisone and azathioprine. The patient presented with fever, dry cough, a swollen lower extremity, and nodules on the right wrist and the scalp. Computed tomography scans of the head, chest, abdomen, and pelvis revealed multiple lesions. Aspirates and biopsies of the lower extremity cystic lesion, the wrist nodule, and the scalp nodule all grew out Nocardia nova. The patient was treated with high-dose trimethoprim and sulfamethoxazole therapy for one year and made a complete recovery. PMID- 21037520 TI - Acute buried bumper syndrome. AB - Buried bumper syndrome (BBS) is an uncommon complication of percutaneous endoscopic gastrostomy (PEG) tube placement. This unusual phenomenon occurs when the internal bumper of a PEG tube erodes and migrates through the gastric wall and becomes lodged anywhere between the gastric wall and the skin. If not removed and treated appropriately, it can lead to life-threatening complications. It is considered to be a late complication, with most cases occurring from months to years later. We present an unusual case of a very rapid development of BBS, along with a brief review of contributing factors and treatment recommendations. PMID- 21037521 TI - Thrombocytopenia in NAFLD: is thrombopoietin involved? PMID- 21037522 TI - Diverticulitis in a young man with hyper-IgE syndrome. AB - Autosomal dominant hyperimmunoglobulin E syndrome (HIES, or Job syndrome) is a rare primary immunodeficiency characterized by elevated immunoglobulin E (IgE), eosinophilia, recurrent skin and pulmonary infections, dermatitis, and connective tissue and skeletal abnormalities. A 26-year-old male with known HIES presented with abdominal pain and diarrhea. Imaging showed sigmoid diverticulitis without abscess or perforation. Conservative management with antibiotics failed, and he developed a peridiverticular abscess, which was percutaneously drained with plans for elective resection. He returned four days later with progression of his diverticulitis, requiring partial colectomy with primary anastomosis. To our knowledge, this is the first case of diverticulitis in HIES. Diverticulitis is rare in younger individuals, raising the possibility that the connective tissue abnormalities of HIES patients may predispose them to colonic diverticula. Although the majority of complications are sinopulmonary and skin infections, diverticulitis should be considered in the differential of intra-abdominal processes in HIES. PMID- 21037523 TI - Simultaneous acute myeloid leukemia and multiple myeloma successfully treated with allogeneic stem cell transplantation. AB - We present a case of concurrent diagnosis of acute myeloid leukemia (AML) with multiple myeloma with complex karyotype, which was successfully treated with allogeneic stem cell transplantation. A 51-year-old man with no past medical history presented with fatigue and anemia with blasts on peripheral smear. Bone marrow biopsy confirmed the simultaneous diagnosis of myeloma and AML. The patient received bortezomib and the myeloma responded well, but induction chemotherapy for the AML failed twice. He underwent an allogeneic stem cell transplant from his human lymphocyte antigen (HLA)-matched sibling, and is now disease-free approximately one year since the transplant. He has mild graft versus-host disease (GVHD). To our knowledge, this is the first case of a patient with simultaneous AML and multiple myeloma who has undergone successful treatment with allogeneic stem cell transplantation. PMID- 21037524 TI - Profound transient thrombocytopenia associated with 90Yttrium microsphere therapy for inoperable hepatoma. AB - The use of Yttrium microspheres to treat unresectable hepatoma is increasing worldwide. Therapeutically, Yttrium microspheres show promising increases in survival and tumor response, as well as acceptable toxicities. Here, we report on a 67-year-old man with hepatitis C-related advanced-stage hepatoma. This patient received selective internal radiation therapy (SIRT) using Yttrium microspheres (SIR-Spheres(r)). The patient displayed bone marrow suppression that resulted in a transient yet profound thrombocytopenia. To our knowledge, this is the first case of a hematologic complication as a consequence of the use of commercially available Yttrium microsphere devices. PMID- 21037525 TI - Timely symptom-based diagnosis. PMID- 21037526 TI - Two rare breast tumors in a small community hospital. AB - Rhabdomyosarcoma and schwannoma are two of the rarer tumors seen in the surgical setting, and it is rarer still to see these tumors originate in the breast. Rhabdomyosarcoma has an incidence of only 250 cases a year in the United States; of these, more than 90% are seen in individuals 25 years and younger. To our knowledge, only 24 cases of primary breast schwannoma have been reported in the English literature to date. Here, we present the cases of two perimenopausal women who presented with a primary rhabdomyosarcoma and a primary schwannoma at a small community hospital in central Florida within a period of less than three years. These cases provide an interesting juxtaposition to the management of typical breast cancer. Through proper evaluation, such cases can be treated without need of referral to a tertiary center, despite the extreme rarity of their conditions. PMID- 21037527 TI - Use of fluorine-18-labelled deoxyglucose positron emission tomography with computed tomography to localize a paraganglioma in pregnancy. AB - A nine-weeks pregnant, 27-year-old female was admitted for hypertension with a blood pressure of 213/110 mm Hg, headaches, palpitations, and anxiety. There was no previous history of hypertension or pre-eclampsia. She had elevated urinary normetanephrine, plasma-free normetanephrine, and plasma-free metanephrine concentrations. Phenoxybenzamine and labetalol were initiated for presumed pheochromocytoma. At thirteen weeks of pregnancy, a noncontrast magnetic resonance imaging (MRI) of the abdomen failed to identify an adrenal or extra adrenal mass. At 21-weeks gestation, an abdominal [18-F]-fluorodeoxyglucose positron emission tomography with computed tomography demonstrated an extra adrenal lesion. The patient underwent a laparotomy during the second trimester with successful removal of a benign paraganglioma. PMID- 21037528 TI - Complications in the surgical treatment of 19,360 cases of pediatric scoliosis: a review of the Scoliosis Research Society Morbidity and Mortality database. AB - STUDY DESIGN: Retrospective review of a multicenter database. OBJECTIVE: To determine the complication rates associated with surgical treatment of pediatric scoliosis and to assess variables associated with increased complication rates. SUMMARY OF BACKGROUND DATA: Wide variability is reported for complications associated with the operative treatment of pediatric scoliosis. Limited number of patients, surgeons, and diagnoses occur in most reports. The Scoliosis Research Society Morbidity and Mortality (M&M) database aggregates deidentified data, permitting determination of complication rates from large numbers of patients and surgeons. METHODS: Cases of pediatric scoliosis (age <=18 years), entered into the Scoliosis Research Society M&M database between 2004 and 2007, were analyzed. Age, scoliosis type, type of instrumentation used, and complications were assessed. RESULTS: A total of 19,360 cases fulfilled inclusion criteria. Of these, complications occurred in 1971 (10.2%) cases. Overall complication rates differed significantly among idiopathic, congenital, and neuromuscular cases (P < 0.001). Neuromuscular scoliosis had the highest rate of complications (17.9%), followed by congenital scoliosis (10.6%) and idiopathic scoliosis (6.3%). Rates of neurologic deficit also differed significantly based on the etiology of scoliosis (P < 0.001), with the highest rate among congenital cases (2.0%), followed by neuromuscular types (1.1%) and idiopathic scoliosis (0.8%). Neur omuscular scoliosis and congenital scoliosis had the highest rates of mortality (0.3% each), followed by idiopathic scoliosis (0.02%). Higher rates of new neurologic deficits were associated with revision procedures (P < 0.001) and with the use of corrective osteotomies (P < 0.001). The rates of new neurologic deficit were significantly higher for procedures using anterior screw-only constructs (2.0%) or wire-only constructs (1.7%), compared with pedicle screw only constructs (0.7%) (P < 0.001). CONCLUSION: In this review of a large multicenter database of surgically treated pediatric scoliosis, neuromuscular scoliosis had the highest morbidity, but relatively high complication rates occurred in all groups. These data may be useful for preoperative counseling and surgical decision-making in the treatment of pediatric scoliosis. PMID- 21037529 TI - A prospective analysis of prognostic factors in patients with spinal metastases: use of the revised Tokuhashi score. AB - STUDY DESIGN: Prospective observational cohort study. OBJECTIVE: To define the utility of the revised Tokuhashi score in relation to predicting survival in patients with spinal metastases regardless of the treatment pathway. SUMMARY OF BACKGROUND DATA: The revised Tokuhashi score has been used for the prediction of survival. In this scoring system, however, all the patients were sourced by orthopedic surgeons, and asymptomatic patients were excluded. That might present a significant source of patient selection bias. The treatment plan was also affected by the predicted survival in their system. METHODS: All patients within 2 years of diagnosis of spinal metastases, whether symptomatic were recruited. Minimum 1-year follow-up was required. During the study period, a total of 85 patients were analyzed including 44 patients who died within 1 year. The relation between the revised Tokuhashi score and survival were analyzed using the Cox proportional hazard model and Spearman's rank correlation coefficient. RESULTS: The mean age was 60.3 years (range: 35-84) and the median survival was 11.6 months. On multivariate analysis, lower performance status (Karnofsky performance status, 50%-70%) and unresectable organ metastases were significantly associated with poor survival, with hazard ratios of 2.92 and 4.44, respectively. In primary cancer type, lung and kidney cancer were also significantly associated with poor survival, with hazard ratios of 4.25 and 2.60, respectively. The revised Tokuhashi score groups were significantly correlated with the survival groups (rho = 0.530, P < 0.001). In 67 (79%) of 85 patients, actual survival matched the predicted survival. CONCLUSION: Lower score on performance status, the existence of organ metastases, and primary cancer of the lung and the kidney were significantly associated with poor survival. The revised Tokuhashi score was found to be very useful to predict survival regardless of the treatment pathway. In most patients, actual survival matched their predicted survival. PMID- 21037530 TI - Depression is associated with a poorer outcome of lumbar spinal stenosis surgery: a two-year prospective follow-up study. AB - STUDY DESIGN: Prospective clinical study. OBJECTIVE: To investigate the effect of depressive symptoms on the surgery outcome on 2-year follow-up among lumbar spinal stenosis (LSS) patients. SUMMARY OF BACKGROUND DATA: Previous research has suggested an association between preoperative depressive symptoms and a poorer surgery outcome among LSS patients. There have been no previous studies on the effect of depressive symptoms on the surgery outcome at the 2-year postoperative phase. METHODS: A total of 96 patients (mean age, 62 years) with symptomatic LSS underwent decompressive surgery. They completed the same set of questionnaires before surgery and 3 months, 6 months, 1 year, and 2 years after surgery. Depression was assessed with the 21-item Beck Depression Inventory (BDI). Physical functioning and pain were assessed with the Oswestry Disability Index, the Stucki Questionnaire, self-reported walking ability, he visual analogue scale, and pain drawing. Comparisons were made according to depression status. Logistic regression analysis was used to examine the factors associated with a poorer surgery outcome on 2-year follow-up. RESULTS: After surgery, the patients with continuous depression showed poorer improvement in symptom severity, the disability score, and walking capacity than the patients who did not have depression in any phase. In those patients who recovered from depression, the postoperative improvement resembled that of the constant normal mood group. In regression analyses, an independent association was detected between high preoperative BDI scores and 2-year disability and symptom severity. Strong independent associations were seen between depression burden (the sum of preoperative, 3-month and 6-month BDI scores) and 2-year disability, symptom severity, and poor walking capacity. CONCLUSION: The patients with a normal mood and those who recovered from depressive symptoms enjoyed the most favorable outcome. Depressive symptoms interfere strongly with the ability of patients to obtain an optimal surgery outcome. Treatment models including the assessment and treatment of depression are encouraged. PMID- 21037531 TI - Pharmacological inhibition of tumor necrosis factor may reduce pain behavior changes induced by experimental disc puncture in the rat: an experimental study in rats. AB - STUDY DESIGN: Pain behavior assessment in rats following disc puncture (DP) and simultaneous tumor necrosis factor (TNF) inhibition. OBJECTIVE: To assess if treatment with TNF inhibition could reduce the pain behavior changes induced by DP in the rat. SUMMARY OF BACKGROUND DATA: Anular tears with leakage of nucleus pulposus have been suggested to be one possible cause of low back pain (LBP). In an experimental model, it was recently shown that DP might induce specific pain behavior changes. The aim of the present study was to a study if inhibition of TNF might reduce such pain behavior changes. METHODS: Sixty rats underwent facetectomy and puncture of the fourth lumbar disc. The rats were simultaneously treated with doxycycline locally at 0.3 and 3.0 mg/kg and systemically at 3.0 mg/kg, or infliximab locally at 0.5 and 5.0 mg/kg, and systemically at 5.0 mg/kg, (n ? 10 for each subseries). The rats were videotaped at 1, 3, 7, 14, and 21 days after surgery. The videos were analyzed regarding presence of wet-dog shakes (WDS). Data from a previous study with sham surgery and DP without treatment were included for comparison. RESULTS: All groups treated with doxycycline resulted in a statistically significant reduction of WDS compared to the group without treatment (DP). In infliximab treated animals, WDS decreased with statistically significance compared to the nontreated DP group at all analyzed days except for the group with high dose local treatment where a statistically significant reduction was obtained only at days 14 and 21. CONCLUSION: The present study showed that TNF inhibition induced a marked reduction of wet dog shakes. It is not fully understood if wet-dog shakes may relate to LBP, but in view of recent clinical findings one may consider clinical studies of TNF inhibition for the treatment of LBP. PMID- 21037532 TI - Localization and function of insulin-like growth factor 1 in dorsal root ganglia in a rat disc herniation model. AB - STUDY DESIGN: we investigated the localization of insulin-like growth factor 1 (IGF-1) using immunohistochemistry and the effects of small interfering RNA (siRNA) on IGF-1 in dorsal root ganglions (DRG) in a rat lumbar disc herniation (LDH) model. OBJECTIVE: to determine the localization and function of IGF-1 in DRG of an experimental model of LDH. SUMMARY OF BACKGROUND DATA: mechanical compression and chemical irritation are 2 major causative factors of radiculopathy in LDH. IGF-1, Ccnd1, Cdc2a, and CyclinA2 genes have been shown to be significantly upregulated in the mechanical model, but not in the chemical model. However, the localization and function of IGF-1 in DRG remain unknown in the mechanical compression animals. METHODS: twenty-six adult female Sprague Dawley rats were used in this study. A mechanical compression model was prepared by inserting a stainless rod. The rod was not inserted in the sham model. Expression of IGF-1 and Neuronal Nucli (NeuN) or glial fibrillary acidic protein was determined using double-fluorescence 7 days after mechanical compression (n = 5). Rats were randomly separated into 3 groups for the siRNA study (n = 7 in each group): (1) vehicle group; (2) siRNA group; and (3) sham group. The mechanical withdrawal threshold of the plantar food pad was examined using von Frey filaments for 35 days. RESULTS: IGF-1 was localized particularly in the neuronal cell body, and revealed that it colocalized with NeuN but not with glial fibrillary acidic protein. The threshold was reduced in the vehicle and siRNA groups compared with the sham group. The threshold of the siRNA group significantly recovered from reduction compared with the vehicle group at 5 days after surgery, and this effect persisted throughout the experimental period. CONCLUSION.: IGF-1 was localized with neuronal cell bodies in DRG. IGF-1 knockdown caused a reduction in mechanical allodynia. The upregulation of IGF-1 might be a key factor in painful radiculopathy induced by mechanical factors. PMID- 21037533 TI - All roads lead to T regulatory cells. PMID- 21037534 TI - Anti-OX40 prevents effector T-cell accumulation and CD8+ T-cell mediated skin allograft rejection. AB - BACKGROUND: OX40 is a member of the tumor necrosis factor receptor superfamily and is a potent T-cell costimulatory molecule. Although the impact of blockade of the OX40-OX40L pathway has been documented in models of autoimmune disease, the effect on allograft rejection is less well defined. METHODS: The expression of OX40 and impact of OX40 blockade on BM3 T cells (H2Kb-reactive, T-cell receptor transgenic) after stimulation with alloantigen were assessed in vitro by the incorporation of 3H-thymidine and flow cytometry. In vivo, naive BM3 or polyclonal CD8+ T cells were transferred into syngeneic recombinase-activating gene(-/-) mice, which received an H2b+ skin allograft with and without anti-OX40. Skin allograft survival was monitored, and the proliferation, number, and phenotype of BM3 T cells were determined using flow cytometry. RESULTS: In vitro allogeneic stimulation of CD8+ T cells resulted in OX40 expression, the blockade of which was found to partially inhibit 3H-thymidine incorporation as a result of increased cell death among activated T cells. Similarly, in vivo, anti-OX40 prevented skin allograft rejection mediated by CD8+ T cells. However, after cessation of anti-OX40 therapy, skin allografts were eventually rejected indicating that tolerance had not been induced. Correlating with the in vitro data, analysis of lymph nodes draining skin allografts revealed that OX40 blockade had no effect on the activation and proliferation of BM3 T cells but rather resulted in diminished effector T-cell accumulation. CONCLUSION: Taken together, these data demonstrate that anti-OX40 attenuates CD8+ T-cell responses to alloantigen by reducing the pool of effector T cells, suggesting that this may be a worthwhile adjunct to preexisting costimulatory molecule-blocking regimens. PMID- 21037538 TI - Human papilloma vaccination to adolescents. AB - Infection with the Human Papilloma Virus (HPV) is extremely common worldwide. Persistent infection with high-risk strains can lead to genital tract premalignant and malignant lesions. Two licensed prophylactic HPV vaccines against cervical malignancy are commercially available. In naive patients both vaccines showed close to 100% efficacy against persistent infection and genital disease associated with the vaccine-targeted HPV types, as well as excellent safety over several years of study. Here we present a discussion on the appropriate age and gender in which to propose vaccination, and conclude that the most suitable recommendation is for vaccination of adolescents. PMID- 21037540 TI - Septo-optic dysplasia. AB - Septo-optic dysplasia is a rare disorder characterized by optic nerve hypoplasia; midline developmental defects including agenesis of the septum pellucidum, thinning or absence of the corpus callosum, or both; and deficiencies of pituitary hormones. The majority of cases are sporadic but rare familial cases occur. The clinical manifestations include poor visual function in one or both eyes, developmental delay, seizures, sleep disturbances, and precocious puberty. A life-long multidisciplinary approach is crucial in the management of these patients to optimize their growth and development and to help them lead as normal lives as possible. PMID- 21037541 TI - Pharmacological treatment of hyperthyroidism during lactation: review of the literature and novel data. AB - Antithyroid drugs (ATD) are used as a first line treatment in thyrotoxicosis. Propylthiouracil (PTU), carbimazole (CMZ) and methimazole (MMI) are available. During absorption CMZ is bioactivated to MMI. Initially, mothers were not allowed to breastfeed during treatment with ATD. Newer studies minimized the risk for mother and infant. PTU should be preferred over MMI due to its lower milk concentration. Recent studies have shown severe hepatic dysfunction for both ATD, but especially for PTU, in hyperthyroid patients. Most of those cases were idiosyncratic, not-dose related and presented a latent period of occurrence. No biomarkers could predict hepatic damage. The American Thyroid Association (ATA) has recommended that PTU should not be prescribed as the first line agent in children and adolescents. Its use might be accepted in the first trimester of pregnancy for severe thyrotoxicosis or for patients with previous MMI adverse reactions. Considering the potential harmful effects of PTU, MMI should be used instead during lactation. PMID- 21037539 TI - Pediatric brain tumor treatment: growth consequences and their management. AB - Tumors of the central nervous system, the most common solid tumors of childhood, are a major source of cancer-related morbidity and mortality in children. Survival rates have improved significantly following treatment for childhood brain tumors, with this growing cohort of survivors at high risk of adverse medical and late effects. Endocrine morbidities are the most prominent disorder among the spectrum of longterm conditions, with growth hormone deficiency the most common endocrinopathy noted, either from tumor location or after cranial irradiation and treatment effects on the hypothalamic/pituitary unit. Deficiency of other anterior pituitary hormones can contribute to negative effects on growth, body image and composition, sexual function, skeletal health, and quality of life. Pediatric and adult endocrinologists often provide medical care to this increasing population. Therefore, a thorough understanding of the epidemiology and pathophysiology of growth failure as a consequence of childhood brain tumor, both during and after treatment, is necessary and the main focus of this review. PMID- 21037542 TI - SRD5A2 gene mutations--a population-based review. AB - Knowledge of steroid 5 alpha-reductase type 2 (SRD5A2) gene mutations is expanding, and its role has been implicated in various disease susceptibilities concerning reproductive health. Extensive research has revealed the tendency for specific SRD5A2 gene mutations to be passed along certain racial, ethnic and geographically isolated groups, which suggests population specificity of these mutations. The review provides evidence of variation in the mutational spectrum of the SRD5A2 gene leading to population-specific high prevalence of characteristic disease or phenotypic expression. PMID- 21037543 TI - Endocrine disruptors and timing of human exposure. AB - A gradual decline in human fertility coincides with intensive industrial and agricultural development and the concomitant release of chemical waste into the environment. Among these chemicals are endocrine disruptors (EDs) which, in minute doses, have detrimental effects on reproductive health. Human exposure to EDs varies with age. Adults are exposed mainly through the ingestion of contaminated drinking water, meat, fat-dairy products and breathing polluted air. Infants are exposed to EDs through breast milk, baby products, and polluted air. Their abilities to detoxify xenobiotics are not mature yet and blood-brain barrier is not entirely developed, thus EDs may enter the central nervous system easily. Fetuses are exposed to EDs through the placenta. The most harmful effects on reproduction occur when embryos are exposed to them during "critical windows of development", leading to irreversible, pathological changes in adult life. To create a healthier environment, scientific research must be translated into preventive policy legislation. PMID- 21037544 TI - Anesthesia for liver transplantation: the experience of the University of Bologna in the MELD era. AB - AIM: The hepatic cirrhosis is associated with an important cardiovascular alterations. In this report, we review our transplant center experience with liver transplantation in the Model for End-Stage Liver Disease (MELD) era, in particular this study investigate the relationship between severity of liver disease assessed by MELD score and postoperative events. METHODS: Our retrospective review was performed on 242 cirrhotic patients underwent liver transplanation at the Department of Surgery and Transplantation of the University of Bologna. Biochemical and hemodynamic variables were evaluated by Swan-Ganz catherization. Dindo's classification of postoperative complications was used for the evaluation of postoperative course. RESULTS: Morbidity occurred in 158 patients (65.2%) and 13 patients died during the hospital stay. Considering the highest grade of complication occurred, non life-threatening complications occurred in the 47.9% of cases (116 patients) and life-threatening complications, excluding patient death, in 17.3% (42 patients). Patients with MELD >30 showed a longer ICU stay, tracheal intubation and in-hospital stay. CONCLUSION: In conclusion MELD score is tightly related to postoperative complications. PMID- 21037545 TI - Intraoperative hemodynamic monitoring during liver transplantation: goals and devices. AB - With the introduction of orthotopic liver transplantation (OLT) almost 40 years ago, changes in the cardiovascular system that manifest during the different phases of the operation combined, sometimes with massive hemorrhage in likely critically ill patients have been a challenge. Here hemodynamic monitoring of the patients during OLT is addressed with focus on maintaining the patients' central blood volume (CBV) and methods and devices that can serve that purpose are listed. It is considered that a stable CBV maintains cerebral blood flow and oxygenation and thereby the well-being of the patient, while even a small reduction in blood pressure affects cerebral blood flow and oxygenation if it reflects a reduced CBV and thereby cardiac output. In that regard it is accepted that for the patient going through OLT cardiac output (~8 L/min-1) and also venous oxygen saturation (~85%) are larger than for other categories of patients when a flow related parameter (cardiac stroke volume, cardiac output or (mixed) venous oxygen saturation) does not respond to a fluid challenge, i.e. the patient is "normovolaemic". Also the administration strategy for liver transplantation is considered with emphasis on haemostatic control resuscitation, i.e. balanced administration of red blood cells, plasma and platelets to massively bleeding patients. PMID- 21037546 TI - Vasoconstrictor use in liver transplantation: is there evidence for rational use? AB - Liver transplantation is a challenging surgical operation performed in recipients with major hemodynamic perturbations related to portal hypertension. The pathophysiologic alterations in portal hypertension include a hyperdynamic circulation and decline in systemic vascular resistance and mean arterial pressure. Cardiac function can also be depressed due to cirrhosis related cardiomyopathy. These cirrhosis related changes often lead to a tenuous state in which organ perfusion is threatened and declines rapidly in the setting of many other insults including blood loss, infection, and use of medications which can cause a decline in blood pressure. This can result in renal failure as well as reduced perfusion of other organs. Additionally, direct consequences of portal hypertension include risk of bleeding from porto-systemic collaterals both in the gastrointestinal tract as well as during abdominal dissection in liver transplantation. In this milieu the management of hemodynamic alterations during liver transplant surgery is a daunting task. Recent approaches have utilized various vasoconstrictor therapies along with judicious use of intravenous fluids to maintain systemic pressures and organ perfusion. Added advantages of this approach include the potential for reducing portal pressure and thus the severity of intra-abdominal hemorrhage during surgery as well as potentially increasing renal blood flow and reducing mesenteric hyperemia. Avoidance of liberal fluid use to maintain systemic pressures also has the advantage of reducing the severity of pulmonary edema and risk of reintubation or prolonged intubation after surgery. Although these approaches utilizing vasoconstrictors are promising, many questions remain. Randomized controlled trials like those performed in the pretransplant population are sparse in the setting of liver transplantation. The optimal vasoconstrictors including combinations and doses have not been defined. Most of the benefits demonstrated thus far have been surrogate outcomes such as reduced transfusion requirement, decreased need for reintubation and improved systemic hemodynamics and reduced portal pressures during surgery. There may be different outcomes of these approaches in patients with varying severities of liver disease. The safety of minimization of fluids, along with vasoconstrictor therapy during liver transplantation has been questioned in patients with higher risk of renal failure including recipients with high MELD scores. Other factors besides disease severity, including organ quality and cold ischemia times, need to be accounted for in future trials. Optimal outcomes including postoperative patient and graft survival, hospital stay and renal function should also be incorporated in future trials of vasoconstrictor therapy during liver transplantation. PMID- 21037547 TI - Metabolic syndrome and liver transplantation. AB - Non-alcoholic fatty liver disease (NAFLD), an important consequence of the global epidemic of obesity, is a common indication of orthotopic liver transplantation in the western world. Currently, NAFLD is the fourth most common indication of liver transplantation in the United Stated with prediction for increase demand of liver transplantation for NAFLD cirrhosis in the next two decades to exceed that of liver transplantation for chronic hepatitis C virus infection. Given the advances in the efficacy and tolerability of immunosuppressive agents which have reduced the incidence of chronic rejection, long-term survival rates after liver transplantation have remarkably improved. Today, long-term graft loss and death after liver transplantation are commonly related to age-related complications, such as cardiovascular disease. Features of metabolic syndrome including obesity, hypertension, hyperglycemia and dyslipidemia are very prevalent and almost universal after liver transplantation. These metabolic derangements are intricately associated with cardiovascular events and have emerged as the leading cause of morbidity and mortality after liver transplantation. In addition, the international epidemic of obesity has negatively impacted the liver transplant candidacy. Because obesity is associated with poor postoperative outcome, many transplant centers decline liver transplantation for morbidly obese individuals above certain level of body mass index. PMID- 21037548 TI - Critical care issues following orthotopic liver transplantation. AB - Orthotopic liver transplantation (OLT) remains a formidable undertaking. A multidisciplinary approach to pre-operative optimization and intra- and postoperative care of patients undergoing OLT increases the chance of a successful outcome. Although there have been moves towards avoidance of Intensive Care Unit (ICU) admission for "routine" OLT recipients, critical care practitioners continue to play a key role in liver transplant programs in the MELD era. Use of protocolized care delivery and innovative ICU therapeutic interventions have streamlined the pre-operative optimization and perioperative care of OLT recipients. The postoperative course is significantly influenced by the patient's pre-operative status, the intraoperative course and the function of the liver graft. In addition to discussion of general ICU concepts such as the use of prognostic scoring systems and protocolization of care, this review will use an organ-system based approach to describe the postoperative ICU care of OLT recipients. We discuss hemodynamic management, ventilator weaning, optimization of sedation and analgesia, and the investigation and management of renal and metabolic abnormalities. In addition, we examine postoperative complications including hemorrhage, central nervous system pathology and graft dysfunction. The review concludes with a discussion of the additional challenges practitioners face when dealing with living donor liver transplantation and donation after cardiac death. PMID- 21037549 TI - Testing and management of thrombocytopenia and coagulopathy in the pre- and postliver transplant patient. AB - Caring for patients with advanced liver disease and acute liver failure requires a thorough understanding of the profound coagulation changes that occur in these conditions. Due to the unique nature of the pathophysiologic changes from hepatic dysfunction, effective interpretation and management of coagulopathy and thrombocytopenia with blood products are important clinical skills, and are likewise required in the post transplant period. Acute superimposed complications such as sepsis and renal dysfunction present additional challenges. The aim of this review was to describe the coagulation changes in liver disease, appropriate coagulation testing, and management strategies in the pre- and post-transplant period. PMID- 21037550 TI - Liver support systems as perioperative care in liver transplantation-historical perspective and recent progress in Japan. AB - A meta-analysis of the efficacy of artificial liver support (ALS) systems for fulminant hepatic failure (FHF) by the Cochrane Hepato-Biliary Group suggested that all ALS systems previously developed are ineffective for FHF. This supports the view that the only treatment of choice for FHF is immediate liver transplantation. Plasma exchange, in combination with high-volume hemodiafiltration or high-flow continuous hemodiafiltration using large pore membranes, which was excluded from the Cochrane meta-analysis because of the lack of randomized control trials, has become a standard ALS system in Japan. This system is safe, and it efficiently removes more low and middle molecular weight toxic substances than other methods by using a large volume of buffers (more than 200 L per session), resulting in recovery from coma in patients with severe FHF comparable to an ahepatic state. These artificial liver support systems are effective tools for sustaining patients with FHF in a favorable condition until liver function recovers or liver transplantation becomes available. PMID- 21037551 TI - Infectious complications in the early postoperative period in liver transplant patients. AB - Liver transplantation (LTx) is a technically well established procedure in acute and in end-stage liver diseases. However, opportunistic infections remain one of the important complications in short and long-term outcome of LTx patients. Bloodstream and pulmonary infections are the major cause of death in the first year following liver transplantation. Due to extended use of chinolons and third generation cephalosporines there is a shift towards multidrug-resistant bacteria including methicillin-resistant Staphylococcus aureus, vancomycin-resistant Enterococcus faecium, and extended b-lactamase-producing gram negative rods. Fungal infections are mainly due to Candida spp. Viral infections, such as with cytomegalovirus, human herpesvirus 6, herpes simplex virus, and Epstein-Barr virus infections are another major cause of morbidity in patients receiving solid organ transplants, including liver transplant patients. Studies of infection following LTx are necessary to improve management and to provide a better outcome after LTx. This review focuses on the most important bacterial, fungal and viral infections in LTx patients. PMID- 21037552 TI - Medical treatment of uncomplicated diverticular disease of the colon: any progress? AB - Clinical evidence supports a therapeutic approach to uncomplicated, symptomatic diverticular disease of the colon by means of increased fiber intake and cyclic administration of the non absorbable antibiotic agent rifaximin polymorph-alpha. Alternate treatments such as mesalazine and probiotics have been recently proposed but no definitive conclusions on their efficacy can be drawn until larger, randomized placebo-controlled studies will be available. PMID- 21037553 TI - Direct observation of stepped proteolipid ring rotation in E. coli F0F1-ATP synthase. AB - Although single-molecule experiments have provided mechanistic insight for several molecular motors, these approaches have proved difficult for membrane bound molecular motors like the F0F1-ATP synthase, in which proton transport across a membrane is used to synthesize ATP. Resolution of smaller steps in F0 has been particularly hampered by signal-to-noise and time resolution. Here, we show the presence of a transient dwell between F0 subunits a and c by improving the time resolution to 10 MUs at unprecedented S/N, and by using Escherichia coli F0F1 embedded in lipid bilayer nanodiscs. The transient dwell interaction requires 163 MUs to form and 175 MUs to dissociate, is independent of proton transport residues aR210 and cD61, and behaves as a leash that allows rotary motion of the c-ring to a limit of ~36 degrees while engaged. This leash behaviour satisfies a requirement of a Brownian ratchet mechanism for the F0 motor where c-ring rotational diffusion is limited to 36 degrees . PMID- 21037554 TI - Critical role for hyperpolarization-activated cyclic nucleotide-gated channel 2 in the AIF-mediated apoptosis. AB - Cellular calcium uptake is a controlled physiological process mediated by multiple ion channels. The exposure of cells to either one of the protein kinase C (PKC) inhibitors, staurosporine (STS) or PKC412, can trigger Ca2(+) influx leading to cell death. The precise molecular mechanisms regulating these events remain elusive. In this study, we report that the PKC inhibitors induce a prolonged Ca2(+) import through hyperpolarization-activated cyclic nucleotide gated channel 2 (HCN2) in lung carcinoma cells and in primary culture of cortical neurons, sufficient to trigger apoptosis-inducing factor (AIF)-mediated apoptosis. Downregulation of HCN2 prevented the drug-induced Ca2(+) increase and subsequent apoptosis. Importantly, the PKC inhibitors did not cause Ca2(+) entry into HEK293 cells, which do not express the HCN channels. However, introduction of HCN2 sensitized them to STS/PKC412-induced apoptosis. Mutagenesis of putative PKC phosphorylation sites within the C-terminal domain of HCN2 revealed that dephosphorylation of Thr549 was critical for the prolonged Ca2(+) entry required for AIF-mediated apoptosis. Our findings demonstrate a novel role for the HCN2 channel by providing evidence that it can act as an upstream regulator of cell death triggered by PKC inhibitors. PMID- 21037555 TI - Role of Rac1-dependent NADPH oxidase in the growth of pancreatic cancer. AB - K-ras mutations occur in as high as 95% of patients with pancreatic cancer. K-ras activates Rac1-dependent NADPH oxidase, a key source of superoxide. Superoxide has an important function in pancreatic cancer cell proliferation, and scavenging or decreasing the levels of superoxide inhibits pancreatic cancer cell growth both in vitro and in vivo. DNA microarray analysis and RT-PCR has demonstrated that Rac1 is also upregulated in pancreatic cancer. The aim of this study was to determine whether inhibiting Rac1 would alter pancreatic tumor cell behavior. Human pancreatic cancer cells with mutant K-ras (MIA PaCa-2), wild-type K-ras (BxPC-3) and the immortal H6c7 cell line (pancreatic ductal epithelium) expressing K-ras oncogene (H6c7eR-KrasT) that is tumorigenic, were infected with a dominant/negative Rac1 construct (AdN17Rac1). In cells with mutant K-ras, AdN17Rac1 decreased rac activity, decreased superoxide levels and inhibited in vitro growth. However, in the BxPC-3 cell line, AdN17Rac1 did not change rac activity, superoxide levels or in vitro cell growth. Additionally, AdN17Rac1 decreased superoxide levels and inhibited in vitro growth in the KrasT tumorigenic cell line, but had no effect in the immortalized H6c7 cell line. In human pancreatic tumor xenografts, intratumoral injections of AdN17Rac1 inhibited tumor growth. These results suggest that activation of Rac1-dependent superoxide generation leads to pancreatic cancer cell proliferation. In pancreatic cancer, inhibition of Rac1 may be a potential therapeutic target. PMID- 21037556 TI - Targeting of tumor radioiodine therapy by expression of the sodium iodide symporter under control of the survivin promoter. AB - To test the feasibility of using the survivin promoter to induce specific expression of sodium/iodide symporter (NIS) in cancer cell lines and tumors for targeted use of radionuclide therapy, a recombinant adenovirus, Ad-SUR-NIS, that expressed the NIS gene under control of the survivin promoter was constructed. Ad SUR-NIS mediating iodide uptake and cytotoxicity was performed in vitro. Scintigraphic, biodistribution and radioiodine therapy studies were performed in vivo. PC-3 (prostate); HepG2 (hepatoma) and A375 (melanoma) cancer cells all exhibited perchlorate-sensitive iodide uptake after infection with Ad-SUR-NIS, approximately 50 times higher than that of negative control Ad-CMV-GFP-infected cells. No significant iodide uptake was observed in normal human dental pulp fibroblast (DPF) cells after infection with Ad-SUR-NIS. Clonogenic assays demonstrated that Ad-SUR-NIS-infected cancer cells were selectively killed by exposure to (131)I. Ad-SUR-NIS-infected tumors show significant radioiodine accumulation (13.3 +/- 2.85% ID per g at 2 h post-injection), and the effective half-life was 3.1 h. Moreover, infection with Ad-SUR-NIS in combination with (131)I suppressed tumor growth. These results indicate that expression of NIS under control of the survivin promoter can likely be used to achieve cancer specific expression of NIS in many types of cancers. In combination with radioiodine therapy, this strategy is a possible method of cancer gene therapy. PMID- 21037557 TI - TRAIL-transduced multipotent mesenchymal stromal cells (TRAIL-MSC) overcome TRAIL resistance in selected CRC cell lines in vitro and in vivo. AB - Tumor-integrating multipotent mesenchymal stromal cells (MSC) expressing transgenes with anti-tumor activity may serve as vehicles for tumor therapy. Tumor necrosis factor-related apoptosis-inducing ligand (TRAIL) represents such a factor; however, TRAIL-resistant tumor cells exist. Based on our previous work, here we investigated whether MSC with lentiviral TRAIL expression (TRAIL-MSC) inhibit the growth of TRAIL-resistant colorectal carcinoma (CRC) cells. Our data show that TRAIL-MSC induce apoptosis in selected TRAIL-resistant CRC cell lines and effectively inhibit the growth of TRAIL-resistant HCT8 cells. This sensitization to TRAIL-induced apoptosis required the presence of MSC-expressed TRAIL. However, for the first time we show that selected CRC cells are resistant to TRAIL-MSC. In the cell line HT29, this resistance could be overcome by concomitant subapoptotic genotoxic damage in vitro. However, such sensitization was not achieved in vivo as treatment of mixed HT29/TRAIL-MSC xenografts with 5 FU rather resulted in enhanced growth. Taken together, our data prove that TRAIL MSC overcome TRAIL resistance in selected CRC cells through direct intercellular interaction and may, therefore, represent a clinical tool to overcome TRAIL resistance. However, such potential clinical use requires further preclinical studies as our data also prove that TRAIL-MSC-resistant CRC cells exist. Our data add to the notion that TRAIL resistance of CRC cells is conferred by different mechanisms. PMID- 21037558 TI - Osteoarthritis: Small studies overestimate the benefit of therapies for OA. AB - a meta-epidemiological study has revealed that the inclusion of small studies in meta-analyses of osteoarthritis interventions could lead to an overestimation of the benefit of these interventions. Does this mean meta-analyses should be restricted to trials with large sample sizes? PMID- 21037559 TI - Therapy: Are TNF blockers safe for patients with hepatitis B virus infection? AB - Immunosuppression has been associated with viral reactivation in patients with chronic viral infections. a prospective study has concluded that it is safe to use anti-tumor-necrosis-factor agents in patients with chronic hepatitis B virus infection receiving antiviral prophylaxis. Is there sufficient evidence to back up this conclusion? PMID- 21037560 TI - Inflammation: TREG cell control of autoimmune inflammation: a matter of timing? AB - Immunosuppression has been associated with viral reactivation in patients with chronic viral infections. a prospective study has concluded that it is safe to use anti-tumor-necrosis-factor agents in patients with chronic hepatitis B virus infection receiving antiviral prophylaxis. Is there sufficient evidence to back up this conclusion? PMID- 21037561 TI - Therapy: Gut-mediated autoimmune arthritis treated with antibiotics. AB - Bacterial infection is known to trigger a number of autoimmune disorders, an observation that indicates a potentially important role for antibiotics in treating these diseases. Indeed, results from an experimental model of autoimmune arthritis in mice suggest that antibiotics can prevent the onset of disease. PMID- 21037562 TI - Clinical trials: Tight control in early RA pays off in the long run. AB - Clinical trials demonstrate that intensive treatment of early rheumatoid arthritis with a combination of DMARDs improves short-term outcomes. an extension study from a pivotal trial has now shown that such intensive early therapy can achieve a reduction in the rate of erosive progression over a period of 11 years. PMID- 21037563 TI - The structural basis for membrane binding and pore formation by lymphocyte perforin. AB - Natural killer cells and cytotoxic T lymphocytes accomplish the critically important function of killing virus-infected and neoplastic cells. They do this by releasing the pore-forming protein perforin and granzyme proteases from cytoplasmic granules into the cleft formed between the abutting killer and target cell membranes. Perforin, a 67-kilodalton multidomain protein, oligomerizes to form pores that deliver the pro-apoptopic granzymes into the cytosol of the target cell. The importance of perforin is highlighted by the fatal consequences of congenital perforin deficiency, with more than 50 different perforin mutations linked to familial haemophagocytic lymphohistiocytosis (type 2 FHL). Here we elucidate the mechanism of perforin pore formation by determining the X-ray crystal structure of monomeric murine perforin, together with a cryo-electron microscopy reconstruction of the entire perforin pore. Perforin is a thin 'key shaped' molecule, comprising an amino-terminal membrane attack complex perforin like (MACPF)/cholesterol dependent cytolysin (CDC) domain followed by an epidermal growth factor (EGF) domain that, together with the extreme carboxy terminal sequence, forms a central shelf-like structure. A C-terminal C2 domain mediates initial, Ca(2+)-dependent membrane binding. Most unexpectedly, however, electron microscopy reveals that the orientation of the perforin MACPF domain in the pore is inside-out relative to the subunit arrangement in CDCs. These data reveal remarkable flexibility in the mechanism of action of the conserved MACPF/CDC fold and provide new insights into how related immune defence molecules such as complement proteins assemble into pores. PMID- 21037564 TI - Selective catalysts for the hydrogen oxidation and oxygen reduction reactions by patterning of platinum with calix[4]arene molecules. AB - The design of new catalysts for polymer electrolyte membrane fuel cells must be guided by two equally important fundamental principles: optimization of their catalytic behaviour as well as the long-term stability of the metal catalysts and supports in hostile electrochemical environments. The methods used to improve catalytic activity are diverse, ranging from the alloying and de-alloying of platinum to the synthesis of platinum core-shell catalysts. However, methods to improve the stability of the carbon supports and catalyst nanoparticles are limited, especially during shutdown (when hydrogen is purged from the anode by air) and startup (when air is purged from the anode by hydrogen) conditions when the cathode potential can be pushed up to 1.5 V (ref. 11). Under the latter conditions, stability of the cathode materials is strongly affected (carbon oxidation reaction) by the undesired oxygen reduction reaction (ORR) on the anode side. This emphasizes the importance of designing selective anode catalysts that can efficiently suppress the ORR while fully preserving the Pt-like activity for the hydrogen oxidation reaction. Here, we demonstrate that chemically modified platinum with a self-assembled monolayer of calix[4]arene molecules meets this challenging requirement. PMID- 21037565 TI - A kinase cascade leading to Rab11-FIP5 controls transcytosis of the polymeric immunoglobulin receptor. AB - Polymeric immunoglobulin A (pIgA) transcytosis, mediated by the polymeric immunoglobulin receptor (pIgR), is a central component of mucosal immunity and a model for regulation of polarized epithelial membrane traffic. Binding of pIgA to pIgR stimulates transcytosis in a process requiring Yes, a Src family tyrosine kinase (SFK). We show that Yes directly phosphorylates EGF receptor (EGFR) on liver endosomes. Injection of pIgA into rats induced EGFR phosphorylation. Similarly, in MDCK cells, pIgA treatment significantly increased phosphorylation of EGFR on various sites, subsequently activating extracellular signal-regulated protein kinase (ERK). Furthermore, we find that the Rab11 effector Rab11-FIP5 is a substrate of ERK. Knocking down Yes or Rab11-FIP5, or inhibition of the Yes EGFR-ERK cascade, decreased pIgA-pIgR transcytosis. Finally, we demonstrate that Rab11-FIP5 phosphorylation by ERK controls Rab11a endosome distribution and pIgA pIgR transcytosis. Our results reveal a novel Yes-EGFR-ERK-FIP5 signalling network for regulation of pIgA-pIgR transcytosis. PMID- 21037566 TI - Structural basis for the unfolding of anthrax lethal factor by protective antigen oligomers. AB - The protein transporter anthrax lethal toxin is composed of protective antigen (PA), a transmembrane translocase, and lethal factor (LF), a cytotoxic enzyme. After its assembly into holotoxin complexes, PA forms an oligomeric channel that unfolds LF and translocates it into the host cell. We report the crystal structure of the core of a lethal toxin complex to 3.1-A resolution; the structure contains a PA octamer bound to four LF PA-binding domains (LF(N)). The first alpha-helix and beta-strand of each LF(N) unfold and dock into a deep amphipathic cleft on the surface of the PA octamer, which we call the alpha clamp. The alpha clamp possesses nonspecific polypeptide binding activity and is functionally relevant to efficient holotoxin assembly, PA octamer formation, and LF unfolding and translocation. This structure provides insight into the mechanism of translocation-coupled protein unfolding. PMID- 21037567 TI - Structural basis of open channel block in a prokaryotic pentameric ligand-gated ion channel. AB - The flow of ions through cation-selective members of the pentameric ligand-gated ion channel family is inhibited by a structurally diverse class of molecules that bind to the transmembrane pore in the open state of the protein. To obtain insight into the mechanism of channel block, we have investigated the binding of positively charged inhibitors to the open channel of the bacterial homolog GLIC by using X-ray crystallography and electrophysiology. Our studies reveal the location of two regions for interactions, with larger blockers binding in the center of the membrane and divalent transition metal ions binding to the narrow intracellular pore entry. The results provide a structural foundation for understanding the interactions of the channel with inhibitors that is relevant for the entire family. PMID- 21037568 TI - A genome-wide association study of Hodgkin's lymphoma identifies new susceptibility loci at 2p16.1 (REL), 8q24.21 and 10p14 (GATA3). AB - To identify susceptibility loci for classical Hodgkin's lymphoma (cHL), we conducted a genome-wide association study of 589 individuals with cHL (cases) and 5,199 controls with validation in four independent samples totaling 2,057 cases and 3,416 controls. We identified three new susceptibility loci at 2p16.1 (rs1432295, REL, odds ratio (OR) = 1.22, combined P = 1.91 * 10(-8)), 8q24.21 (rs2019960, PVT1, OR = 1.33, combined P = 1.26 * 10(-13)) and 10p14 (rs501764, GATA3, OR = 1.25, combined P = 7.05 * 10(-8)). Furthermore, we confirmed the role of the major histocompatibility complex in disease etiology by revealing a strong human leukocyte antigen (HLA) association (rs6903608, OR = 1.70, combined P = 2.84 * 10(-50)). These data provide new insight into the pathogenesis of cHL. PMID- 21037569 TI - The developmental dynamics of the maize leaf transcriptome. AB - We have analyzed the maize leaf transcriptome using Illumina sequencing. We mapped more than 120 million reads to define gene structure and alternative splicing events and to quantify transcript abundance along a leaf developmental gradient and in mature bundle sheath and mesophyll cells. We detected differential mRNA processing events for most maize genes. We found that 64% and 21% of genes were differentially expressed along the developmental gradient and between bundle sheath and mesophyll cells, respectively. We implemented Gbrowse, an electronic fluorescent pictograph browser, and created a two-cell biochemical pathway viewer to visualize datasets. Cluster analysis of the data revealed a dynamic transcriptome, with transcripts for primary cell wall and basic cellular metabolism at the leaf base transitioning to transcripts for secondary cell wall biosynthesis and C(4) photosynthetic development toward the tip. This dataset will serve as the foundation for a systems biology approach to the understanding of photosynthetic development. PMID- 21037571 TI - Yersinia pestis genome sequencing identifies patterns of global phylogenetic diversity. AB - Plague is a pandemic human invasive disease caused by the bacterial agent Yersinia pestis. We here report a comparison of 17 whole genomes of Y. pestis isolates from global sources. We also screened a global collection of 286 Y. pestis isolates for 933 SNPs using Sequenom MassArray SNP typing. We conducted phylogenetic analyses on this sequence variation dataset, assigned isolates to populations based on maximum parsimony and, from these results, made inferences regarding historical transmission routes. Our phylogenetic analysis suggests that Y. pestis evolved in or near China and spread through multiple radiations to Europe, South America, Africa and Southeast Asia, leading to country-specific lineages that can be traced by lineage-specific SNPs. All 626 current isolates from the United States reflect one radiation, and 82 isolates from Madagascar represent a second radiation. Subsequent local microevolution of Y. pestis is marked by sequential, geographically specific SNPs. PMID- 21037570 TI - Natural variation at Strubbelig Receptor Kinase 3 drives immune-triggered incompatibilities between Arabidopsis thaliana accessions. AB - Accumulation of genetic incompatibilities within species can lead to reproductive isolation and, potentially, speciation. In this study, we show that allelic variation at SRF3 (Strubbelig Receptor Family 3), encoding a receptor-like kinase, conditions the occurrence of incompatibility between Arabidopsis thaliana accessions. The geographical distribution of SRF3 alleles reveals that allelic forms causing epistatic incompatibility with a Landsberg erecta allele at the RPP1 resistance locus are present in A. thaliana accessions in central Asia. Incompatible SRF3 alleles condition for an enhanced early immune response to pathogens as compared to the resistance-dampening effect of compatible SRF3 forms in isogenic backgrounds. Variation in disease susceptibility suggests a basis for the molecular patterns of a recent selective sweep detected at the SRF3 locus in central Asian populations. PMID- 21037572 TI - Ultrasensitive detection and characterization of biomolecules using superchiral fields. AB - The spectroscopic analysis of large biomolecules is important in applications such as biomedical diagnostics and pathogen detection, and spectroscopic techniques can detect such molecules at the nanogram level or lower. However, spectroscopic techniques have not been able to probe the structure of large biomolecules with similar levels of sensitivity. Here, we show that superchiral electromagnetic fields, generated by the optical excitation of plasmonic planar chiral metamaterials, are highly sensitive probes of chiral supramolecular structure. The differences in the effective refractive indices of chiral samples exposed to left- and right-handed superchiral fields are found to be up to 10(6) times greater than those observed in optical polarimetry measurements, thus allowing picogram quantities of adsorbed molecules to be characterized. The largest differences are observed for biomolecules that have chiral planar sheets, such as proteins with high beta-sheet content, which suggests that this approach could form the basis for assaying technologies capable of detecting amyloid diseases and certain types of viruses. PMID- 21037573 TI - Magnetoelectric coupling at metal surfaces. AB - Magnetoelectric coupling allows the magnetic state of a material to be changed by an applied electric field. To date, this phenomenon has mainly been observed in insulating materials such as complex multiferroic oxides. Bulk metallic systems do not exhibit magnetoelectric coupling, because applied electric fields are screened by conduction electrons. We demonstrate strong magnetoelectric coupling at the surface of thin iron films using the electric field from a scanning tunnelling microscope, and are able to write, store and read information to areas with sides of a few nanometres. Our work demonstrates that high-density, non volatile information storage is possible in metals. PMID- 21037574 TI - Magnetoelectrics: making metallic memories. PMID- 21037575 TI - Biosensing: plasmons offer a helping hand. PMID- 21037576 TI - Nanomechanical recognition measurements of individual DNA molecules reveal epigenetic methylation patterns. AB - Atomic force microscopy (AFM) is a powerful tool for analysing the shapes of individual molecules and the forces acting on them. AFM-based force spectroscopy provides insights into the structural and energetic dynamics of biomolecules by probing the interactions within individual molecules, or between a surface-bound molecule and a cantilever that carries a complementary binding partner. Here, we show that an AFM cantilever with an antibody tether can measure the distances between 5-methylcytidine bases in individual DNA strands with a resolution of 4 A, thereby revealing the DNA methylation pattern, which has an important role in the epigenetic control of gene expression. The antibody is able to bind two 5 methylcytidine bases of a surface-immobilized DNA strand, and retracting the cantilever results in a unique rupture signature reflecting the spacing between two tagged bases. This nanomechanical approach might also allow related chemical patterns to be retrieved from biopolymers at the single-molecule level. PMID- 21037577 TI - A genetically selective inhibitor demonstrates a function for the kinase Zap70 in regulatory T cells independent of its catalytic activity. AB - To investigate the role of the kinase Zap70 in T cells, we generated mice expressing a Zap70 mutant whose catalytic activity can be selectively blocked by a small-molecule inhibitor. We found that conventional naive, effector and memory T cells were dependent on the kinase activity of Zap70 for their activation, which demonstrated a nonredundant role for Zap70 in signals induced by the T cell antigen receptor (TCR). In contrast, the catalytic activity of Zap70 was not required for activation of the GTPase Rap1 and inside-out signals that promote integrin adhesion. This Zap70 kinase-independent pathway was sufficient for the suppressive activity of regulatory T cells (T(reg) cells), which was unperturbed by inhibition of the catalytic activity of Zap70. Our results indicate Zap70 is a likely therapeutic target. PMID- 21037578 TI - Plasma cells negatively regulate the follicular helper T cell program. AB - B lymphocytes differentiate into antibody-secreting cells under the antigen specific control of follicular helper T cells (T(FH) cells). Here we demonstrate that isotype-switched plasma cells expressed major histocompatibility complex (MHC) class II, the costimulatory molecules CD80 and CD86, and the intracellular machinery required for antigen presentation. Antigen-specific plasma cells accessed, processed and presented sufficient antigen in vivo to induce multiple helper T cell functions. Notably, antigen-primed plasma cells failed to induce interleukin 21 (IL-21) or the transcriptional repressor Bcl-6 in naive helper T cells and actively decreased these key molecules in antigen-activated T(FH) cells. Mice lacking plasma cells showed altered T(FH) cell activity, which provided evidence of this negative feedback loop. Hence, antigen presentation by plasma cells defines a previously unknown layer of cognate regulation that limits the antigen-specific T(FH) cell program that controls ongoing B cell immunity. PMID- 21037579 TI - CD1a-autoreactive T cells are a normal component of the human alphabeta T cell repertoire. AB - CD1 activates T cells, but the function and size of the possible human T cell repertoires that recognize each of the CD1 antigen-presenting molecules remain unknown. Using an experimental system that bypasses major histocompatibility complex (MHC) restriction and the requirement for defined antigens, we show that polyclonal T cells responded at higher rates to cells expressing CD1a than to those expressing CD1b, CD1c or CD1d. Unlike the repertoire of invariant natural killer T (NKT) cells, the CD1a-autoreactive repertoire contained diverse T cell antigen receptors (TCRs). Functionally, many CD1a-autoreactive T cells homed to skin, where they produced interleukin 22 (IL-22) in response to CD1a on Langerhans cells. The strong and frequent responses among genetically diverse donors define CD1a-autoreactive cells as a normal part of the human T cell repertoire and CD1a as a target of the T(H)22 subset of helper T cells. PMID- 21037580 TI - Kinesin 3 and cytoplasmic dynein mediate interkinetic nuclear migration in neural stem cells. AB - Radial glial progenitor cells exhibit bidirectional cell cycle-dependent nuclear oscillations. The purpose and underlying mechanism of this unusual 'interkinetic nuclear migration' are poorly understood. We investigated the basis for this behavior by live imaging of nuclei, centrosomes and microtubules in embryonic rat brain slices, coupled with the use of RNA interference (RNAi) and the myosin inhibitor blebbistatin. We found that nuclei migrated independent of centrosomes and unidirectionally away from or toward the ventricular surface along microtubules, which were uniformly oriented from the ventricular surface to the pial surface of the brain. RNAi directed against cytoplasmic dynein specifically inhibited nuclear movement toward the apical surface. An RNAi screen of kinesin genes identified Kif1a, a member of the kinesin-3 family, as the motor for basally directed nuclear movement. These observations provide direct evidence that kinesins are involved in nuclear migration and neurogenesis and suggest that a cell cycle-dependent switch between distinct microtubule motors drives interkinetic nuclear migration. PMID- 21037581 TI - Toll-like receptor 7 mediates pruritus. AB - Toll-like receptors are typically expressed in immune cells to regulate innate immunity. We found that functional Toll-like receptor 7 (TLR7) was expressed in C fiber primary sensory neurons and was important for inducing itch (pruritus), but was not necessary for eliciting mechanical, thermal, inflammatory and neuropathic pain in mice. Our results indicate that TLR7 mediates itching and is a potential therapeutic target for anti-itch treatment in skin disease conditions. PMID- 21037582 TI - GRLD-1 regulates cell-wide abundance of glutamate receptor through post transcriptional regulation. AB - AMPA receptors mediate most of the fast postsynaptic response at glutamatergic synapses. The abundance of AMPA receptors in neurons and at postsynaptic membranes is tightly regulated. It has been suggested that changes in synaptic AMPA receptor levels are an important regulatory event in synaptic plasticity and learning and memory. Although the local, synapse-specific regulation of AMPA receptors has been intensely studied, global, cell-wide control is less well understood. Using a forward genetic approach, we identified glutamate receptor level decreased-1 (GRLD-1), a putative RNA-binding protein that was required for efficient production of GLR-1 in the AVE interneurons in the nematode Caenorhabditis elegans. In grld-1 mutants, GLR-1 levels were markedly reduced. Consistently, glutamate-induced currents in AVE were diminished and glr-1 dependent nose-touch avoidance behavior was defective in grld-1 mutants. We propose that this evolutionarily conserved family of proteins controls the abundance of GLR-1 by regulating glr-1 transcript splicing. PMID- 21037583 TI - Microsaccades precisely relocate gaze in a high visual acuity task. AB - The image on the retina is never stationary. Microscopic relocations of gaze, known as microsaccades, occur even during steady fixation. It has long been thought that microsaccades enable exploration of small regions in the scene in the same way saccades are normally used to scan larger regions. This hypothesis, however, has remained controversial, as it is believed that microsaccades are suppressed during fine spatial judgments. We examined the eye movements of human observers in a high-acuity visuomotor task, the threading of a needle in a computer-simulated virtual environment. Using a method for gaze-contingent display that enables accurate localization of the line of sight, we found that microsaccades precisely move the eye to nearby regions of interest and are dynamically modulated by the ongoing demands of the task. These results indicate that microsaccades are part of the oculomotor strategy by which the visual system acquires fine spatial detail. PMID- 21037584 TI - 5-HT2CRs expressed by pro-opiomelanocortin neurons regulate insulin sensitivity in liver. AB - Mice lacking 5-HT 2C receptors (5-HT(2C)Rs) displayed hepatic insulin resistance, a phenotype normalized by re-expression of 5-HT(2C)Rs only in pro opiomelanocortin (POMC) neurons. 5-HT(2C)R deficiency also abolished the anti diabetic effects of meta-chlorophenylpiperazine (a 5-HT(2C)R agonist); these effects were restored when 5-HT(2C)Rs were re-expressed in POMC neurons. Our findings indicate that 5-HT(2C)Rs expressed by POMC neurons are physiologically relevant regulators of insulin sensitivity and glucose homeostasis in the liver. PMID- 21037585 TI - Tuning arousal with optogenetic modulation of locus coeruleus neurons. AB - Neural activity in the noradrenergic locus coeruleus correlates with periods of wakefulness and arousal. However, it is unclear whether tonic or phasic activity in these neurons is necessary or sufficient to induce transitions between behavioral states and to promote long-term arousal. Using optogenetic tools in mice, we found that there is a frequency-dependent, causal relationship among locus coeruleus firing, cortical activity, sleep-to-wake transitions and general locomotor arousal. We also found that sustained, high-frequency stimulation of the locus coeruleus at frequencies of 5 Hz and above caused reversible behavioral arrests. These results suggest that the locus coeruleus is finely tuned to regulate organismal arousal and that bursts of noradrenergic overexcitation cause behavioral attacks that resemble those seen in people with neuropsychiatric disorders. PMID- 21037586 TI - Autophagy is defective in collagen VI muscular dystrophies, and its reactivation rescues myofiber degeneration. AB - Autophagy is crucial in the turnover of cell components, and clearance of damaged organelles by the autophagic-lysosomal pathway is essential for tissue homeostasis. Defects of this degradative system have a role in various diseases, but little is known about autophagy in muscular dystrophies. We have previously found that muscular dystrophies linked to collagen VI deficiency show dysfunctional mitochondria and spontaneous apoptosis, leading to myofiber degeneration. Here we demonstrate that this persistence of abnormal organelles and apoptosis are caused by defective autophagy. Skeletal muscles of collagen VI knockout (Col6a1(-/-)) mice had impaired autophagic flux, which matched the lower induction of beclin-1 and BCL-2/adenovirus E1B-interacting protein-3 (Bnip3) and the lack of autophagosomes after starvation. Forced activation of autophagy by genetic, dietary and pharmacological approaches restored myofiber survival and ameliorated the dystrophic phenotype of Col6a1(-/-) mice. Furthermore, muscle biopsies from subjects with Bethlem myopathy or Ullrich congenital muscular dystrophy had reduced protein amounts of beclin-1 and Bnip3. These findings indicate that defective activation of the autophagic machinery is pathogenic in some congenital muscular dystrophies. PMID- 21037587 TI - CX3CR1 is required for airway inflammation by promoting T helper cell survival and maintenance in inflamed lung. AB - Allergic asthma is a T helper type 2 (T(H)2)-dominated disease of the lung. In people with asthma, a fraction of CD4(+) T cells express the CX3CL1 receptor, CX3CR1, and CX3CL1 expression is increased in airway smooth muscle, lung endothelium and epithelium upon allergen challenge. Here we found that untreated CX3CR1-deficient mice or wild-type (WT) mice treated with CX3CR1-blocking reagents show reduced lung disease upon allergen sensitization and challenge. Transfer of WT CD4(+) T cells into CX3CR1-deficient mice restored the cardinal features of asthma, and CX3CR1-blocking reagents prevented airway inflammation in CX3CR1-deficient recipients injected with WT T(H)2 cells. We found that CX3CR1 signaling promoted T(H)2 survival in the inflamed lungs, and injection of B cell leukemia/lymphoma-2 protein (BCl-2)-transduced CX3CR1-deficient T(H)2 cells into CX3CR1-deficient mice restored asthma. CX3CR1-induced survival was also observed for T(H)1 cells upon airway inflammation but not under homeostatic conditions or upon peripheral inflammation. Therefore, CX3CR1 and CX3CL1 may represent attractive therapeutic targets in asthma. PMID- 21037588 TI - A reductionist cell-free major histocompatibility complex class II antigen processing system identifies immunodominant epitopes. AB - Immunodominance is defined as restricted responsiveness of T cells to a few selected epitopes from complex antigens. Strategies currently used for elucidating CD4(+) T cell epitopes are inadequate. To understand the mechanism of epitope selection for helper T cells, we established a cell-free antigen processing system composed of defined proteins: human leukocyte antigen-DR1 (HLA DR1), HLA-DM and cathepsins. Our reductionist system successfully identified the physiologically selected immunodominant epitopes of two model antigens: hemagglutinin-1 (HA1) from influenza virus (A/Texas/1/77) and type II collagen (CII). When applied for identification of new epitopes from a recombinant liver stage antigen of malaria falciparum (LSA-NRC) or HA1 from H5N1 influenza virus ('avian flu'), the system selected single epitopes from each protein that were confirmed to be immunodominant by their capacity to activate CD4(+) T cells from H5N1-immunized HLA-DR1-transgenic mice and LSA-NRC-vaccinated HLA-DR1-positive human volunteers. Thus, we provide a new tool for the identification of physiologically relevant helper T cell epitopes from antigens. PMID- 21037589 TI - Rapid blue-light-mediated induction of protein interactions in living cells. AB - Dimerizers allowing inducible control of protein-protein interactions are powerful tools for manipulating biological processes. Here we describe genetically encoded light-inducible protein-interaction modules based on Arabidopsis thaliana cryptochrome 2 and CIB1 that require no exogenous ligands and dimerize on blue-light exposure with subsecond time resolution and subcellular spatial resolution. We demonstrate the utility of this system by inducing protein translocation, transcription and Cre recombinase-mediated DNA recombination using light. PMID- 21037590 TI - Maltose-neopentyl glycol (MNG) amphiphiles for solubilization, stabilization and crystallization of membrane proteins. AB - The understanding of integral membrane protein (IMP) structure and function is hampered by the difficulty of handling these proteins. Aqueous solubilization, necessary for many types of biophysical analysis, generally requires a detergent to shield the large lipophilic surfaces of native IMPs. Many proteins remain difficult to study owing to a lack of suitable detergents. We introduce a class of amphiphiles, each built around a central quaternary carbon atom derived from neopentyl glycol, with hydrophilic groups derived from maltose. Representatives of this maltose-neopentyl glycol (MNG) amphiphile family show favorable behavior relative to conventional detergents, as manifested in multiple membrane protein systems, leading to enhanced structural stability and successful crystallization. MNG amphiphiles are promising tools for membrane protein science because of the ease with which they may be prepared and the facility with which their structures may be varied. PMID- 21037591 TI - Programmable in situ amplification for multiplexed imaging of mRNA expression. AB - In situ hybridization methods enable the mapping of mRNA expression within intact biological samples. With current approaches, it is challenging to simultaneously map multiple target mRNAs within whole-mount vertebrate embryos, representing a significant limitation in attempting to study interacting regulatory elements in systems most relevant to human development and disease. Here, we report a multiplexed fluorescent in situ hybridization method based on orthogonal amplification with hybridization chain reactions (HCR). With this approach, RNA probes complementary to mRNA targets trigger chain reactions in which fluorophore labeled RNA hairpins self-assemble into tethered fluorescent amplification polymers. The programmability and sequence specificity of these amplification cascades enable multiple HCR amplifiers to operate orthogonally at the same time in the same sample. Robust performance is achieved when imaging five target mRNAs simultaneously in fixed whole-mount and sectioned zebrafish embryos. HCR amplifiers exhibit deep sample penetration, high signal-to-background ratios and sharp signal localization. PMID- 21037593 TI - The psychophysics of color. PMID- 21037592 TI - Toxicology and carcinogenesis studies of androstenedione (CAS No. 63-05-8) in F344/N rats and B6C3F1 mice (gavage studies). AB - Androstenedione is an androgen steroid that is normally synthesized within men and women and may be metabolized to a more potent androgen or estrogen hormone. It was nominated to the National Toxicology Program for study due to concern for adverse health effects associated with its chronic use as a dietary supplement by athletes (prior to the banning of its over the counter sales). In order to evaluate its subchronic and chronic toxicity, male and female F344/N rats and B6C3F1 mice were administered androstenedione (98% pure) by gavage for 2 weeks, 3 months, or 2 years. Genetic toxicology studies were conducted in Salmonella typhimurium, Escherichia coli, rat bone marrow cells, and mouse peripheral blood erythrocytes. 2-WEEK STUDY IN RATS: groups of five male and five female rats were administered 0, 1, 5, 10, 20, or 50 mg androstenedione/kg body weight in a 0.5% aqueous methylcellulose solution by gavage, 5 days per week for 12 days. All rats survived to the end of the study, and the mean body weights of dosed groups were similar to those of the vehicle control groups. The development of cytoplasmic vacuoles within centrilobular hepatocytes in male rats was the only treatment related effect observed. 2-WEEK STUDY IN MICE: groups of five male and five female mice were administered 0, 1, 5, 10, 20, or 50 mg androstenedione/kg body weight in a 0.5% aqueous methylcellulose solution by gavage, 5 days per week for 12 days. One vehicle control female, one 20 mg/kg female, and one 50 mg/kg female died early due to gavage accidents. There were no significant chemical-related histopathological or mean body weight changes. 3-MONTH STUDY IN RATS: groups of 10 male and 10 female core study rats were administered 0, 1, 5, 10, 20, or 50 mg androstenedione/kg body weight in a 0.5% aqueous methylcellulose solution by gavage, 5 days per week for 14 weeks; additional groups of 10 male and 10 female clinical pathology study rats received the same doses for 23 days. All rats survived to the end of the study. The mean body weights of the 20 mg/kg female group was significantly greater than those of the vehicle control group and there was significant increased weight gain in the 1, 20, and 50 mg/kg female groups. Female thymus weights were significantly increased in the 20 and 50 mg/kg groups, which may be related to the increase in mean body weight. The numbers of sperm per mg cauda epididymis in the 10, 20, and 50 mg/kg male groups and the total number of sperm per cauda epididymis in 50 mg/kg males were significantly less than those of the vehicle controls. No treatment-related histological lesions were observed in males or females. 3-MONTH STUDY IN MICE: groups of 10 male and 10 female mice were administered 0, 1, 5, 10, 20, or 50 mg androstenedione/kg body weight in a 0.5% aqueous methylcellulose solution by gavage, 5 days per week for 14 weeks. Except for one 10 mg/kg female that died early due to a dosing accident, all mice survived to the end of the study. The mean body weights of dosed groups were similar to those of the vehicle control groups. The number of spermatids per mg testis and the total number of spermatids per testis in 20 mg/kg males were significantly greater than those of the vehicle controls. Sperm motility in 50 mg/kg males was significantly lower than that in the vehicle controls. The incidences of x-zone atrophy of the adrenal cortex, an androgen sensitive endpoint, were significantly increased in females administered 5 mg/kg or greater. There were also significant decreases in the incidences of x-zone cytoplasmic vacuolization in 20 and 50 mg/kg females. The incidences of bone marrow hyperplasia were significantly increased in 5 and 50 mg/kg males. 2-YEAR STUDY IN RATS: groups of 50 male and 50 female rats were administered 0, 10, 20, or 50 mg androstenedione/kg body weight in a 0.5% aqueous methylcellulose solution by gavage, 5 days per week for at least 104 weeks. Survival of 10 mg/kg males was significantly greater than that of the vehicle controls. The mean body weights of 20 and 50 mg/kg females were greater than those of the vehicle controls after weeks 17 and 9, respectively. The incidences of mononuclear cell leukemia were significantly increased in 20 and 50 mg/kg females and significantly decreased in 20 and 50 mg/kg males. Incidences of alveolar/bronchiolar adenoma and alveolar/bronchiolar adenoma or carcinoma (combined) were significantly increased in 20 mg/kg males. The incidence of testicular interstitial cell adenoma (including bilateral) was significantly decreased in 50 mg/kg males. In females, the incidences of mammary gland fibroadenoma were significantly decreased in the 20 and 50 mg/kg groups, the incidences of mammary gland hyperplasia were significantly decreased in all dosed groups, and the incidences of mammary gland cyst were significantly decreased in the 10 and 50 mg/kg groups. In the liver of males, the incidences of basophilic focus in all dosed groups, the incidence of clear cell focus in the 20 mg/kg group, and the incidence of eosinophilic focus in the 50 mg/kg group were significantly increased. The incidences of pancreatic islet hyperplasia and atrophy of the exocrine pancreas were significantly increased in 50 mg/kg females. 2-YEAR STUDY IN MICE: groups of 50 male and 50 female mice were administered 0, 2 (females only), 10, 20 (males only), or 50 mg androstenedione/kg body weight in a 0.5% aqueous methylcellulose solution by gavage, 5 days per week for at least 104 weeks. Survival of dosed groups was similar to that of the vehicle control groups. Mean body weights of 10 and 50 mg/kg females were generally less than those of the vehicle controls after weeks 81 and 17, respectively. The incidences of hepatocellular adenoma in males and females were significantly increased in the 50 mg/kg groups. In females, the incidences of hepatocellular carcinoma were significantly increased in all dosed groups. Incidences of hepatocellular adenoma or carcinoma (combined) in males and females were significantly increased in the 50 mg/kg groups. Incidences of hepatoblastoma were marginally increased in dosed males. Incidences of multiple hepatocellular adenomas and carcinomas were significantly increased in 10 and 50 mg/kg males, and there was an increased incidence of multiple hepatocellular adenomas in 50 mg/kg females. The incidence of eosinophilic focus was significantly increased in 50 mg/kg males, and the incidences of mixed cell focus and cytoplasmic vacuolization were significantly increased in 50 mg/kg females. There was a marginally increased incidence of pancreatic islet adenoma in 50 mg/kg males and in 10 and 50 mg/kg females, with an earlier day of first incidence in males. The incidences of clitoral gland hyperplasia and clitoral gland duct dilatation were significantly increased in 10 and 50 mg/kg females. The incidence of glomerular metaplasia of the kidney was significantly increased in 50 mg/kg females, and the incidences of cytoplasmic alteration of the submandibular salivary gland were significantly increased in all dosed female groups. The increased incidences of cytoplasmic alteration of the submandibular salivary gland and glomerular metaplasia of the kidney in female mice indicated a masculinizing effect from androstenedione treatment. In 50 mg/kg females, the incidence of malignant lymphoma was significantly decreased. GENETIC TOXICOLOGY: androstenedione was not mutagenic in either of two independent bacterial mutation assays conducted with and without exogenous metabolic activation. No significant increases in the frequencies of micronucleated polychromatic erythrocytes, indicators of chromosomal damage, were observed in bone marrow of male rats administered androstenedione by gavage once daily for 3 consecutive days. Results of a peripheral blood erythrocyte micronucleus test in mice, in which androstenedione was administered by gavage for 3 months, were negative in males but judged to be equivocal in females due to a small increase (twofold over background) in micronucleated normochromatic erythrocytes observed at the highest dose administered (50 mg/kg). CONCLUSIONS: under the conditions of these 2-year gavage studies, there was equivocal evidence of carcinogenic activity of androstenedione in male F344/N rats based on increased incidences of alveolar/bronchiolar adenoma and alveolar/bronchiolar adenoma or carcinoma (combined). There was equivocal evidence of carcinogenic activity of androstenedione in female F344/N rats based on increased incidences of mononuclear cell leukemia. There was clear evidence of carcinogenic activity of androstenedione in male B6C3F1 mice based on increased incidences of multiple hepatocellular adenoma and hepatocellular carcinoma and increased incidence of hepatoblastoma. There was clear evidence of carcinogenic activity of androstenedione in female B6C3F1 mice based on increased incidences of hepatocellular adenoma and hepatocellular carcinoma. Increased incidences of pancreatic islet adenoma in male and female mice were also considered chemical related. Androstenedione administration caused increased incidences in nonneoplastic lesions of the liver in male and female rats and mice; pancreatic islets and exocrine pancreas of female rats; and clitoral gland, kidney, and submandibular salivary gland of female mice. Decreases in the incidences of testicular interstitial cell adenoma in male rats, mammary gland fibroadenoma, cysts, and hyperplasia in female rats, and malignant lymphoma in female mice were considered related to androstenedione administration. Synonyms: Andro; androst-4 ene-3,17-dione; 4-androstene-3,17-dione; delta-4-androstene-3,17-dione; delta-4 androstenedione; 3,17-dioxoandrost-4-ene; 17-ketotestosterone; SKF 2170 Trade names: Androtex, Fecundin. PMID- 21037594 TI - Noise reduction in adaptive-optics imagery with the use of support constraints. AB - The use of support constraints for noise reduction in images obtained with telescopes that use adaptive optics for atmospheric correction is discussed. Noise covariances are derived for these type of data, including the effects of photon noise and CCD read noise. The effectiveness of support constraints in achieving noise reduction is discussed in terms of these noise properties and in terms of the types of algorithms used to enforce the support constraint. Both a convex-projections and a cost-function minimization algorithm are used to enforce the support constraints, and it is shown with the use of computer simulations and field data that the cost-function algorithm results in artifacts in the reconstructions. The convex-projections algorithms produced mean-square-error decreases in the image domain of approximately 10% for high light levels but essentially no error decreases for low light levels. We emphasize images that are well resolved by the telescope and adaptive-optics system. PMID- 21037595 TI - Improved noise-immune phase-unwrapping algorithm. AB - An algorithm for unwrapping noisy phase maps has recently been proposed, based on the identification of discontinuity sources that mark the start or end of a 2pi phase discontinuity. Branch cuts between sources act as barriers to unwrapping, resulting in a unique phase map that is independent of the unwrapping route. We investigate four methods for optimizing the placement of the cuts. A modified nearest neighbor approach is found to be the most successful and can reliably unwrap unfiltered speckle-interferometry phase maps with discontinuity source densities of 0.05 sources pixel(-1). PMID- 21037596 TI - Fractional pixel translations of Fresnel lenses written onto spatial light modulators. AB - The focal point of a lens written onto a spatial light modulator can be translated laterally by displacement of the central location of the encoded lens function. We show that the beam can be translated by a fraction of a pixel, in contrast to the expected single-pixel limitation. This increases the positional sensitivity obtainable with this technique. Experimental results are presented. PMID- 21037597 TI - Two-stage modified signed-digit optical computing by spatial data encoding and polarization multiplexing. AB - We propose and demonstrate an effective two-stage modified signed-digit optical computing technique (in contrast to previous three-stage techniques) based on spatial data encoding, polarization multiplexing, and multiple imaging. Our proposed reduction in operation stages requires a reference operation in addition to the transformation and weight operations common to three-stage systems. In our system's first stage a transformation (or weight) operation and a reference operation are implemented in parallel by use of four distinct polarization multiplexed kernel operations. In the second stage the final desired result (e.g., addition and subtraction) and its complement are obtained in parallel with a single kernel operation. The operation speed of our two-stage modified signed digit computing method is 33% faster than previous three-stage modified signed digit algorithms. PMID- 21037598 TI - Fiber-optic array algebraic processing architectures. AB - A high-accuracy fiber-optic array processor (FOAP) based on the algorithm of digital multiplication by analog convolution is proposed. The FOAP architecture is a local regularly interconnected processor that utilizes an array of identical all-optical elemental-processing lattice units, namely, an optical splitter, an optical combiner, and a binary programmable fiber-optic transversal filter. Various FOAP matrix multipliers are proposed for nonnegative and twos-complement binary arithmetic matrix-vector, matrix-matrix, triple-matrix, and high-order matrix operations. The overall performances of the FOAP matrix multipliers are compared with the time-integrating and space-integrating architectures and with the digital multipliers. Extension of the digital-multiplication-by-analog convolution algorithm is also considered. PMID- 21037599 TI - Regular geometries for folded optical modules. AB - We present three new three-dimensional right-cylindrical folded modular interconnection architectures. To compare these systems among each other and with earlier designs, we introduce several figures of merit. The figures of merit describe such aspects of the system as the compactness, the relative angles of the optical axis to optical elements in the system, and system manufacturability. These figures of merit permit the designer of such an optical system to choose the geometry best suited for a particular application. PMID- 21037600 TI - Optical implementation of the Bode transform. AB - The Bode transformation is a useful tool for determining and analyzing the frequency response of a system. It has a special importance for detecting the resonance frequencies of a linear system that, because of its linearity, can be totally characterized by its impulse response. An optical configuration that implements the Bode transformation of a function is suggested and demonstrated experimentally. PMID- 21037601 TI - Ferroelectric liquid-crystal polarization-control devices with a double-layer cell structure. AB - We analyzed the polarization-switching characteristics of a ferroelectric liquid crystal polarization control device using a double-layer cell structure for free space optical processing and switching systems. We theoretically derived the optimum arrangement of layered cells for 90 degrees polarization switching. The theory shows that the optimum parameter range for the double-layer cell structure is wider than that for a single-layer cell. We verified our theory by measuring the polarization cross talk of experimental polarization control devices. PMID- 21037602 TI - Efficient nonlinear amplitude recording of holographic gratings in electrolytically colored potassium bromide crystals. AB - The nonlinear amplitude recording of volume holographic gratings is theoretically and experimentally studied in electrolytically colored potassium bromide crystals. A maximum diffraction efficiency of 10.8% is obtained, which substantially exceeds the 3.7% maximum diffraction efficiency for linear recording. PMID- 21037603 TI - Color holography using the angular selectivity of volume recording media. AB - A display hologram of an object can be recorded and reconstructed in three primary colors if the angular selectivity of volume recording media is exploited. Three holograms are recorded in the same medium, each at a different primary color. These three holograms are reconstructed by simultaneous illumination of the hologram with the original reference beams. By proper choice of the angles that the reference beams make to the hologram, it is possible to suppress strongly cross talk between the different reconstructions (e.g., the red object reconstruction in green light). The technique exhibits high resolution, high diffraction efficiency, and vivid colors. Through the addition of three holographically recorded volume gratings it is possible to reconstruct the hologram with a beam of white light. The saturation and brightness of each primary color in the reconstruction can be adjusted by selection of an appropriate thickness for the corresponding grating. PMID- 21037604 TI - Dynamics of hologram recording in DuPont photopolymer. AB - Several dynamical aspects of the DuPont photopolymer film HRF-150-38 for holographic storage are described. We study temporal aspects of exposure, exposure time, processing situations, and storage effect. The quantities studied are diffraction efficiencies, thickness changes, and Bragg angle. The experimental results are performed with an argon-ion laser at 514.5 nm. PMID- 21037605 TI - Application of bispectral speckle imaging to near-diffraction-limited imaging in the presence of unknown aberrations. AB - A laboratory experiment that demonstrates near-diffraction-limited imaging of a detailed object in the presence of unknown fixed aberrations in the imaging system is described. A random-phase plate is introduced in a pupil plane of the imaging system to eliminate the effect of fixed aberrations in the system. We employ a bispectral speckle imaging technique to recover the object from speckled images affected by both the random-phase fluctuations induced by the random-phase plate and the fixed aberrations present in the imaging system. For the case where the random phase is assumed to obey Gaussian statistics an approximate form of the bispectral speckle transfer function is obtained with an asymptotic expansion. This approximate form of the transfer function shows the diffraction limited nature of bispectral speckle imaging when the standard deviation of the random-phase fluctuations is of the order of a wavelength of light. Experimental results are presented for fixed aberrations associated with lens tilt and defocus in the imaging system. PMID- 21037606 TI - Reduced-resolution synthetic-discriminant-function design by multiresolution wavelet analysis. AB - Several approaches to the design of reduced-resolution synthetic discriminant functions (SDF's) using multiresolution wavelet analysis (MWA) techniques are investigated. In the first approach, reducedresolution approximations of a full resolution SDF are obtained by MWA. In the second approach, reduced-resolution approximations of the training-image Fourier transforms are obtained by MWA, and a reduced-resolution SDF is obtained directly by training on these. For both approaches, reducedresolution MICE-SDF filters were designed with MWA and conventional down-sampling techniques. Simulations showed that filters designed by the second approach with MWA techniques permitted reductions in the number of filter pixels from 128 * 128 to 32 * 32, while still satisfying the design constraints. In comparison, the performances of 32 * 32 filters designed by conventional downsampling techniques were significantly degraded. PMID- 21037607 TI - Amplitude-modulated circular-harmonic filter for pattern recognition. AB - An amplitude-modulated circular-harmonic filter is proposed for rotation invariant pattern recognition. We investigate the filter characteristics by varying two design parameters, A(rho) and B(rho), and select optimum values to design an amplitude-modulated circular-harmonic filter. When compared with the phase-only circular-harmonic filter, the amplitude-modulated circular-harmonic filter is found to yield a sharper correlation peak, a better noise tolerance, and an improved correlation discrimination. PMID- 21037608 TI - Binary nonlinear joint transform correlator performance with different thresholding methods under unknown illumination conditions. AB - The correlation performance of binary joint transform correlators with unknown input-image light illumination is investigated for different thresholding methods used in the Fourier plane. It is shown that a binary joint transform correlator that uses a spatial frequency dependent threshold function for binarization of the joint power spectrum is invariant to uniform input-image illumination. Computer simulations and optical experimental results are provided. PMID- 21037609 TI - Measuring and modeling the proximity effect in direct-write electron-beam lithography kinoforms. AB - The proximity effect in successively developed direct-write electron-beam lithography gratings is measured. The grating relief shapes are obtained from the measured power in several of the gratings' diffraction orders. Describing the proximity effect by a convolution with a double Gaussian point-spread function, we determine the parameters of the point-spread function. The writing part of the point-spread function is found to increase significantly with increasing development time, the background part much less. PMID- 21037610 TI - Spatial coherence of synchrotron radiation. AB - The spatial coherence properties of a monochromatic component of synchrotron radiation from an insertion device in the Fraunhofer limit are analyzed in the general case when the coherence distance is comparable with the beam width, expressing them by simple products and convolutions of Fourier transforms and autocorrelations on the single-electron field amplitude and the electron-beam position and angular distributions. In particular, the Gaussian approximation is discussed, in which case the far-field amplitude satisfies the Schell condition (its statistical properties can be described by a coherence factor depending only on the difference of the reciprocal space coordinates), and this discussion leads to simple estimates of the coherence widths. The coherence widths deviate from the Van Cittert-Zernike values when one or more of the phase space widths of the electron beam are close to (or smaller than) the diffraction limit. PMID- 21037611 TI - Optimum optical local-oscillator power levels for coherent detection with photodiodes. AB - The use of an optical local oscillator for coherent detection with a photodiode can significantly reduce the responsivity of the detector because of saturation effects. Consequently, local-oscillator shot-noise-limited operation of the detector may not be possible. This effect is analyzed and formulations are developed for the optimum optical local-oscillator power level and the resultant maximum possible signal-to-noise ratio in terms of parameters derived from the photodiode current versus the optical power response curve. An effective heterodyne responsivity that can be used as a part of the specifications when one is procuring photodiodes for use in coherent detection systems is defined. PMID- 21037612 TI - Determination of type I phase matching angles and conversion efficiency in KTP. AB - Measurements of the conversion efficiency of second-harmonic generation in KTP (KTiOPO(4)) by the use of type I phase matching for different fundamental wavelengths of a mode-locked picosecond Ti:sapphire laser are presented. The observed phase matching angles are in agreement with the calculated phase matching curves. At a fundamental wavelength of 834 nm and an intensity of 100 MW/cm(2) the conversion efficiency is 4% at maximum, and the corresponding effective nonlinear coefficient d(eff) is equal to 0.32 pm/V. The experimental values of d(eff) are related to d(11) (= 0.46 pm/V) of quartz and are in line with the predictions. PMID- 21037613 TI - Absorption spectroscopy of N(2)O with phase-diffusion-noise sidebands on a lead salt tunable diode laser. AB - The broad phase-diffusion-noise spectrum of a lead-salt tunable diode laser (TDL) has been exploited for the detection of absorbances produced from low-pressure N(2)O vapor in the 4.47-um spectral region. This effect has previously been observed in the detection of electronic transitions in rubidium, cesium, and molecular oxygen with anAlGaAs TDL operating in the 0.700-0.800-um region. For the first time to our knowledge, this paper presents evidence of this phenomenon when a midinfrared rovibrational absorption line is monitored. The technique is also compared with traditional TDL spectroscopic methods for its applicability to trace-vapor monitoring. PMID- 21037614 TI - Colliding shock lens as an intracavity Q-switch element. AB - We show how a varifocal pulsed gas lens, the colliding shock lens, can be used as an intracavity element to Q switch a ruby laser. By placement of the shock lens in tandem with a second lens, a giant pulse is obtained. The second lens may be a conventional glass lens or a continuous-wave gas lens. PMID- 21037615 TI - Electro-optic polymer light modulator based on surface plasmon resonance. AB - The first experimental results, to our knowledge, for an optical modulator that makes use of an electro-optic polymer located between two thin silver films are presented. The methods used to fabricate the modulator are discussed. Initial results show 18.5% modulation in the intensity of the light reflected off of the modulator at a wavelength of 6328 A. PMID- 21037616 TI - Refractive-index measurements in freezing sea-ice and sodium chloride brines. AB - Sea ice contains numerous pockets of brine and precipitated salts whose size and number distributions change dramatically with temperature. Theoretical treatment of scattering produced by these inclusions requires information on refractive index differences among the brine, salts, and surrounding ice. Lacking specific data on refractive-index variations in the brine, we carried out laboratory measurements in freezing-equilibrium solutions between -2 and -32 degrees C. Index values at 589 nm increased from 1.341 to 1.397 over this temperature range, corresponding to salinities of 35 and 240 parts per thousand (ppt). Spectral data were also taken at 50-nm intervals between 400 and 700 nm in nonequilibrium solutions with salinities ranging up to 300 ppt. Spectral gradients increased slightly with salinity but showed no measurable dependence on temperature between +12 and -16 degrees C. The Lorentz-Lorenz equation, combined with data on density, molar refractivities, and brine composition, yielded temperature dependent index predictions in excellent agreement with the experimental data. Similar index and density measurements in freezing sodium chloride brines yielded values nearly identical to those in the sea-ice brines. The absence of mirabilite crystals in sodium chloride ice, however, will cause it to have higher transmissivity and lower reflectivity than sea ice above -22 degrees C. PMID- 21037617 TI - Determination of ocean wave spectra from images of backscattered incoherent light. AB - The application of imaging of sea surfaces has been investigated with respect to determination of sea wave spectra. Incoherent light is projected toward the sea surface, and the backscattered light is imaged with a camera. The primary scattering mechanism is assumed to be from particles suspended in the sea, so the backscattered intensity is determined primarily by the Fresnel coefficients. The ratio of the images detected at two orthogonal polarizations contains the desired information on the local slope of the sea surface, pixel by pixel, in one dimension. By integration, one can obtain the surface-height profile. PMID- 21037618 TI - Pulse photoacoustic technique for the study of vibrational relaxation in gases. AB - Characteristic peculiarities of the formation of the photoacoustic-detector signal from excitation of absorbing gas molecules by a short laser pulse (tau(p) " tau(vt)) that allows for the natural oscillations of the microphone membrane are considered. A technique for tau(vt) measurement is proposed on the strength of the finding that the signal form is determined by the microphone membrane oscillation under low pressure (P <= 10 Torr). The results of measurement of the tau(vt) of the vibrational state nu(1) + 3nu(3) in H(2)O for the collisions H(2)O (2)O and H(2)O-air are presented. PMID- 21037619 TI - Real-time acquisition of laser-induced fluorescence decays. AB - Picosecond time-resolved laser-induced fluorescence (PITLIF) has the potential to provide rapid measurements of minor-species concentrations by correction for local quenching conditions on the time scale of turbulence. Previous studies demonstrated that this technique could provide laser-induced fluorescence data and local quenching rates in flames but used equivalent-time sampling to obtain the required fluorescence decays. This precludes the use of PITLIF in turbulent systems. Fluorescence decays of sodium seeded into a laminar H(2)-O(2)-Ar diffusion flame are obtained from real-time data with an acquisition rate on the time scale of turbulence. The results obtained with this method are shown to be similar to those obtained from equivalent-time sampling. PMID- 21037620 TI - Calibration of light forces in optical tweezers. AB - Axial and lateral optical-trapping forces on polystyrene and glass microbeads are measured as a function of sphere size and axial trapping position inside a specimen chamber containing water. A strong decrease of the light forces with increasing distance of the trapping position from the coverslip of the chamber is found. It is shown that beyond a certain maximal distance the trapping efficiency decreases substantially but trapping becomes possible in different, axial positions. We consider these effects to be accounted for by spherical aberration of the focused laser beam. PMID- 21037621 TI - Accurate frequency-tuning mechanism from a wedge prism in a single-mode tunable laser. AB - We present a new, accurate frequency-tuning mechanism in a grazing-incidence cavity for singlelongitudinal-mode oscillation in a tunable laser. A wedge prism with a small apex angle is inserted between the tuning mirror and the grating for accurate frequency tuning. In this configuration, the laser frequency can be tuned precisely by rotation of the wedge instead of the tuning mirror. This fine tuning mechanism offers the ability to tune the frequency over more than 400 GHz without mode hop and with a tuning accuracy that is 2 orders of magnitude larger than that of conventional tuning methods. PMID- 21037622 TI - Basic performance of an organic dye-doped polymer optical fiber amplifier. AB - A polymer optical fiber amplifier (POFA) of the graded-index (GI) type, with gain in the visible region, was successfully prepared for the first time, to our knowledge, with the interfacial-gel polymerization technique. An input signal of 0.85Wat 591 nm was amplified to 420W(27-dB gain) by injection of 690W of pump power at 532 nm into a GI POFA with a 0.5-m length. The efficiency of conversion of pump energy to signal amplification was more than 60%. PMID- 21037623 TI - Efficient Raman conversion through backward stimulated Brillouin scattering. AB - We report a new scheme for efficient Raman conversion in high-pressure CH(4) gas. Through the use of backward stimulated Brillouin scattering as a resonator mirror for the pump wave at a wavelength of 1.06 um, Raman laser generation at the eye safe wavelength of 1.54 um has been obtained from a passively Q-switched Nd:YAG laser. At a pressure of 600 psi, we obtained Raman conversion efficiencies of up to 48%. PMID- 21037624 TI - Electro-optic Pockels and Kerr effects for the determination of chi((2))and chi((3)): thin films of side-chain polymers containing dimethylaminonitrostilbene and of the polydiacetylene poly-(butoxycarbonylmethyleneurethane). AB - A simple reflection method that is based on ellipsometry and the electro-optic Pockels and Kerr effects is adapted for the determination of the electro-optic susceptibilities chi((2))(-omega; 0,omega) and chi((3))(-chi; 0, 0, omega). Measurements were made on materials that consist of centrosymmetric molecules like the polydiacetylene poly-(butoxycarbonylmethyleneurethane) (poly-4BCMU) and on a noncentrosymmetric poled sidechain polymer that contains dimethylaminonitrostilbene (ANS). The results are compared with those acquired by other methods (e.g., second-harmonic generation, third-harmonic generation, and degenerate four-wave mixing). Large differences occur, especially for the chi((3)) values. The effects of mechanical strains from electrostatic forces and from the field-dependent change of the absorption are discussed. PMID- 21037625 TI - Mode coupling in large-diameter polymer-clad silica fibers. AB - Intermodal diffusion in a large-diameter plastic-clad silica step-index fiber is measured with a near-field projection of the modal pattern onto a CCD camera. The diffusion process is found to obey a 1/theta(2) dependence (where theta is the axis-crossing angle of the mode). The diffusion constant is estimated to be 1.3 * 10(-5) rad(2) m-(1). The contribution of mode mixing to evanescent-wave spectroscopy in such fibers is discussed. When all bound meridional modes are launched, the effect of diffusion is a small reduction- some 10% maximum-in the fraction of evanescent-wave power outside the core for the 0.4 numerical aperture fiber used. PMID- 21037626 TI - Highly efficient coupling semiconductor spot-size converter with an InP/InAlAs multiple-quantum-well core. AB - A highly efficient spot-size converter (SSC) that uses a fiber-coupling tapered semiconductor waveguide is demonstrated. The waveguide core of this device consists of InGaAsP for semiconductor chip coupling and an InP/InAlAs multiple quantum well (MQW) for single-mode fiber (SMF) coupling. The equivalent refractive index of the MQW core is adjusted by controlling the well-to-barrier layer thickness ratio to expand the chip spot size to that of SMF's. A high coupling efficiency of 1.4 dB can be obtained, and the lateral and axial misalignment tolerances for the SSC are 3 times better than those for conventional semiconductor waveguides. Moreover, this device has high reproducibility because of large fabrication tolerances. PMID- 21037627 TI - Adsorption on straight and bent optical fibers considered as a perturbation problem. AB - The effect of a thin adsorbed layer of a foreign material on the modal propagation constant in an optical fiber is calculated by the use of a time independent perturbation theory. The effect is measured on a straight fiber but is enhanced on a bent fiber because of tunneling, which is analogous to the Zener effect in quantum mechanics. Experimental results obtained with a fiber interferometer are presented. PMID- 21037628 TI - Detection of gases with porous-clad tapered fibers. AB - The detection of gases by the attenuation of the transmitted power in a multimode step-index tapered fiber with porous cladding is described. The results have been compared with those obtained for a uniform fiber. It has been shown that, for given fiber parameters, the absorptivity of the gas and its diffusion coefficient in the porous cladding, the sensor response time, and the minimum detectable concentration of the gas depend on the taper ratio. The higher the taper ratio, the smaller the response time and the minimum detectable concentration. PMID- 21037629 TI - Coupling losses between standard single-mode fibers and rectangular waveguides for integrated optics. AB - The butt-coupling loss between different tapered rectangular waveguides and a standard single-mode optical fiber has been calculated. Losses as low as 0.16 dB can be reached for waveguides with a refractive-index contrast in the range of 0.5% to 1.96%. The fabrication tolerances are such that practical devices with coupling losses below 0.25 dB are feasible. PMID- 21037630 TI - Spectral characteristics of asymmetric directional couplers in graded index channel waveguides analyzed by coupled-mode and normal-mode techniques. AB - We present a detailed analysis of the spectral characteristics of asymmetric directional couplers (ADC's) formed by K(+) -Na(+) ion exchange in BK7 glass and compare the results obtained by the use of normal-mode theory with those obtained by the use of the coupled-mode approach. Maximum power transfer is observed to occur at the wavelength at which the propagation constants of the perturbed component arms are equal. This is attributed to the strong coupling inherent in these devices. Strong coupling and asymmetry are observed to result in unequal confinement of the normal modes, leading to reduce power transfer, even when both arms of the coupler are synchronized. Additionally, significant polarization dependence is observed because of birefringence induced by both the K(+) -Na(+) exchange process and the chosen device structure. Polarization extinction ratios of 11.9 and 26.1 dB are obtained for the arms of the directional couplers. ADC's are observed to operate as bandpass filters, and by adjustment of structural parameters, the peak transmission wavelength can be tuned, with spectral bandwidths of 25-55 nm and coupling lengths of 6-12 mm. Over 90% of the input power in the TE polarization at 1.07 um can be transferred to the second arm of the coupler, whereas power either in the TM polarization or at wavelengths outside the passband is relatively unaffected. We also show that within the bandpass, where the two arms are phase matched, exact normal-mode analysis yields the same results as the quasi-normal-mode approach, in which the normal modes are expressed in terms of the individual modes. PMID- 21037631 TI - Fabrication of large-core, high-Delta optical waveguides in polymers. AB - The realization of polymer optical waveguides that have a large core size and high refractive-index difference (LCHD) Delta transmission characteristics is presented. A fabrication procedure for the waveguide based on vertical dip coating and reactive ion etching has been studied. To achieve the lower propagation loss, this procedure includes two original techniques, i.e., the lamination of thick polymer films and sidewall flattening. With these techniques, Delta of 5.4% and a 80 um * 83 um core polymer waveguide with 1.4-dB/cm propagation loss were achieved at 680 nm. The LCHD polymer waveguides are useful for practical power-transmission devices. PMID- 21037632 TI - Channel-optical-waveguide fabrication based on electron-beam irradiation of polyimides. AB - A new-channel-waveguide-fabrication process for use with polyimide is described. The new technique uses an electron-beam-induced effect to alter the refractive index of the polyimides directly. Channel waveguides with an 8-um-wide, 8-um-deep core have been fabricated on a polyimide film by the use of electron-beam irradiation. Only one kind of polyimide (6FDA/TFDB) was used in this waveguide. The difference in refractive index between the core and the cladding was approximately 0.30% for both TEand TM-polarized incident light when the dose was 1500 uC/cm(2), which was sufficient to produce waveguides. The optical properties of the waveguide are also demonstrated. PMID- 21037633 TI - Silicon grating-based mirror for 1.3-um polarized beams: MATLAB-aided design. AB - A dielectric lamellar-grating layer-substrate structure is proposed to be capable, under some conditions, of acting as a 100% efficiency mirror when operated at fixed wavelengths and incidence angles. The design of such mirrors for 1.3 um and near normal incidence is achieved with silicon as the grating layer material and glass substrates of two types. The study is based on a new matrix-vector procedure for the solution of rigorous coupled-wave equations. The computations use MATLAB, and, in particular, its goal-attainment routine. Design parameter tolerances are also discussed. PMID- 21037634 TI - Possible causes of calibration degradation of the Advanced Very High Resolution Radiometer visible and near-infrared channels. AB - Gradual deterioration of calibration of the Advanced Very High Resolution Radiometer (AVHRR) can be explained by the erosion of the scanning mirror as a result of interaction with interplanetary dust. Other elements of the instrument (optical filters, detector, electronics) were also examined for their behavior in space conditions and were found most likely to be stable and not responsible for the decrease in sensitivity. Calculations show that the erosion of the scanning mirror is of the order of 4.5% per year, although this erosion is very variable because of the variability of the density of interplanetary dust. This erosion can easily explain the 3-5% per year deterioration of theAVHRR sensors on NOAA-7 and NOAA-9. PMID- 21037635 TI - Doppler-free saturation fluorescence spectroscopy of Na atoms for atmospheric application. AB - The well-known theory of absorption and fluorescence is briefly reviewed in a systematic manner for the Na D transitions. The resulting formalism is applied to simulation of Doppler-free saturation fluorescence spectra. With only one adjusting parameter, the nonradiative rate chosen to represent the time a thermal atom takes to move across the laser beams, the simulated Doppler-free spectra match the measured ones well for both D(1) and D(2) transitions over one decade of excitation intensities. Relative to the weighted center of the six D(2) hyperfine transition lines, the frequencies of the dominant Doppler-free features have been determined from a simulated spectrum to within +/-0.1 MHz to be -651.4, 187.8, and 1068.0 MHz, respectively, for D(2a), crossover, and D(2b) resonances. These features may be used as accurate frequency references for atmospheric spectroscopy. They are essential for the operation of the newly developed narrow band Na fluorescence lidar for wind and temperature measurements in the mesopause region. PMID- 21037636 TI - Time-multiplexed real-time one-way image compensation for high-spatial-frequency aberration correction. AB - A new self-aligning geometry for real-time holographic image reconstruction for one-way imaging through a phase aberrator is demonstrated. The input beams are time multiplexed to isolate the diffracted image from the reference beams after the image beams propagate through the hologram. This geometry permits the image bearing beam and the reference beams to copropagate through the holographic plane. PMID- 21037637 TI - Interferometric seeing measurements on Mt. Wilson: power spectra and outer scales. AB - We have measured power spectra of atmospheric phase fluctuations with the Mark III stellar interferometer on Mt. Wilson under a wide variety of seeing conditions. On almost all nights, the high-frequency portions of the temporal power spectra closely follow the form predicted by the standard Kolmogorov Tatarski model. At lower frequencies, a variety of behavior is observed. On a few nights, the spectra clearly exhibit the low-frequency flattening characteristic of turbulence with an outer-scale length of the order of 30 m. On other nights, examination of individual spectra yields no strong evidence of an outer scale less than a few kilometers in size, but comparison of the spectra on different interferometer baselines shows a saturation of the spatial structure function on long baselines. This saturation is consistent with the assumption of an outer scale length similar to that derived for the nights when low-frequency flattening of the spectra is clearly seen. We discuss possible explanations of this behavior and conclude that power spectra from a single interferometer baseline are a poor diagnostic for the effective outer scale compared with multiple-baseline spectra. PMID- 21037638 TI - Threshold detection in the presence of atmospheric turbulence. AB - Recently there has been increased interest in threats to spacecraft from ground based lasers. It has been suggested that some spacecraft should use laser-threat warning receivers. We consider the effects of atmospheric turbulence on threshold detection of optical signals by an exoatmospheric receiver. The results are applicable to both cw and pulsed optical illumination that results from ground based lasers. In particular we obtain accurate analytical expressions, over a wide range of conditions of practical interest, that yield the required signal-to noise ratio for a given (single-event) probability of detection, false-alarm rate, and turbulence-induced log-intensity variance. The degrading effects of atmospheric turbulence on threshold detection are most important for large zenith angles in the blue-green region of the visible. As an illustrative example, a false-alarm rate of 1 in 3 years is assumed, and specific numerical results are presented for the required signal-to-noise ratio necessary to obtain a detection probability of at least 95% over a range of optical wavelengths and propagation conditions of interest. PMID- 21037639 TI - Laser-induced incandescence applied to droplet combustion. AB - Laser-induced incandescence (LII) is ideally suited for obtaining high temporally and spatially resolved measurements of soot volume fraction in transient combustion phenomena. We demonstrate qualitative two-dimensional nonintrusive optical measurements of the soot evolution versus time from single fiber supported burning fuel droplets of heptane and decane. Quantitative measurement of the soot volume fraction is also demonstrated through calibration of the LII signal against a small coflow ethylene diffusion flame. PMID- 21037640 TI - Rate-equation model for quantitative concentration measurements in flames with picosecond pump-probe absorption spectroscopy. AB - Measurement of radical concentrations is important in understanding the chemical kinetics involved in combustion. Application of optical techniques allows for the nonintrusive determination of specific radical concentrations. One of the most challenging problems for investigators is to obtain flame data that are independent of the collisional environment. We seek to obviate this difficulty by the use of picosecond pump-probe absorption spectroscopy. A picosecond pump-probe absorption model is developed by rate-equation analysis. Implications are discussed for a laser-pulse width that is much smaller than the excited-state lifetime of the absorbing atom or molecule. The possibility of quantitative, quenching-independent concentration measurements is discussed, and detection limits for atomic sodium and the hydroxyl radical are estimated. For a three level absorber-emitter, the model leads to a novel pump-probe strategy, called dual-beam asynchronous optical sampling, that can be used to obtain both the electronic quenching-rate coefficient and the doublet mixing-rate coefficient during a single measurement. We discuss the successful demonstration of the technique in a companion paper [Appl. Opt. 34, XXX (1995)]. PMID- 21037641 TI - Quantitative concentration measurements of atomic sodium in an atmospheric hydrocarbon flame with asynchronous optical sampling. AB - We report the development of a pump-probe instrument that uses a high-repetition rate (82-MHz) picosecond laser. To maximize laser power and to minimize jitter between the pump- and the probe-pulse trains, we choose the asynchronous optical sampling (ASOPS) configuration. Verification of the method is obtained through concentration measurements of atomic sodium in an atmospheric methane-air flame. For the first time to our knowledge, ASOPS measurements are made on a quantitative basis. This is accomplished by calibration of the sodium concentration with atomic absorption spectroscopy. ASOPS measurements are taken at a rate of 155.7 kHz with only 128 averages, resulting in a corresponding detection limit of 5 * 10(9) cm(-3). The quenching-rate coefficient is obtained in a single measurement with a variation of ASOPS, which we call dual-beam ASOPS. The value of this coefficient is in excellent agreement with literature values for the present flame conditions. Based on our quantitative results for detection of atomic sodium, a detection limit of 2 * 10(17) cm(-3) is predicted for the Q(1) (9) line of A (2)Sigma(+) (v = 0)-X(2)II (v = 0) hydroxyl at 2000 K. Although this value is too large for practical flame studies, a number of improvements that should lower the ASOPS detection limit are suggested. PMID- 21037642 TI - Measurement of atomic concentrations in reacting flows through the use of stimulated gain or loss. AB - Apump laser at 226 nm was used to generate a population inversion between the 3p (3)P(2) and 3s (3)S(1) states of the oxygen atom in the postflame gases of a 7 torr H(2)/O(2) flame by means of resonant two-photon absorption from the ground state. The inversion produced an amplified spontaneous emission (ASE) signal at 845 nm. A probe laser tuned to this transition was crossed at a small angle with the pump beam. The probe beam experienced gain at the expense of theASE signal. By analyzing the gain on the probe beam and the loss on the ASE signal, we have determined the density of oxygen atoms in the flame environment. PMID- 21037643 TI - Frequency-domain method for measuring spectral properties in multiple-scattering media: methemoglobin absorption spectrum in a tissuelike phantom. AB - We have measured the optical absorption and scattering coefficient spectra of a multiple-scattering medium (i.e., a biological tissue-simulating phantom comprising a lipid colloid) containing methemoglobin by using frequency-domain techniques. The methemoglobin absorption spectrum determined in the multiple scattering medium is in excellent agreement with a corrected methemoglobin absorption spectrum obtained from a steady-state spectrophotometer measurement of the optical density of a minimally scattering medium. The determination of the corrected methemoglobin absorption spectrum takes into account the scattering from impurities in the methemoglobin solution containing no lipid colloid. Frequency-domain techniques allow for the separation of the absorbing from the scattering properties of multiple-scattering media, and these techniques thus provide an absolute measurement of the optical absorption spectra of the methemoglobin/lipid colloid suspension. One accurately determines the absolute methemoglob in absorption spectrum in the frequency domain by extracting the scattering and absorption coefficients from the phase shift Phi and average light intensity DC (or Phi and the amplitude of the light-intensity oscillations AC) data with relationships provided by diffusion theory, but one determines it less accurately by using the Phi and modulation M (M = AC/DC) data and the diffusion theory relationships. In addition to the greater uncertainty in the absorption and scattering coefficients extracted from the Phi and M data, the optical parameters extracted from the Phi and M data exhibit systematically inaccurate behavior that cannot be explained by random noise in the system. Possible reasons for the systematically lower accuracy of the methemoglobin absorption spectrum obtained from Phi and M data are discussed. PMID- 21037644 TI - Measurement of glitter-point velocities on the sea surface using circular scanning with a collimated narrow laser beam. AB - Alaser device (lidar) for remotely measuring the parameters of the glitter-point motion on the sea surface has been developed. The system employs a He-Ne laser to provide the probe beam. Circular scanning was performed by means of a piezoelectrically controlled mirror that had a frequency of rotation of 2 kHz. The positions of glitter-point echo pulses were compared with the reference signal of the mirror controller to trace the time evolution of the glitter-point coordinates on the circumference of the circular laser beam track. The measurements yielded the velocity distribution of the glitter points. The results were obtained under natural conditions and indicate an anisotropy of the glitter point motion that is related to the sea conditions and the wind direction. PMID- 21037645 TI - Computer simulation of smoothing during computer-controlled optical polishing. AB - Itek has developed computer-controlled optical surfacing (CCOS) for the fabrication of aspheric optical surfaces. The smoothing process plays a key role in all CCOS operations. A computer simulation algorithm was created to provide information on the CCOS smoothing process. The reliability of the algorithm was confirmed with several verification cases. The effect of pertinent tool, lap, and error parameters on smoothing was determined from a series of simulations. In addition, the smoothing algorithm was applied to an operational case to provide real-world credibility. The smoothing simulation algorithm appears to be accurate and useful. The parametric study results are available and the algorithm can be applied to specific cases for guidance in proper CCOS parameter selection. PMID- 21037646 TI - Multiplexed imaging by means of optically generated Kronecker products: 1. The basic concept. AB - Atechnique for multiplexed imaging is described. By using mask combinations, this technique requires far fewer encoding masks to generate the same number of masking operations than do more traditional approaches. It is also theoretically capable of higher optical throughput efficiencies. The method is readily adaptable to new image geometries and to applications in multispectral imaging. PMID- 21037647 TI - Fiber-optic polarization and phase modulator utilizing transparent piezofilm with indium tin oxide electrodes. AB - A highly efficient optical polarization and phase modulator formed by the placement of a thin transparent piezofilm with indium tin oxide electrodes directly in the path of the output from an optical fiber is presented. Various configurations that differ in the clamping conditions, utilization of epoxy, and optical arrangement are presented. For a film thickness of 63.9 um, a linear phase-shifting coefficient of 0.131 rad/voltage peak (Vp) at 2 kHz and of 0.508 rad/Vp at 7.4 kHz is demonstrated. An intrinsic birefringence of 0.0328 between the directions along the stretch and its perpendicular in the plane of the film has been measured. The polarization modulation coefficient was determined to be 0.323 rad/Vp at 8.423 kHz, corresponding to a half-wave voltage of 8.353 Vp. Applications of the device involving concurrent spatiotemporal polarization and phase modulation are indicated. PMID- 21037648 TI - Diffraction in a Fourier-transform spectrometer. AB - The distortions of the line shape and the shifts of the line positions caused by diffraction in a Fourier-transform spectrometer are described. A simple rule of thumb to calculate the amount of the line-shape distortion is presented. Two methods for the determination of the shifts of the line positions are presented and compared. We also present an approximation for the dependence of the line position shifts on the solid angle of the source and on the radius of the diffracting hole. Finally we present a method to study the shifts of the line positions experimentally, and some measured results are compared with theoretical ones. PMID- 21037649 TI - Multiple-beam wedge-plate shear interferometer for collimation testing. AB - We describe a modification of the wedge-plate shear interferometer for collimation testing. The surface of the wedge plate is coated to increase the reflectivity such that multiple-beam interference takes place resulting in sharp fringes. In addition to sharpening the fringes also tend to split when the test beam is noncollimated. This splitting has been used as a test criterion for collimation testing. Experimental results are presented. PMID- 21037650 TI - Some developments for a unit magnification catadioptric optical system. AB - Some structural improvements in the 1:1 Wynne-Dyson catadioptric system are described. The improvements make the 1:1 Wynne-Dyson system usable in the deep-UV regime and broad bands and high numerical apertures become possible. PMID- 21037651 TI - Compact light-emitting-diode sun photometer for atmospheric optical depth measurements. AB - A new compact light-emitting diode (LED) sun photometer, in which a LED is used as a spectrally selective photodetector as well as a nonlinear feedback element in the operational amplifier, has been developed. The output voltage that is proportional to the logarithm of the incident solar intensity permits the direct measurement of atmospheric optical depths in selected spectral bands. Measurements made over Ahmedabad, India, show good agreement, within a few percent, of optical depths derived with a LED as a photodetector in a linear mode and with a LED as both a photodetector and a feedback element in an operational amplifier in log mode. The optical depths are also found to compare well with those obtained simultaneously with a conventional filter photometer. PMID- 21037652 TI - Parameter control, characterization, and optimization in the fabrication of optical fiber near-field probes. AB - Tip diameter and transmission efficiency of a visible-wavelength near-field optic probe determine both the lateral spatial resolution and experimental utility of the near-field scanning optical microscope. The commonly used tip fabrication technique, laser-heated pulling of fused-silica optical fiber followed by aperture formation through aluminization, is a complex process governed by a large number of parameters. An extensive study of the pulling parameter space has revealed a time-dependent functionality between the various pulling parameters dominated by a photon-based heating mechanism. The photon-based heat source results in a temperature and viscosity dependence that is a complex function of time and fiber diameter. Changing the taper of the optical probe can affect transmission efficiency by an order of magnitude or more. PMID- 21037653 TI - Prediction of the bidirectional reflectance-distribution function from atomic force and scanning-tunneling microscope measurements of interfacial roughness. AB - Computer codes that are based on Elson's theory for light scattering by interfacial roughness in multilayer coatings were used to predict the bidirectional reflectance-distribution function (BRDF) of several opaque coatings from surface-roughness profiles measured by either a scanning-tunneling microscope or an atomic-force microscope. The predictions usually agreed with measured BRDF values to within a factor of 2. The coatings consisted of single layers of Ag or Ni and dielectric stacks with up to three layers. PMID- 21037654 TI - Surface damage of (-)2-(alpha-methylbenzylamino)-5-nitropyridine single crystals induced by pulsed laser radiation. AB - Pulsed laser-induced surface damage experiments were made on the cleaved (001) face of (-)2-(alpha-methylbenzylamino)-5-nitropyridine crystals at a pulse length of 25 ns FWHM. The highest single-pulse damage threshold value was 24.2 J/cm(2) at 532 nm for light polarized along the crystallographic b axis. PMID- 21037655 TI - Useful laser source criteria for optical storage employing extended eye-diagram jitter theory. AB - In view of the recent progress in visible lasers for next-generation optical disks, we describe the influence of source wavelength, aberration, and noise on eye-diagram jitter, which determines the ultimate disk density. The analysis indicates that the sources used in a readout of a 6* areal density, (4,22) run length-limited code with a minimum mark length of 0.4 um must have a wavelength that satisfies the Nyquist condition of relationship between the spot size and the minimum mark length, a wave-front aberration of less than 0.035 rms lambda, and relative intensity noise of less than -125 dB/Hz. PMID- 21037656 TI - Nonscanning confocal ranging system. AB - We demonstrate a nonscanning confocal ranging system based on spatially incoherent interferometry. Such a system has significant advantages over the conventional confocal imaging system and other interferometric systems. We develop the theory in terms of coherence cells and demonstrate the equivalence of our method to the conventional confocal methods. Experimental results are also provided. PMID- 21037657 TI - Multiplexing of Michelson interferometer sensors in a matrix array topology. AB - We report on the operation and performance of a matrix array topology for multiplexing reflective interferometric sensors that uses (a) frequency-division multiplexing (FDM) and (b) a combination of frequency-division and time-division multiplexing. The use of reflective sensors in this FDM topology illuminated by a cw source imposes a power limitation not encountered with the use of transmissive sensors. Combining FDM with time-division multiplexing improves the multiplexing gain of the network and improves the level of isolation of the lasers from the signal of the reflective sensors. PMID- 21037658 TI - Light scattering by sinusoidal surfaces: illumination windows and harmonics in standards. AB - Sinusoidal surfaces can be used as material standards to help calibrate instruments that measure the angular distribution of the intensity of light scattered by arbitrary surfaces, because the power in the diffraction peaks varies over several orders of magnitude. The calculated power in the higher-order diffraction peaks from sinusoidal surfaces expressed in terms of Bessel functions is much smaller than the values determined from angular distributions that are measured or computed from measured profiles, both of which are determined mainly by the harmonic contents of the profile. The finite size of the illuminated area, represented by an illumination window, gives rise to a background that is much larger than the calculated power in the higher-order peaks. For a rectangular window of a size equal to an even number of periods of the sinusoid, a computation of the power distribution produces minima at or near the location of the diffraction angles for higher-order diffraction angles. PMID- 21037659 TI - Nature of light scattering in dental enamel and dentin at visible and near infrared wavelengths. AB - The light-scattering properties of dental enamel and dentin were measured at 543, 632, and 1053 nm. Angularly resolved scattering distributions for these materials were measured from 0 degrees to 180 degrees using a rotating goniometer. Surface scattering was minimized by immersing the samples in an index-matching bath. The scattering and absorption coefficients and the scattering phase function were deduced by comparing the measured scattering data with angularly resolved Monte Carlo light-scattering simulations. Enamel and dentin were best represented by a linear combination of a highly forward-peaked Henyey-Greenstein (HG) phase function and an isotropic phase function. Enamel weakly scatters light between 543 nm and 1.06 um, with the scattering coefficient (u(s)) ranging from u(s) = 15 to 105 cm(-1). The phase function is a combination of a HG function with g = 0.96 and a 30-60% isotropic phase function. For enamel, absorption is negligible. Dentin scatters strongly in the visible and near IR (u(s)?260 cm(-1)) and absorbs weakly (u(a) ? 4 cm(-1)). The scattering phase function for dentin is described by a HG function with g = 0.93 and a very weak isotropic scattering component (~ 2%). PMID- 21037660 TI - Angle-dependent diffraction efficiency in a thick photorefractive hologram. AB - The diffraction from a thick photorefractive hologram is shown to be angular dependent, which originates mainly from the angle-dependent effective electro optic coefficient of a photorefractive crystal. The angle dependency of the diffraction causes a nonuniform diffraction over the pixel positions or the spatial frequency contents of a hologram image in a page-oriented holographic system, resulting in a deteriorated reconstructed image. In addition, owing to the angular variations in diffraction, the wavelength-multiplexing scheme should be a better choice than angular one. PMID- 21037661 TI - Spatial filtering of first-arriving light. AB - The effect of combining low-pass spatial filtering with the first-arriving-light method for imaging through a scattering medium was investigated. The modification is highly effective for media having a significant specular transmission component but is essentially ineffective for media without a specular component. PMID- 21037662 TI - Diffractive phase elements for beam shaping: a new design method. AB - A design method based on the Yang-Gu algorithm [Appl. Opt. 33, 209 (1994)] is proposed for computing the phase distributions of an optical system composed of diffractive phase elements that achieve beam shaping with a high transfer efficiency in energy. Simulation computations are detailed for rotationally symmetric beam shaping in which a laser beam with a radially symmetric Gaussian intensity distribution is converted into a uniform beam with a circular region of support. To present a comparison of the efficiency and the performance of the designed diffractive phase elements by use of the geometrical transformation technique, the Gerchberg-Saxton algorithm and the Yang-Gu algorithm for beam shaping, we carry out in detail simulation calculations for a specific one dimensional beam-shaping example. PMID- 21037663 TI - Modified direct twos-complement parallel array multiplication algorithm for complex matrix operation. AB - A direct twos-complement parallel array multiplication algorithm is introduced and modified for digital optical numerical computation. The modified version overcomes the problems encountered in the conventional optical twos-complement algorithm. In the array, all the summands are generated in parallel, and the relevant summands having the same weights are added simultaneously without carries, resulting in the product expressed in a mixed twos-complement system. In a two-stage array, complex multiplication is possible with using four real subarrays. Furthermore, with a three-stage array architecture, complex matrix operation is straightforwardly accomplished. In the experiment, parallel two stage array complex multiplication with liquid-crystal panels is demonstrated. PMID- 21037664 TI - Fractional Fourier transform: simulations and experimental results. AB - Recently two optical interpretations of the fractional Fourier transform operator were introduced. We address implementation issues of the fractional-Fourier transform operation. We show that the original bulk-optics configuration for performing the fractional-Fourier-transform operation [J. Opt. Soc. Am. A 10, 2181 (1993)] provides a scaled output using a fixed lens. For obtaining a non scaled output, an asymmetrical setup is suggested and tested. For comparison, computer simulations were performed. A good agreement between computer simulations and experimental results was obtained. PMID- 21037665 TI - Estimation of the possible scale for holographic switches with liquid-crystal displays. AB - Simulating the positions of output beams under the assumption that a liquid crystal display acts as a binary phase modulator reveals that the number of the outputs increases almost linearly with the square root of the number of pixels assigned to an input. This result is confirmed by experiments, and it is estimated that 1016 outputs can be obtained when the number of pixels is 700 * 700. Holographic switches with liquid-crystal displays are therefore suitable for large-scale switches. PMID- 21037666 TI - Interaction of multiple distortions in spatial light modulators. AB - Spatial light modulators are the key components in real-time optical image processing systems. The phase and the intensity of their outputs will often depart from ideal behavior. An experimental method is described that permits the effects of multiple distortions, present simultaneously, to be modeled. A computer simulation of a bismuth silicon oxide-based correlator is presented, with spatial light modulators subject to three types of distortion, including phase and amplitude. The experimental method permits both the main effects of the distortions and their interactions to be predicted. Combining all the distortions simultaneously gives a more accurate assessment of the suitability of a spatial light modulator for a given optical processing task. Images of 256 * 256 pixels were used, and the simulation took 15 min. with a Sun SPARCstation 2. PMID- 21037667 TI - Visor-display design based on planar holographic optics. AB - A method for designing and recording visor displays based on planar holographic optics is presented. This method can deal with the problem of recording-readout wavelength shift. The display system is composed of two holographic optical elements that are recorded on the same substrate. One element collimates the waves from each data point in the display into a plane wave that is trapped inside the substrate by total internal reflection. The other diffracts the plane waves into the eye of an observer. Because the chromatic dispersion of the first element can be corrected by the dispersion of the second, this configuration is relatively insensitive to source wavelength shifts. The method is illustrated by the design, recording, and testing of a compact holographic doublet visor display. The recording was at a wavelength of 458 nm, and readout was at 633 nm. The results indicate that diffraction-limited performance and relatively low chromatic dispersion over a wide field of view can be obtained. PMID- 21037668 TI - Weigert hologram. AB - The Jones matrix is obtained for a film with a photoinduced anisotopy. The anisotropy of the film is considered to be caused by photoinduced anisotropic grains. On the basis of the Jones matrix we study Weigert's hologram of linearly polarized plane waves. PMID- 21037669 TI - Conoscopic holography: toward three-dimensional reconstructions of opaque objects. AB - Conoscopic holography is an interferometric technique that permits the recording of three-dimensional objects. A two-step scheme is presented to recover an opaque object's shape from its conoscopic hologram, consisting of a reconstruction algorithm to give a first estimate of the shape and an iterative restoration procedure that uses the object's support information to make the reconstruction more robust. The existence, uniqueness, and stability of the solution, as well as the convergence of the restoration algorithm, are studied. A preliminary experimental result is presented. PMID- 21037670 TI - Discrete Fourier preprocessing transforms for the binary phase-only filter. AB - Two useful discrete Fourier preprocessing transforms (DFPTs), say, class 1 and class 2, are applied to the binary phase-only filter. The class-1 DFPT is very close to the discrete Fourier transform (DFT); therefore it preserves the properties similar to those for the DFT. The Class-2 DFPT's are location sensitive and are able to recognize the partial-shape-like input pattern that is the partial set of the pattern in the filter. The Class-2 DFPT's generate a delta function-like correlation peak whose intensity is larger than that obtained by the DFT. These are different from the DFT-based binary phase-only filter. The elements of the DFPT's are very simple, containing only +/-1 and 0; some even contain sparse +/-1 values and plenty of zeros. Therefore the DFPT's are suitable for either optical or electrical implementation. PMID- 21037671 TI - Computer-generated multiple-object discriminant correlation filters: design by simulated annealing. AB - The computer generation of multiple-object discriminant correlation filters is studied. The quantization of filter functions influences the correlation response. This may cause misdetection or incorrect classification of patterns and is especially serious in the case of multiple-object discriminant filters. We propose synthesizing the matched-filter functions by the simulated-annealing algorithm. The recording of Lohmann-type computer-generated holograms is considered. By this method the filter functions can be encoded with a reduction in the quantization levels of amplitude and phase. Acomputer simulation is performed, and the expected correlation responses are obtained. PMID- 21037672 TI - Application of position encoding to a complex joint transform correlator. AB - Because a joint transform correlator can be used as a general optical signal processor, complex-impulse-response implementations in the spatial domain are often requested. We introduce a position-encoding technique with which complex valued references for the joint transform correlator can be obtained with an amplitude-modulated spatial light modulator. A proof-of-concept experiment is also provided. PMID- 21037673 TI - Effect of atmospheric composition and pressure on the laser ablation of (GeTe)(85)Sn(15) chalcogenide thin films. AB - Laser ablation of (GeTe)(85)Sn(15) thin films as a function of atmospheric exposure was monitored in real time by transient reflectivity. The observed optical changes were correlated with microstructural analysis. Among the key findings were that the presence of water in the atmosphere during laser irradiation of a thin-film structure reduced the incident laser power required for ablation by as much as a factor of 2. The magnitude of the effect was dependent on both H(2)O vapor pressure and duration of exposure to the vapor. The reduction of laser power necessary to ablate was partially reversed by exposure of the thin-film structure to vacuum. Significantly, exposure to other (dry) gases such as N(2) did not change the ablation threshold from that observed in vacuum. We determined that dome formation and ablation occurred at lower temperatures in the presence of water. In addition, the power necessary to crystallize the amorphous chalcogenide layer in the structure was independent of atmospheric composition or pressure. Microstructure analysis showed the presence of H(2)O fostered the formation of a nonuniform distribution of the chalcogenide material in the ablated region. The experimental results are consistent with our model that ablation is assisted by high pressures produced by vaporization of absorbed liquid water. PMID- 21037674 TI - Improvements in the capacity of computer-generated holographic storage using the Lee method with sparse multivalued reconstructions. AB - The use of sparse multivalued data encodings for the purpose of increasing the capacity of parallel-access optical memories based on Fourier-transform computer generated holography is discussed. Results based on the Lee method indicate that a sparse encoding of nonbinary data words can be used to increase the storage area utilization efficiency from 35% to > 70%. It is also found that for signal to-noise ratios greater than 200, five-level data encoding can be used to achieve bit error rates less than 10(-12) reliably with 60% area efficiency. PMID- 21037675 TI - Phase reconstruction and unwrapping from holographic interferograms of partially absorbent phase objects. AB - A method for automated phase reconstruction from holographic interferograms of nonideal phase objects based on a two-dimensional Fourier transform is described. In particular, the problem of phase unwrapping is solved because earlier techniques are inappropriate for the phase unwrapping from interferograms of partially absorbent objects. A noise-level-dependent criterion for the binary mask that defines the unwrapping path for the flood algorithm is derived. The method shows high noise immunity, and the result is reliable provided that the true phase is free of discontinuities. The phase distribution in the outmasked regions is estimated by a linear least-squares fit to the surrounding unwrapped pixels. PMID- 21037676 TI - Neural network approach to holographic nondestructive testing. AB - A neural network approach for the automatic detection of defects by evaluation of holographic interference patterns of the loaded technical components is described. Translation- as well as rotation-invariant features are defined based on the maximal local slope of the intensity and a partition of the interference pattern into nonoverlapping areas. The training sample set is generated by computer simulation of interferograms directed by a few typical experimentally measured samples. Practical results show the feasibility of the method. A strategy for application of neural networks to any holographic nondestructive testing task is outlined. PMID- 21037677 TI - Optical correlation of databases in conventionally encoded optical disks: techniques for improved accuracy. AB - Optical correlation of multiple tracks of conventional optical-disk data, digitally encoded by transitions in reflectance rather than absolute reflectance, can be improved with a pulse-counting version of the digital-multiplication-by analog-convolution algorithm, which also avoids analog weighting. PMID- 21037678 TI - Incoherent-only joint-transform correlator. AB - An incoherent-only optical and electronic digital joint-transform correlator is proposed. A technique for the removal of extraneous signals inherent in the incoherent-only joint-transform correlator is also presented. A computer simulation and experimental results confirm the performance of the proposed incoherent-only joint-transform correlator. PMID- 21037679 TI - Multistage parallel algorithm for diffraction tomography. AB - A multistage parallel algorithm with iterative processing is discussed for the processing of information in diffraction tomography. The algorithm is based on matrix partitioning, which results in mostly parallel stages of processing. Each successive stage is designed to minimize the remaining error. The process is iterated until convergence. The major advantages of the multistage algorithm are the reduced computational time from faster convergence as compared with a single stage iterative algorithm, further reduction of computation time if the stages are implemented mostly in parallel, and better performance in terms of reduced reconstruction error. PMID- 21037680 TI - Widening the effective field of view of adaptive-optics telescopes by deconvolution from wave-front sensing: average and signal-to-noise ratio performance. AB - A fundamental problem of adaptive-optics systems is the very narrow corrected field of view that can be obtained because turbulence is extended in altitude throughout the atmosphere. The correctable field of view is of the order of 5-10 urad at visible wavelengths and increases as the wavelength increases. Previous concepts to broaden the corrected field of view have been hardware oriented, requiring multiple wave-front sensor (WFS) measurements to control multiple deformable mirrors. We analyze the average and the signal-to-noise-ratio performance of an image measurement and postprocessing technique that uses simultaneous measurements of a short-exposure compensated image measured in an off-axis direction; an additional WFS measurement is taken in the off-axis direction. Results are presented for infinite-altitude WFS beacons driving both the WFS for the adaptive optics and the WFS looking in the off-axis direction, a variety of seeing and WFS light-level conditions, and off-axis angles from two to six times the isoplanatic angle. This technique improves the average effective transfer function out to a field angle of at least six times the isoplanatic angle while providing a higher signal-to-noise ratio in the spatial frequency domain. PMID- 21037681 TI - Programmable ultrashort optical pulse delay using an acousto-optic deflector. AB - We present an optical pulse delay (OPD) for delaying ultrashort optical pulses that uses an acousto-optic deflector as an active component. The OPD is designed to correct for chromatic dispersion caused by the significant color spectrum of ultrashort pulses. It is intended to be used as one of the components in a three dimensional memory system based on pulse-collision addressing in two-photon materials. Calculations show that the OPD should be able to provide 65 arbitrary delays with a random access time of ~ 1 us for 100-fs pulses. The power efficiency of the OPD can be as high as 85% and hence permits two units to be cascaded to provide more than 4000 distinct delays. The number of delays and the access time can be optimized such that a fewer number of delays are obtained with a shorter access time, which favors high-speed operations. We provide experimental results that use a Michelson interferometer to measure three different delays, approximately 1 mm apart (equivalent to ~3-ps time delay), obtained with 130-fs pulses. In addition we include an analysis of the performance of acousto-optic devices for both monochromatic light and ultrashort pulsed lasers. Finally, we provide the design of the optical pulse-delay system for a three-dimensional memory application. PMID- 21037682 TI - Patents. AB - 5,179,562; 5,323,019; 5,323,413; 5,327,225; 5,329,137; 5,329,398; 5,339,182; 5,340,980. PMID- 21037683 TI - Mode-independent attenuation in evanescent-field sensors. AB - Generally, the total power attenuation in multimode evanescent-field sensor waveguides is nonproportional to the bulk absorbance because the modal attenuation constants differ. Hence a direct measurement is difficult and is additionally aggravated because the waveguide absorbance is highly sensitive to the specific launching conditions at the waveguide input. A general asymptotic formula for the modal power attenuation in strongly asymmetric inhomogeneous planar waveguides with arbitrarily distributed weak absorption in the low-index superstrate is derived. Explicit expressions for typical refractive-index profiles are given. Except when very close to the cutoff, the predicted asymptotic attenuation behavior agrees well with exact calculations. The ratio of TM versus TE absorption has been derived to be (2 - n(0)(2)/n(f)(2)) for arbitrary profiles. Waveguides with a linear refractive-index profile show mode independent attenuation coefficients within each polarization. Further, the asymptotic sensitivity is independent of the wavelength, so that it should be possible to directly measure the spectral variation of the bulk absorption. The mode independence of the attenuation has been verified experimentally for a second-order polynomial profile, which is close to a linear refractive-index distribution. In contrast, the attenuation in the step-profile waveguide has been found to depend strongly on the mode number, as predicted by theory. A strong spread of the modal attenuation coefficients is also predicted for the parabolic profile waveguide sensor. PMID- 21037684 TI - Time-resolved optoacoustic measurement of absorption of light by inhomogeneous media. AB - A method for measuring the absorption of light with optically turbid media is considered. The method is based on the registration of the temporal shape (leading-edge slope) of the developing optoacoustic signal in a medium that is absorbing a short laser pulse. Results of experiments with a Nd:YAG laser (10 ns) demonstrate the effectiveness of the method for both homogeneous and inhomogeneous optical media. PMID- 21037685 TI - Theoretical and numerical investigations of the polarization properties of a lidar signal scattered by a set of oriented ice plates. AB - The relationships for the backscattering cross sections are derived within the scope of the physical-optics method for the case in which polarized optical radiation interacts with an oriented semitransparent circular plate. Theoretical investigation of the depolarization relation is carried out for the polarization signal backscattered from a set of oriented circular plates. An algorithm is suggested for the calculation of the orientation angles of the plates in the scattering volume. PMID- 21037686 TI - Wave-front-dividing array interferometers without moving parts for real-time spectroscopy from the IR to the UV. AB - Interferometers of the Michelson or Mach-Zehnder type are designed as array interferometers. The number of array channels is equal to the number of points needed for an interferogram for Fourier transformation. Similarly one may use an array of step gratings with each grating having a different step height and producing one point of the interferogram. These interferometers, which do not have moving parts, use all the incident light, and the interferogram is instantly produced for real-time spectroscopy. PMID- 21037687 TI - Formation of output in copper vapor lasers. AB - Detailed mechanisms that control the formation of output from copper vapor laser (CVL) oscillators are investigated. Measurements of the spatial and temporal evolution of gain in a CVL amplifier and a CVL oscillator show that a short period of high gain that occurs at the beginning of the inversion period is the dominant feature. This leads to the formation of a burst of amplified spontaneous emission (ASE), whose subsequent propagation and amplification leads to all observable CVL output. The spatial characteristics of this initial burst of ASE are shown to be strongly dependent on the operating conditions of the laser. The implications of this description of CVL output for the design of unstable resonators and oscillator-amplifier systems is discussed. PMID- 21037688 TI - Regenerative-feedback mode-locked dye laser: influence of the acousto-optic mode locker. AB - A regenerative-feedback AM-mode-locked Rhodamine 6G dye laser is described. The laser delivers pulses of 0.8-ps duration with 40-mW average output power. The influence of the acousto-optic modulator on the output spectra, which came to be important for broad-bandwidth lasers, is also discussed. PMID- 21037689 TI - Solid-state dye laser with modified poly(methyl methacrylate)-doped active elements. AB - Laser generation with modified poly(methyl methacrylate) (MPMMA)-doped matrices with several different types of Rhodamine-based dyes was obtained. Pumping with a frequency-doubled Q-switched Nd:YAG laser was used. During the experiments, high conversion efficiency was achieved. The strong nonlinear dependence of the operating lifetime and the conversion efficiency of material tested on the pump pulse-repetition rate was observed. Possible mechanisms responsible for the conversionefficiency drop and the useful lifetime of the material are discussed. PMID- 21037690 TI - Intracavity frequency-doubled and stabilized cw ring Nd:YAG laser with a pair of KTP crystals. AB - Generation of an up to 1.5-W single-frequency and a 650-mW frequency-stabilized second harmonic at 1.06 um has been demonstrated in a cw ring Nd:YAG laser with a pair of properly oriented KTP crystals in which the walk off between the intracavity modes has been eliminated. The frequency stability is better than 5 MHz for the second-harmonic output level of 650 mW. The fluctuation of power is less than 4%. PACS: 42.60. By. 42.65 Ky. PMID- 21037691 TI - Studying semiconductor lasers with multimode rate equations. AB - After the summation over terms that describe interactions between carriers and photons of various diode modes is worked out, implicit-form analytical solutions to the multimode rate equations of a semiconductor laser are obtained within the framework of the mean field approximation. With emphasis on the threshold region, several laser characteristics of importance, such as the carrier density, photon density, and output spectral width, have been investigated theoretically and experimentally. PMID- 21037692 TI - Time-resolved studies of light propagation in paper. AB - A method for time-resolved recording of light scattering in thin, highly scattering media is described. Subpicosecond pulses from a high-power Ti:sapphire laser are used, and single-shot recordings of the scattered light are made with a fast streak camera. The method is applied to the study of light scattering in paper, and a 1-ps resolution is demonstrated. The dependence of the light scattering on the basis of weight and density of the paper has been studied. A white-light continuum generated from the high-power pulses by the use of self phase modulation in water is used to study the wavelength dependence of the scattering process. A model for the propagation of light in paper has been developed and used in Monte Carlo simulations. The experimental results are used for testing this model, and absorption and scattering parameters are determined from that comparison. PMID- 21037693 TI - Comparing cross talk in doped scintillating-fiber bundles. AB - A simple experimental technique to measure and compare cross talk in fiber bundles designed for high-energy-particle-tracking systems in colliders is described. Each bundle is composed of individual step-index, multimode fibers that are doped with a scintillating material at a given concentration. Results for two different scintillators doped at two different concentrations are included to demonstrate the validity and the potential of the technique. PMID- 21037694 TI - Accurate mode characterization of graded-index multimode fibers for the application of mode-noise analysis. AB - Guided modes in graded-index multimode optical fibers are accurately analyzed with the vector H-field finite-element method, aided by the use of the WKB method. As a result, exact mode-propagation constants and the corresponding modal eigenfield distributions are provided for the study of the modal noise that is due to the mode-coupling effect. PMID- 21037695 TI - InGaAs/InAlAs quantum-well electroabsorption waveguide modulators with large-core waveguide structure: design and characterization. AB - The design and the systematic characterization of the waveguide and the material properties of a modulator based on InGaAs/InAlAs quantum-well material for 1.5-um operation are described. Following our previously developed theoretical design algorithm for optimizing the total performance of waveguide electroabsorption modulators [IEEE J. Quantum Electron, 29, 2476 (1993)], we designed an unconventional waveguide structure with a large passive core to yield better coupling efficiency for standard optical fibers and a thin active layer that yields a small optical-confinement factor. To evaluate the performance of this waveguide modulator, experimental methods for measuring the coupling efficiency, the optical-filling factor, and the absorption coefficient of the waveguide modulator and for characterizing the material properties were developed. The limitations of the material and the waveguide design, and the generalization of the limited set of experimental results based on a specific modulator to the design of more-general waveguide modulators are discussed. PMID- 21037696 TI - Experimental studies of electro-optic polymer modulators and waveguides. AB - The results of an experimental study of electro-optic modulators and waveguides based on polymeric materials are presented. Included are the design, fabrication, and testing of integrated Mach-Zehnder modulators, which are based on polymer films that contain a novel, nonlinear electro-optic chromophore. Studies also show the efficacy of photolithography or photobleaching by the use of this chromophore to form passive, branching waveguides, which are operated at the 1300 nm wavelength. PMID- 21037697 TI - Modified integrated optic Fresnal lens for waveguide-to-fiber coupling. AB - The traditional design procedure for the waveguide Fresnel lens was carried over from those of bulk optics and micro-optics. In this design it is assumed that the lens thickness is negligibly small with respect to the focal length. This criterion does not hold for many integrated optic devices, in particular those with small mode-index modulations and long wavelengths. Under these conditions, the focal properties of the lens become unpredictable and the lens efficiency is reduced, both of which severely limit the usefulness of the lens as a waveguide to-fiber coupler. To correct for this shortcoming, the standard Fresnel lens design procedure was modified to acocunt for the thickness of the lens explicitly. Both the standard and the modified Fresnel lens designs are outlined. A comparison of the limitations of the two lenses predicts better performance for the modified Fresnel lens. This is supported through computersimulation results for a pair of test lenses. PMID- 21037698 TI - Cloud-droplet-size distribution from lidar multiple-scattering measurements. AB - A method for calculating droplet-size distribution in atmospheric clouds is presented, based on measurement of laser backscattering and multiple scattering from water clouds. The lidar uses a Nd:YAG laser that emits short pulses at a moderate repetition rate. The backscattering, which is composed mainly of single scattering, is measured with a detector pointing along the laser beam. The multiple scattering, which is mainly double scattering, is measured with a second detector, pointing at a specified angle to the laser beam. The domain of scattering angles that contribute to the doublescattering signal increases monotonically as the pulse penetrates the cloud. The water droplets within the probed volume are assumed to have a constant size distribution. Hence, from the double-scatteringmeasured signal as a function of penetration depth within the cloud, the double-scattering phase function of the scattering volume is derived. Inverting the phase function results in a cloud-droplet-size distribution in the form of a log-normal function. PMID- 21037699 TI - ISICL: In situ coherent lidar for particle detection in semiconductor-processing equipment. AB - A scanning coherent lidar (laser radar) for detecting and mapping isolated submicrometer particles in hostile or inaccessible regions such as plasma chambers, ovens, tanks, and pipes is described. The sensor uses a noise-canceled diode laser homodyne interferometer of novel design that is insensitive to misalignment, runs at the quantum limit, and requires just one access window. At a false-count rate of 10(-5)Hz, the sensor needs 50 photons to detect a particle. A combination of techniques makes the system immune to stray light or laser light scattered from the chamber walls, though these other light sources may be 10(6) times more intense than the desired signal. PMID- 21037700 TI - Measurements of optical turbulence with higher-order structure functions. AB - Higher-order structure functions have been used to extract atmospheric optical C(n)(2) profiles from a vertical sequence of temperature data collected by a single probe carried by a meteorological balloon. This technique circumvents trends and fluctuations in the atmospheric mean temperature and simplifies the equipment and complexity of measurement collection compared with traditional, horizontal differential-probe pair systems. PMID- 21037701 TI - Characterization of pyrgeometers and the accuracy of atmospheric long-wave radiation measurements. AB - A detailed characterization is performed to calibrate pyrgeometers, using a newly developed apparatus that contains a blackbody radiation source and the means to vary the temperatures of the pyrgeometer under testing. Calibration measurements cover the parameter space of radiation and instrument temperatures that prevail during field measurements. Dome-temperature measurements, normally provided on pyrgeometers, are inadequate for accurate corrections of the dome emission. A new temperature measurement with three sensors inside the dome at 45 degrees elevation is proposed and has been implemented on several test instruments. This modification and the detailed characterization measurements permit an improved evaluation, based on thorough analysis of the thermal balance of the instrument, leading to a sensitivity factor C and three correction factors, k(1,2,3). Test measurements demonstrate the substantial improvement achieved on the accuracy of atmospheric and terrestrial long-wave radiation measurements, down to +/-2Wm(-2). PMID- 21037702 TI - Middle ultraviolet spectroscopy of suppressant-flame interactions. AB - By minimization of stray light, it is possible to use the middle ultraviolet to gain useful information on flame-suppressant mechanisms. PMID- 21037704 TI - Patents. AB - 5,257,140; 5,266,795; 5,309,276; 5,326,266; 5,337,146; 5,339,157; 5,339,193; 5,347,391; 5,347,398; 5,349,183. PMID- 21037703 TI - Characterization and use of an optical fiber interferometer for measurement of the electric wind. AB - An optical fiber interferometer of the Mach-Zehnder type has proved to be a convenient and accurate method for measuring the electric wind in the active region of a corona discharge. The technique relies on the cooling effect of the wind on a small heated region of one arm of the interferometer, which has been remotely heated with an infrared CO(2) laser beam. Wind speeds of up to 5.5 m s( 1) have been measured near the generation region, and by the use of a mesh electrode, the wind has been detected on the axis up to 0.5 m away from the gap. A number of characterization experiments that show the interferometer to be a useful diagnostic tool in the quantitative analysis of the CO(2) laser beam have also been carried out, and good agreement between experimental results and theoretical calculations based on a simple heat-power balance equation for the fiber exists. PMID- 21037705 TI - Nonintrusive measurements of temperature and size of single falling raindrops. AB - A nonintrusive laser technique, based on the detection of a rainbow, is presented that permits one to determine simultaneously the temperature and size of droplets. Therefore the Airy theory for a rainbow and a calibration rainbow pattern at isothermal conditions are applied. Rainbow patterns coming from droplets in the millimeter range have been recorded on a linear CCD array. It has been found that the sphericity of the droplets plays an important role for this rainbow-based technique. PMID- 21037706 TI - Tomographic reconstruction of sound fields using TV holography. AB - Combining TV holography recording with acoustic phase stepping and image processing, we measure the integrated density distribution in sound fields that propagate in air. We record a given number of two-dimensional cross sections that are tomographically backprojected to give the amplitude and phase distributions of the emitted sound field. The validity of the procedure is demonstrated. PMID- 21037707 TI - All-sky Doppler interferometer for thermospheric dynamics studies. AB - An efficient, all-sky input optical system has been mated to a 100-mm-aperture Fabry-Perot interferometer that employs a cooled (-150 degrees C) CCD as a photon detector to create an all-sky Doppler interferometer. The instrument is capable of simultaneously measuring Doppler shifts and widths of nightglow emission lines from many different points in the sky, thereby providing determinations of upperatmosphere neutral wind and temperature fields over a large region (to ~2000 km in extent). For OI 630-nm (thermosphere) and OH 799.6 nm (mesopause) nightglow emissions, exposure times of 5-15 min provide good quality interferometric images. The capability of the all-sky Doppler interferometer is illustrated by examples of thermospheric wind and temperature fields measured over Millstone Hill, Massachusetts. PMID- 21037708 TI - Control of the polychromatic response of an optical system through the use of annular color filters. AB - The use of annular color filters as a tool to modify the polychromatic response of an optical system is investigated. The introduction of filters with transmission that depends on the wavelength produces a significant modification of the chromaticity response. In contrast, the position in the pupil of the annuli in which the color filters are placed modifies mainly the illuminance response. The influence of different types of annular color filter on the transverse and axial responses of the aberration-free system is studied. PMID- 21037709 TI - Polarization of the reflectivity of paints and other rough surfaces in the infrared. AB - In this study the IR reflectivity of painted and rough surfaces was investigated, and an attempt was made to represent the surfaces by a complex refractive index. A CO(2) laser was used as a collimated source in the thermal IR region, and the polarization properties of reflected radiation were measured. The samples chosen were flat surfaces of sandblasted aluminum, concrete, painted metal, and asphalt. Values of the bidirectional reflectance function were obtained in the two orthogonal states of polarization, based on sulfur as the Lambertian standard. Many samples, such as painted metals, showed specular behavior and could be characterized by Fresnel equations. For some of these surfaces optical constants were calculated from the reflectivity measurements. Good agreement was obtained between the calculated and measured values of the percent of polarization for these surfaces. PMID- 21037710 TI - Technique for accurate stellar polarimetry using CCD cameras. AB - Using a technique for CCD polarimetry, we have obtained stellar polarization data with the 0.9-m telescope on Kitt Peak and with the Tek 2048 CCD camera. Measurements of stars viewed through holes in polarizing filters serve to correct for variations in atmospheric transparency. For the brightest stars the uncertainties in the measured polarization during a single, hour-long, polarimetric sequence are 0.3-0.5%, because of the residual effects of the variations in transparency. For fainter stars we are Poisson noise limited. Our technique provides some advantages over other techniques for CCD polarimetry, primarily because it can be easily integrated into existing photometric systems. PMID- 21037711 TI - Generalized matrix method for analysis of coherent and incoherent reflectance and transmittance of multilayer structures with rough surfaces, interfaces, and finite substrates. AB - A generalized matrix method is presented for calculating the optical reflectance and transmittance of an arbitrary thin-solid-film multilayer structure on very thick substrates with rough surfaces and interfaces. We show that the effect of roughness and the influence of incoherently reflected light on the back side of a thick layer can be accounted for with a more general transfer matrix that enables the inclusion of modified complex Fresnel coefficients. Coherent, partially coherent, and incoherent multiply reflected light inside the multilayer structure is treated in the same way. We demonstrate the method by applying it to simulated and experimental reflectance spectra of thin epitaxial Si overlayers on very thick SiO(2) substrates and on a separation by ion implantation of oxygen structure with a SiO(2) buried layer exhibiting substantial roughness on both of its interfaces (Si/SiO(2) and SiO(2)/Si). PMID- 21037712 TI - Reflection-transmission photoellipsometry: theory and experiments. AB - We propose a method that uses reflection and transmission photoellipsometry to analyze samples consisting of thin films combined with semitransparent thick layers or substrates in the form of multilayer structures. Athick film or substrate is defined as a layer for which no interference effects can be observed for a given wavelength resolution, and contributions from multiple reflections in the substrate are taken into account in the theoretical treatment. An automatic reflection-transmission spectroscopic ellipsometer was built to test the theory, and satisfactory results have been obtained. Examples corresponding to a strongly absorbing film deposited on a glass substrate and a highly transmitting film also deposited on glass are shown. In both cases a good fit between theory and experiment is found. The photoellipsometric method presented is particularly suited to the analysis of actual samples of energy-efficient coatings for windows. PMID- 21037713 TI - Current sensing using bismuth rare-earth iron garnet films. AB - Ferrimagnetic iron garnet films are investigated as current-sensing elements. The Faraday effect within the films permits measurement of the magnetic field or current by a simple polarimetric technique. Polarized diffraction patterns from the films have been observed that arise from the presence of magnetic domains in the films. A physical model for the diffraction is discussed, and results from a mathematical analysis are in good agreement with the experimental observations. A method of current sensing that uses this polarized diffraction is demonstrated. PMID- 21037714 TI - High-efficiency metallic diffraction gratings for laser applications. AB - The design and fabrication of large-area, high-efficiency metallic gratings for use in high-power laser systems is described. The gratings exhibit a diffraction efficiency in excess of 95% in the m = -1 order (Littrow mount) and have a high threshold for laser damage. Computations and experimental measurements are presented that illustrate the effect of grating shape and polarization on efficiency. A simple theory for optical damage to metallic diffraction gratings is developed and compared with experimental measurements of the laser-damage threshold over the pulse range from 400 fs to >1 ns. PMID- 21037715 TI - Echelles: scalar, electromagnetic, and real-groove properties. AB - For lack of alternatives, echelle-grating diffraction behavior has in the past been modeled on scalar theory, despite observations that indicate significant deviations. To resolve this difficulty a detailed experimental, theoretical, and numerical study is performed for several echelles that work at low (18-13), medium (35-55), high (84-140), and very-high (to 660) diffraction orders. Noticeable deviations from the scalar model were detected both experimentally and numerically, on the basis of electromagnetic theory: (1) the shift of the observed blaze position was shown to decrease with the wavelength-to-period ratio, and it tends to zero more rapidly than the decrease of the maximum width, so that the TE- and TM-plane responses tend to merge into each other; (2) cut-off effects (Rayleigh anomalies) were found to play a significant role for high groove angles, where passing-off orders are close to the blaze order. A possibility for evaluation of the blaze angle from angular, rather than from spectral, measure nts is discussed.Several reasons for the differences between real and ideal echelles (material-index deviations, profile deformations, and groove-angle errors) are analyzed, and their effects on the performance of echelles is studied. PMID- 21037716 TI - Psychometrically appropriate assessment of afocal optics by measurement of the Strehl intensity ratio. AB - Several different performance criteria have been proposed for assessing the quality of visual afocal sights. Earlier research by one of the authors (Haig) has shown that a high degree of correlation exists between a subjective assessment of performance and the Strehl intensity ratio of the optical system. We discuss some of the problems in choosing an objective performance criterion for visual sights and describe equipment that has been developed for measuring the line Strehl ratio of binoculars, both on and off axes. The equipment can be modified for testing other types of visual sight. It can also be used for measuring several additional performance parameters such as the modulation transfer function, transmission, and field curvature. PMID- 21037717 TI - Simple autocollimation laser refractometer with highly sensitive, fiber-optic output. AB - A simple autocollimation scheme for a laser refractometer with a highly sensitive, single-mode, fiber-optic output is described. It allows for the determination of the refractive index and dispersion of optical materials with an accuracy exceeding 10(-5), which is confirmed by both experimental and analytical investigations. PMID- 21037718 TI - Polarization-maintaining distributed fiber-optic sensor: software elimination of second-order (ghost) coupling points. AB - A distributed polarization-maintaining sensor is theoretically analyzed when the applied perturbations are large enough to generate second-order effects. A software algorithm has been developed that identifies the real perturbation points and returns the magnitude of the perturbations distributed along the fiber. PMID- 21037719 TI - Particle image velocimetry analysis using an optically addressed spatial light modulator: effects of nonlinear transfer function. AB -
Optical processors for generating a two-dimensional squared autocorrelation function have been presented for postprocessing particle image velocimetry photographs of fluid flows. The incoherent-tocoherent conversion can be performed by an optically addressed spatial light modulator. The transfer function of these devices is far from linear and will influence the performance of the optical processor.
Two different transfer functions, characterizing the two main types of commercial optically addressed spatial light modulators as an analog and a binary transfer function, have been simulated digitally.
Results of numerical simulations on the influence of introducing these nonlinear transfer functions to the correlation function for particle image velocimetry analysis are presented.
PMID- 21037720 TI - Particle image velocimetry: improving fringe quality with a negative-mask method. AB - The photographic negative-mask method of neutralizing the diffraction-halo effect in speckle photography is applied to enhance the quality of Young's fringes obtained in particle-image-velocimetry studies. The improvement of the fringes achieved with the negative-mask method is compared with improvements by the commonly used method of analyzing a contact copy of particle-image-velocimetry specklegrams. Theoretical analysis and experimental results are presented. PMID- 21037721 TI - Movement characterization with the spatiotemporal Fourier transform of low-light level images. AB - The spatiotemporal Fourier transform of a series of frames is proved to be an attractive technique for object velocity determination. The main disadvantage of the spatiotemporal transform methods is that they require a long processing time even when fast transforms are used. We show that the spatiotemporal Fourier transform technique, which has been applied to standard-light-intensity images, can also be used with low-light-level images. The result is an important processing time reduction; thus when fast processing is required, it would be advantageous to detect in low-light-level conditions with intensity filters. The technique behavior for low-light-level images is checked with computer-simulated and experimental data. PMID- 21037722 TI - Implementation of optical perfect-shuffle module. AB - Experimental details are presented of the measured performance of a novel implementation of a cascadable module for the one-dimensional perfect shuffle of a two-dimensional array of optical signals. The design uses an anamorphic telescope to correct the difference in pitch in the two axes resulting from an image split and recombine operation performed with patterned mirrors. The performance of the cylindrical lens limits the scalability of the design. PMID- 21037723 TI - Free-space optical module configuration using a guide-frame assembly method. AB - A new assembly method is described for easy construction of optical modules consisting of guide frames, spacer frames, and a housing frame. This method is used to assemble a two-dimensional optical-fiber collimator and a digital discrete correlator, which are fundamental parts of free-space optical computing systems. We show that a multistage optical system can be constructed simply by stacking of several optical functional blocks. Moreover, these compact modules do not need a conventional optical bench, they are compact, and assembly time is reduced. We demonstrated by experiment that the accuracy of optical modules assembled with this method is within the specifications of the optical system. PMID- 21037724 TI - Highly efficient interconnection for use with a multistage optical switching network with orthogonally polarized data and address information. AB - A novel optical interconnection is introduced for a multistage optical switching network that uses orthogonally polarized data and address information. The network is unique in that the data information is never regenerated and remains in optical form throughout (i.e., it is never converted into electrical information). This has two main consequences: (1) the bandwidth of the data is not restricted by electrical circuit considerations, and (2) the optical interconnections from one stage of the network to the next must be highly efficient. The interconnection meets several goals: high efficiency, preservation of cross polarization of data and address, low cross talk between polarizations, good manufacturability, resistance to misalignment caused by thermal expansion, and absence of significant aberrations. In addition, sychronization of the signals is maintained, as the optical path lengths for all routes through the system are equal. PMID- 21037725 TI - Planar-optical mesh-connected tree interconnects: a feasibility study. AB - The mesh-connected tree is a two-dimensional interconnect topology that combines aspects of a conventional tree network and a two-dimensional nearest-neighbor mesh network. Because of its topological features, a mesh-connected tree has the potential to be implemented with planar optoelectronic interconnect concepts. We examine the feasibility of employing vertical-to-surface-transmissionelectro photonic optical array switches together with planar micro-optical components for the future implementation of an optoelectronic mesh-connected tree interconnect. PMID- 21037726 TI - Three-dimensional board-to-board free-space optical interconnects and their application to the prototype multiprocessor system: COSINE-III. AB - A prototype multiprocessor system using three-dimensional board-to-board free space optical interconnects is constructed for the first time to our knowledge. In the system, 64 processing units form a three-dimensional mesh processor network with the help of bidirectional board-to-board free-space optical interconnects. A theoretical analysis shows that the three-dimensional board-to board freespace optical interconnects effectively solve common interconnection problems such as wiring congestion, signal delay, and clock skew. The prototype system, COSINE-III, is confirmed to work well as a multiprocessor system. The system is also shown to be easy to extend to a larger and more flexible system. PMID- 21037727 TI - Rainbow holography with a synthesized double slit. AB - Rainbow holography with a synthesized double slit is proposed. Diffuse three dimensional objects are translated uniformly in the x(0)-y(0) plane. The propagation direction of the coherent plane wave illuminating the objects is situated in the x(0)-z(0) plane. As a result of this process, a sinc function that modulates the complex-amplitude distribution of the objects is presented on the back focal plane of the lens, and the synthesized slit is formed. The central position of the synthesized slit depends on both the direction of motion of the object and the spatial frequency of the illuminating wave in the x(0) direction. Therefore the synthesized double slit is generated with a two-exposure method that has two illuminating waves of different spatial frequencies. The theoretical analysis and some experimental results are presented. PMID- 21037728 TI - In-line recording and off-axis viewing technique for holographic particle velocimetry. AB - Prior approaches (e.g., off-axis holography) to overcoming the limitations of in line holography for particle fields, namely, intrinsic speckle noise and depth resolution, involved an increased complexity of the optical system. The in-line recording and off-axis viewing (IROV) technique employs a single laser beam to record an in-line hologram, which is then viewed off axis during reconstruction. The signal-to-noise ratio and depth resolution of IROV are higher than conventional in-line holography by an order of magnitude and are comparable with off-axis holography. IROV is a much simpler approach than off-axis holography and is highly promising for holographic particle velocimetry. Measurements of the three dimensional flow velocity field of a vortex ring obtained by an IROV-based holographic particle velocimetry system are presented. PMID- 21037729 TI - Analysis of Fourier synthesis holography for imaging through scattering materials. AB - The technique of Fourier synthesis holography to image through scattering materials is analyzed in detail. A broad spectral source is decomposed into its Fourier components, and a hologram is formed at each wavelength and stored in the computer. Upon synthesis in the computer, a clear image can be formed of the obscured object. Post-data-acquisition processing such as selection of the gating time delay and autocorrelation shaping are also demonstrated. PMID- 21037730 TI - Reconstruction of a complex-valued object in double-passage coherent imaging through a random-phase screen. AB - We consider the reconstruction of a complex-valued object that is coherently illuminated and viewed through the same random-phase screen. The reconstruction is based on two intensity measurements: the intensity of the Fourier transform of the image and the intensity of the Fourier transform of the image when modulated with an exponential filter. The illumination beam has a Gaussian intensity profile of arbitrary width, and the phase screen is assumed to be described by a Gaussian random process of large variance and arbitrary correlation length. Computer-simulated examples of the reconstruction of a two-dimensional complex object demonstrate that the reconstruction is robust. PMID- 21037731 TI - Extended depth of field through wave-front coding. AB - We designed an optical-digital system that delivers near-diffraction-limited imaging performance with a large depth of field. This system is the standard incoherent optical system modified by a phase mask with digital processing of the resulting intermediate image. The phase mask alters or codes the received incoherent wave front in such a way that the point-spread function and the optical transfer function do not change appreciably as a function of misfocus. Focus-independent digital filtering of the intermediate image is used to produce a combined optical-digital system that has a nearly diffraction limited point spread function. This high-resolution extended depth of field is obtained through the expense of an increased dynamic range of the incoherent system. We use both the ambiguity function and the stationary-phase method to design these phase masks. PMID- 21037732 TI - Image data-handling techniques for precise velocity measurements of atmospheric inhomogeneities. AB - Two techniques for measuring the velocity of inhomogeneities drifting in the atmosphere by the capturing and processing of their images are suggested. Properly selected data records of imaged clouds are used for building time variations of in-plane moving dots, related to different parts of the area of measurement and also corresponding to the image-detector pixel resolution. The precision in obtaining the velocity is provided by adjustment of the time between two successive image registrations. PMID- 21037733 TI - Refractive surface flow visualization using image processing. AB - The importance of the wake-free-surface interaction in the detection, classification, and tracking of submerged objects has led to the development of a simple but effective free-surface visualization technique for use in controlled water-tunnel experiments. An experiment was performed to verify the effectiveness and the applicability of this method. Digital images of a spatially varying sinusoidal grid were acquired as seen through the disturbance pattern on the water surface. Image-processing techniques were used to perform phase demodulation of the distorted image. The resulting image details the outline, location, and extent of the surface deformation in a gray-scale format. Optimal digital filter specifications and spatial grid frequencies were determined experimentally for various surface-flow conditions. PMID- 21037734 TI - Iterative deconvolution with variable convergence speed of the iterations. AB - A fast iterative deconvolution technique that permits the convergence speed of the iterations to be varied is introduced. In this technique, iterations are made to converge as fast as, twice as fast as, and three times (i.e., any integer may be used) as fast as the ordinary methods. The speed of convergence depends on the amount of noise in the data being deconvoluted. This technique is particularly useful for speeding up convergence of the reblurring procedure. The technique converges for all impulse-response function types. The mean-square error versus the deconvolution iteration number for different integral values of the convergence speed of the iterations (1, 5, and 10) is studied for two data sets with and without noise. It is shown that for noisy data sets one has to have control over the convergence speed of the iterations. This technique is also tested with a real data set obtained from an optical multichannel analyzer. PMID- 21037735 TI - Scale-invariant optical correlators using ferroelectric liquid-crystal spatial light modulators. AB - New experimental results for scale-invariant implementations of the binary phase only matched filter and the nonlinear joint transform correlator using ferroelectric liquid-crystal spatial light modulators are presented. We provide a comparative study of both architectures for real-time road-sign recognition. Signal-to-peak-noise ratios in excess of 5 dB over a scale range of 1.0 to 2.0 are achieved under realistic conditions of clutter. PMID- 21037736 TI - Adaptive pattern recognition with rotation, scale, and shift invariance. AB - Mutually orthogonal pattern distortions are handled by an adaptive optical recognition system. A double-channel system is presented that implements pattern recognition with rotation, scale, and shift invariance. The recognition process is based on a two-stage operation: An object-independent determination of one distortion parameter (the scale, in the example presented here) is performed, and then the recognition is completed by a shift- and rotation-invariant optical correlator that is adapted to the measured parameter. Thus, complete invariance to three distortion parameters is achieved by the combination of two channels. The overall process is performed efficiently and can be executed in real time. PMID- 21037737 TI - J. H. Kulick, J. M. Jarem, R. G. Lindquist, S. T. Kowel, and M. W. Friends are with the Department of Electrical and Computer Engineering, University of Alabama in Huntsville, Huntsville, Alabama 35899. AB - The development and modeling of a liquid-crystal phase grating for real-time diffractive three-dimensional displays are discussed. The system being developed, which is called the ICVision system, utilizes a number of ideas that will result in a rugged, low-power three-dimensional display offering both vertical and horizontal parallax and eventually full color. Fringing fields created between interdigitated electrodes formed on top of VLSI die will induce a diffraction pattern in a thin layer of liquid crystal that will cover the die. A detailed electrostatic and diffraction analysis of liquid-crystal phase-grating regions that will make up the final display is given here. The electrostatic analysis is developed by use of the method of moments. The diffraction analysis is developed by use of rigorous coupled-wave diffraction theory. The numerical results obtrained from the mathematical model are compared with experimental diffraction results from preliminary LCD cells that have been assembled as prototype ICVision devices. PMID- 21037738 TI - Holographic interferometry using two-wavelength holography for the measurement of large deformations. AB - Visible holographic interferometry is generally too sensitive for the measurement of large deformations. We present a holographic method that permits an increase in the range of measurable deformations. It requires the use of two different wavelengths, lambda(1) and lambda(2), and two holograms in series. We develop the theoretical basis of a method that permits the obtention of an interferogram as if a longer equivalent wavelength, lambda(eq) = lambda(1)lambda(2)/|lambda(1) lambda(2)|, were used. The method is experimentally tested by use of a setup that can be easily converted into a classical single-wavelength holographic interferometer, permitting comparison of the interferograms of the same deformation produced with both methods. Significant results are presented. PMID- 21037739 TI - Programmable optical logic systems using free-space optical interconnections. AB - A free-space optical logic technique is presented that utilizes a two-dimensional array of diffractive optical elements. Each optical element focuses light to multiple, separate positions in the output focal plane. The focal spots from different optical elements are allowed to overlap spatially, resulting in interference. By changing the phase shift between the optical elements, one can create different optical logic operations in the focal plane. The technique is demonstrated by the use of two input beams incident onto a multiplexed optical element written onto a programmable spatial light modulator. The optical element simultaneously creates both AND and XOR logic functions in the output plane. PMID- 21037740 TI - Improved linear programming method to generate metameric spectral distributions. AB - We present an application of linear-programming techniques to generate metameric spectral radiant power distributions. Conditions concerning physical color properties, dominant wavelength, and excitation purity lead us to propose criteria that provide distributions associated with color stimuli with high excitation purity values of any brightness. An unlimited number of metameric distributions can be obtained from the degrees of freedom introduced by the proposed criteria. PMID- 21037741 TI - Programmable optical phase-mostly holograms with coupled-mode modulation liquid crystal television. AB - Commercial twisted nematic liquid-crystal television provides coupled phase and amplitude modulation. We propose a simple wedged shear plate interferometer for in situ measurement of its phase modulation and operating curve. For a given operating curve, the coupled-mode modulation holograms are designed with an iterative method. We adjust the operating curve by rotating the polarizer and analyzer to obtain the optimal operating curve. The phase-mostly holograms yield good-quality reconstructed images with the zero-order spot reduced to a minimum. Experimental results are shown. PMID- 21037742 TI - Apodized pixel lenses in compact shadow-casting correlators. AB - We present a compact two-dimensional shadow-casting correlator that can perform correlation between inputs of size 256 * 256 and a point-spread function of size 32 * 32. A two-dimensional array of mutually incoherent sources is used to encode the point-spread function, and each source is individually steered to improve the light uniformity and the light-utilization efficiency. The geometric optics constraint requires that the shadow region be very close to the input plane. This constraint is removed by the introduction of apodized pixel lenses in the input spatial light modulator. The pixel lenses move the shadow plane to their Fourier plane, and pixel apodization reduces the interchannel cross talk, thereby improving the signal-to-noise ratio. Simulation and experimental results verifying these concepts are presented. PMID- 21037743 TI - Investigation of high-resolution angle sensing with laser mode-split technology. AB - We present a new method of angular measurement, which is to rotate a 15-mm diameter, 3-mm-thick crystal quartz plate in a He-Ne laser cavity to produce a laser mode split. The magnitude of the mode split (in hertz) represents the angle of rotation. The experimental devices used are described. The stability of the beat frequency is 0.78 kHz (1sigma). A sensitivity of 2.62 3 * 10(4) Hz/", where" is angular seconds, has been reached and the repeatability is 0.3". The principal error factors are discussed. PMID- 21037744 TI - High-information time-resolved step-scan Fourier interferometer. AB - The adaptation of a high-resolution stepping-mode Connes-type interferometer to the study of timevarying phenomena is described. Solutions that can be used to solve the problem of the limited dynamic range of the measurements involved in time-resolved experiments at high spectral resolution are proposed. To handle the millions of temporal and spectral samples, computer programs based on a matrix formalism and graphic techniques have been developed. A computer simulation of a timeresolved Fourier transform spectroscopy (TRFTS) experiment of a systematic study of the problem posed by the limited dynamic range of the measurements is presented. Advantages and inherent difficulties of the step-by-step TRFTS are reviewed. PMID- 21037745 TI - Extraction efficiency of saturated-gain high-power dye laser amplifiers: effect of nonlinear signal absorption. AB - The effect of nonlinear signal absorption (NLSA) due to ground-state absorption and excited-state absorption in a transversely pumped high-power dye laser amplifier is theoretically examined with a one-dimensional steady-state model for a dye amplifier pumped by a copper vapor laser. A well-approximated analytical expression for the extraction efficiency is derived, from which the effect of NLSA in reducing the amplifier efficiency can be appreciated immediately and can also be interpreted in terms of certain characteristic lengths. The reduction in efficiency due to NLSA is found to be largely independent of the pump power, provided that the signal power is increased linearly with the pump power to continue to saturate the amplifier gain and suppress amplified spontaneous emission. PMID- 21037746 TI - Fluorescence of coumarins and xanthenes after two-photon absorption with a pulsed titanium-sapphire laser. AB - Fluorescence emission after two-photon absorption of coumarins and xanthenes in an alcoholic solution was measured in the tuning range of a femtosecond-pulsed titanium-sapphire laser (750-840 nm). Xanthenes, which have a low one-photon absorption in the near UV, show a higher fluorescence signal after two-photon absorption than the UV-excitable coumarins. When fluxes of 10(28) photons/(cm(2) s) are used, the two-photon absorption cross sections for xanthenes are 1 order of magnitude higher than the two-photon absorption cross sections of the coumarins. Absolute cross sections have been estimated for three coumarins and three xanthenes. For the xanthenes a significant wavelength-dependent departure from the expected fluorescence intensity square law was observed. The coumarins follow the square-law dependence. The consequences of the findings are discussed for analytic and diagnostic methods. An especially important result is that the resolution in two-photon microscopy of xanthenes is worse than expected. PMID- 21037747 TI - Effects of simmer current on flash-lamp impedance and their combined influence on the output of the Ho,Cr,Tm:YAG laser. AB - The increase in slope efficiency and the reduction of the laser threshold of a flash-lamp-pumped Ho,Cr,Tm:YAG laser that are due to increased flash-lamp efficiency when the current of the dc simmer is increased are described. Investigations of the electrical characteristics of the flash lamp as a function of the simmer current prove that the flash-lamp impedance decreases to a constant value when the dc simmer current is increased. As a consequence an increase of the peak current through the flash lamp is observed, which improves the flash lamp efficiency. PMID- 21037748 TI - Thermal modeling of solid nonfocusing pump-light collectors used for diode-pumped Nd:YAG lasers. AB - We have developed a thermal model for the determination of the temperature distribution of a diode side-pumped Nd:YAG laser in which the laser rod is fixed in a solid nonfocusing (prismatic) pump-light collector. The model permits the temperature to be determined as a function of both spatial and temporal parameters for a wide range of boundary conditions and different collector materials. Interferometric measurements were carried out to obtain the averaged rod temperatures for comparison with results from the model and to fix a convective-cooling rate for ambient air that best fits the experimental results. Two cases were studied both theoretically and experimentally with artificial sapphire and BK7 as prism materials, and good agreement was achieved between model and experimental results. The use of artificial sapphire as the prism material reduces by a factor of ~7 both the rod temperature and the warm-up time compared with BK7 glass. Peltier cooling of the underside of the BK7 glass prism yields thermal Characteristics of the device that are similar to those devices with sapphire prisms. Calculations also show that the thermal properties of the fixant are not critical for moderate fixant thermal diffusivities (i.e., k(f) > 10(-8)-10(-7) m(2) s(-1)), thus the choice of an appropriate fixant can be based on its mechanical and index-matching properties alone. PMID- 21037749 TI - Self-pumped phase-conjugation properties of cerium-doped BaTiO(3) crystals in the near infrared. AB - Studies of a new Ce-doped BaTiO(3) crystal as an efficient self-pumped phase conjugator in the near infrared are presented. The internal beam production during the establishment of self-pumped phase conjugation (SPPC) is closely observed, and the corresponding mechanisms of SPPC are identified accordingly. When the incident beam is in an a-face-incidence geometric arrangement, it is observed for the first time that SPPC mechanism has a transition from stimulated photorefractive backscattering and four-wave mixing to total internal reflection when lambda is varied from 706 to 733 nm. Variations of the SPPC reflectivity with the lambda, incident angle, and position of the input beam have been investigated, and a high reflectivity of approximately 80% has been obtained. In addition, SPPC reflectivity of approximately 40% is obtained when the incident beam enters the crystal by the +c face, and a variation with lambda of optical beam patterns in the crystal has also been observed. Qualitative explanations of the phenomenon of SPP mechanism transition with lambda that will be very useful in practical applications are given. PMID- 21037750 TI - Coherent CO(2) laser communication system with modulable retroreflectors. AB - A coherent CO(2) laser communication system that yields high-quality voice communications between a transmit-receive station and a remote site (24 km) where modulable retroreflectors are located was developed. The potential range capability of this system was 80 km, and the system was improved by 20 dB in the signal-to-noise ratio over a direct-detection system. PMID- 21037751 TI - Extinction measurements in diffusing mammalian tissue with heterodyne detection and a titanium:sapphire laser. AB - Total optical absorption in mammalian tissues is measured in the near infrared by the use of heterodyne detection and a Ti:sapphire laser. Because of the high sensitivity, directivity, and signal-to-noise ratio of the setup, we were able to detect coherent photons after attenuation by more than 9 optical densities. This method allows us to detect unscattered photons that are passing through more than 7 mm of various tissues such as brain, muscle, liver, skin, and fat selectively. PMID- 21037752 TI - Representativeness of wind measurements with a cw Doppler lidar in the atmospheric boundary layer. AB - The representativity problem of laser Doppler anemometer wind measurements in the boundary layer under different atmospheric conditions has been investigated theoretically and experimentally. The calculations of the mean wind-velocity measurement errors for the surface layer under different types of thermal stratification and for the boundary layer under neutral conditions have been carried out. The theoretical conclusions are confirmed by the experimental results. PMID- 21037753 TI - Analysis of the influence of O(2) A-band absorption on atmospheric correction of ocean-color imagery. AB - Two satellite-borne ocean-color sensors scheduled for launch in the mid 1990's each have a spectral band (nominally 745-785 nm) that completely encompasses the O(2) A band at 762 nm. These spectral bands are to be used in atmospheric correction of the color imagery by assessment of the aerosol contribution to the total radiance at the sensor. The effect of the O(2) band on the radiance measured at the satellite is studied with a line-by-line backward Monte Carlo radiative transfer code. As expected, if the O(2) absorption is ignored, unacceptably large errors in the atmospheric correction result. The effects of the absorption depend on the vertical profile of the aerosol. By assuming an aerosol profile-the base profile-we show that it is possible to remove most of the O(2)-absorption effects from atmospheric correction in a simple manner. We also investigate the sensitivity of the results to the details of the assumed base profile and find that, with the exception of situations in which there are significant quantities of aerosol in the stratosphere, e.g., following volcanic eruptions or in the presence of thin cirrus clouds, the quality of the atmospheric correction depends only weakly on the base profile. Situations with high concentrations of stratospheric aerosol require additional information regarding vertical structure for this spectral band to be used in atmospheric correction; however, it should be possible to infer the presence of such aerosol by a failure of the atmospheric correction to produce acceptable water-leaving radiance in the red. An important feature of our method for removal of the O(2) absorption effects is that it permits the use of lookup tables that can be prepared in the absence of O(2) absorption by the use of more efficient radiative transfer codes. PMID- 21037754 TI - Atmospheric-compensation experiments in strong-scintillation conditions. AB - Most atmospheric-turbulence-compensation experiments have been performed under weak-scintillation conditions; conventional phase-conjugate adaptive-optics systems usually provide good correction for these conditions. We have performed an experiment over a 5.5-km horizontal propagation path to explore the efficacy of conventional adaptive optics in strong-scintillation conditions. The experimental results showed a significant degradation in correction as the scintillation increased. The presence of branch points in the phase appears to be the primary reason for the degradation in correction as the scintillation increases. PMID- 21037755 TI - Simulation of wave propagation in three-dimensional random media. AB - Quantitative error analyses for the simulation of wave propagation in three dimensional random media, when narrow angular scattering is assumed, are presented for plane-wave and spherical-wave geometry. This includes the errors that result from finite grid size, finite simulation dimensions, and the separation of the two-dimensional screens along the propagation direction. Simple error scalings are determined for power-law spectra of the random refractive indices of the media. The effects of a finite inner scale are also considered. The spatial spectra of the intensity errors are calculated and compared with the spatial spectra of intensity. The numerical requirements for a simulation of given accuracy are determined for realizations of the field. The numerical requirements for accurate estimation of higher moments of the field are less stringent. PMID- 21037756 TI - Increase in the compensated field of view with a double-conjugate adaptive-optics system. AB - We analyze and quantify the capabilities and limitations of a double-conjugate adaptive-optics system. In the proposed system the contribution of two turbulent layers is treated separately, with Rayleigh guide stars for the bottom layer, sodium guide stars for the top layer, and two adaptive mirrors conjugate to the respective layers. The system substantially increases the compensated field of view. We give calculated results for the estimated number of guide stars needed, the wave-front sensor, and the adaptive-mirror resolution. Simulation results are also presented, and the residual error remaining after correction in our proposed system is compared with a conventional single-adaptive-mirror system. PMID- 21037757 TI - Signal-to-noise comparison of deconvolution from wave-front sensing with traditional linear and speckle image reconstruction. AB - It is well known that atmospheric turbulence severely degrades the performance of ground-based imaging systems. Techniques to overcome the effects of the atmosphere have been developing at a rapid pace over the past 10 years. These techniques can be grouped into two broad categories: predetection and postdetection techniques. A recent newcomer to the postdetection scene is deconvolution from wave-front sensing (DWFS). DWFS is a postdetection image reconstruction technique that makes use of one feature of predetection techniques. A wave-front sensor (WFS) is used to record the wave-front phase distortion in the pupil of the telescope for each short-exposure image. The additional information provided by the WFS is used to estimate the system's point spread function (PSF). The PSF is then used in conjunction with the ensemble of short-exposure images to obtain an estimate of the object intensity distribution through deconvolution. With the addition of DWFS to the suite of possible postdetection image-reconstruction techniques, it is natural to ask "How does DWFS compare with both traditional linear and speckle image-reconstruction techniques?" In the results we make a direct comparison based on a frequency domain signal-to-noise-ratio performance metric. This metric is applied to each technique's image-reconstruction estimator. We find that DWFS nearly always results in improved performance over the estimators of traditional linear image reconstruction such as Wiener filtering. On the other hand, DWFS does not always outperform speckle-imaging techniques, and in cases that it does the improvement is small. PMID- 21037758 TI - Forward scattering of a Gaussian beam by a nonabsorbing sphere. AB - The forward scattering of a Gaussian laser beam by a spherical particle located along the beam axis is analyzed with the generalized Lorenz-Mie theory (GLMT) and with diffraction theory. Forwardscattering and near-forward-scattering profiles from electrodynamically levitated droplets, 51.6 um in diameter, are also presented and compared with GLMT-based predictions. The total intensity in the forward direction, formed by the superposition of the incident and the scattered fields, is found to correlate with the particle-extinction cross section, the particle diameter, and the beam width. Based on comparison with the GLMT, the diffraction solution is accurate when beam widths that are approximately greater than or equal to the particle diameter are considered and when large particles that have an extinction efficiency near the asymptotic value of 2 are considered. However, diffraction fails to describe the forward intensity for more tightly focused beams. The experimental observations, which are in good agreement with GLMT-based predictions, reveal that the total intensity profile about the forward direction is quite sensitive to particle axial position within a Gaussian beam. These finite beam effects are significant when the ratio of the beam to the particle diameter is less than approximately 5:1. For larger beam-to-particle diameter ratios, the total field in the forward direction is dominated by the incident beam. PMID- 21037759 TI - Partial-wave representations of laser beams for use in light-scattering calculations. AB - In the framework of generalized Lorenz-Mie theory, laser beams are described by sets of beam-shape coefficients. The modified localized approximation to evaluate these coefficients for a focused Gaussian beam is presented. A new description of Gaussian beams, called standard beams, is introduced. A comparison is made between the values of the beam-shape coefficients in the framework of the localized approximation and the beam-shape coefficients of standard beams. This comparison leads to new insights concerning the electromagnetic description of laser beams. The relevance of our discussion is enhanced by a demonstration that the localized approximation provides a very satisfactory description of top-hat beams as well. PMID- 21037760 TI - Patents. AB - 5,187,539; 5,245,402; 5,285,320; 5,333,142; 5,333,487; 5,350,911; 5,350,911; 5,357,371; 5,357,372; 5,359,410; 5,361,129; 5,361,159. PMID- 21037762 TI - Gradient-index microlenses: numerical investigation of different spherical index profiles with the wave propagation method. AB - Ion-exchange microlenses are available with different gradient-index profiles. We investigate the dependence of the imaging properties on the steepness of the index profiles. Therefore we model the index distribution by the Fermi function as radial distribution with spherical symmetry. The results are compared to index profiles according to the Doremus model. PMID- 21037763 TI - Dichromated polyvinyl alcohol as a real-time hologram recording material: some observations and discussions. AB - The real-time hologram formation in dichromated polyvinyl alcohol films has been studied in the presence of external electron donors included in the formulation. The effect of different external electron donors on the photosensitivity and real time diffraction efficiency of volume holographic transmission gratings has been reported. The electron donors studied were found to be detrimental to both holographic characteristics, even in small concentrations. Some parameters that influence the holographic performance are discussed, and results are given. The photochemical recording mechanism in dichromated polyvinyl alcohol in the presence and absence of electron donors is briefly discussed. PMID- 21037764 TI - Aspheric wave-front recording optics for holographic gratings. AB - The geometric theory of aspheric wave-front recording optics is extended to include the fourth-order groove parameters that correspond to the fourth-order holographic terms in the light-path function. We derived explicit expressions of the groove parameters by analytically following an exact ray-tracing procedure for a double-element optical system that consists of a point source, an ellipsoidal mirror, and an ellipsoidal grating blank. Design examples of holographic gratings for an in-plane Eagle-type vacuum-UV monochromator are given to demonstrate the capability of the present theory in the design of aspheric wave-front recording optics. PMID- 21037765 TI - Method to obtain a clear fringe pattern with a zone-plate interferometer. AB - When a zone-plate interferometer is used, a bright spot appears at the center of the image plane. The spot makes it difficult to analyze the interference fringes. A simple technique that is based on the principle of fringe-intensity reversal is proposed to analyze the fringes efficiently. A zone plate with a phase fraction of pi/2 or 3pi/2 is used in this technique to diminish the bright spot. Unlike the masking technique, no part of the data on the fringes is lost. The fringes can, therefore, be analyzed completely. The technique is described in detail, and the results of an experiment in which the shape error of a concave mirror was measured with the proposed zone plate is presented. The experimental results agree well with the results obtained with the Fizeau interferometer. PMID- 21037766 TI - Balancing optical path lengths in broadband fiber interferometers. AB - A simple model is used to show that control of dispersion in an all-fiber stellar interferometer is feasible. From the results we assume that an interferometer control system is available in which both temperature and strain are used to balance the interferometer. Within the restriction of a single polarization mode, it is shown that vacuum path errors before the coupling of starlight into the fibers as well as fiber-length differences and environmental differences between two fibers can be corrected to high order. PMID- 21037767 TI - Multiple-image shearography: a direct method to determine curvatures. AB - We present a modified method of shearography, known herein as multiple-image shearography, whereby the curvatures of an object can be measured directly from the resulting fringes. It employs an image-shearing camera that produces three sheared images simultaneously to interfere with each other in the image plane. When film is doubly exposed before and after an object is deformed, three sets of fringes are observed of which one set would depict the second-order derivatives of surface displacement.The theory of the multiple-image shearography technique and its application to curvature measurements in plate bending are presented. PMID- 21037768 TI - Multiphase homodyne interferometry: analysis of some error sources. AB - Some sources of error with multiphase homodyne interferometry are reviewed. A major advantage over the classic two-channel approach is that the inaccuracies that originate from laser-power fluctuations and drifts are shown to be automatically compensated for by proper adjustment of the light beams. PMID- 21037769 TI - Differential path considerations in optical stellar interferometry. AB - A formulation of the differential-air-path problem for a large-baseline optical interferometer is presented. Because air is a dispersive medium, each wavelength has a different optical path length. This can be corrected to a large extent if an extra piece of glass is placed in each arm of the interferometer. The problem then becomes analogous to the doublet-achromat design, in which, in this case, the air path takes the place of one piece of glass. Atmospheric refraction and field-of-view considerations also amount to a change in the differential paths within the interferometer. All three effects can therefore be considered to be aspects of the same problem. The focus of this work has been for a proposed array to be developed by the Center for High Angular Resolution Astronomy (CHARAArray), although the results are applicable to any optical array. PMID- 21037770 TI - Flat-field postobjective polygon scanner. AB - A general two-dimensional ray-trace analysis is presented for the motion of a geometric focal point over a flat surface provided by a postobjective rotating polygon laser beam scanner. The exact defocus equation is derived for any value of the neutral scan position deflection angle and the polygon rotation angle. The scan nonlinearity is derived for the special case of a zero neutral scan deflection angle. Geometric parameters were found that reduce the peak-to-peak defocus by more than an order of magnitude from that found in previous design approaches. Conditions were also found that reduce scan nonlinearity to less than 2 * 10(-4). Practical limitations, such as large polygons and beam obscurations, encountered in the implementation of postobjective scanning are discussed. PMID- 21037771 TI - RX: a nonimaging concentrator. AB - A detailed description of the design procedure for a new concentrator, RX, and some examples of it's use are given. The method of design is basically the same as that used in the design of two other concentrators: the RR and the XR [Appl. Opt. 31, 3051 (1992)]. The RX is ideal in two-dimensional geometry. The performance of the rotational RX is good when the average angular spread of the input bundle is small: up to 95% of the power of the input bundle can be transferred to the output bundle (with the assumption of a constant radiance for the rays of the input bundle). PMID- 21037772 TI - Multiple LED camera for dynamic photoelasticity. AB - Dynamic photoelasticity involves the high-speed recording of rapidly moving fringe patterns in synchronization with loading. Cranz Schardin cameras are routinely utilized in the recording of dynamic photoelastic patterns. There are no moving components in these cameras, making the setup simple and attractive. Amultiple LED camera based on the Cranz Schardin format is presented. Highspeed instant polaroid film is used for recording the photoelastic fringes. Low cost, simplicity in the experimental setup, and rapid repeatability are the advantages of the camera. PMID- 21037773 TI - Far-ultraviolet spectrographs: the impact of holographic grating design. AB - One of NASA's major scientific initiatives in astrophysics, the Far Ultraviolet Spectroscopic Explorer, is an orbiting observatory designed to perform high resolution spectroscopy of stellar objects between 910 and 1150 A. To achieve the 100 cm(2) of effective area required to observe faint quasars, the mission has adopted an unconventional design that couples grazing-incidence Wolter optics and an aberration-corrected Rowland circle spectrograph. The projected cost of the satellite has, however, become very high, driven in large part by the cost of the grazing optics and the side effects of compensating for their relatively poor performance. The logic that leads to the current design is reanalyzed, and it is argued that because of the technical developments of the last 7 years, grazing incidence is no longer the most attractive alternative. In particular, the application of aberration-corrected, spherical substrate, holographic gratings now greatly simplifies the design and thereby reduces the cost. A new is presented that substantially outperforms the old and that is easier to fabricate. PMID- 21037774 TI - Synthesis of optical multilayer systems using genetic algorithms. AB - Stochastic algorithms are a promising method for the synthesis of optical multilayer systems. Amethod based on the use of genetic algorithms is described and applied to the design of three very different optical filters. Solutions found by genetic algorithms are refined, and results are compared with those of previous publications. PMID- 21037775 TI - Optical fiber monitored by a directional coupler for delivering laser radiation in medical treatments. AB - The safety of therapeutic laser treatments could be strongly improved by introducing real-time monitoring to the fiber delivery system. Since any fiber damage leads to a significant variation of the backscatter, its detection could be exploited to monitor the system, in particular the fiber trip. To assess this potential, the backscattered modal power distribution (BMPD) from flat, bulb, and damaged fiber tips were investigated. The BMPD detection was accomplished by using a conventional beam-splitter method and two directional couplers: a prism fiber and a fiber-fiber lapped coupler. Unlike the conventional method, use of the couplers allows for the separate detection of backscattered and forward transmitted signals. Therefore variations in the backscatter that are due to only a change in the laser pulse can be determined. Moreover, the directional couplers, because of the amplification of high-index modes, allow for an increase in sensitivity of the method. This was particularly evident in our tests on fiber tips that had been dipped into water to obtain a better simulation of the real working conditions. Finally, the influence of the target on the BMPD was investigated as a function of its distance from the fiber tip. All the tests confirm that the target must be taken into account if the distance is <1 mm, but the monitoring system can also be used when the fiber tip works in contact with the tissue wall provided that one can ensure contact by pushing the tip against the target wall. PMID- 21037776 TI - Monte Carlo simulations of coherent backscatter for identification of the optical coefficients of biological tissues in vivo. AB - A Monte Carlo model of light backscattered from turbid media has been used to simulate the effects of weak localization in biological tissues. A validation technique is used that implies that for the scatteringand absorption coefficients and for refractive index mismatches found in tissues, the Monte Carlo method is likely to provide more accurate results than the methods previously used. The model also has theability to simulate the effects of various illumination profiles and other laboratory-imposed conditions. A curve-fitting routine has been developed that might be used to extract the optical coefficients from theangular intensity profiles seen in experiments on turbid biological tissues, data that could be obtained in vivo. PMID- 21037777 TI - Cooled baffle system for spaceborne infrared telescopes. AB - We report the design and testing of a compact system of baffles for cooled infrared telescopes. The baffle system consists of a reflecting forebaffle and a black aftbaffle and provides a high level of rejection of emission from off-axis sources. The forebaffle reflects radiation incident at angles greater than 40 degrees off axis out of the telescope, thereby reducing the aperture heat load. The black aftbaffle absorbs radiation scattered or diffracted by the forebaffle, as well as radiation from sources within 40 degrees off axis. We describe ground based measurements at lambda = 0.9 um of the baffle system at ambient temperature and rocketborne measurements at far-infrared wavelengths of the baffle system at ~3 K. The effective emissivity of the cooled forebaffle was measured to be 7 * 10(-3). The system has been successfully used in rocketborne measurements of the diffuse infrared background and will be used in the Infrared Telescope in Space. PMID- 21037778 TI - Modeling technique for the Hubble Space Telescope wave-front deformation. AB - Images from the Hubble Space Telescope suffer from an overcorrected spherical aberration that is due to a conic-constant error in the primary mirror. Within the program known as the corrective optics space telescope axial replacement (COSTAR) simulators have been built to provide the point-spread function (PSF) of the telescope alone and of the telescope with the faint-object camera F/96. It was found that the experimental PSF's were identical to those in orbit, which was not the case when the PSF's were calculated with commonly used optical software. We explain this discrepancy and propose a modeling method that is based on the determination of the wave-front error at the exit-pupil level that gives results that are consistent with observations. PMID- 21037779 TI - Fiber-linked telescope array: description and laboratory tests of a two-channel prototype. AB - We present a complete two-telescope version of a fiber-linked coherent array that is meant to be used for mounting on the dish of a radio telescope. This was built with 20-cm amateur telescopes and includes three different servo subsystems for guiding, nulling of the air path difference, and fiber length control. Laboratory tests of the fully integrated system in front of a star simulator are described. PMID- 21037780 TI - Fiber-optic temperature sensors based on differential spectral transmittance/reflectivity and multiplexed sensing systems. AB - A concept for optical temperature sensing based on the differential spectral reflectivity/transmittance from a multilayer dielectric edge filter is described and demonstrated. Two wavelengths, lambda(1) and lambda(2), from the spectrum of a broadband light source are selected so that they are located on the sloped and flat regions of the reflection or transmission spectrum of the filter, respectively. As temperature variations shift the reflection or transmission spectrum of the filter, they change the output power of the light at lambda(1), but the output power of the light at lambda(2) is insensitive to the shift and therefore to the temperature variation. The temperature information can be extracted from the ratio of the light powers at lambda(1) to the light at lambda(2). This ratio is immune to changes in the output power of the light source, fiber losses induced by microbending, and hence modal-power distribution fluctuations. The best resolution of 0.2 degrees C has been obtained over a range of 30-120 degrees C. Based on such a basic temperature-sensing concept, a wavelength-division-multiplexed, temperature-sensing system is constructed by cascading three sensing-edge filters that have different cutoff wavelengths along a multimode fiber. The signals from the three sensors are resolved by detecting the correspondent outputs at different wavelengths. PMID- 21037781 TI - Planar measurement of three-component velocity by streaked-particle-imaging velocimetry. AB - A streaked-particle-imaging-velocimetry (SPIV) technique for the instantaneous planar measurement of three-component velocity has been developed and demonstrated. In this system a camera images the scattered light from two laser sheets onto the same recording medium. One of the laser sheets, double pulsed with short pulse duration, freezes the tracer particle motion and records a pair of images from each tracer. The other laser sheet, cw, provides tracer trajectories whose length is controlled by the sheet thickness. The recorded image from each tracer is then its streak trajectory superimposed on its frozen paired particle image. The planar two components of velocity are deduced from the distance between image pairs and the time separation of the double light pulses. This information, combined with the tracer trajectory streak length, determines the trace particle staying time within the laser sheet. The tracer velocity normal to the laser sheet is then calculated from this staying time and the laser sheet thickness that can be calibrated from the measurements. The resultant SPIV technique was demonstrated with a free jet seeded with small particles, and the derived velocity was reported. PMID- 21037782 TI - Analysis of a conical optical beam deflector insensitive to motor wobble. AB - The analysis of a patented optical beam deflector based on a rotating prism is presented. It is shown that because of the transmissive, as opposed to reflective, nature of the proposed deflector, the resulting scan spot is for all practical purposes completely free of the tracking error that is so problematic to all reflective-type deflectors. In addition, if a conical scan is either acceptable or desirable, the scan lens design will be much simpler because the f theta condition is not required and the scan field is inherently flat. PMID- 21037783 TI - Design of a dual-effect lens on lanthanum-modified lead zirconate titanate for continuous variation of focal length. AB - The design of a Fresnel lens with continuous focal length is proposed for use in optical processing. A convex lens is induced in lanthanum-modified lead zirconate titanate through the application of an electric-field profile supplied by the indium tin oxide electrodes that make up the zones of a Fresnel lens. The use of a numerical method based on fast Fourier transform algorithms was required to analyze accurately the induced field inside a Fresnel lens with an initial focal length of 0.4 m (at 470 nm) and 20 indium tin oxide electrodes. The effective focal location obtained by the combined mechanisms is derived. This design is expected to produce continuous variations of ~16% in focal length; the ability of previous designs to achieve focal length switching is maintained. PMID- 21037784 TI - Whole-field determination of surface roughness by speckle correlation. AB - A whole-field method of double-exposure speckle photography is employed to determine metal surface roughness by correlation between two speckle patterns. A movable rectangular aperture that is mounted before an image lens is shifted between the exposures, which results in a decrease in the contrast of the reconstructed Young's fringes with increasing roughness. The technique permits evaluation of the roughness of particular points on a surface as well as the average roughness of an entire surface. Four sets of random surfaces that were prepared by different machine-finishing processes and with roughnesses ranging from 0.6 to 13 um have been tested. Different methods have been carried out to process the test data, and a practical method for the evaluation of surface roughness is proposed. PMID- 21037785 TI - Simple expressions for Gaussian-beam-waist parameters imaged by a thick lens. AB - Simple algebraic expressions are presented for calculating the waist position measured from the vertex and the waist size of a Gaussian beam transmitted through thick lenses. PMID- 21037786 TI - Passive focus sensor. AB -A focus-sensor module for large-format photographic cameras has been developed that permits the measurement of defocus at any location of interest in the image field. The focus sensor employs passive triangulation through a split imaging aperture. The main difference between commercial autofocus modules with fixed measurement positions and the new module is that the imaging aperture is subdivided into more than two fields to compensate for the unknown location of the defocus measurement.
At f/5.6 the focus sensor shows a maximum resolution in defocus of approximately 0.1mm at the image side at levels of illuminance in the recording plane >=0.01 lx.
PMID- 21037787 TI - Source-noise limitation of fiber-optic methane sensors. AB - The effect of source (LED) noise on the sensitivity of fiber-optic methane sensors is discussed. Once the source is dominant, the system sensitivity cannot be improved by increasing the source power further. PMID- 21037788 TI - Differentiating optical-fiber Mach-Zehnder interferometer. AB - We introduce a new type of optical-fiber Mach-Zehnder interferometer whose output depends on phase differentials or the time rate of change of the unknown phase modulating signal. Whereas the actual phase excursion introduced by the signal could cause interference over several fringes in a conventional Mach-Zehnder interferometer, the differential phase shifts may be restricted to the linear range of the phase detector. Being of simple construction, the interferometer can be operated without active biasing, additional phase modulation, or complex signal-processing techniques. We analyze a prototype architecture to explain the principle of operation of the system and to derive design formulas. This is followed by experimental evaluation of a more practical configuration. PMID- 21037789 TI - Numerical approximation of light scattering from tenuous cylindrical membranes at normal incidence. AB - A numerical method has been developed to calculate the scattered field from a complex matrix of cylindrical membranes that are thin relative to wavelength with a refractive index close to that of the medium. The membranes are broken into a collection of adjacent parallel thin fibers, each fiber is assigned an internal field, and vector summation of the fields scattered by each fiber is used to calculate the total scattered field. For an incident wave in the TM mode the internal field of each membrane fiber is chosen to be equal to the incident field (the Born approximation). For the TE mode the internal field is determined by the local membrane orientation (phi) and relative refractive index (m) according to A sin 2phi for the component in the direction of incidence and 1 - A(1 - cos 2phi)for the transverse component, where A = (m(2) - 1)/2m(2). The method has application to light scattering by cell membranes in bundles of nerve axons. PMID- 21037790 TI - Use of a laser beam with an oblique angle of incidence to measure the reduced scattering coefficient of a turbid medium. AB - A simple and quick approach is used to measure the reduced scattering coefficient (u(s)') of a semi-infinite turbid medium having a much smaller absorption coefficient than u(s)'. A laser beam with an oblique angle of incidence to the medium causes the center of the diffuse reflectance that is several transport mean-free paths away from the incident point to shift away from the point of incidence by an amount Deltax. This amount is used to compute u(s)' by u(s)' = sin(alpha(i))/(nDeltax) where n is the refractive index of the turbid medium divided by that of the incident medium and alpha(i) is the angle of incidence measured from the surface normal. For a turbid medium having an absorption coefficient comparable with u(s)', a revision to the above formula is made. This method is tested theoretically by Monte Carlo simulations and experimentally by a video reflectometer. PMID- 21037791 TI - Atomic structure display of a real silicon surface under light scattering. AB - Angular dependencies of the scattered light intensity were measured on Si wafers that have different crystallographic orientations by using a He-Ne laser (lambda = 632.8 nm, 80 um spot diameter). During the experiment the Si wafer was fixed relative to the incident beam. Regular patterns were found in the azimuthal-angle resolved scattering curves. Such patterns seem to be caused by the faceted shallow atomic structures of the surface. PMID- 21037792 TI - Absorption mapping for characterization of glass surfaces. AB - The surface quality of bare substrates and preparation procedures take on an important role in optical coating performances. The most commonly used techniques of characterization generally give information about roughness and local defects. A photothermal deflection technique is used for mapping surface absorption of fused-silica and glass substrates. We show that absorption mapping gives specific information on surface contamination of bare substrates. We present experimental results concerning substrates prepared by different cleaning and polishing techniques. We show that highly polished surfaces lead to the lowest values of residual surface absorption. Moreover the cleaning behavior of surfaces of multicomponent glasses and their optical performance in terms of absorption are proved to be different from those of fused silica. PMID- 21037793 TI - Observation of sudden temperature jumps in optically levitated microdroplets due to morphology-dependent input resonances. AB - During the slow evaporation of an optically levitated microdroplet of a glycerol water mixture (3:1) (approximately 12.44 um in radius) several morphology dependent input resonances have been observed in its Raman spectrum. These resonances yield sudden temperature jumps of approximately 10 degrees C in the microdroplet as evidenced by sudden shifts in the output (Raman) resonance spectra. The latter effects could be explained by a simple energy balance calculation and the dependence of droplet refractive index and density on temperature. PMID- 21037794 TI - Single crystal U-Pb zircon age and Sr-Nd isotopic composition of impactites from the Bosumtwi impact structure, Ghana: Comparison with country rocks and Ivory Coast tektites. AB - The 1.07 Myr old Bosumtwi impact structure (Ghana), excavated in 2.1-2.2 Gyr old supracrustal rocks of the Birimian Supergroup, was drilled in 2004. Here, we present single crystal U-Pb zircon ages from a suevite and two meta-graywacke samples recovered from the central uplift (drill core LB-08A), which yield an upper Concordia intercept age of ca. 2145 +/- 82 Ma, in very good agreement with previous geochronological data for the West African Craton rocks in Ghana. Whole rock Rb-Sr and Sm-Nd isotope data of six suevites (five from inside the crater and one from outside the northern crater rim), three meta-graywacke, and two phyllite samples from core LB-08A are also presented, providing further insights into the timing of the metamorphism and a possibly related isotopic redistribution of the Bosumtwi crater rocks. Our Rb-Sr and Sm-Nd data show also that the suevites are mixtures of meta-greywacke and phyllite (and possibly a very low amount of granite). A comparison of our new isotopic data with literature data for the Ivory Coast tektites allows to better constrain the parent material of the Ivory Coast tektites (i.e., distal impactites), which is thought to consist of a mixture of metasedimentary rocks (and possibly granite), but with a higher proportion of phyllite (and shale) than the suevites (i.e., proximal impactites). When plotted in a Rb/Sr isochron diagram, the sample data points (n = 29, including literature data) scatter along a regression line, whose slope corresponds to an age of 1846 +/- 160 Ma, with an initial Sr isotope ratio of 0.703 +/- 0.002. However, due to the extensive alteration of some of the investigated samples and the lithological diversity of the source material, this age, which is in close agreement with a possible "metamorphic age" of ~ 1.8-1.9 Ga tentatively derived from our U-Pb dating of zircons, is difficult to consider as a reliable metamorphic age. It may perhaps reflect a common ancient source whose Rb-Sr isotope systematics has not basically been reset on the whole rock scale during the Bosumtwi impact event, or even reflect another unknown geologic event. PMID- 21037795 TI - Growth dynamics and the proximate biochemical composition and fatty acid profile of the heterotrophically grown diatom Cyclotella cryptica. AB - To investigate the nutritional value of the diatom Cyclotella cryptica as an alternative feed for aquaculture, its heterotrophic growth characteristics were studied. First, the proximate biochemical composition and fatty acid profiles were studied under a controlled heterotrophic growth condition. The approximate total ash, carbohydrate, lipid, and protein content were 245 mg g(-1) (dry weight), 360 mg g(-1), 165 mg g(-1) and 260 mg g(-1), respectively. Polyunsaturated fatty acids accounted for 24.5, 31.3, 45.1 and 17.3% of the total lipids in the phospholipid, sterol, free fatty acid and triglyceride classes. Secondly, the effect of aeration and agitation rates on the specific growth rate of C. cryptica under heterotrophic conditions was studied. The maximum specific growth rate was not significantly affected (P > 0.05) by the rate of agitation within the range of 100 to 160 rpm, but it was significantly affected (P > 0.05) by the rate of aeration. Optimal growth occurred when the aeration rate was within the range of 0.44 to 1.07 v/v/min. Viability measurements throughout the growth period showed that the C. cryptica cells remained viable in spite of the varied cultivation conditions. Hydrodynamic forces are an important parameter within biological systems, and optimisation is crucial for the successful scale up of microalgal cultivation systems. Whilst the investigation was preliminary in nature, the information gained in this study will be useful for the continual development of an alternative and cost-effective feed for bivalve spat rations. PMID- 21037797 TI - Characterization of Human Huntington's Disease Cell Model from Induced Pluripotent Stem Cells. AB - Huntington's disease (HD) is a dominantly inherited neurodegenerative disease caused by a CAG repeat expansion in the first exon of the gene Huntingtin (Htt). A dramatic pathological change in HD is the massive loss of striatal neurons as the disease progresses. A useful advance in HD would be the generation of a human derived HD model to use for drug screening and understanding mechanisms of HD. We utilized the recently established human iPS cell line derived from HD patient fibroblasts to derive neuronal precursors and human striatal neurons. To achieve this goal, the differentiation of the HD-iPS cells into striatal fate required several steps. First, we generated nestin+/PAX6+/SOX1+/OCT4- neural stem cells (NSCs) from HD-iPS cells using the method of embryoid body formation. HD-NSCs were then subjected to a differentiation condition combining morphogens and neurotrophins to induce striatal lineage commitment. Striatal neuronal precursors/immature neurons stained with beta-III tubulin, calbindin and GABA but not DARPP-32 (dopamine- and cyclic AMP-regulated phosphoprotein, Mr = 32,000) were produced in this step. Finally, maturation and terminal differentiation of the striatal neuronal precursors/immature neurons resulted in striatal neurons expressing markers like DARPP-32. The HD-iPS cells derived striatal neurons and neuronal precursors contain the same CAG expansion as the mutation in the HD patient from whom the iPS cell line was established. Moreover, the HD-NSCs showed enhanced caspase activity upon growth factor deprivation compared to normal NSCs (from iPS or H9 NSCs). Therefore, these differentiated cells may produce a human HD cell model useful in the study of HD mechanisms and drug screening. PMID- 21037796 TI - QEEG Measures in Huntington's Disease: A Pilot Study. AB - Structural brain changes as measured with Magnetic Resonance Imaging (MRI) are associated with progression of Huntington's Disease (HD), a trinucleotide repeat neurodegenerative disorder. Neurophysiological measures may offer additional biomarkers of the onset and progression of brain disease. We used quantitative electroencephalography (QEEG) power measures to assess resting state brain function in 27 HD subjects and 15 healthy controls. Those QEEG features that distinguished between HD subjects and healthy controls were examined in relation to illness severity, using Unified Huntington Disease Rating Scale (UHDRS) subscales, as well as to the number of CAG repeats in the HD cohort. HD subjects showed a global increase in delta power as compared to controls, even when examining unmedicated HD subjects only (n = 13), or premanifest HD subjects only (n = 3). HD subjects also showed loss of the normal anterior-posterior (AP) gradient of relative alpha and delta power. Relative alpha AP gradient loss was associated with lower Total Functional Capacity (TFC) and greater cognitive dysfunction. Relative delta AP gradient loss was associated with lower TFC, more severe motor symptoms, and greater number of CAG repeats. Overall, results suggest that QEEG power measures may capture perturbations of brain function that are related to functional status as well as to underlying genetic repeat expansion in HD. Pilot data in the three premanifest HD subjects are consistent with the hypothesis that brain functional abnormalities may be detectable even in premanifest gene carriers. Cross-sectional findings suggest that QEEG measures may be biomarkers of HD progression; prospective studies in larger samples are needed to confirm these findings and test hypotheses regarding underlying mechanisms. PMID- 21037798 TI - Adventures in photodynamic therapy: 1976-2008. AB - While the concept of photodynamic therapy dates from 1900, and there have been periodic re-discoveries, the clinical era really began with the studies by Dougherty and associates in the early 1970s. This report relates my encounter with the field of PDT, along with experimental approaches to the elucidation of pertinent phototoxic mechanisms. PMID- 21037799 TI - Modulation of melanoma cell phospholipid metabolism in response to heat shock protein 90 inhibition. AB - Molecular chaperone heat shock protein 90 (Hsp90) inhibitors are promising targeted cancer therapeutic drugs, with the advantage that they deplete multiple oncogenic client proteins and modulate all the classical hallmarks of cancer. They are now in clinical trial and show potential for activity in melanoma and other malignancies. Here we explore the metabolic response to Hsp90 inhibition in human melanoma cells using magnetic resonance spectroscopy. We show that, concomitant with growth inhibition and re-differentiation, Hsp90 inhibition in human melanoma cells is associated with increased glycerophosphocholine content. This was seen with both the clinical geldanamycin-based Hsp90 drug 17-AAG and the structurally dissimilar Hsp90 inhibitor CCT018159. The effect was noted in both BRAF mutant SKMEL28 and BRAF wildtype CHL-1 melanoma cells. Elevated content of the -CH2+CH3 fatty acyl chains and cytoplasmic mobile lipid droplets was also observed in 17-AAG-treated SKMEL28 cells. Importantly, the phospholipase A2 inhibitor bromoenol lactone prevented the rise in glycerophosphocholine seen with 17-AAG, suggesting a role for phospholipase A2 activation in the Hsp90 inhibitor induced metabolic response. Our findings provide a basis for using metabolic changes as non-invasive indicators of Hsp90 inhibition and potentially as biomarkers of anticancer activity with Hsp90 drugs in malignant melanoma and possibly in other cancers. PMID- 21037800 TI - Functional Models for the Oxygen-Evolving Complex of Photosystem II. AB - In the last ten years, a number of advances have been made in the study of the oxygen-evolving complex (OEC) of photosystem II (PSII). Along with this new understanding of the natural system has come rapid advance in chemical models of this system. The advance of PSII model chemistry is seen most strikingly in the area of functional models where the few known systems available when this topic was last reviewed has grown into two families of model systems. In concert with this work, numerous mechanistic proposals for photosynthetic water oxidation have been proposed. Here, we review the recent efforts in functional model chemistry of the oxygen-evolving complex of photosystem II. PMID- 21037801 TI - Diffusion of Ions Between Two Solutions Saturated With Respect to Hydroxyapatite: A Possible Mechanism for Subsurface Demineralization of Teeth. AB - Diffusion-controlled dissolution and precipitation reactions occur in many biological systems and some non-stirred in vitro systems. Previous studies have shown that differences in the diffusion rates of the ions involved in a dissolution/precipitation reaction can produce significant effects on the rate and course of the reaction. We report here results of a study that show inter diffusion of ions between two solutions, both saturated with respect to hydroxyapatite but with dissimilar compositions, resulted in one solution becoming undersaturated and the other supersaturated. A model is proposed that may explain the formation of a mineral-dense layer in the caries process. PMID- 21037802 TI - Sibling Adjustment and Maternal Well-Being: An Examination of Families With and Without a Child With an Autism Spectrum Disorder. AB - Differences in sibling social, behavioral, and academic adjustment and maternal well-being in families with (n = 20) and without (n = 23) a preschooler with autism spectrum disorder (ASD) were explored. Results are interpreted to suggest that mothers of children with autism report more daily hassles, life stress, and depression than mothers without a child with ASD. There were no significant differences in parent and teacher reports of older siblings' social, behavioral, and academic adjustment in families with and without a child with ASD. Sibling behavioral adjustment was, however, significantly related to maternal well-being. Because families with children with ASD often experience more parenting stress and depression, siblings may be more vulnerable to the cumulative risks over time. PMID- 21037804 TI - Acknowledgment to reviewers who served in 2009. PMID- 21037803 TI - In Vivo Characteristics of Premixed Calcium Phosphate Cements When Implanted in Subcutaneous Tissues and Periodontal Bone Defects. AB - Previous studies showed that water-free, premixed calcium phosphate cements (Pre CPCs) exhibited longer hardening times and lower strengths than conventional CPCs, but were stable in the package. The materials hardened only after being delivered to a wet environment and formed hydroxyapatite as the only product. Pre CPCs also demonstrated good washout resistance and excellent biocompatibility when implanted in subcutaneous tissues in rats. The present study evaluated characteristics of Pre-CPCs when implanted in subcutaneous tissues (Study I) and used for repairing surgically created two-wall periodontal defects (Study II). Pre-CPC pastes were prepared by combining CPC powders that consisted of CPC-1: Ca(4)(PO(4))(2)O and CaHPO(4), CPC-2: alpha-Ca(3)(PO(4))(2) and CaCO(3) or CPC-3: DCPA and Ca(OH)(2) with a glycerol at powder-to-liquid mass ratios of 3.5, 2.5, and 2.5, respectively. In each cement mixture, the Ca to P molar ratio was 1.67. The glycerol contained Na(2)HPO(4) (30 mass %) and hydroxypropyl methylcellulose (0.55 %) to accelerate cement hardening and improve washout resistance, respectively. In Study I, the test materials were implanted subcutaneously in rats. Four weeks after the operation, the animals were sacrificed and histopathological observations were performed. The results showed that all of the implanted materials exhibited very slight or negligible inflammatory reactions in tissues contacted with the implants. In Study II, the mandibular premolar teeth of mature beagle dogs were extracted. One month later, two-wall periodontal bone defects were surgically created adjacent to the teeth of the mandibular bone. The defects were filled with the Pre-CPC pastes and the flaps replaced in the preoperative position. The dogs were sacrificed at 1, 3 and 6 months after surgery and sections of filled defects resected. Results showed that one month after surgery, the implanted Pre-CPC-1 paste was partially replaced by bone and was converted to bone at 6 months. The pockets filled with Pre-CPC-2 were completely covered by newly formed bone in 1 month. The Pre-CPC-2 was partially replaced by trabecular bone in 1 month and was completely replaced by bone in 6 months. Examination of 1 month and 3 month samples indicated that Pre-CPC-2 resorbed and was replaced by bone more rapidly than Pre-CPC 1. Both Pre-CPC pastes were highly osteoconductive. When implanted in periodontal defects, Pre CPC-2 was replaced by bone more rapidly than Pre-CPC-1. PMID- 21037807 TI - Robin p. Boushey, m.d., ph.d. PMID- 21037808 TI - Colorectal cancer. PMID- 21037809 TI - Colorectal cancer epidemiology: incidence, mortality, survival, and risk factors. AB - In this article, the incidence, mortality, and survival rates for colorectal cancer are reviewed, with attention paid to regional variations and changes over time. A concise overview of known risk factors associated with colorectal cancer is provided, including familial and hereditary factors, as well as environmental lifestyle-related risk factors such as physical inactivity, obesity, smoking, and alcohol consumption. PMID- 21037810 TI - Inherited colorectal cancer syndromes. AB - Colorectal cancer is common in the Western world; ~5% of individuals diagnosed with colorectal cancer have an identifiable inherited genetic predisposition to this malignancy. Genetic testing and rational clinical management recommendations currently exist for the management of individuals with a variety of colorectal cancer syndromes, including hereditary nonpolyposis colorectal cancer (HNPCC, also known as Lynch syndrome), familial adenomatous polyposis (FAP), MYH associated polyposis (MAP), and the hamartomatous polyposis syndromes (Peutz Jeghers, juvenile polyposis, and Cowden disease). In addition to colorectal neoplasia, these syndromes frequently predispose carriers to a variety of extracolonic cancers. The elucidation of the genetic basis of several colorectal cancer predisposition syndromes over the past two decades has allowed for better management of individuals who are either affected with, or at-risk for inherited colorectal cancer syndromes. Appropriate multidisciplinary management of these individuals includes genetic counseling, genetic testing, clinical screening, and treatment recommendations. PMID- 21037811 TI - Screening options and recommendations for colorectal cancer. AB - Screening reduces the burden of disease from colorectal cancer through early detection of cancerous lesions and removal of precancerous polyps. The ideal colorectal cancer screening modality should be cost-effective, increase life years gained, permit long intervals between tests with high patient compliance and low risk to the patient. Although no single colorectal cancer screening method is perfect, several options exist. Government agencies and medical societies have published screening recommendations with differing guidelines; yet, despite the lack of a consistent standard, it is clear that colorectal cancer screening is cost-effective. In this review, the authors address several options for screening, identify risks and benefits, and present methods to risk stratify patients. A thorough discussion with the patient about potential benefits and harms is critical before initiating any screening regimen. PMID- 21037812 TI - Laparoscopic procedures for colon and rectal cancer surgery. AB - After over a decade of debate and controversy, it is now well established that laparoscopic colon surgery for cancer when compared with open surgery, results in short-term benefits while maintaining at least equivalent long-term outcomes. Consequently, more and more patients are undergoing laparoscopic colon surgery, but the adoption rate still remains relatively low in the United States. Similarly, there are many potential benefits to performing rectal surgery laparoscopically. Although not well documented, laparoscopic rectal surgery is under active investigation and may result in the usual short-term benefits associated with laparoscopic surgery. In this article, short- and long-term outcomes of patients undergoing laparoscopic colorectal surgery for cancer are reviewed. In addition, different technical options for laparoscopic approaches to colon and rectal cancer are compared. PMID- 21037813 TI - Surgical management of colorectal liver metastases. AB - Colorectal cancer is the third most commonly diagnosed cancer with approximately half of the patients developing liver metastases during the course of their disease. Modern multimodal therapies have improved the overall survival. Liver resection remains the most important modality in the treatment of colorectal liver metastases. The evolution of the criteria for resectability has resulted in more patients being offered a hepatectomy. This is further augmented with the utilization of adjuncts to liver resection, including portal vein embolization and local ablative techniques. Two-stage hepatectomy is also being used to increase resectability. Overall survival is improved by the deployment of new chemotherapeutic agents and the use of combination chemotherapy. Neoadjuvant chemotherapy is a promising development in the treatment of colorectal liver metastases. Patients with colorectal liver metastases can achieve long-term survival. A multidisciplinary approach is essential in the management of these patients. PMID- 21037814 TI - Surgical management of colorectal lung metastasis. AB - Colon cancer is a systemic disease in 19% of patients and metastasizes most frequently to the liver and the lung. Survival is enhanced with complete surgical resection of pulmonary metastases. Comprehensive restaging and verification of preoperative fitness must precede resection. The operative approach is dictated by the anatomic location of the metastases, whereas the extent of resection remains a balance between complete removal of metastatic deposits while preserving as much lung parenchyma as possible. The presence of metastatic involvement of hilar and mediastinal lymph nodes is ominous. Multidisciplinary care is highly recommended. An evidence-based algorithm for the identification assessment and treatment of patients with pulmonary metastases is proposed. PMID- 21037815 TI - Surveillance after curative resection of colorectal cancer. AB - Surgical resection is the primary treatment modality for patients with localized colorectal cancer, but unfortunately one-third to one-half of these patients will develop a recurrence. If detected early, recurrent disease may be amenable to surgical resection and this provides the rationale for a follow-up strategy in patients with resected colorectal cancer. Despite eight published randomized controlled trials and six published systematic reviews evaluating different follow-up strategies, there is still no consensus as to the appropriateness of follow-up in colorectal cancer patients. In the present article the authors explore the reasons behind the controversy and the arguments used to support each side. They outline the current published guidelines and the data to support these recommendations, including the use of carcinoembryonic antigen (CEA) levels, liver imaging, and colonoscopy. Finally, they speculate on the future developments that may impact on this debate. PMID- 21037816 TI - Overview of systemic therapy for colorectal cancer. AB - Colorectal cancer (CRC) is the third most common cancer and second leading cause of death from cancer in North America. The authors provide an overview of the indications for both chemotherapy and targeted therapy, as well as discuss the efficacy and toxicity of systemic therapy. They highlight the key studies that lead to the initial historical use of fluorouracil (5FU) based chemotherapy in the adjuvant and metastatic setting, the recent adoption of 5FU plus leucovorin (LV) and oxaliplatin (FOLFOX) chemotherapy over 5FU when treating adjuvant patients, and the use of FOLFOX or 5FU plus LV and irinotecan (FOLFIRI) in metastatic patients. They also review the role of chemotherapy in treating rectal cancer and resectable liver metastatic disease. Future areas of research focus for systemic therapy of colorectal cancer are highlighted. PMID- 21037817 TI - Getting a bigger bang for your buck: a collaborative approach to enhancing dementia education planning in long-term care homes. AB - A collaborative of Ontario-based long-term care associations, researchers, clinicians and educators representing various education initiatives related to dementia care and challenging behaviours used existing research evidence on adult learning principles, knowledge transfer and performance improvement to develop an evidence-based approach to support practice change and improvement in long-term care. The collaborative was led by the two provincial long-term care associations with no external funds to support its activities. This effort illustrates how people with common challenges, visions and goals can work together to share their intellectual and physical resources to address pervasive problems. PMID- 21037818 TI - Factors affecting physician performance: implications for performance improvement and governance. AB - BACKGROUND: A physician's personal and professional characteristics constitute only one, and not necessarily the most important, determining factor of clinical performance. Our study assessed how physician, organizational and systemic factors affect family physicians' performance. METHOD: Our study examined 532 family practitioners who were randomly selected for peer assessment by the College of Physicians and Surgeons of Ontario. A series of multivariate regression analyses examined the impact of physician factors (e.g., demographics, certification) on performance scores in five clinical areas: acute care, chronic conditions, continuity of care and referrals, well care and records. A second series of regressions examined the simultaneous effects of physician, organizational (e.g., practice volume, hours worked, solo practice) and systemic factors (e.g., northern practice location, community size, physician-to population ratio). RESULTS: OUR STUDY HAD THREE KEY FINDINGS: (a) physician factors significantly influence performance but do not appear to be nearly as important as previously thought; (b) organizational and systemic factors have significant effects on performance after the effects of physician factors are controlled; and (c) physician, organizational and systemic factors have varying effects across different dimensions of clinical performance. CONCLUSIONS: We discuss the implications of our results for performance improvement and physician governance insofar as both need to consider the broader environmental context of medical practice. PMID- 21037819 TI - Financial and work satisfaction: impacts of participation in primary care reform on physicians in ontario. AB - Governments in Ontario have promised family physicians (FPs) that participation in primary care reform would be financially as well as professionally rewarding. We compared work satisfaction, incomes and work patterns of FPs practising in different models to determine whether the predicted benefits to physicians really materialized. Study participants included 332 FPs in Ontario practising in five models of care. The study combined self-reported survey data with administrative data from ICES and income data from the Canada Revenue Agency. FPs working in non fee-for-service (FFS) models had higher levels of work satisfaction than those in FFS models. Incomes were similar across groups prior to the advent of primary care reform. Incomes of family health network FPs rose by about 30%, while family health group FPs saw increases of about 10% and those in FFS experienced minimal changes or decreases. Self-reported change in income was not reliable, with only 47% of physicians correctly identifying whether their income remained stable, increased or decreased. The availability of a variety of FFS- and non-FFS-based payment options, each designed to accommodate physicians with different types or styles of practice, may be a useful tool for governments as they grapple with issues of physician recruitment and retention. PMID- 21037820 TI - Indicators for measuring mental health: towards better surveillance. AB - Accurate measurement and improvement of population mental health requires the recording of indicators that capture the full spectrum of disease severity. This paper describes four different strategies for measuring the prevalence of depression and anxiety in Canada based on data from the 2002 Canadian Community Health Survey - Mental Health and Well-being (Cycle 1.2) and the 2003 Quebec medical services claims database. The use of multiple indicators provides a more comprehensive picture of mental health needs than a single indicator alone. However, the validity of these indicators raises certain challenges and highlights the complexity of obtaining valid and sustainable measurements of mental health problems over time. We include a discussion of problems related to information availability and management. PMID- 21037821 TI - Access to family physicians in southwestern ontario. AB - OBJECTIVE: Shortages of family physicians (FPs) have been reported, but accurate data on the scope of this problem are sparse. The study objective was to determine the proportion of the population in southwestern Ontario without access to a regular FP and sources of usual medical care for individuals with and without a regular FP. METHOD: Random-digit dialling was used to obtain a stratified, random sample of households from 10 counties in southwestern Ontario, which resulted in 1,387 participants (60.5% cooperation rate). Adults reported on themselves, while a random selection of parents reported on their children, yielding data on individuals ranging from 0 to 95 years of age. RESULTS: 9.1% (95% CI = 7.8% to 10.6%) of individuals did not have a regular FP. Most individuals without a regular FP used walk-in clinics (55%) or emergency rooms (13%) as their usual source of care, while 5.9% reported not receiving medical care. Lack of physicians accepting new patients was the most common reason for not having a regular FP (27%), although some individuals chose not to have one (9.9%) or had alternative access to care (13.2%). CONCLUSIONS: Based on the assumption that the individuals who chose not to have a FP, or who had access to alternative care, would continue not to want a FP if one were available, we estimate that 5.1% of the population of southwestern Ontario requires a FP. The health implications of not having a regular FP in Canada need to be examined. PMID- 21037822 TI - High-performance healthcare: access and quality. PMID- 21037823 TI - There's No Reason for It, It's Just Our Policy. AB - On June 1, 2009 the town of McAllen, Texas rose to brief prominence on the American political stage. With the highest (bar Miami) per-beneficiary costs in the entire US Medicare program, it was featured in an essay in The New Yorker by Atul Gawande, then seized upon by President Obama: "This is what we have to fix." Behind the headlines were decades of documentation of clinical practice and analysis of regional variations by John Wennberg, Elliott Fisher and their colleagues, and by Leslie and Noralou Roos and theirs. The implications for health systems were grasped over 30 years ago and have been confirmed by more recent work. Efforts to understand these variations within standard economic theory have, however, had limited success. PMID- 21037824 TI - Implementing and maintaining a researchable database from electronic medical records: a perspective from an academic family medicine department. AB - Electronic medical records (EMRs) are posited as a tool for improving practice, policy and research in primary healthcare. This paper describes the Deliver Primary Healthcare Information (DELPHI) Project at the Department of Family Medicine at the University of Western Ontario, focusing on its development, current status and research potential in order to share experiences with researchers in similar contexts. The project progressed through four stages: (a) participant recruitment, (b) EMR software modification and implementation, (c) database creation and (d) data quality assessment. Currently, the DELPHI database holds more than two years of high-quality, de-identified data from 10 practices, with 30,000 patients and nearly a quarter of a million encounters. PMID- 21037825 TI - Differences in Mental Health Diagnoses between Recent Chinese Immigrants and a Comparison Population in British Columbia. AB - Linked administrative data indicate that the distributions of mental health diagnoses are different for recent Chinese immigrants in British Columbia compared to a matched group reflecting the general population, as recorded in payments to general practitioners and psychiatrists between 1992 and 2001. Chinese immigrants were much less likely to have consultations for the mental disorders that were most common in the general population. Among those who saw a psychiatrist, psychotic conditions accounted for a larger proportion of visits for Chinese immigrants than those from the general population. The opposite was true for depressive conditions. The findings illuminate nuances in the disparity in mental health service utilization between Chinese immigrants and the general population. PMID- 21037826 TI - The Value of Performance Measurement in Promoting Improvements in Women's Health. AB - OBJECTIVES: To determine the factors associated with the use and impact of performance data relevant to women's health. METHODS: We developed a survey on six levels of information use based on Knott and Wildavsky's (1980) policy utilization framework and used this survey to determine Ontario hospital administrators' use of women's health report indicators. We related responses to this survey to six potentially relevant organizational factors, such as women's health as a written hospital priority, a women's health program and hospital budget size, using correlation and multiple-regression analysis. RESULTS: Only women's health in a written hospital priority (p=0.01) and hospital budget (p=0.02, log transformed) were significantly associated with the highest level of use when all organizational factors were considered. CONCLUSION: These findings suggest that the use of women's health performance indicators is strongly related to the size of the hospital budget and to organizational commitment to women's health. PMID- 21037827 TI - Costs and benefits of free medications after myocardial infarction. AB - BACKGROUND: Although combination pharmacotherapy after myocardial infarction dramatically reduces morbidity and mortality, the full benefits of secondary prevention medications remain unrealized owing to medication non-adherence. Because financial barriers are a major determinant of non-adherence, we examined the costs and benefits of providing free medications to myocardial infarction patients who do not have private insurance and are ineligible for substantial public coverage. METHODS: An economic evaluation combining decision analysis and Markov modelling was conducted to compare full public coverage of secondary prevention medications with the status quo. Costs and benefits were estimated using Canadian data wherever possible. The main outcome was the incremental cost effectiveness ratio measured in cost per quality-adjusted life-year (QALY) gained. RESULTS: From the perspective of the publicly funded healthcare system, full coverage resulted in greater quality-adjusted survival than the status quo (7.02 vs. 6.13 QALYs) but at increased cost ($20,423 vs. $17,173). The incremental cost-effectiveness ratio (ICER) for full coverage compared to the status quo was $3,663/QALY. This result was robust to a wide range of sensitivity analyses. In a secondary analysis from the perspective of government, the ICER for full coverage compared to the status quo was $12,350/QALY. In this analysis, the ICER was sensitive to changes in price elasticity, but remained below $50,000/QALY as long as the elasticity remained below -0.035. INTERPRETATION: Public payers in Canada should consider providing secondary prevention medications to myocardial infarction patients without private insurance free of charge. Full public coverage is cost-effective compared to the status quo. PMID- 21037828 TI - Public reporting of nursing home quality of care: lessons from the United States experience for canadian policy discussion. AB - While the demand for continuing care services in Canada grows, the quality of such services has come under increasing scrutiny. Consideration has been given to the use of public reporting of quality data as a mechanism to stimulate quality improvement and promote public accountability for and transparency in service quality. The recent adoption of the Resident Assessment Instrument (RAI) throughout a number of Canadian jurisdictions means that standardized quality data are available for comparisons among facilities across regions, provinces and nationally. In this paper, we explore current knowledge on public reporting in nursing homes in the United States to identify what lessons may inform policy discussion regarding potential use of public reporting in Canada. Based on these findings, we make recommendations regarding how public reporting should be progressed and managed if Canadian jurisdictions were to implement this strategy. PMID- 21037829 TI - After-hours information given by telephone by family physicians in ontario. AB - This study describes instructions for after-hours care offered by family physicians' offices when patients telephone the practice. Randomly selected (n=1,680) Ontario family physicians and general practitioners were telephoned after hours from October 2007 to February 2008.Instructions among the 1,102 eligible offices suggested emergency services (58.6%; 646/1,102), the toll-free, nurse-staffed Telephone Health Advisory Service (THAS) with on-call physician back-up (45.0%; 496/1,102), the practice's own after-hours clinic (27.9%; 307/1,102), an on-call physician (8.0%; 88/1,102) or a walk-in clinic (6.9%; 76/1,102). Some messages (13.9%; 153/1,102) provided no instructions. Physicians in a reformed model with obligations to provide some after-hours care were more likely to advise an after-hours clinic (32.0%; 285/891) than other physicians (10.4%; 22/211) (p<0.001).Many family physician telephone messages in Ontario suggest emergency services only or do not provide any instructions. Only slightly more than half suggest use of the government-funded THAS. Patients may be unaware of many after-hours care options. PMID- 21037830 TI - Respiratory distress and the flu: What should a physician know? PMID- 21037831 TI - Rectal fluconazole for tinea capitis. AB - The present report describes a case of tinea capitis in a boy with autistic spectrum disorder and an aversion to oral medications. He refused weekly oral fluconazole and there was a poor response to daily rectal griseofulvin. He tolerated once-weekly rectal fluconazole (10 mg/kg) well and there was an excellent clinical outcome. PMID- 21037832 TI - What should I say to parents about vitamin D supplementation from infancy to adolescence? PMID- 21037833 TI - Editor's Note. PMID- 21037834 TI - Re: S Ratnapalan, MS Rayar, M Crawley. Educational services for hospitalized children. Paediatr Child Health 2009;14(7):433-6. PMID- 21037835 TI - Cardiac risk assessment before the use of stimulant medications in children and youth. AB - Regulatory decisions and scientific statements regarding the management of attention-deficit hyperactivity disorder (ADHD) raise questions about the safety of medications and the appropriate pretreatment evaluation to determine suitability for treatment with medication. This is particularly true in the setting of known structural or functional heart disease. The present paper reviews the available data, including peer-reviewed literature, data from the United States Food and Drug Administration Web site on reported adverse reactions in children using stimulant medication, and Health Canada data on the same problem. A consensus-based guideline on appropriate assessment is provided, based on input from members of the Canadian Paediatric Society, the Canadian Cardiovascular Society and the Canadian Academy of Child and Adolescent Psychiatry, with specific expertise and knowledge in the areas of both ADHD and paediatric cardiology. The present statement advocates a thorough history and physical examination before starting stimulant medications, with an emphasis on the identification of risk factors for sudden death, but does not routinely recommend electrocardiographic screening or cardiac sub-specialist consultation unless indicated by history or physical examination findings. A checklist for identifying children who are potentially at risk of sudden death (independent of ADHD or medications used to treat it) is provided. Although recommendations are based on the best evidence currently available, the committee further agrees that more research on this subject is necessary to optimize the approach to this common clinical scenario. PMID- 21037836 TI - Extended-release medications for children and adolescents with attention-deficit hyperactivity disorder. AB - Attention-deficit hyperactivity disorder (ADHD) affects one in 20 Canadian children, and is associated with unfavourable academic and employment records, high rates of injury and substance abuse, poor interpersonal relationships, poor mental health outcomes and poor quality of life. Medications have been shown to be efficacious in treating ADHD symptoms in controlled trials, and are associated with better social and health outcomes in observational studies. Extended-release (XR) medications for ADHD are preferred over short-acting immediate-release medications by many families and their treating physicians. The XR preparations are often unaffordable for affected families who are disproportionally among the lower socioeconomic strata.The objective of the present statement was to critically appraise the evidence for the relative effectiveness of XR versus immediate-release medications, and to make recommendations for their appropriate use in the treatment of ADHD.When medication is indicated, XR preparations should be considered as first-line therapy for ADHD because they are more effective and less likely to be diverted. Future research and cost-benefit analyses should consider both efficacy and effectiveness, and the diversion and misuse potentials of these medications. Industry, insurance companies and government must work together to make these medications accessible to all children and youth with ADHD. PMID- 21037837 TI - Canada's eight-step vaccine safety program: Vaccine literacy. PMID- 21037838 TI - Protecting young babies from influenza. PMID- 21037839 TI - Dispelling myths held by parents about the influenza vaccine. PMID- 21037840 TI - Pandemic influenza (H1N1) 2009 and infection control controversies: Working with ongoing change. PMID- 21037841 TI - Assessment of the Spatial QRS-T Angle by Vectorcardiography: Current Data and Perspectives. AB - The concept of the ventricular gradient (VG) was conceived in the 1930s and its calculation yielded information that was not otherwise obtainable. The VG was not utilized by clinicians at large because it was not easy to understand and its computation time-consuming. Spatial vectorcardiography is based on the concept of the VG. Its current major clinical use is to identify primary [heterogeneity of ventricular action potential (VAP) morphology] in the presence of secondary [heterogeneity in ventricular depolarization instants] T-wave abnormalities in an ECG. Nowadays, the calculation of the spatial VG can be computed on the basis of a regular routine ECG and contributes to localization of arrhythmogenic areas in the heart by assessing overall and local VAP duration heterogeneity. Recent population-based studies suggest that the spatial VG is a dominant ECG predictor of future cardiovascular events and death and it is superior to more conventional ECG parameters. Its assessment warrants consideration for intensified primary and secondary prevention efforts and can be included in everyday clinical practice. This review addresses the nature and diagnostic potential of the spatial VG. The main focus is the role of the spatial VG in ECG assessment of dispersion of repolarization, a key factor in arrhythmogeneity. PMID- 21037842 TI - Roles of arterial baroreceptor reflex during bezold-jarisch reflex. AB - Among the many cardiopulmonary reflexes, this review specifically examines the roles of the arterial baroreflex during the Bezold-Jarisch reflex (BJR). Activation of cardiopulmonary vagal afferent C-fibers induces hypotension, bradycardia, and apnea, which are known collectively as the BJR; myocardial ischemia and infarction might induce the BJR. Arterial baroreflex has been established as an important negative feedback system that stabilizes arterial blood pressure against exogenous pressure perturbations. Therefore, understanding the functions of the arterial baroreflex during the BJR is crucial for elucidating its pathophysiological implications. The main central pathways of the BJR and the baroreflex are outlined herein, particularly addressing the common pathway between the reflexes. Furthermore, the pathophysiological roles of the arterial baroreflex during the BJR are described along with a brief discussion of pathophysiological merits and shortcomings of the reflexes. PMID- 21037843 TI - Peripartum cardiomyopathy: an intriguing challenge. Case report with literature review. AB - Peripartum cardiomyopathy is a relatively rare disease, which can have devasting consequences and should be promptly identified and correctly treated. Overall prognosis is good in majority of the cases, although some patients may progress to irreversible heart failure. Early diagnosis is important and effective treatment reduces mortality rates and increases the chance of complete recovery of ventricular systolic function.We report of an interesting case with a favourable outcome and discuss about the clinical presentation, therapy and outcome of this condition. PMID- 21037844 TI - Tombstoning ST-Elevation Myocardial Infarction. AB - Tombstoning ST elevation myocardial infarction can be described as a STEMI characterized by tombstoning ST-segment elevation. This myocardial infarction is associated with extensive myocardial damage, reduced left ventricle function, serious hospital complications and poor prognosis. Tombstoning ECG pattern is a notion beyond morphological difference and is associated with more serious clinical results.Despite the presence of a few reports on tombstoning ST elevation, there is no report which reviews STEMI demonstrating this electrocardiographic pattern. PMID- 21037845 TI - Cerebral collateral circulation in carotid artery disease. AB - Carotid artery disease is common and increases the risk of stroke. However, there is wide variability on the severity of clinical manifestations of carotid disease, ranging from asymptomatic to fatal stroke. The collateral circulation has been recognized as an important aspect of cerebral circulation affecting the risk of stroke as well as other features of stroke presentation, such as stroke patterns in patients with carotid artery disease. The cerebral circulation attempts to maintain constant cerebral perfusion despite changes in systemic conditions, due to its ability to autoregulate blood flow. In case that one of the major cerebral arteries is compromised by occlusive disease, the cerebral collateral circulation plays an important role in preserving cerebral perfusion through enhanced recruitment of blood flow. With the advent of techniques that allow rapid evaluation of cerebral perfusion, the collateral circulation of the brain and its effectiveness may also be evaluated, allowing for prompt assessment of patients with acute stroke due to involvement of the carotid artery, and risk stratification of patients with carotid stenosis in chronic stages. Understanding the cerebral collateral circulation provides a basis for the future development of new diagnostic tools, risk stratification, predictive models and new therapeutic modalities. In the present review we discuss basic aspects of the cerebral collateral circulation, diagnostic methods to assess collateral circulation, and implications in occlusive carotid artery disease. PMID- 21037846 TI - Cardiac innervation and sudden cardiac death. AB - The heart is extensively innervated and its performance is tightly controlled by the nervous system. Cardiac innervation density varies in diseased hearts leading to unbalanced neural activation and lethal arrhythmia. Diabetic sensory neuropathy causes silent myocardial ischemia, characterized by loss of pain perception during myocardial ischemia, which is a major cause of sudden cardiac death in diabetes mellitus (DM). Despite its clinical importance, the mechanisms underlying the control and regulation of cardiac innervation remain poorly understood.We found that cardiac innervation is determined by the balance between neural chemoattractants and chemorepellents within the heart. Nerve growth factor (NGF), a potent chemoattractant, is induced by endothelin-1 upregulation during development and is highly expressed in cardiomyocytes. By comparison, Sema3a, a neural chemorepellent, is highly expressed in the subendocardium of early stage embryos, and is suppressed during development. The balance of expression between NGF and Seme3a leads to epicardial-to-endocardial transmural sympathetic innervation patterning. We also found that downregulation of cardiac NGF leads to diabetic neuropathy, and that NGF supplementation rescues silent myocardial ischemia in DM. Cardiac innervation patterning is disrupted in Sema3a-deficient and Sema3a-overexpressing mice, leading to sudden death or lethal arrhythmias. The present review focuses on the regulatory mechanisms underlying cardiac innervation and the critical role of these processes in cardiac performance. PMID- 21037847 TI - Current approach to the diagnosis and treatment of femoral-popliteal arterial disease. A systematic review. AB - Peripheral arterial disease (PAD) is a common manifestation of atherosclerosis affecting 5 million adults in the United States, with an age-adjusted prevalence of 4% to 15% and increasing up to 30% with age and the presence of cardiovascular risk factors. In this article we focus on lower extremity PAD and specifically on the superficial femoral and proximal popliteal artery (SFPA), which are the most common anatomic locations of lower extremity atherosclerosis. We summarize current evidence and perform a systematic review on the diagnostic evaluation as well as the medical, endovascular and surgical management of SFPA disease. PMID- 21037848 TI - The use of exercise echocardiography in the evaluation of mitral regurgitation. AB - Mitral regurgitation (MR) is the second most common valvular disease in western countries after aortic stenosis. Optimal management of patients with MR depends on the etiology of the regurgitation and is based predominantly on left ventricular function and functional status. Recent outcome studies report high risk subsets of asymptomatic patients with MR, and practice guidelines underscore the importance of a well-established estimation of exercise tolerance and recommend exercise testing to objectively assess functional status and hemodynamic factors. PMID- 21037849 TI - Coronary pressure measurement based decision making for percutaneous coronary intervention. AB - The fractional flow reserve (FFR) is a simple, reliable, and reproducible physiologic index of lesion severity. In patients with intermediate stenosis, FFR>=0.75 can be used to safely defer percutaneous coronary intervention (PCI), and patients with FFR>=0.75 have a very low cardiac event rate. Coronary pressure measurement can determine which lesion should be treated with PCI in patients with tandem lesions, and PCI on the basis of FFR has been demonstrated to result in an acceptably low repeat PCI rate. FFR can identify patients with equivocal left main coronary artery disease who benefit from coronary bypass surgery. Coronary pressure measurement distinguishes patients with an abrupt pressure drop pattern from those with a gradual pressure drop pattern, and the former group of patients benefit from PCI. Coronary pressure measurement is clinically useful in evaluating sufficient recruitable coronary collateral blood flow for prevention of ischemia, which affects future cardiac events. FFR is useful for the prediction of restenosis after PCI. As an end-point of PCI, FFR >=0.95 and >=0.90 would be appropriate for coronary stenting and coronary angioplasty, respectively. In summary, if you encounter a coronary stenosis in doubt you should measure pressure rather than dilate it. PMID- 21037850 TI - Outcome of heart failure with preserved ejection fraction: a multicentre spanish registry. AB - BACKGROUND: Studies on clinical features, treatment and prognosis of patients with congestive heart failure (CHF) and preserved left ventricular ejection fraction (LVEF) are few and their results frequently conflicting. AIMS: To investigate the characteristics and long term prognosis of patients with CHF and preserved (>= 45%) LVEF. METHODS AND RESULTS: We conducted a prospective multicentre study with 4720 patients attended in 62 heart failure clinics from 1999 to 2003 in Spain (BADAPIC registry). LVEF was preserved in 30% patients. Age, female gender, prevalence of atrial fibrillation, hypertension and non ischaemic cardiopathy were all significantly greater in patients with preserved LVEF. Mean follow-up was 40+/-12 months. Mortality and other cardiovascular complication rates during follow up were similar in both groups. On multivariate analysis ejection fraction was not an independent predictor for mortality. Survival at one and five years was similar in both groups (79% and 59% for patients with preserved LVEF and 78% and 57% for those with reduced LVEF, respectively). CONCLUSIONS: In the BADAPIC registry, a high percentage of heart failure patients had preserved LVEF. Although clinical differences were seen between groups, morbidity and mortality were similar in both groups. PMID- 21037851 TI - Surgical ventricular restoration: an operation to reverse remodeling - the basic science (part I). AB - Congestive heart failure as a consequence of ischemic heart disease is an increasing medical problem. Notwithstanding the huge advances in the medical and conventional surgical management of heart failure, eventual outcomes remain suboptimal. This 2 part article outlines the magnitude of the problem, the limitations of conventional therapies as they exist, and the use of newer procedures that directly address the restoration of ventricular pump function.The first part of the article deals with the pathology of different facets of the remodeling process, and the unique anatomy, geometry and flow dynamics as they pertain to ventricular function in the normal as well as the failing heart. It then details the limitations of conventional therapy, thereby laying the basis for the need and evolution of newer surgical procedures and ends with the selection of patients for ventricular restoration procedures and the pitfalls in the choice of patients for such newer techniques. PMID- 21037852 TI - Surgical Ventricular Restoration: An Operation to Reverse Remodeling - Clinical Application (Part II). AB - The first part of the article dealt with the basic science behind the evolution of ventricular restoration procedures and the rationale for the use of novel surgical techniques. The second part describes the preoperative workup of patients in advanced heart failure, the core information required to determine the surgical approach and the essential principles and techniques of ventricular restoration. It then examines the effects of ventricular restorative procedures on pump function and clinical outcomes, the results of the worldwide experience with ventricular restoration and concludes with more recent advances in this field. PMID- 21037853 TI - Breast cancer in the personal genomics era. AB - Breast cancer is a heterogeneous disease with a complex etiology that develops from different cellular lineages, progresses along multiple molecular pathways, and demonstrates wide variability in response to treatment. The "standard of care" approach to breast cancer treatment in which all patients receive similar interventions is rapidly being replaced by personalized medicine, based on molecular characteristics of individual patients. Both inherited and somatic genomic variation is providing useful information for customizing treatment regimens for breast cancer to maximize efficacy and minimize adverse side effects. In this article, we review (1) hereditary breast cancer and current use of inherited susceptibility genes in patient management; (2) the potential of newly-identified breast cancer-susceptibility variants for improving risk assessment; (3) advantages and disadvantages of direct-to-consumer testing; (4) molecular characterization of sporadic breast cancer through immunohistochemistry and gene expression profiling and opportunities for personalized prognostics; and (5) pharmacogenomic influences on the effectiveness of current breast cancer treatments. Molecular genomics has the potential to revolutionize clinical practice and improve the lives of women with breast cancer. PMID- 21037854 TI - Pooled RNAi Screens - Technical and Biological Aspects. AB - RNA interference (RNAi) screens have recently emerged as an exciting new tool for studying gene function in mammalian cells. In order to facilitate those studies, short hairpin RNA (shRNA) expression libraries covering the entire human transcriptome have become commercially available. To make use of the full potential of such large-scale shRNA libraries, microarray-based methods have been developed to analyze complex pooled RNAi screens. In terms of microarray analysis, different strategies have been pursued by different research groups, largely influenced by the employed shRNA library. In this review, we compare the three major shRNA expression libraries with a focus on their suitability for a microarray-based analysis of pooled screens. We analyze and compare approaches previously used to perform pooled RNAi screens and point out their advantages as well as limitations. PMID- 21037855 TI - Comparative Approach of the de novo Fatty Acid Synthesis (Lipogenesis) between Ruminant and Non Ruminant Mammalian Species: From Biochemical Level to the Main Regulatory Lipogenic Genes. AB - Over the second half of 20(th) century much research on lipogenesis has been conducted, especially focused on increasing the production efficiency and improving the quality of animal derived products. However, many diferences are observed in the physiology of lipogenesis between species. Recently, many studies have also elucidated the involvement of numerous genes in this procedure, highlighting diferences not only at physiology but also at the molecular level. The main scope of this review is to point out the major differences between ruminant and non ruminant species, that are observed in key regulatory genes involved in lipogenesis. Human is used as a central reference and according to the findinggs, main differences are analysed. These findings could serve not only as basis for understanding the main physiology of lipogenesis and further basic research, but also as a basis for any animal scientist to develop new concepts and methods for use in improving animal production and modern genetic improvement. PMID- 21037859 TI - Contemporary Face-lift Techniques. PMID- 21037858 TI - TWIST1 Gene: First Insights in Felis catus. AB - TWIST1 is thought to be a novel oncogene. Understanding the molecular mechanisms regulating the TWIST1 gene expression profiles in tumor cells may give new insights regarding prognostic factors and novel therapeutic targets in veterinary oncology. In the present study we partially isolated the TWIST1 gene in Felis catus and performed comparative studies. Several primer combinations were used based on the alignments of homologous DNA sequences. After PCR amplification, three bands were obtained, purified and sequenced. Several bioinformatic tools were utilized to carry out the comparative studies. Higher similarity was found between the isolated TWIST1 gene in Felis catus and Homo sapiens (86%) than between Homo sapiens and Rattus norvegicus or Mus musculus (75%). Partial amino acid sequence showed no change in the four species analyzed. This confirmed that coding sequences presented high similarity (~96%) between man and cat. These results give the first insights regarding the TWIST1 gene in cat but further studies are required in order to establish, or not, its role in tumor formation and progression in veterinary oncology. PMID- 21037856 TI - Regulation of the DNA Damage Response to DSBs by Post-Translational Modifications. AB - Damage to the genetic material can affect cellular function in many ways. Therefore, maintenance of the genetic integrity is of primary importance for all cells. Upon DNA damage, cells respond immediately with proliferation arrest and repair of the lesion or apoptosis. All these consequences require recognition of the lesion and transduction of the information to effector systems. The accomplishment of DNA repair, but also of cell cycle arrest and apoptosis furthermore requires protein-protein interactions and the formation of larger protein complexes. More recent research shows that the formation of many of these aggregates depends on post-translational modifications. In this article, we have summarized the different cellular events in response to a DNA double strand break, the most severe lesion of the DNA. PMID- 21037857 TI - Replication origins and timing of temporal replication in budding yeast: how to solve the conundrum? AB - Similarly to metazoans, the budding yeast Saccharomyces cereviasiae replicates its genome with a defined timing. In this organism, well-defined, site-specific origins, are efficient and fire in almost every round of DNA replication. However, this strategy is neither conserved in the fission yeast Saccharomyces pombe, nor in Xenopus or Drosophila embryos, nor in higher eukaryotes, in which DNA replication initiates asynchronously throughout S phase at random sites. Temporal and spatial controls can contribute to the timing of replication such as Cdk activity, origin localization, epigenetic status or gene expression. However, a debate is going on to answer the question how individual origins are selected to fire in budding yeast. Two opposing theories were proposed: the "replicon paradigm" or "temporal program" vs. the "stochastic firing". Recent data support the temporal regulation of origin activation, clustering origins into temporal blocks of early and late replication. Contrarily, strong evidences suggest that stochastic processes acting on origins can generate the observed kinetics of replication without requiring a temporal order. In mammalian cells, a spatiotemporal model that accounts for a partially deterministic and partially stochastic order of DNA replication has been proposed. Is this strategy the solution to reconcile the conundrum of having both organized replication timing and stochastic origin firing also for budding yeast? In this review we discuss this possibility in the light of our recent study on the origin activation, suggesting that there might be a stochastic component in the temporal activation of the replication origins, especially under perturbed conditions. PMID- 21037860 TI - Nuances of the Composite Face-lift Technique. AB - The composite face-lift is a well-described, comprehensive facial rejuvenation technique that results in harmonious repositioning of all components of the aging face. It is distinguished by the superior-medial movement of the cheek and the septal reset maneuver. The composite rhytidectomy reverses the unbalanced vectors of the traditional face-lift in patients with the lateral sweep and may reestablish the youthful convexity in patients with hollow eyes. PMID- 21037861 TI - Secondary rhytidectomy. AB - With the increase in popularity of aesthetic surgery, patients with previous face lifts are increasingly encountered in clinical practice. Whereas the literature is replete with face-lift techniques and management of the primary rhytidectomy patient, there is a relative paucity of information concerning secondary facial rejuvenation procedures. This article is intended to bridge that gap and stimulate further discussion about this clinical situation. PMID- 21037862 TI - Isolated management of the aging neck. AB - The contour of the neck is a very important determinant of facial aesthetics. Precise knowledge of neck anatomy is essential for adequate planning and execution of this procedure. There are three anatomic and surgical planes involved in the management of the aging neck; the superficial plane (subcutaneous fat), the intermediate plane (platysma muscles and the fat between the two muscles), and the deep plane (subplatysmal fat, the anterior belly of the digastric muscles, and the submandibular glands). These planes need to be thoroughly evaluated in the preoperative assessment and dealt with according to each patient's needs. Even though this article focuses on isolated management of the aging neck, careful evaluation of the neck and its relationship to the lower third of the face is fundamental. If there is significant jowling and descent of the neck-face interface, an isolated neck-lift procedure will not address that problem and will lead to a suboptimal result. In these patients, a face and neck lift is a more appropriate operation. PMID- 21037863 TI - Management of the midface during facial rejuvenation. AB - The endoscopic midface lift procedure has evolved from experience with postreduction soft tissue repair after facial fracture fixation. The procedure elevates and repositions midface soft tissue, which descends with facial aging; as well, it can correct periorbital congenital abnormalities, such as exorbitism and lateral canthal displacement. The procedure has been refined by the senior author to employ a temporal endoscopic approach alleviating the need for a lower eyelid incision. The plane is sub-SMAS (superficial muscular aponeurotic system) within the pre-zygomatic space with release of the zygo-orbicular ligament and the malar retaining ligament. Using an endoscopically placed suture in the malar retaining ligament, the midface and orbicularis oculi are elevated en bloc, with additional selective sutures applied for specific lower eyelid and cheek morphology. Ancillary lower eyelid procedures including skin resurfacing, skin excision, soft tissue augmentation, and a transblepharoplasty septal reset can all be safely applied to the lower eyelid in the same operative setting. All procedures are technically advanced though once executed deliver an exact correction of the midface, which can be combined with both brow and lower face rejuvenation. The procedure offers limited recovery time and few complications as the facial surgeon becomes facile with the technique. PMID- 21037864 TI - Facial fat compartments: a guide to filler placement. AB - Advances in anatomic understanding are frequently the basis upon which surgical techniques are advanced and refined. Recent anatomic studies of the superficial tissues of the face have led to an increased understanding of the compartmentalized nature of the subcutaneous fat. This report provides a review of the locations and characteristics of the facial fat compartments and provides examples of how this knowledge can be used clinically, specifically with regard to soft tissue fillers. PMID- 21037865 TI - The submental fat compartment of the neck. AB - The anatomic understanding of the superficial compartments of the head and neck are evolving. Recently, studies have shown that the superficial fat is sequestered into separate "compartments"; however, the superficial anatomy of the submental region of the neck has yet to be defined, and improved understanding of this area may lead to advances in our ability to rejuvenate the neck. This cadaveric investigation revealed that there is one superficial fat compartment in the submental region. The anterior boundary of this compartment, previously without name, has been labeled the "submental septum." The posterior boundary of the submental fat compartment is created by a septum that arises from the platysma at a point superficial to the hyoid. Because this area is over the hyoid, it has been named the "suprahyoid septum." The lateral septal boundaries have been labeled the "digastric septae." PMID- 21037866 TI - So many issues, so little time. PMID- 21037867 TI - Population-based longitudinal study of follow-up care for breast cancer survivors. AB - PURPOSE: To describe the patterns of follow-up care provided to a population based cohort of breast cancer survivors, and to assess factors associated with adherence to guidelines on follow-up care. PATIENTS AND METHODS: We conducted a retrospective longitudinal study of all women with surgically treated breast cancer who were without evidence of recurrence, advanced breast cancer, or new primary cancer and were diagnosed in Ontario, Canada, within a 2-year period (n = 11,219). They were followed for 5 years. The cohort was identified through the Ontario Cancer Registry, and individuals were linked across population-based administrative health databases. Frequency of and adherence to guideline recommendations for oncologist and primary care physician (PCP) visits; surveillance imaging for metastatic disease; and surveillance mammograms by year from diagnosis, age group, and income quintile were analyzed. Factors associated with adherence to guideline recommendations were analyzed. RESULTS: Most women saw both oncologists and PCPs in each follow-up year. Approximately two thirds had surveillance mammograms in each follow-up year. Overall, two thirds had either fewer or greater than recommended oncology visits, one quarter had fewer than recommended surveillance mammograms, and half had greater than recommended surveillance imaging for metastatic disease. CONCLUSION: This population-based study shows substantial variation in adherence to guideline recommendations, with both overuse and underuse of surveillance visits and tests. Most importantly, a substantial proportion are receiving more than recommended imaging for metastatic disease but fewer than recommended mammograms for detection of local recurrence or new primary cancer, for which effective intervention is possible. PMID- 21037868 TI - Practice and productivity of physician assistants and nurse practitioners in outpatient oncology clinics at national comprehensive cancer network institutions. AB - PURPOSE: It is expected that over the next 10 to 15 years, demand for oncology services will increase, potentially surpassing the supply of available oncologists. Physician assistants (PAs) and nurse practitioners (NPs) have the potential to address the anticipated shortage in physician supply. The two objectives of this study were to define how National Cancer Institute (NCI) designated comprehensive cancer centers use PAs/NPs and to pilot a self-reported PA/NP productivity tool. METHODS: An online survey addressing practice patterns and productivity in 4-hour outpatient oncology clinics was administered to PAs/NPs practicing at 15 National Comprehensive Cancer Network member institutions. RESULTS: A total of 206 PAs/NPs were included in the final analysis. NPs and PAs reported similar clinical activities, with the following exceptions: NPs reported spending more time on telephone triage, and PAs reported spending more time on procedures. Overall, PAs/NPs reported seeing more follow-up (mean, 6.1; standard deviation [SD], 3.5) than new patients (mean, 1.2; SD, 1.3) per clinic. NPs with a medical oncology specialty reported a marginally greater productivity among follow-up patients than did PAs. Otherwise, NPs and PAs saw a similar number of patients regardless of specialty. CONCLUSION: To our knowledge, this is the first study attempting to characterize PA/NP clinical activities and define productivity benchmarks at NCI-designated comprehensive cancer centers. Given the increasing complexity of oncologic care and the increased population of patients with cancer and cancer survivors requiring that care, PAs/NPs have the potential to fill important roles in both outpatient and inpatient care settings. PMID- 21037869 TI - Understanding patient perspectives on communication about the cost of cancer care: a review of the literature. AB - PURPOSE: Patient-physician communication about cost when making treatment decisions has been promoted as a potential solution to the rising cost of oncologic care and suggested as an important component of high-quality oncologic care. However, little is known regarding the perspectives of patients with cancer on such discussions with their physicians. METHODS: A literature review was performed in July 2009, with search terms including but not limited to patient physician communication, cost of cancer care, and cost communication. RESULTS: The cost of cancer care is high and seems to affect decisions that many patients make about the treatment they receive. Yet there is scant oncology literature on patient-physician cost communication, with the only formal study examining oncologist perspectives. Extrapolation from the general medicine literature may not be appropriate for this unique population of patients, and there are some data to suggest that patients with cancer may prefer not to discuss finances with their oncologists. Practical guidelines and tools for discussions of cost with patients with cancer are also limited. CONCLUSION: To my knowledge, patient preferences surrounding discussion of cost of cancer care have gone largely unstudied and are thus unknown. If the goal is to provide high-quality care while controlling rising health care costs, more research is needed to better understand patient perspectives on communication surrounding the cost of oncologic care, particularly given the significant impact such discussions may have on cancer outcomes, cost, and overall patient satisfaction. PMID- 21037870 TI - ASCO Provisional Clinical Opinion: Chronic Hepatitis B Virus Infection in Patients Receiving Cytotoxic Chemotherapy for Treatment of Malignant Diseases. AB - What does the ASCO provisional clinical opinion on hepatitis B virus mean for practices? PMID- 21037871 TI - American society of clinical oncology/college of american pathologists guideline recommendations for immunohistochemical testing of estrogen and progesterone receptors in breast cancer. AB - ASCO and CAP collaborated to produce an evidence-based guideline on estrogen and progesterone receptor testing in breast cancer to produce optimal testing performance. PMID- 21037872 TI - Commentary: improving breast cancer testing for patients-the secret sauce is collaboration. PMID- 21037873 TI - ASCO Clinical Practice Guideline on Uses of Serum Tumor Markers in Adult Males With Germ Cell Tumors. AB - An examination of serum tumor markers for monitoring or surveillance for each histologic germ cell tumor subtype: seminoma and nonseminomatous germ cell tumors. PMID- 21037874 TI - Coping with the oncology workforce shortage: transitioning oncology follow-up care to primary care providers. AB - An effective response to the impending shortage of oncology services will require different actions from governmental bodies, academic cancer center leaders, medical societies, and community oncology providers. PMID- 21037875 TI - Issues surrounding biospecimen collection and use in clinical trials. AB - As the need for patient participation in biospecimen and correlative research increases, challenging ethical and potentially legal questions are emerging. PMID- 21037876 TI - Capecitabine and temozolomide: design, implementation, and preliminary outcomes from a pilot project to ensure safe prescribing of oral chemotherapy. AB - Without adequate safety measures, oral chemotherapy can lead to undetected dosing errors. The Mayo Clinic launched a project to ensure that all capecitabine and temozolomide prescriptions receive an independent double check. PMID- 21037877 TI - How to negotiate with health care plans. AB - With practice expenses increasing, boosting reimbursement from private payers is one way to stay in business. But successful negotiation is easier said than done. PMID- 21037878 TI - Patient navigators: experience in a community hospital. PMID- 21037879 TI - Commentary: team approach to patient navigation. PMID- 21037880 TI - Authorship of papers. PMID- 21037881 TI - Veterinary medical ethics. An ethicist's commentary on the majority treating agriculture unfairly. PMID- 21037882 TI - An update on bovine anaplasmosis (Anaplasma marginale) in Canada. PMID- 21037883 TI - Temporal changes in the prevalence and shedding patterns of Giardia duodenalis cysts and Cryptosporidium spp. oocysts in a herd of dairy calves in Ontario. AB - Giardia duodenalis and Cryptosporidium spp. infections, and the patterns of cyst and oocyst shedding, were observed in a herd of dairy calves in Ontario over a period of 3 mo. Cysts and oocysts were detected and enumerated in fecal samples using immunofluorescence microscopy; Giardia and Cryptosporidium DNA was detected using the polymerase chain reaction. The prevalence of G. duodenalis increased during the course of the study, reaching a peak of 93.1% when calves were 43 to 54 d old, and then decreased. Conversely, Cryptosporidium spp. prevalence was highest (75.9%) when calves were 11 to 22 d old, and subsequently decreased. The numbers of cysts and oocysts shed per gram of feces were positively correlated over time with the respective prevalence rates. Along with genotyping data, temporal changes in prevalence and shedding patterns should be considered when testing dairy calves for the presence and concentrations of cysts and oocysts, and when considering the potential for zoonotic transmission. PMID- 21037884 TI - Chylothorax associated with thrombosis of the cranial vena cava. AB - This study reviewed confirmed cases of concurrent chylothorax and cranial vena caval (CrVC) thrombosis in dogs and cats, and determined predisposing factors for the development of chylothorax associated with CrVC thrombosis. The extent and location of the thrombus, the treatment regime, and the outcome are described. In all 4 cases, implantation of a jugular device was a predisposing factor to thrombosis of the CrVC, and there was extensive thrombosis of the CrVC extending from at least 1 jugular vein to just cranial to the heart. Chylothorax resolved in 3 of the 4 cases after medical and/or surgical intervention. The development of chylothorax concurrently with thrombosis of the CrVC in dogs and cats is likely dependent on the extent and location of the thrombus. Veterinary patients with indwelling jugular devices that develop acute respiratory signs should be assessed for chylothorax associated with thrombosis of the CrVC. PMID- 21037885 TI - Associations between antimicrobial use and the prevalence of antimicrobial resistance in fecal Escherichia coli from feedlot cattle in western Canada. AB - A randomized, controlled, blinded clinical trial was performed at a research feedlot in western Canada. Auction-market-derived steers (n = 288) were randomly assigned to 1 of 3 treatments: 1) no antimicrobials on arrival; 2) oxytetracycline in the starter ration for 14 d; and 3) long-acting oxytetracycline subcutaneously on day 0. Minimal inhibitory concentrations of 7 antimicrobials were determined for 3 generic fecal E. coli isolates per animal on arrival and throughout the feeding period. There was a low prevalence of antimicrobial resistance in generic E. coli isolates from calves on arrival. There were increased proportions of cattle with resistant E. coli isolates early in the feeding period among calves in groups 2 and 3. Individual animal treatments were not associated with increased proportions of cattle with resistant E. coli isolates preslaughter. There was no difference in the proportion of animals with E. coli isolates resistant to tetracycline between the treatment groups preslaughter. However, there were significantly more animals with tetracycline resistant isolates of E. coli preslaughter than at arrival. PMID- 21037886 TI - Seroprevalence of Anaplasma marginale in 2 Iowa feedlots and its association with morbidity, mortality, production parameters, and carcass traits. AB - A prospective cohort observational study was conducted to investigate the seroprevalence of Anaplasma marginale in Iowa feedlots and its association with morbidity, mortality, and treatment costs. Blood samples were taken from 659 calves from 31 consigners at processing and classified as seropositive to A. marginale using a competitive enzyme-linked immunosorbent assay (cELISA) with a 30% cutoff. Health and production parameters were modeled by A. marginale serostatus with mixed model regression analysis. The apparent prevalence of seropositive cattle was 15.17% (100/659). When the cELISA positive cutoff was at 42% inhibition, the apparent prevalence was 5.00% (33/659). There was no significant association between A. marginale serostatus and production parameters; however, seropositive status had a weak positive association with undifferentiated fever (P = 0.17). Although prevalence of anaplasmosis in Iowa feedlots is higher than reported in Montana-sourced calves arriving in Canadian feedlots, this was not associated with increased production costs. PMID- 21037887 TI - The immunoglobulin G response to Malassezia pachydermatis extracts in atopic and non-atopic dogs. AB - IgG immunoreactivity to Malassezia pachydermatis was compared in atopic and non atopic dogs. Malassezia pachydermatis proteins with a molecular weight of 98 kDa were recognized at a significantly higher frequency in the sera of atopic dogs. Most of the atopic dogs with Malassezia dermatitis had a greater IgG response than did normal dogs. PMID- 21037888 TI - Prevalence of Clostridium difficile in retail pork. AB - Clostridium difficile was isolated from 1.8% (7/393) of retail pork samples obtained from 4 Canadian provinces. Five ribotypes and 3 toxinotypes were identified. Three isolates were indistinguishable from the international outbreak strain ribotype 027 and were toxinotype III. Although the implications for food safety practices remain elusive, the frequency of toxigenic isolates and isolates indistinguishable from known human pathogenic strains suggests contaminated pork may be a source of C. difficile in humans. PMID- 21037889 TI - Upper alimentary tract papillomas in calves related to papillomavirus infection. AB - This study reports 3 cases of spontaneous papillomavirus infection in 1-week-old calves. Thickening of the omasum and abomasum wall, with acute inflammation, necrosis, ulceration, and neoplastic changes were seen in 1 calf. In the other 2, small papillomas were observed in the omasal mucosa, exhibiting proliferation of the parakeratinized epithelium. Papillomavirus antigens were detected by immunohistochemistry and virus-like particles were seen through electron microscopy. PMID- 21037890 TI - Partial carpal arthrodesis in a 2-year-old alpaca. AB - A 2-year-old alpaca was presented for acute onset right forelimb lameness. Clinical findings included bilateral carpal valgus (more severe in the affected forelimb) and medial instability of the right radio-carpal joint. Surgical treatment consisted of radio-carpal joint arthrodesis, which is presented as a therapeutic option for severe carpal instability secondary to injury to the supporting ligamentous structures of the carpus. PMID- 21037891 TI - Squamous cell carcinoma invading the right temporomandibular joint in a Belgian mare. AB - This report describes a rare case of squamous cell carcinoma invading the right temporomandibular joint, right guttural pouch, and calvarium. Radiography, computed tomography, and histopathology were performed in the diagnostic workup. Computed tomography depicted more accurately than radiography the invasive nature, exact location, and extent of the lesion. PMID- 21037892 TI - Ultrasonographic diagnosis of porcupine quill foreign bodies in the plantar flexor tendon sheath region in a heifer. AB - A 17-month-old Holstein heifer was presented for persistent enlargement above the right hind fetlock of 1-month's duration. Diffuse plantar soft tissue swelling was present on the radiographs and ultrasonography revealed the presence of multiple porcupine quill extremities embedded in the subcutaneous tissue within the flexor tendon sheath wall. Surgical removal was performed. PMID- 21037893 TI - Caudal vena cava thrombosis-like syndrome in a horse. AB - A 9-year-old Quarter horse was presented for chronic refractory pneumonia. On necropsy, an hepatic abscess, caudal vena cava thrombosis, pulmonary thromboembolism, and embolic pneumonia were identified. Similar lesions have been reported in cattle as caudal vena cava thrombosis syndrome, however this syndrome has not previously been reported in horses. PMID- 21037894 TI - Presence of opportunistic bacteria (Rhizobium spp.) with potential for molecular misdiagnosis among canine and feline clinical samples. AB - Rhizobium radiobacter was detected in 12 of 187 dogs and 2 of 100 cats using a polymerase chain reaction (PCR) assay formerly designed for the Rickettsia genus. Although PCR primers used for pathogenic infectious agents are specifically assessed to avoid cross-amplification, this retrospective study highlights the importance of sequencing to avoid molecular misdiagnosis. PMID- 21037895 TI - The evolution of oral radiography in veterinary medicine. PMID- 21037896 TI - The art of veterinary practice: When too little is as bad as too much. PMID- 21037897 TI - Concurrent occipital hypoplasia, occipital dysplasia, syringohydromyelia, and hydrocephalus in a Yorkshire terrier. AB - Magnetic resonance imaging of a 7.5-year-old neutered male Yorkshire terrier with mild generalized ataxia and intermittent neck scratching led to a diagnosis of caudal occipital malformation and syringohydromyelia. Surgical exploration led to a diagnosis of occipital dysplasia with concurrent occipital hypoplasia. Following a dorsal laminectomy of the first cervical vertebra there was no progression or improvement a month later. PMID- 21037898 TI - Canine carpal conundrums. PMID- 21037899 TI - Better Primary Healthcare Information for Canada: A Goal within Reach. PMID- 21037900 TI - The development of a primary healthcare information system to support performance measurement and research in british columbia. PMID- 21037901 TI - Summary of terms. PMID- 21037902 TI - A results-based logic model for primary healthcare: a conceptual foundation for population-based information systems. AB - A conceptual framework for population-based information systems is needed if these data are to be created and used to generate information to support healthcare policy, management and practice communities that seek to improve quality and account for progress in primary healthcare (PHC) renewal. This paper describes work conducted in British Columbia since 2003 to (1) create a Results Based Logic Model for PHC using the approach of the Treasury Board of Canada in designing management and accountability frameworks, together with a literature review, policy analysis and broad consultation with approximately 650 people, (2) identify priorities for information within that logic model, (3) use the logic model and priorities within it to implement performance measurement and research and (4) identify how information systems need to be structured to assess the impact of variation or change in PHC inputs, activities and outputs on patient, population and healthcare system outcomes. The resulting logic model distinguishes among outcomes for which the PHC sector should be held more or less accountable. PMID- 21037903 TI - Measuring the performance of primary healthcare: existing capacity and potential information to support population-based analyses. AB - WHAT DID WE DO?: We reviewed the degree to which existing population-based data in Canada can be used to describe and report on primary healthcare (PHC) performance. We identified gaps in current data sources and made recommendations on how these gaps might be addressed to support quality improvement and public reporting for PHC. WHAT DID WE LEARN?: Population-based survey and administrative data are available to describe population characteristics and other contextual factors for PHC, as well as some aspects of the material, financial and human resources inputs, and selected activities and decisions at the policy, management and clinical levels. Existing data can also be used to describe some volumes and types of PHC outputs. However, we currently have limited population-based data to assess selected qualities of PHC services (e.g., coordination and interpersonal effectiveness) and most immediate outcomes of PHC. The ability to link data to assess outcomes and attribute changes in outcomes to PHC is limited. A full report describing more than 130 indicators from existing data sources and gaps in current data is available at www.chspr.ubc.ca. WHAT ARE THE IMPLICATIONS?: As we look to the future, there is a clear need to build on existing data sources to expand PHC data capacity in Canada. Data are needed to inform an understanding of PHC outputs, outcomes and the linkages among PHC dimensions. Commitment to a comprehensive PHC data collection strategy and information system is needed across Canadian provinces and territories to inform policy development and planning, to evaluate PHC redesign initiatives and to meet the accountability expectations of Canadians. PMID- 21037904 TI - Developing and maintaining a population research registry to support primary healthcare research. AB - WHAT DID WE DO?: This paper describes the creation of a population research registry as part of an information system to support primary healthcare (PHC) research in British Columbia. The population registry includes all residents of the province who were either eligible to use or actually used healthcare services, together with demographic, geographic, health status, registration and service use data. The PHC population research registry is built using administrative data inputs, and data are anonymized to comply with privacy and confidentiality standards. WHAT DID WE LEARN?: The registry provides data to undertake research into PHC needs and service utilization. It facilitates both population-based research as well as research on population subgroups. Combined with anonymous physician and utilization data, the information system can be used to study service utilization rates for population-based analyses. Over the longer term, the information will contribute to our understanding of PHC qualities and outcomes. WHAT ARE THE IMPLICATIONS?: Continued completeness of the population research registry depends upon full administrative source data. Planning to ensure complete data capture is critical both for the research registry and our ability to undertake population-based PHC research. PMID- 21037905 TI - Methods to develop and maintain a valid physician registry in evolving information environments. AB - WHAT DID WE DO?: As the amount of alternative funding for physician services grows in Canada, the usefulness of fee-for-services (FFS) payment data as a source of population-based information declines. This paper describes methods used to develop and validate an anonymous registry of the physician workforce to support policy-relevant analyses in environments where doctors are increasingly funded through diverse arrangements. WHAT DID WE LEARN?: Among the 8,558 physicians in clinical practice in British Columbia in 2004, 97% could be identified via FFS payment data. In 1996, 2000 and 2004, a similar number of physicians in clinical practice (N=340, 326, 290) did not submit FFS claims, and a stable proportion of these doctors (65%, 67%, 69%) could be identified using hospital discharge abstract data. Province-wide, local health areas varied in the proportion of total physicians' expenditures in 2004 attributable to FFS payments (0 to 100%). WHAT ARE THE IMPLICATIONS?: FFS and hospital files could be used in tandem to identify physicians in clinical practice in order to create complete registries intended to support population-based workforce analyses. FFS and alternative funding payment files could be used together to calculate each physician's income and clinical activity, and to identify physicians for whom there is high likelihood of measurement error because they reside in local health areas where a large proportion of clinical activity is not captured. RECOMMENDATIONS: Systems designed to track alternative funding should be uniformly structured within and across jurisdictions to maintain or increase the availability of population-based clinical data useful for secondary analyses. Only then can these data be used to support Canadian policy, management and clinical decision-making. PMID- 21037906 TI - Supply and distribution of primary healthcare registered nurses in british columbia. AB - WHAT DID WE DO?: This study uses an existing data source to (a) describe the population and geographic distribution of registered nurses (RNs) working in primary healthcare (PHC) in British Columbia, (b) compare this workforce to PHC physicians and (c) assess the distribution of PHC-RNs relative to population health status. WHAT DID WE LEARN?: Of the 27,570 practising RNs in British Columbia in 2000, there were 3,179 (12%) in the PHC workforce. This translates into 147 people per practising RN and 1,277 people per PHC-RN. In 2000, there were 990 people per PHC physician. PHC-RNs represented 43% of the combined PHC workforce of physicians and RNs. A large proportion (47%) of PHC-RNs worked in community health centres, whereas less than 2% worked in physicians' offices. Geographic distribution of PHC-RNs is similar to the distribution of PHC physicians and is not associated with population health status. WHAT ARE THE IMPLICATIONS?: There seem to be sufficient PHC-RNs to implement policy objectives in support of interdisciplinary PHC teams, but physicians and nurses will increasingly need to practice in the same location or have access to electronic information systems to support coordination, continuity and comprehensiveness of PHC. The PHC workforce could be better deployed to align with population health status. PMID- 21037907 TI - For discussion: a roadmap for population-based information systems to enhance primary healthcare in Canada. AB - The purpose of this paper is to promote expansion of population-based information systems to enhance primary healthcare renewal (PHC) across Canada. The vision is to ensure that healthcare policy makers, managers and clinical leaders receive relevant, valid and timely information that is useful to them in exercising their responsibilities in accountability and performance improvement. The paper sketches a roadmap of options for new information systems and describes the opportunities and limitations associated with each. The intent is to offer an array of alternatives for consideration because jurisdictions vary in their vision and objectives for renewal and priorities for information. PMID- 21037908 TI - Personalized medicine: part 1: evolution and development into theranostics. PMID- 21037909 TI - Tacrolimus interaction with clotrimazole: a concise case report and literature review. PMID- 21037911 TI - Pharmaceutical approval update. PMID- 21037910 TI - Current Issues Regarding Complementary and Alternative Medicine (CAM) in the United States: Part 3: Policies and Practices Regarding Dietary Supplements In Health Care Facilities. PMID- 21037912 TI - European society of cardiology. PMID- 21037913 TI - The Two Ericksons: Forgotten Concepts and what Constitutes an Appropriate Professional Knowledge Base in Psychiatry. PMID- 21037914 TI - Countertransference in child and adolescent psychiatry-a forgotten concept? AB - OBJECTIVES: The purpose of this paper is to review the evolution of the concept of countertransference, its clinical utility and unique features in the child and adolescent psychiatry setting. METHODS: This article employs a selective literature review of papers relevant to countertransference in general and in child and adolescent psychiatry. RESULTS: Reviewed papers indicate that countertransference is a ubiquitous phenomenon in child and adolescent psychiatric practice and that it can have important clinical implications. CONCLUSIONS: Recognition and management of countertransference is a crucial skill in child and adolescent psychiatry. Recommendations are made regarding its incorporation in residency training and psychiatric practice. PMID- 21037915 TI - Response to commentary. PMID- 21037916 TI - Collaborating with youth to inform and develop tools for psychotropic decision making. AB - INTRODUCTION: Youth oriented and informed resources designed to support psychopharmacotherapeutic decision-making are essentially unavailable. This article outlines the approach taken to design such resources, the product that resulted from the approach taken, and the lessons learned from the process. METHODS: A project team with psychopharmacology expertise was assembled. The project team reviewed best practices regarding medication educational materials and related tools to support decisions. Collaboration with key stakeholders who were thought of as primary end-users and target groups occurred. A graphic designer and a plain language consultant were also retained. RESULTS: Through an iterative and collaborative process over approximately 6 months, Med Ed and Med Ed Passport were developed. Literature and input from key stakeholders, in particular youth, was instrumental to the development of the tools and materials within Med Ed. A training program utilizing a train-the-trainer model was developed to facilitate the implementation of Med Ed in Ontario, which is currently ongoing. CONCLUSION: An evidence-informed process that includes youth and key stakeholder engagement is required for developing tools to support in psychopharmacotherapeutic decision-making. The development process fostered an environment of reciprocity between the project team and key stakeholders. PMID- 21037917 TI - Psychosocial and Clinical Correlates of Substance use Disorder in an Adolescent Inpatient Psychiatric Population. AB - OBJECTIVE: This study aimed at exploring the psychosocial and clinical correlates of substance use disorder in an adolescent inpatient psychiatric population. METHOD: During the period from 2006-2007, all subjects were systematically screened for substance use disorder (SUD) and were assessed for SUD characteristics by an independent support team using the RECAP (Joint Report on Drug Addiction and Treatment). Each SUD case was matched for age, gender, unit, and admission week, so as to compare a consumer sample (cases) with a non consumer sample (controls). We retrospectively extracted data (socio-demographic and clinical characteristics) from charts to realize a case-control comparison. To assess Axis II borderline psychopathology, the child retro-DIB (Diagnosis Interview for Borderline) was used. RESULTS: The sample included 30 cases and 30 controls between 14 and 17 years of age. SUD was significantly associated with maltreatment (p=0.038), loss of a first-degree relative (p=0.039), school absenteeism (p=0.035) and suicide attempts (p=0.02). Regarding psychopathology, significant co-occurrence was observed between SUD and conduct disorder (p=0.019), all personality disorders (p=0.003) and borderline personality disorder specifically (p=0.021). CONCLUSION: Adolescent inpatients with SUD possess differential psychosocial and clinical characteristics and particular correlates that justify adopting a specific multidisciplinary approach to this high-risk clinical subgroup. PMID- 21037918 TI - Youth Homelessness: The Relationships among Mental Health, Hope, and Service Satisfaction. AB - INTRODUCTION: This paper reports a mental health assessment of 60 homeless youth. Our study explored the mental health needs of youth accessing an overnight youth shelter (maximum stay 8 weeks). METHODS: Participants completed an interview (45 to 120 minutes in duration) using one demographic form and one of two standardized questionnaires (Youth Self Report, Adult Self Report). Questions assessed youth mental health symptoms, examined various contacts that youth made with mainstream society (services, family), and identified potential motivating factors (hope, service satisfaction) that may play a role in fostering street survival during adolescence. RESULTS: Forty-eight percent of the youth were clinically symptomatic and most youth accessed a range of general health services. CONCLUSION: However, those most in need had significantly less service satisfaction, less hope about the future, and had not accessed mental health services. PMID- 21037919 TI - Survey Comparing Criteria Used by Rural and Urban Primary Care Physicians for Referrals to Child and Adolescent Psychiatrists and Children's Mental Health Agencies in Ontario. AB - INTRODUCTION: Primary care physicians are the first-line assessors of children's mental health. Previous studies have shown a trend of over-reliance on referrals to child and adolescent psychiatrists and an underutilization of children's mental health agencies. A survey was conducted to a) examine the criteria used by family physicians and primary-care paediatricians in deciding how to refer youth to mental health services, and b) assess interest in developing a set of formal guidelines outlining when to consider referral to child and adolescent psychiatrists and children's mental health agencies. METHOD: A mail-out survey was conducted using elements of the Dillman method. Two regions in Ontario were surveyed, Northwestern Ontario and Middlesex County, allowing for comparison between rural and urban settings. RESULTS: Of the 266 physicians eligible for the study, 116 returned completed surveys, for a response rate of 40%. Awareness and utilization of children's mental health agencies was higher than predicted in both regions. A number of referral trends were identified. A majority of respondents in both regions indicated that they would like a standardized protocol and/or guidelines when referring to both psychiatrists and children mental health agencies. CONCLUSION: This study demonstrated that, in addition to referring to child and adolescent psychiatrists, the majority of primary care physicians are aware of and utilize children's mental health agencies in Ontario. PMID- 21037920 TI - A Description of Emergency Care Received by Children and Youth with Mental Health Presentations for Alcohol and Other Drug use in two Alberta Emergency Departments. AB - OBJECTIVE: This paper describes patient and treatment characteristics of pediatric mental health Emergency Department (ED) visits associated with alcohol and other drug (AOD) use. METHOD: A medical record and administrative database review was conducted. Proportional allocation random stratified sampling identified a representative sample of pediatric (<=18 years) mental health presentations to two tertiary care EDs between April 2004 and March 2006. Descriptive statistics were used to summarize data from 161 patients with associated AOD use. RESULTS: More females (56.5%) and youth aged 15 to 18 years (70.8%) attended the ED for mental health complaints associated with AOD use. Alcohol (48.4%) and over-the-counter or prescription medications (25.5%) were the most commonly used substances. Twenty-four percent of patients had a documented psychiatric history. The most common psychiatric assessments provided were for suicidality (31.1%) and mood (18.0%). Brief counselling was provided in 31.7% of visits. Consultation with psychiatry occurred less than 20% of the time. Most patients were discharged from the ED (65.2%). Sixty-eight percent of patient records did not have documented discharge planning. CONCLUSIONS: When youth present to the ED for mental health concerns related to AOD use, mental health assessments and follow-up care are not occurring in all cases and reasons for this oversight need to be explored. PMID- 21037921 TI - Emergency physician referrals to the pediatric crisis clinic: reasons for referral, diagnosis and disposition. AB - OBJECTIVE: To describe the patient population, diagnoses, and disposition of children and adolescents referred by Pediatric Emergency Medicine (PEM) physicians to a Pediatric Psychiatric Crisis Clinic (PCC) for urgent consultation; to describe the percent agreement between PEM physician discharge diagnosis and subsequent child psychiatrist diagnoses. METHOD: Data were obtained prospectively over a one-year period for consecutive patients referred to the PCC (n=174). Patients and families were contacted for information regarding subsequent emergency department (ED) visitation following PCC consultation. RESULTS: Referred patients were commonly male (63%) with a mean age of 12.2 +/- 3.2 years diagnosed with adjustment disorder (29%), mood disorder (17%) and anxiety disorder (17%) and significant psychosocial stressors. Five percent of patients required hospitalization. PEM physician discharge diagnosis and child psychiatrist diagnosis were in agreement in 21% of cases. CONCLUSION: Patients referred by PEM physicians for urgent outpatient psychiatric assessment were most commonly early adolescent males. The majority of patients did not require ongoing psychiatric care. Further investigation into the differences between PEM physician and child psychiatrist diagnoses is needed to ensure patients and families receive accurate and consistent mental health information and recommendations from all members of their health care team. PMID- 21037922 TI - Focus on Lisdexamfetamine: A Review of its use in Child and Adolescent Psychiatry. AB - OBJECTIVE: To summarize and review published literature regarding lisdexamfetamine and its use in child and adolescent psychiatry. METHOD: A LITERATURE REVIEW WAS CONDUCTED USING THE PUBMED SEARCH TERM: 'lisdexamfetamine' with limits: Human trials, English language, All Child (aged 0-18 years). Additional articles were identified from reference information and poster presentation data. RESULTS: Lisdexamfetamine (Vyvanse(r)) is a prodrug formulation of dextroamphetamine used for the treatment of Attention Deficit/Hyperactivity Disorder (ADHD). Conversion of lisdexamfetamine to active dextroamphetamine occurs via hydrolytic enzymes located on erythrocytes, and leads to an onset of action within 1-2 hours post-dose, and duration of up to 13 hours. Administration of lisdexamfetamine via nasal or intravenous routes did not result in significant elevation of drug liking scores in known stimulant abusers, suggesting low potential for abuse. Lisdexamfetamine has been available in the United States since 2007, but was only recently approved by Health Canada for use in children 6 to 12 years of age. There are five randomized controlled trials with lisdexamfetamine in children and adolescents showing efficacy for treatment of ADHD. In addition, several open-label trials and case reports were identified. The adverse effect profile of lisdexamfetamine is similar to that observed with other long-acting amphetamine formulations. CONCLUSION: Lisdexamfetamine is a novel long-acting stimulant formulation with efficacy for treatment of ADHD and low abuse potential due to its prodrug formulation. PMID- 21037923 TI - CONFU: Configuration Fuzzing Testing Framework for Software Vulnerability Detection. AB - Many software security vulnerabilities only reveal themselves under certain conditions, i.e., particular configurations and inputs together with a certain runtime environment. One approach to detecting these vulnerabilities is fuzz testing. However, typical fuzz testing makes no guarantees regarding the syntactic and semantic validity of the input, or of how much of the input space will be explored. To address these problems, we present a new testing methodology called Configuration Fuzzing. Configuration Fuzzing is a technique whereby the configuration of the running application is mutated at certain execution points, in order to check for vulnerabilities that only arise in certain conditions. As the application runs in the deployment environment, this testing technique continuously fuzzes the configuration and checks "security invariants" that, if violated, indicate a vulnerability. We discuss the approach and introduce a prototype framework called ConFu (CONfiguration FUzzing testing framework) for implementation. We also present the results of case studies that demonstrate the approach's feasibility and evaluate its performance. PMID- 21037924 TI - ? PMID- 21037925 TI - Policing and Social Control of Public Marijuana Use and Selling in New York City. PMID- 21037928 TI - Leaving Chicago for Iowa's "Fields of Opportunity": Community Dispossession, Rootlessness, and the Quest for Somewhere to "Be OK" AB - In recent years, urban development and public housing demolition have posed challenges to the social and geographic rootedness of low-income African Americans in urban areas. In particular, in Chicago, widespread public housing demolition, occurring in the context of rapid gentrification, has contributed to increasing shortages of affordable low-income housing. This study uses in-depth interviews and participant observation to examine the migration experiences of men and women who have left urban neighborhoods and public housing developments in Chicago searching for affordable housing and economic opportunity in eastern Iowa. This particular analysis focuses on experiences of social and geographic "rootlessness" that emerged as a major theme in these interviews. Participants describe community dispossession in Chicago that has threatened not only the ties between individuals and their social support networks, but also connections and claims to the places in which these ties are rooted. Narratives that describe leaving Chicago in this context and then trying to get by as a stigmatized outsider in "someone else's city" speak to a process of dislocation that may disrupt critical social-support resources that are known to mitigate the consequences of structural disadvantage. PMID- 21037926 TI - The Siah2-HIF-FoxA2 axis in prostate cancer - new markers and therapeutic opportunities. AB - Recent studies indicate the importance of the ubiquitin ligase Siah2 in control of more aggressive prostate tumors - namely, neuroendocrine (NE) prostate tumors and prostate adenocarcinoma (PCa) harboring neuroendocrine lesions. Siah2 dependent expression and activity of HIF-1alpha regulate its availability to form a transcriptional complex with FoxA2, resulting in expression of specific target genes, including Hes6, Sox9 and Jmjd1a, whose co-expression is sufficient for formation of NE tumors and NE lesions in PCa. These studies provide novel markers to diagnose and monitor formation of NE lesions and NE tumors. Furthermore, defining the regulatory axis consisting of Siah2 and HIF-1alpha/FoxA2 cooperation suggests novel therapeutic modalities to treat these most aggressive forms of prostate cancer. Here we review current understanding of Siah role in control of hypoxia and prostate tumor development and highlight potential approaches for targeting components along Siah-regulated pathways. PMID- 21037929 TI - EMERGENT SYLLABLE COMPLEXITY IN COLLOQUIAL BAMANA. AB - Data from two varieties of Bamanankan, or Bambara, a Mande language spoken in Mali and surrounding nations, illustrate differences in permissible syllable shapes between the variants. A comparison of the Classic variety of the language spoken in Segou and that spoken by a younger cohort of individuals in the Malian capital reveals that the latter variety is synchronically developing complex CCV and CVC syllable shapes primarily through vocalic syncope, whereas the classical variety permits only maximal CV syllables. Data presented in this study show that this complexification of syllables in Bamako Bamana is one manifestation of the overall drive towards word minimization in this variety of the language. These data illustrate that this variety of Bamana has preferential patterns of deletion that are largely dependent on phonotactic constraints and permissible syllable contact that results from syncope. Additionally, other processes that interact with syncope or prevent it from occurring are explored. PMID- 21037930 TI - Gender agreement and multiple referents. AB - We report a new pattern of usage in current, spoken Italian that has implications for both psycholinguistic models of language production and linguistic theories of language change. In Italian, gender agreement is mandatory for both singular and plural nouns. However, when two or more nouns of different grammatical gender appear in a conjoined noun phrase (NP), masculine plural agreement is required. In this study, we combined on-line and off-line methodologies in order to assess the mechanisms involved in gender marking in the context of multiple referents. The results of two pronoun production tasks showed that plural feminine agreement was significantly more difficult than plural masculine agreement. In a separate study using offline judgements of acceptability, we found that agreement violations in Italian are tolerated more readily in the case of feminine conjoined noun phrases (e.g., la mela e la banana 'the:fem apple:fem and the: fem banana: fem') than masculine conjoined noun phrases (e.g., il fiore e il libro 'the:mas flower: mas and the:mas book:mas'). Implications of these results are discussed both at the level of functional architecture within the language production system and at the level of changes in language use. PMID- 21037931 TI - Treating Post-stroke Spatial Neglect Establishing a clinical research-clinical care partnership program. PMID- 21037932 TI - A Mobile Phone Wayfinding System for Visually Impaired Users. PMID- 21037933 TI - Nonlinear optical spectroscopy of single, few, and many molecules; nonequilibrium Green's function QED approach. AB - Nonlinear optical signals from an assembly of N noninteracting particles consist of an incoherent and a coherent component, whose magnitudes scale ~ N and ~ N(N - 1), respectively. A unified microscopic description of both types of signals is developed using a quantum electrodynamical (QED) treatment of the optical fields. Closed nonequilibrium Green's function expressions are derived that incorporate both stimulated and spontaneous processes. General (n + 1)-wave mixing experiments are discussed as an example of spontaneously generated signals. When performed on a single particle, such signals cannot be expressed in terms of the nth order polarization, as predicted by the semiclassical theory. Stimulated processes are shown to be purely incoherent in nature. Within the QED framework, heterodyne-detected wave mixing signals are simply viewed as incoherent stimulated emission, whereas homodyne signals are generated by coherent spontaneous emission. PMID- 21037934 TI - The Characteristics of Romantic Relationships Associated with Teen Dating Violence. AB - Studies of teen dating violence have focused heavily on family and peer influences, but little research has been conducted on the relationship contexts within which violence occurs. The present study explores specific features of adolescent romantic relationships associated with the perpetration of physical violence. Relying on personal interviews with a sample of 956 adolescents, results indicate that respondents who self-report violence perpetration are significantly more likely than their non-violent counterparts to report higher levels of other problematic relationship dynamics and behaviors such as jealousy, verbal conflict, and cheating. However, we find no significant differences in levels of love, intimate self-disclosure, or perceived partner caring, and violent relationships are, on average, characterized by longer duration, more frequent contact, sexual intimacy and higher scores on the provision and receipt of instrumental support. Finally, violence is associated with the perception of a relatively less favorable power balance, particularly among male respondents. These findings complicate traditional views of the dynamics within violent relationships, add to our understanding of risk factors, and may also shed light on why some adolescents remain in physically abusive relationships. PMID- 21037935 TI - Are clinician's ever biased in their judgments of the capacity of older adult's to make medical decisions? AB - Capacity assessment is a growing area of practice in geriatrics. In this pilot study we illustrate the potential relationship between clinician variables and capacity ratings. Twelve older adults with mild dementia or schizophrenia were rated by six clinicians from diverse disciplinary backgrounds. Capacity ratings were associated with clinician values regarding patient involvement in medical decisions, clinician-patient mismatches on such values, and emotional reactions to patients. Expanding our understanding of the impact of clinician variables on capacity ratings may enhance the reliability and validity of capacity assessments and help to promote autonomy when appropriate. PMID- 21037936 TI - Staying in the Crosswalk: A System for Guiding Visually Impaired Pedestrians at Traffic Intersections. AB - Traffic intersections are among the most dangerous parts of a blind or visually impaired person's travel. Our "Crosswatch" device [4] is a handheld (mobile phone) computer vision system for orienting visually impaired pedestrians to crosswalks, to help users avoid entering the crosswalk in the wrong direction and straying outside of it. This paper describes two new developments in the Crosswatch project: (a) a new computer vision algorithm to locate the more common - but less highly visible - standard "two-stripe" crosswalk pattern marked by two narrow stripes along the borders of the crosswalk; and (b) 3D analysis to estimate crosswalk location relative to the user, to help him/her stay inside the crosswalk (not merely pointing in the correct direction). Experiments with blind subjects using the system demonstrate the feasibility of the approach. PMID- 21037937 TI - Immune system modulates the function of adult neural stem cells. AB - New neurons are continuously produced in most, if not all, mammals. This Neurogenesis occurs only in discrete regions of the adult brain: the subventricular zone (SVZ) and the subgranular zone (SGZ). In these areas, there are neural stem cells (NSCs), multipotent and selfrenewing, which are regulated by a number of molecules and signaling pathways that control their cell fate choices, survival and proliferation rates. It was believed that growth and morphogenic factors were the unique mediators that controlled NSCs in vivo. Recently, chemokines and cytokines have been identified as important regulators of NSCs functions. Some of the most studied immunological effectors are leukemia inhibitory factor (LIF), ciliary neurotrophic factor (CNTF), interferon-gamma (IFN-gamma), insulin-like growth factor-1 (IGF-1), tumor necrosis factor alpha (TNF-alpha), and the chemokines MCP-1 and SDF-1. These substances exert a considerable regulation on proliferation, cell-fate choices, migration and survival of NSCs. Hence, the immune system is emerging as an important regulator of neurogenic niches in the adult brain, but further studies are necessary to fully establish the biological meaning of these neural effects. PMID- 21037938 TI - How did the Elimination of the Earnings Test above the Normal Retirement Age affect Retirement Expectations? AB - We look at the effect of the 2000 repeal of the earnings test above the normal retirement age on retirement expectations of workers in the Health and Retirement Study, aged 51 to 61 in 1992. For men, we find that those whose marginal wage rate increased when the earnings test was repealed, had the largest increase in the probability to work full-time past normal retirement age. We do not find significant evidence of effects of the repeal of the earnings test on the probability to work past age 62 or the expected claiming age. On the other hand, for those reaching the normal retirement age, deviations between the age at which Social Security benefits are actually claimed and the previously reported expected age are more negative in 2000 than in 1998. Since our calculations show that the tax introduced by the earnings test was small when accounting for actuarial benefit adjustments and differential mortality, our results suggest that although male workers form expectations in a way consistent with forward looking behavior, they misperceive the complicated rules of the earnings test. Results for females suggest similar patterns but estimates are imprecise. PMID- 21037939 TI - Financial Structure and Economic Welfare: Applied General Equilibrium Development Economics. AB - This review provides a common framework for researchers thinking about the next generation of micro-founded macro models of growth, inequality, and financial deepening, as well as direction for policy makers targeting microfinance programs to alleviate poverty. Topics include treatment of financial structure general equilibrium models: testing for as-if-complete markets or other financial underpinnings; examining dual-sector models with both a perfectly intermediated sector and a sector in financial autarky, as well as a second generation of these models that embeds information problems and other obstacles to trade; designing surveys to capture measures of income, investment/savings, and flow of funds; and aggregating individuals and households to the level of network, village, or national economy. The review concludes with new directions that overcome conceptual and computational limitations. PMID- 21037941 TI - Marginal longitudinal semiparametric regression via penalized splines. AB - We study the marginal longitudinal nonparametric regression problem and some of its semiparametric extensions. We point out that, while several elaborate proposals for efficient estimation have been proposed, a relative simple and straightforward one, based on penalized splines, has not. After describing our approach, we then explain how Gibbs sampling and the BUGS software can be used to achieve quick and effective implementation. Illustrations are provided for nonparametric regression and additive models. PMID- 21037942 TI - Combining an Internal Pilot with an Interim Analysis for Single Degree of Freedom Tests. AB - An internal pilot with interim analysis (IPIA) design combines interim power analysis (an internal pilot) with interim data analysis (two stage group sequential). We provide IPIA methods for single df hypotheses within the Gaussian general linear model, including one and two group t tests. The design allows early stopping for efficacy and futility while also re-estimating sample size based on an interim variance estimate. Study planning in small samples requires the exact and computable forms reported here. The formulation gives fast and accurate calculations of power, type I error rate, and expected sample size. PMID- 21037943 TI - Spatial Mixture Modelling for Unobserved Point Processes: Examples in Immunofluorescence Histology. AB - We discuss Bayesian modelling and computational methods in analysis of indirectly observed spatial point processes. The context involves noisy measurements on an underlying point process that provide indirect and noisy data on locations of point outcomes. We are interested in problems in which the spatial intensity function may be highly heterogenous, and so is modelled via flexible nonparametric Bayesian mixture models. Analysis aims to estimate the underlying intensity function and the abundance of realized but unobserved points. Our motivating applications involve immunological studies of multiple fluorescent intensity images in sections of lymphatic tissue where the point processes represent geographical configurations of cells. We are interested in estimating intensity functions and cell abundance for each of a series of such data sets to facilitate comparisons of outcomes at different times and with respect to differing experimental conditions. The analysis is heavily computational, utilizing recently introduced MCMC approaches for spatial point process mixtures and extending them to the broader new context here of unobserved outcomes. Further, our example applications are problems in which the individual objects of interest are not simply points, but rather small groups of pixels; this implies a need to work at an aggregate pixel region level and we develop the resulting novel methodology for this. Two examples with with immunofluorescence histology data demonstrate the models and computational methodology. PMID- 21037944 TI - PAFc, a key player in MLL-rearranged leukemogenesis. AB - Recent studies identified an interaction between the Polymerase Associated Factor complex (PAFc) and Mixed Lineage Leukemia (MLL), including MLL-rearranged oncoproteins. This interaction is critical for MLL transcriptional activity and MLL-rearranged leukemogenesis. Here, we discuss the potential molecular role of the PAFc in transcriptional dysregulation of MLL target genes and the interplay between PAFc and MLL-rearranged oncoproteins in leukemogenesis. PMID- 21037945 TI - Binding and Selectivity of Halides with Macrocyclic polyamines. AB - This review covers the binding and selectivity aspects of halide anions in positively charged polyammonium hosts including monocyclic, bicyclic and tricyclic systems. The binding affinity and selectivity of host molecules for halides are largely depended on the shape, charges, and ring size of the host molecules. In general, a monocycle that has a flexible cavity binds an anion from both side, however a bicyclic or tricyclic molecule tends to bind a single anion in its cavity. PMID- 21037946 TI - Chlorophyll Catabolites - Chemical and Structural Footprints of a Fascinating Biological Phenomenon. AB - Twenty years ago, the molecular basis for the seasonal disappearance of chlorophyll was still enigmatic. In the meantime, our knowledge on chlorophyll breakdown has grown considerably. As outlined here, it has been possible to decipher the basic transformations involved in natural chlorophyll breakdown by identification of chlorophyll catabolites in higher plants, and with the help of the synthesis of (putative) catabolic intermediates. In vascular plants, chlorophyll breakdown typically converts the green plant pigments efficiently into colorless and non-fluorescent tetrapyrroles. It involves colored intermediates only fleetingly and in an (elusive) enzyme-bound form. The non fluorescent chlorophyll catabolites accumulate in the vacuoles of degreened leaves and are considered the products, primarily, of a detoxification process. However, they are effective antioxidants, and may thus also have physiologically beneficial chemical properties.((c) Wiley-VCH Verlag GmbH & Co. KGaA, 69451 Weinheim, Germany, 2009). PMID- 21037947 TI - The Substance Abuse Treatment Workforce of South Africa. AB - The purpose of this paper is to describe characteristics of substance abuse treatment counselors in the Republic of South Africa, including demographics, education, training, and job duties. Counselors recruited from 24 treatment centers completed a survey after signing informed consent. Counselors were primarily female (75%), racially diverse (36.4% White, 30.8% Black, 18.9% Coloured, 12.6% Indian or Asian, and 1.4% Cape Malay), and were 38 years old on average. The majority (62.3%) held at least an equivalent of a bachelor's degree, and just under half (49%) were registered social workers. Counselors had a mean of 5.3 years' experience in substance abuse treatment. The substance abuse treatment workforce of South Africa appears to be young and educated, yet only one third of the counselors had any formal training in Cognitive Behavioral Therapy. South African counselors could benefit from more training in evidence based techniques. PMID- 21037948 TI - Preparation and Properties of Nanoparticles of Calcium Phosphates With Various Ca/P Ratios. AB - This study aimed at preparing and studying the properties of nanoparticles of calcium phosphate (nCaP) with Ca/P ratios ranging from 1.0 to 1.67 using a spray drying technique. Micro-structural analyses suggested that the nCaPs with Ca/P ratios of 1.67 to 1.33 were nano-sized amorphous calcium phosphate (ACP) containing varying amounts of acid phosphate and carbonate. The nCaP with Ca/P ratio of 1 contained only nano-sized low crystalline dicalcium phosphate (DCP). BET measurements of the nCaPs showed specific surface areas of (12 +/- 2 to 50 +/ 1) m(2)/g, corresponding to estimated equivalent spherical diameters of (38 to 172) nm. However, dynamic light scattering measurements revealed much larger particles of (380 +/- 49 to 768 +/- 111) nm, owing to agglomeration of the smaller primary nano particles as revealed by Scanning Electron Microscopy (SEM). Thermodynamic solubility measurements showed that the nCaPs with Ca/P ratio of 1.33 - 1.67 all have similar solubility behavior. The materials were more soluble than the crystalline hydroxyapatite (HA) at pH greater than about 4.7, and more soluble than beta-tricalcium phosphate (beta-TCP), octacalcium phosphate (OCP) and DCP at pH above 5.5. Their solubility approached that of alpha-tricalcium phosphate (alpha-TCP) at about pH 7. These nCaPs, which cannot be readily prepared by other currently available methods for nanoparticle preparation, have potential biomedical applications. PMID- 21037950 TI - Position and Orientation Distributions for Non-Reversal Random Walks using Space Group Fourier Transforms. AB - This paper presents an efficient group-theoretic approach for computing the statistics of non-reversal random walks (NRRW) on lattices. These framed walks evolve on proper crystallographic space groups. In a previous paper we introduced a convolution method for computing the statistics of NRRWs in which the convolution product is defined relative to the space-group operation. Here we use the corresponding concept of the fast Fourier transform for functions on crystallographic space groups together with a non-Abelian version of the convolution theorem. We develop the theory behind this technique and present numerical results for two-dimensional and three-dimensional lattices (square, cubic and diamond). In order to verify our results, the statistics of the end-to end distance and the probability of ring closure are calculated and compared with results obtained in the literature for the random walks for which closed-form expressions exist. PMID- 21037951 TI - Effects of Addition of Mannitol Crystals on the Porosity and Dissolution Rates of a Calcium Phosphate Cement. AB - The bone defect repair functions of calcium phosphate cement (CPC) are related to its osteoconductivity and its gradual replacement by new bone. Adding mannitol to CPC may enhance its bone repair potential by increasing CPCs macroporosity and dissolution rate. The objective of the study was to assess microporosity and macroporosity and dissolution rates for CPC mixed with mannitol. Three groups of CPC discs were prepared by combining an equimolar mixture of tetracalcium phosphate and anhydrous dicalcium phosphate with (0 %, 10 %, or 50 %) mass fraction (hereafter expressed as mass %) of mannitol. Macroporosity and microporosity of the samples were calculated from volume and mass measurements of the discs. Discs were then placed in a pH 3.0 demineralizing solution simulating acidified physiological solution, and dissolution rates were measured by a previously described constant-composition titration method. Pure CPC exhibited no macropores and microporosity (mean +/- s.d.; n = 5) of (46.8 +/- 0.8) % volume fraction (hereafter expressed as vol %). Adding 10 mass % mannitol resulted in 15.6 +/- 3.9 vol % macroporosity and 39.4 +/- 1.8 vol % microporosity, and adding 50 mass % mannitol produced 54.7 +/- 0.8 vol % macroporosity and 21.1 +/- 0.4 vol % microporosity. The dissolution rates (mean +/- s.d.; n = 5) of CPC with (0, 10, and 50) mass % mannitol incorporation were (30.6 +/- 3.4, 44.8 +/- 10.2, and 54.7 +/- 3.6, respectively) MUg . cm(-2) . min(-1), or (0.018 +/- 0.002, 0.032 +/- 0.007, and 0.072 +/- 0.005, respectively) MUL . cm(-2) . min(-1). Adding either 10 mass % or 50 mass % mannitol into CPC significantly (p < 0.05) increased CPC dissolution rates. Adding mannitol readily increased macroporosity and dissolution rate of CPC, which may enhance the capacity of CPC to be osteoconductive. PMID- 21037949 TI - Interleukin-12: an update on its immunological activities, signaling and regulation of gene expression. AB - Interleukin-12 (IL-12) is a heterodimeric cytokine composed of the p35 and p40 subunits. It is produced by antigen-presenting cells and plays a critical role in host defense against intracellular microbial infection and control of malignancy via its ability to stimulate both innate and adaptive immune effector cells. The potency of IL-12 renders itself to stringent regulation of the timing, locality and magnitude of its production during an immune response. Subversion of the delicate control and balance frequently leads to immunologic disorders. In this article, we provide an update, since our last review of the subject four years ago, on recent advances in: (1) uncovering of novel activities of IL-12 and related molecules in various immunological settings and models; and (2) dissection of the physiological pathways involved in the modulation of IL-12 production by pathogens and immune regulators. The increased understanding of IL 12 immunobiology and expression will likely benefit the development of therapeutic modalities to correct immune dysfunctions. PMID- 21037953 TI - Modeling the Adaptive Role of Negative Signaling in Honey Bee Intraspecific Competition. AB - Collective decision making in the social insects often proceeds via feedback cycles based on positive signaling. Negative signals have, however, been found in a few contexts in which costs exist for paying attention to no longer useful information. Here we incorporate new research on the specificity and context of the negative stop signal into an agent based model of honey bee foraging to explore the adaptive basis of negative signaling in the dance language. Our work suggests that the stop signal, by acting as a counterbalance to the waggle dance, allows colonies to rapidly shut down attacks on other colonies. This could be a key adaptation, as the costs of attacking a colony strong enough to defend itself are significant. ELECTRONIC SUPPLEMENTARY MATERIAL: The online version of this article (doi:10.1007/s10905-010-9229-5) contains supplementary material, which is available to authorized users. PMID- 21037952 TI - MYC Inactivation Elicits Oncogene Addiction through Both Tumor Cell-Intrinsic and Host-Dependent Mechanisms. AB - Tumorigenesis is generally caused by genetic changes that activate oncogenes or inactivate tumor suppressor genes. The targeted inactivation of oncogenes can be associated with tumor regression through the phenomenon of oncogene addiction. One of the most common oncogenic events in human cancer is the activation of the MYC oncogene. The inactivation of MYC may be a general and effective therapy for human cancer. Indeed, it has been experimentally shown that the inactivation of MYC can result in dramatic and sustained tumor regression in lymphoma, leukemia, osteosarcoma, hepatocellular carcinoma, squamous carcinoma, and pancreatic carcinoma through a multitude of mechanisms, including proliferative arrest, terminal differentiation, cellular senescence, induction of apoptosis, and the shutdown of angiogenesis. Cell-autonomous and cell-dependent mechanisms have both been implicated, and recent results suggest a critical role for autocrine factors, including thrombospondin-1 and TGF-beta. Hence, targeting the inactivation of MYC appears to elicit oncogene addiction and, thereby, tumor regression through both tumor cell-intrinsic and host-dependent mechanisms. PMID- 21037954 TI - What is the benefit of a high-intensive exercise program on health-related quality of life and depression after stroke? A randomized controlled trial. AB - The aim of the study was to evaluate the impact of a high-intensive exercise program containing high-intensive functional exercises implemented to real-life situations together with group discussions on falls and security aspects in stroke subjects with risk of falls. This was a pre-specified secondary outcome for this study. For evaluation, Short Form-36 (SF-36) health-related quality of life (HRQoL) and the Geriatric Depression Scale-15 (GDS-15) were used. This was a single-center, single-blinded, randomized, controlled trial. Consecutive >55 years old stroke patients with risk of falls at 3-6 months after first or recurrent stroke were randomized to the intervention group (IG, n = 15) or to the control group (CG, n = 19) who received group discussion with focus on hidden dysfunctions but no physical fitness training. The 5-week high-intensive exercise program was related to an improvement in the CG in the SF-36 Mental Component Scale and the Mental Health subscale at 3 months follow-up compared with baseline values while no improvement was seen in the IG at this time. For the SF-36 Physical Component Scale, there was an improvement in the whole study group at 3 and 6 months follow-up compared with baseline values without any significant changes between the IG and CG. The GDS-15 was unchanged throughout the follow-up period for both groups. Based on these data, it is concluded that high-intensive functional exercises implemented in real-life situations should also include education on hidden dysfunctions after stroke instead of solely focus on falls and safety aspects to have a favorable impact on HRQoL. PMID- 21037955 TI - Fatigue in patients with COPD participating in a pulmonary rehabilitation program. AB - BACKGROUND: Fatigue is a distressing, complex, multidimensional sensation common in individuals with chronic obstructive pulmonary disease (COPD). While fatigue negatively impacts functional performance and quality of life, there has been little study of the fatigue that affects participants in pulmonary rehabilitation programs. The purpose of this study was to examine the emotional, behavioral, cognitive, and physical dimensions of fatigue and their relationships to dyspnea, mental health, sleep, and physiologic factors. PATIENTS AND METHODS: A convenience sample of 42 pulmonary rehabilitation participants with COPD completed self-report questionnaires which measured dimensions of fatigue using the Multidimensional Fatigue Inventory, anxiety and depression using the Hospital Anxiety and Depression Scale, and sleep quality using the Pittsburgh Sleep Quality Index. Data on other clinical variables were abstracted from pulmonary rehabilitation program health records. RESULTS: Almost all (95.3%) participants experienced high levels of physical fatigue. High levels of fatigue were also reported for the dimensions of reduced activity (88.1%), reduced motivation (83.3%), mental fatigue (69.9%), and general fatigue (54.5%). Close to half (42.9%) of participants reported symptoms of anxiety, while almost one quarter (21.4%) reported depressive symptoms. Age was related to the fatigue dimensions of reduced activity (rho = 0.43, P < 0.01) and reduced motivation (rho = 0.31, P < 0.05). Anxiety was related to reduced motivation (rho = -0.47, P < 0.01). Fatigue was not associated with symptoms of depression, sleep quality, gender, supplemental oxygen use, smoking status, or Medical Research Council dyspnea scores. CONCLUSIONS: Fatigue (particularly the physical and reduced motivation dimensions of fatigue) was experienced by almost all participants with COPD attending this pulmonary rehabilitation program. Fatigue affected greater proportions of participants than either anxiety or depression. The high prevalence of fatigue may impact on enrolment, participation, and attrition in pulmonary rehabilitation programs. Further investigation of the nature, correlates, and impact of fatigue in this population is required. PMID- 21037956 TI - Assessment of airway inflammation using sputum, BAL, and endobronchial biopsies in current and ex-smokers with established COPD. AB - RATIONALE: Smoking effects on physiological and gross pathology in chronic obstructive pulmonary disease (COPD) are relatively well described. However, there is little known in COPD about the detailed interrelationships between lung function and inflammatory profiles in different airway compartments from the same individual and whether airway inflammation in these different compartments differs in ex- and current smokers with established COPD. OBJECTIVES: We compared sputum, bronchoalveolar (BAL), and airway wall inflammatory profiles in current versus ex-smokers and related this to smoking intensity and lung function in 17 current and 17 ex-smokers with mild to moderate COPD. RESULTS: Current smokers had more sputum mast cells (% differential and absolute numbers), whereas ex smokers had increased sputum neutrophils. In BAL, there was a significant increase in eosinophils in current smokers, but ex-smokers had significantly increased neutrophils, lymphocytes, and epithelial cells. There were no cell profile differences observed in airway biopsies between current and ex-smokers and there were no correlations between the individual inflammatory cell populations in any of the airway compartments. In current smokers only, smoking intensity was negatively correlated with lung function, and associated with a reduction in overall cellularity of both sputum and BAL. CONCLUSION: Airway inflammation persists in ex-smokers with COPD, but differs from COPD current smokers. The impact of smoking appears to vary in different airway compartments and any direct relationships between cellularity and lung function tended to be negative, ie, worse lung function indicated the presence of fewer cells. PMID- 21037957 TI - Interleukin-6 and airflow limitation in chemical warfare patients with chronic obstructive pulmonary disease. AB - OBJECTIVES: Chronic obstructive pulmonary disease (COPD) is one of the main late complications of sulfur mustard poisoning. The aim of this study was to evaluate serum levels of interleukin (IL)-6 in war veterans with pulmonary complications of sulfur mustard poisoning and their correlation with severity of airways disease. METHODS: Fifty consecutive patients with sulfur mustard poisoning and stable COPD, and of mean age 46.3 +/- 9.18 years were enrolled in this study. Thirty healthy men were selected as controls and matched to cases by age and body mass index. Spirometry, arterial blood gas, six- minute walk test, BODE (body mass index, obstruction, dyspnea, and exercise capacity), and St George's Respiratory Questionnaire about quality of life were evaluated. Serum IL-6 was measured in both patient and control groups. RESULTS: Fifty-four percent of patients had moderate COPD. Mean serum IL-6 levels were 15.01 +/- standard deviation (SD) 0.61 pg/dL and 4.59 +/- 3.40 pg/dL in the case and control groups, respectively (P = 0.03). There was a significant correlation between IL-6 levels and Global Initiative for Chronic Obstructive Lung Disease stage (r = 0.25, P = 0.04) and between IL-6 and BODE index (r = 0.38, P = 0.01). There was also a significant negative correlation between serum IL-6 and forced expiratory volume in one second (FEV(1), r = -0.36, P = 0.016). CONCLUSION: Our findings suggest that serum IL-6 is increased in patients with sulfur mustard poisoning and COPD, and may have a direct association with airflow limitation. PMID- 21037958 TI - Direct costs of chronic obstructive pulmonary disease among managed care patients. AB - PURPOSE: To estimate patient- and episode-level direct costs of chronic obstructive pulmonary disease (COPD) among commercially insured patients in the US. METHODS: In this retrospective claims-based analysis, commercial enrollees with evidence of COPD were grouped into five mutually exclusive cohorts based on the most intensive level of COPD-related care they received in 2006, ie, outpatient, urgent outpatient (outpatient care in addition to a claim for an oral corticosteroid or antibiotic within seven days), emergency department (ED), standard inpatient admission, and intensive care unit (ICU) cohorts. Patient- level COPD-related annual health care costs, including patient- and payer-paid costs, were compared among the cohorts. Adjusted episode-level costs were calculated. RESULTS: Of the 37,089 COPD patients included in the study, 53% were in the outpatient cohort, 37% were in the urgent outpatient cohort, 3% were in the ED cohort, and the standard admission and ICU cohorts together comprised 6%. Mean (standard deviation, SD) annual COPD-related health care costs (2008 US$) increased across the cohorts (P < 0.001), ranging from $2003 ($3238) to $43,461 ($76,159) per patient. Medical costs comprised 96% of health care costs for the ICU cohort. Adjusted mean (SD) episode-level costs were $305 ($310) for an outpatient visit, $274 ($336) for an urgent outpatient visit, $327 ($65) for an ED visit, $9745 ($2968) for a standard admission, and $33,440 for an ICU stay. CONCLUSION: Direct costs of COPD-related care for commercially insured patients are driven by hospital stays with or without ICU care. Exacerbation prevention resulting in reduced need for inpatient care could lower costs. PMID- 21037959 TI - COPD is frequent in conditions of comorbidity in patients treated with various diseases in a university hospital. AB - BACKGROUND: Chronic obstructive pulmonary disease (COPD) is one of the leading causes of death and loss of disability-adjusted life-years. However, many COPD patients are not diagnosed because of underrecognition or underdiagnosis of this disease among many patients and physicians. One possible reason is underrecognition of spirometry. In this study, we examined the prevalence of airflow limitation and underlying disease in patients with airflow limitation. METHODOLOGY: From April 2006 to March 2008, patients who had spirometry performed were examined. The original disease of patients, pulmonary function tests, smoking status, and respiratory symptoms were surveyed from their medical records. RESULTS: Of all patients who had spirometry performed, 15.8% showed airflow limitation (FEV(1)/FVC < 0.7). A variety of diseases were observed in patients with airflow limitation. Among all diseases, cardiovascular disease was the highest and gastrointestinal malignant disease had the second highest prevalence in patients with airflow limitation. CONCLUSION: COPD might be frequent in conditions of comorbidity in patients treated for various diseases. Attention should be paid to the possibility of co-existence of COPD and the influence of COPD on these patients. PMID- 21037960 TI - Budesonide/formoterol combination in COPD: a US perspective. AB - Chronic obstructive pulmonary disease (COPD) is a preventable and treatable disease of the lung caused primarily by exposure to cigarette smoke. Clinically, it presents with progressive cough, sputum production, dyspnea, reduced exercise capacity, and diminished quality of life. Physiologically, it is characterized by the presence of partially reversible expiratory airflow limitation and hyperinflation. Pathologically, COPD is a multicomponent disease characterized by bronchial submucosal mucous gland hypertrophy, bronchiolar mucosal hyperplasia, increased luminal inflammatory mucus, airway wall inflammation and scarring, and alveolar wall damage and destruction. Management of COPD involves both pharmacological and nonpharmacological approaches. Bronchodilators and inhaled corticosteroids are recommended medications for management of COPD especially in more severe disease. Combination therapies containing these medications are now available for the chronic management of stable COPD. The US Food and Drug Administration, recently, approved the combination of budesonide/formoterol (160/4.5 MUg; SymbicortTM, AstraZeneca, Sweden) delivered via a pressurized meter dose inhaler for maintenance management of stable COPD. The combination also is delivered via dry powder inhaler (SymbicortTM and TurbuhalerTM, AstraZeneca, Sweden) but is not approved for use in the United States. In this review, we evaluate available data of the efficacy and safety of this combination in patients with COPD. PMID- 21037961 TI - An Enantioselective Approach to the Securinega Alkaloids: The Total Synthesis of (+)-Norsecurinine and (+)-Allonorsecurinine. PMID- 21037962 TI - Phase equilibrium for surfactant Ls-54 in liquid CO(2) with water and solubility estimation using the Peng-Robinson equation of state. AB - It is known that the commercial surfactant Dehypon(r) Ls-54 is soluble in supercritical CO(2) and that it enables formation of water-in-CO(2) microemulsions. In this work we observed phase equilibrium for the Ls-54/CO(2) and Ls-54/water/CO(2) systems in the liquid CO(2) region, from 278.15 - 298.15 K. In addition, the Peng-Robinson equation of state (PREOS) was used to model the phase behavior of Ls-54/CO(2) binary system as well as to estimate water solubilities in CO(2). Ls-54 in CO(2) can have solubilities as high as 0.086 M at 278.15 K and 15.2 MPa. The stability of the microemulsion decreases with increasing concentration of water, and lower temperatures favor increased solubility of water into the one-phase microemulsion. The PREOS model showed satisfactory agreement with the experimental data for both Ls-54/CO(2) and water/CO(2) systems. PMID- 21037963 TI - Identifying the combinatorial effects of histone modifications by association rule mining in yeast. AB - Eukaryotic genomes are packaged into chromatin by histone proteins whose chemical modification can profoundly influence gene expression. The histone modifications often act in combinations, which exert different effects on gene expression. Although a number of experimental techniques and data analysis methods have been developed to study histone modifications, it is still very difficult to identify the relationships among histone modifications on a genome-wide scale.We proposed a method to identify the combinatorial effects of histone modifications by association rule mining. The method first identified Functional Modification Transactions (FMTs) and then employed association rule mining algorithm and statistics methods to identify histone modification patterns. We applied the proposed methodology to Pokholok et al's data with eight sets of histone modifications and Kurdistani et al's data with eleven histone acetylation sites. Our method succeeds in revealing two different global views of histone modification landscapes on two datasets and identifying a number of modification patterns some of which are supported by previous studies.We concentrate on combinatorial effects of histone modifications which significantly affect gene expression. Our method succeeds in identifying known interactions among histone modifications and uncovering many previously unknown patterns. After in-depth analysis of possible mechanism by which histone modification patterns can alter transcriptional states, we infer three possible modification pattern reading mechanism ('redundant', 'trivial', 'dominative'). Our results demonstrate several histone modification patterns which show significant correspondence between yeast and human cells. PMID- 21037964 TI - A Clustering Optimization Strategy for Molecular Taxonomy Applied to Planktonic Foraminifera SSU rDNA. AB - Identifying species is challenging in the case of organisms for which primarily molecular data are available. Even if morphological features are available, molecular taxonomy is often necessary to revise taxonomic concepts and to analyze environmental DNA sequences. However, clustering approaches to delineate molecular operational taxonomic units often rely on arbitrary parameter choices. Also, distance calculation is difficult for highly alignment-ambiguous sequences. Here, we applied a recently described clustering optimization method to highly divergent planktonic foraminifera SSU rDNA sequences. We determined the distance function and the clustering setting that result in the highest agreement with morphological reference data. Alignment-free distance calculation, when adapted to the use with partly non-homologous sequences caused by distinct primer pairs, outperformed multiple sequence alignment. Clustering optimization offers new perspectives for the barcoding of species diversity and for environmental sequencing. It bridges the gap between traditional and modern taxonomic disciplines by specifically addressing the issue of how to optimally account for both genetic divergence and given species concepts. PMID- 21037965 TI - All-day recordings to investigate vocabulary development: A case study of a trilingual toddler. AB - Major innovations are becoming available for research in language development and disorders. Among these innovations, recent tools allow naturalistic recording in children's homes and automated analysis to facilitate representative sampling. The present study employed all-day recordings during the second year of life in a child exposed to three languages, using a fully-wearable battery-powered recorder, with automated analysis to locate appropriate time periods for coding. This method made representative sampling possible, and afforded the opportunity for a case study indicating that language spoken directly to the child had dramatically more effect on vocabulary learning than audible language not spoken to the child, as indicated by chi-square analyses of the child's verbal output and input in each of the languages. The work provides perspective on the role of learning words by overhearing in childhood, and suggests the value of representative naturalistic sampling as a means of research on vocabulary acquisition. PMID- 21037966 TI - Anti-GD2 Strategy in the Treatment of Neuroblastoma. AB - The prognosis for advanced neuroblastoma remains poor with high risk of recurrence after consolidation. Therapies based on monoclonal antibodies that specifically target disialoganglioside GD2 on tumor cells are improving treatment results for high-risk neuroblastoma. This article reviews the use of anti-GD2 antibodies either as monotherapy or as part of a larger and more complex treatment approach for advanced neuroblastoma. We review how anti-GD2 antibodies can be combined with other treatments or strategies to enhance their clinical effects. Tumor resistance and other problems that decrease the efficacy of anti GD2 antibodies are discussed. Future developments in the area of anti-GD2 immunotherapies for neuroblastoma are also addressed. PMID- 21037968 TI - The usefulness of submaximal exercise gas exchange in pulmonary arterial hypertension: a case series. AB - INTRODUCTION: Submaximal exercise gas exchange may be a useful tool to track responses to therapy in pulmonary arterial hypertension (PAH) patients. METHODS: Three patients diagnosed with idiopathic PAH, on differing therapies, were included. Standard clinical tests (echocardiography; 6 minute walk) were performed pre and 3-5 months after treatment. Gas exchange was measured during 3 minutes of step exercise at both time points. RESULTS: Gas exchange variables, end tidal CO(2) (P(ET)CO(2)) and the ratio of ventilation to CO(2) production (V(E)/VCO(2)), during submaximal exercise were able to track patient responses to therapy over a 3-5 month period. Two patients demonstrated positive improvements, with an increased P(ET)CO(2) and decreased V(E)/VCO(2) during light exercise, in response to an altered therapeutic regime. The third patient had a worsening of gas exchange (decreased P(ET)CO(2) and increased V(E)/VCO(2)) following no changes in the medical regime from the baseline visit. CONCLUSION: Gas exchange variables measured during light submaximal exercise, such as P(ET)CO(2) and V(E)/VCO(2), may be able to better detect small changes in functional status following treatment and could, therefore, be a useful tool to track disease severity in PAH patients. Further study is required to determine the clinical usefulness of these gas exchange variables. PMID- 21037967 TI - CEREBRAL AMYLOID ANGIOPATHY AND ALZHEIMER'S DISEASE. AB - Cerebral amyloid angiopathy (CAA) is increasingly recognized as a major contributor of Alzheimer's disease (AD) pathogenesis. To date, vascular deposits and not parenchymal plaques appear more sensitive predictors of dementia. Amyloid deposition in and around cerebral blood vessels plays a central role in a series of response mechanisms that lead to changes in the integrity of the blood-brain barrier, extravasations of plasma proteins, edema formation, release of inflammatory mediators and matrix metalloproteases which, in turn, produce partial degradation of the basal lamina with the potential to develop hemorrhagic complications. The progressive buildup of amyloid deposits in and around blood vessels chronically limits blood supply and causes focal deprivation of oxygen, triggering a secondary cascade of metabolic events several of which involve the generation of nitrogen and oxygen free radicals with consequent oxidative stress and cell toxicity. Many aspects of CAA in early- and late-onset AD -the special preference of Abeta40 to deposit in the vessel walls, the favored vascular compromise associated with many Abeta genetic variants, the puzzling observation that some of these vasculotropic variants solely manifest with recurrent hemorrhagic episodes while others are mainly associated with dementia- await clarification. Non-Abeta cerebral amyloidoses reinforce the viewpoint that plaque burden is not indicative of dementia while highlighting the relevance of nonfibrillar lesions and vascular involvement in the disease pathogenesis. The lessons learned from the comparative study of Abeta and non-Abeta cerebral amyloidosis provide new avenues and alternative models to study the role of amyloid in the molecular basis of neurodegeneration. PMID- 21037969 TI - PYROGLUTAMATE FORMATION AT THE N-TERMINI OF ABRI MOLECULES IN FAMILIAL BRITISH DEMENTIA IS NOT RESTRICTED TO THE CENTRAL NERVOUS SYSTEM. AB - Amyloid molecules harboring pyroglutamate (pGlu) residue at the N-termini are considered to be important for the development of cerebral amyloidosis such as Alzheimer's disease and thought to be either spontaneously generated or being catalyzed by glutaminyl cyclase. Familial British dementia (FBD) is an autosomal dominant form of dementia neuropathologically characterized by parenchymal amyloid and preamyloid deposits, extensive cerebral amyloid angiopathy, and neurofibrillary tangles. FBD is caused by a stop to Arg mutation in the BRI2 gene, generating de novo created amyloid molecule ABri which accumulates in FBD brains but is not present in the normal population. Soluble ABri molecules present in the circulation of carriers of the BRI2 mutation are 34 amino acids long exclusively harboring Glu residue at the N-termini (ABri1-34E), whereas water- and formic acid-soluble ABri molecules extracted from FBD brains have abundant ABri species bearing pGlu residue (ABri1-34pE), suggesting that pyroglutamate formation occurs at the site of deposition. In order to further clarify the mechanism (s) of ABri deposition, we studied whether pyroglutamate formation indeed occurs outside the central nervous system taking advantage that FBD is also a systemic amyloidosis. Soluble and fibrillar ABri molecules extracted from systemic organs and analyzed biochemically using a combination of immunoprecipitation, mass spectrometry, and western blot analysis were oligomeric in size and contained a large proportion of ABri1-34pE. The data indicate that pyroglutamate formation at the N-termini of ABri molecules is an early step in the process of FBD amyloid deposition, and its formation is not restricted to the central nervous system. PMID- 21037970 TI - Differentiating Speech Sound Disorders From Phonological Dialect Differences: Implications for Assessment and Intervention. PMID- 21037971 TI - FoxO1 and hepatic lipid metabolism. AB - PURPOSE OF REVIEW: This review summarizes recent research implicating Forkhead box (Fox)O1, a key transcription factor in glucose metabolism, in the regulation of hepatic lipid metabolism. Insulin dysregulation leading to hypertriglyceridemia is associated with increased hepatic VLDL secretion. FoxO1 is integrated in action with other regulatory factors in VLDL metabolism. The role of FoxO1 is defined in context of recent controversies. RECENT FINDINGS: FoxO1 regulates transcription of microsomal triglyceride transfer protein and apolipoprotein (apo)CIII involved in hepatic assembly and postsecretory catabolism of VLDL. Insulin activation of Akt leads to the phosphorylation of FoxO1 with nuclear exclusion and loss of transcriptional activity. Reduced insulin action increases FoxO1 activity and induces microsomal triglyceride transfer protein favoring VLDL assembly and induces apoCIII reducing peripheral triglyceride catabolism. This new mechanistic link between insulin resistance and VLDL overproduction and hypertriglyceridemia compounds effects of other known VLDL regulatory factors. SUMMARY: This review highlights recent advances in research of insulin regulation of hepatic VLDL metabolism. Formation of VLDL requires lipid, apoB structural protein, and microsomal triglyceride transfer protein. FoxO1 is a major factor in hepatic microsomal triglyceride ransfer protein regulation. A unifying hypothesis is presented linking regulation of the three necessary hepatic components for VLDL assembly with insulin action and insulin resistance. PMID- 21037972 TI - Two Photon Polymerization-Micromolding of Polyethylene Glycol-Gentamicin Sulfate Microneedles. AB - The use of microneedles for transdermal drug delivery is limited due to the risk of infection associated with formation of channels through the stratum corneum layer of the epidermis. The risk of infection associated with use of microneedles may be reduced by imparting these devices with antimicrobial properties. In this study, a photopolymerization-micromolding technique was used to fabricate microneedle arrays from a photosensitive material containing polyethylene glycol 600 diacrylate, gentamicin sulfate, and a photoinitiator. Scanning electron microscopy indicated that the photopolymerization-micromolding process produced microneedle arrays that exhibited good microneedle-to-microneedle uniformity. An agar plating assay revealed that microneedles fabricated with polyethylene glycol 600 diacrylate containing 2 mg mL(-1) gentamicin sulfate inhibited growth of Staphylococcus aureus bacteria. Scanning electron microscopy revealed no platelet aggregation on the surfaces of platelet rich plasma-exposed undoped polyethylene glycol 600 diacrylate microneedles and gentamicin-doped polyethylene glycol 600 diacrylate microneedles. These efforts will enable wider adoption of microneedles for transdermal delivery of pharmacologic agents. PMID- 21037973 TI - Corrigendum to "Polymorphisms within human cytomegalovirus chemokine (UL146/UL147) and cytokine receptor genes (UL144) are not predictive of sequelae in congenitally infected children" [Virology 378 (2008) 86-96]. PMID- 21037974 TI - Maternal Expectations for Toddlers' Reactions to Novelty: Relations of Maternal Internalizing Symptoms and Parenting Dimensions to Expectations and Accuracy of Expectations. AB - OBJECTIVE: Although maternal internalizing symptoms and parenting dimensions have been linked to reports and perceptions of children's behavior, it remains relatively unknown whether these characteristics relate to expectations or the accuracy of expectations for toddlers' responses to novel situations. DESIGN: A community sample of 117 mother-toddler dyads participated in a laboratory visit and questionnaire completion. At the laboratory, mothers were interviewed about their expectations for their toddlers' behaviors in a variety of novel tasks; toddlers then participated in these activities, and trained coders scored their behaviors. Mothers completed questionnaires assessing demographics, depressive and worry symptoms, and parenting dimensions. RESULTS: Mothers who reported more worry expected their toddlers to display more fearful behavior during the laboratory tasks, but worry did not moderate how accurately maternal expectations predicted toddlers' observed behavior. When also reporting a low level of authoritative-responsive parenting, maternal depressive symptoms moderated the association between maternal expectations and observed toddler behavior, such that, as depressive symptoms increased, maternal expectations related less strongly to toddler behavior. CONCLUSIONS: When mothers were asked about their expectations for their toddlers' behavior in the same novel situations from which experimenters observe this behavior, symptoms and parenting had minimal effect on the accuracy of mothers' expectations. When in the context of low authoritative responsive parenting, however, depressive symptoms related to less accurate predictions of their toddlers' fearful behavior. PMID- 21037975 TI - Chiral Photochemistry in a Confined Space: Torquoselective Photoelectrocyclization of Pyridones within an Achiral Hydrophobic Capsule. AB - Chiral induction during the photoelectrocyclization of pyridones included within octa acid (OA) capsule has been established. Chiral induction is brought about by a chiral auxiliary appended to the reactive pyridone moiety. Importantly, the same chiral auxiliary while ineffective in acetonitrile solution is found to be effective within the confined space of OA capsule. The diastereomeric excess of 92% obtained here is comparable only to that in solid state. OA capsule, we believe, provides restriction to the rotational motions of the reactant pyridone and chiral auxiliary and thus places the chiral auxiliary in a selective conformation with respect to the reactive pyridone part. A correlation between the position of the methyl group on the pyridone ring and diastereoselectivity was noted. Structures of the host-guest complexes were examined by (1)H NMR and the data was used to obtain preliminary information concerning the mechanism of chiral induction within the confined spaces of OA capsule. PMID- 21037976 TI - Possible use of CdTe detectors in kVp monitoring of diagnostic x-ray tubes. AB - It has been suggested that kVp of diagnostic X-ray devices (or maximal energy of x-ray photon spectra) should be monitored routinely; however a standardized noninvasive technique has yet to be developed and proposed. It is well known that the integral number of Compton scattered photons and the intensities of fluorescent x-ray lines registered after irradiation of some material by an x-ray beam are a function of the maximal beam energy. CdTe detectors have sufficient energy resolution to distinguish individual x-ray fluorescence lines and high efficiency for the photon energies in the diagnostic region. Our initial measurements have demonstrated that the different ratios of the integral number of Compton scattered photons and intensities of K and L fluorescent lines detected by CdTe detector are sensitive function of maximal photon energy and could be successfully applied for kVp monitoring. PMID- 21037978 TI - N-Myristoylated Phosphatidylethanolamine: Interfacial Behavior and Interaction with Cholesterol. AB - The interfacial packing behavior of N myristoyldimyristoylphosphatidylethanolamine (N-14:0 DMPE) and its interaction with cholesterol were characterized and compared to the behavior of dimyristoylphosphatidylethanolamine (DMPE) using an automated Langmuir type film balance. Surface pressure and surface potential were monitored as a function of lipid cross-sectional molecular area. N-14:0 DMPE exhibited two-dimensional (2D) phase transitions of a liquid-expanded to condensed nature at many temperatures in the 15-30 degrees C range, but isotherms showed only condensed behavior at 15 degrees C. The sharp decline in the surface compressional moduli upon entering the 2D-transition region is consistent with differences in the partial molar areas of coexisting liquid-expanded (chain-disordered) and condensed (chain ordered) phases. Including Ca(2+) in the subphase beneath the negatively charged N-14:0 DMPE caused a downward shift in the 2D-transition onset pressure even in the presence of 100 mM NaCl. The average dipole moments perpendicular to the lipid-water interface for N-14:0 DMPE's liquid-expanded and condensed phases were higher than those of DMPE. At surface pressures sufficiently low (<10 mN/m) to produce liquid-expanded phase behavior in pure N-14:0 DMPE, mixing with cholesterol resulted in a classic "condensing effect". Maximal area condensation was observed near equimolar N-14:0 DMPE/cholesterol. Insights into mixing behavior at high surface pressures that mimic the lipid cross-sectional areas of biomembranes were provided by analyzing the surface compressional moduli as a function of cholesterol mole fraction. Complex mixing patterns were observed that deviated significantly from theoretical ideal mixing behavior suggesting the presence of lipid "complexes" and/or a liquid-ordered phase at high sterol mole fractions (>0.35) and low to intermediate surface pressures (<20 mN/m) as well as the possible coexistence of relatively immiscible solid phases at higher surface pressures (e.g., 35 mN/m). PMID- 21037977 TI - The Guanine Nucleotide Exchange Factor Brx: A Link between Osmotic Stress, Inflammation and Organ Physiology and Pathophysiology. AB - Dehydration, and consequent intracellular hyperosmolarity, is a major challenge to land organisms, as it is associated with extraction of water from cells and disturbance of global cellular function. Organisms have thus developed a highly conserved regulatory mechanism that transduces the hyperosmolarity signal from the cell surface to the cell nucleus and adjusts the expression of cellular osmolarity-regulating genes. We recently found that the Rho-type guanine nucleotide exchange factor Brx, or AKAP13, is essential for osmotic stress stimulated expression of nuclear factor of activated T-cells 5 (NFAT5), a key transcription factor of intracellular osmolarity. It accomplishes this by first attracting cJun kinase (JNK)-interacting protein (JIP) 4 and then coupling activated Rho-type small G-proteins to cascade components of the p38 MAPK signaling pathway, ultimately activating NFAT5. We describe the potential implications of osmotic stress and Brx activation in organ physiology and pathophysiology and connect activation of this system to key human homeostatic states. PMID- 21037979 TI - Satellite remote sensing of harmful algal blooms: A new multi-algorithm method for detecting the Florida Red Tide (Karenia brevis). AB - In a continuing effort to develop suitable methods for the surveillance of Harmful Algal Blooms (HABs) of Karenia brevis using satellite radiometers, a new multi-algorithm method was developed to explore whether improvements in the remote sensing detection of the Florida Red Tide was possible. A Hybrid Scheme was introduced that sequentially applies the optimized versions of two pre existing satellite-based algorithms: an Empirical Approach (using water-leaving radiance as a function of chlorophyll concentration) and a Bio-optical Technique (using particulate backscatter along with chlorophyll concentration). The long term evaluation of the new multi-algorithm method was performed using a multi year MODIS dataset (2002 to 2006; during the boreal Summer-Fall periods - July to December) along the Central West Florida Shelf between 25.75 degrees N and 28.25 degrees N. Algorithm validation was done with in situ measurements of the abundances of K. brevis; cell counts >=1.5*10(4) cells l(-1) defined a detectable HAB. Encouraging statistical results were derived when either or both algorithms correctly flagged known samples. The majority of the valid match-ups were correctly identified (~80% of both HABs and non-blooming conditions) and few false negatives or false positives were produced (~20% of each). Additionally, most of the HAB-positive identifications in the satellite data were indeed HAB samples (positive predictive value: ~70%) and those classified as HAB-negative were almost all non-bloom cases (negative predictive value: ~86%). These results demonstrate an excellent detection capability, on average ~10% more accurate than the individual algorithms used separately. Thus, the new Hybrid Scheme could become a powerful tool for environmental monitoring of K. brevis blooms, with valuable consequences including leading to the more rapid and efficient use of ships to make in situ measurements of HABs. PMID- 21037980 TI - Characterization of light transport in scattering media at sub-diffusion length scales with Low-coherence Enhanced Backscattering. AB - Low-coherence enhanced backscattering (LEBS) is a technique that has recently shown promise for tissue characterization and the detection of early pre-cancer. Although several Monte Carlo models of LEBS have been described, these models have not been accurate enough to predict all of the experimentally observed LEBS features. We present an appropriate Monte Carlo model to simulate LEBS peak properties from polystyrene microsphere suspensions in water. Results show that the choice of the phase function greatly impacts the accuracy of the simulation when the transport mean free path (ls*) is much greater than the spatial coherence length (L(SC)). When ls* < L(SC), a diffusion approximation based model of LEBS is sufficiently accurate. We also use the Monte Carlo model to validate that LEBS can be used to measure the radial scattering probability distribution (radial point spread function), p(r), at small length scales and demonstrate LEBS measurements of p(r) from biological tissue. In particular, we show that pre cancerous and benign mucosal tissues have different small length scale light transport properties. PMID- 21037981 TI - OpenCL: A Parallel Programming Standard for Heterogeneous Computing Systems. AB - We provide an overview of the key architectural features of recent microprocessor designs and describe the programming model and abstractions provided by OpenCL, a new parallel programming standard targeting these architectures. PMID- 21037984 TI - Re: Kurt M, Onal I, Akdogan M, et al. Ankaferd Blood Stopper for controlling gastrointestinal bleeding due to distinct benign lesions refractory to conventional antihemorrhagic measures. Can J Gastroenterol 2010;24:380-4. PMID- 21037982 TI - Adult depression-like behavior, amygdala and olfactory cortex functions are restored by odor previously paired with shock during infant's sensitive period attachment learning. AB - Maltreatment from the caregiver induces vulnerability to later life psychopathologies, yet attraction and comfort is sometimes provided by cues associated with early life maltreatment. We used a rat model of early life maltreatment with odor-0.5 mA shock conditioning to produce depressive-like behaviors and questioned whether stimuli associated with maltreatment would restore emotional neurobehavioral function to control levels. Pups received daily novel odor-0.5 mA shock conditioning from postnatal day 8 to 12. This procedure produces a new maternal odor that controls pups' attachment behaviors. In adulthood, either with or without the infant odor, animals received a Forced Swim Test, Sucrose Preference Test or assessment of amygdala and olfactory system functioning using field potential signal evoked by olfactory bulb paired-pulse electrical stimulation. Following neonatal odor-shock pairings, but not unpaired controls, adults without the odor present showed increased depression-like behavior in the Forced Swim Test and Sucrose Preference Test and a deficit in paired-pulse inhibition in amygdala and piriform (olfactory) cortex. All effects were brought to control levels when the infant conditioned odor was presented during behavioral and neural tests. The ability of cues associated with early life maltreatment to normalize behavior and amygdala activity suggests these cues provide adaptive value in adulthood. PMID- 21037986 TI - Mycophenolate mofetil for the treatment of autoimmune hepatitis in patients refractory or intolerant to conventional therapy. AB - BACKGROUND: Autoimmune hepatitis is characterized by hepatocellular inflammation often progressing to cirrhosis. Standard treatment consists of corticosteroids and azathioprine. For the 20% of patients with refractory disease or those who are intolerant to medication, there is no standardized treatment. OBJECTIVE: To evaluate mycophenolate mofetil (MMF) as an alternative therapy for autoimmune hepatitis. METHODS: The present retrospective study identified all patients with autoimmune hepatitis who were treated with MMF over a 10-year period at the Henry Ford Hospital (Michigan, USA). These patients were evaluated for tolerance and response. RESULTS: Of the 90 patients participating in the study, 48% had a complete response, 32% experienced relapses and 21% were refractory. MMF was initiated in 21 patients - 12 (57%) for refractory disease and nine (43%) for medication intolerance. Of the 12 patients converted for refractory disease, all showed biochemical improvement but none had a complete response. Of the patients converted due to intolerance, 88% maintained complete remission. For all patients converted to MMF, there was a mean decrease in steroid dose from 18.9 mg/day to 7.8 mg/day (P=0.01). CONCLUSIONS: In patients with autoimmune hepatitis who were intolerant to conventional therapy, MMF was well tolerated, with 88% of patients maintained in remission. MMF did not induce remission in those refractory to conventional therapy; however, it resulted in a significant decrease in steroid use. Prospective studies are needed to better assess the role of MMF as an alternative therapy. PMID- 21037987 TI - Endoscopic management of gastric variceal bleeding with cyanoacrylate glue injection: safety and efficacy in a Canadian population. AB - BACKGROUND: Gastric variceal bleeding (GVB) is a major cause of morbidity and mortality among patients with portal hypertension. Endoscopic band ligation and standard sclerotherapy have been used but have significant limitations. Decompression through transjugular intrahepatic portosystemic shunt insertion has been shown to be effective. Gastric variceal injection therapy with a commercially available cyanoacrylate glue is less invasive than transjugular intrahepatic portosystemic shunt insertion and has recently been shown to be effective for acute hemostasis. OBJECTIVE: To assess the immediate and long-term outcomes of cyanoacrylate glue injection therapy for GVB. METHODS: A retrospective chart review was conducted to identify patients treated with cyanoacrylate injection for GVB at two tertiary care hospitals over a period of six years. The outcomes assessed included complications, acute hemostasis, rebleeding rate and all-cause mortality. RESULTS: Thirty-seven patients (60% men) underwent cyanoacrylate glue injections for GVB. The median follow-up period was 14 months and included 29 patients (eight were lost to follow-up). Initial hemostasis was achieved in 35 patients (95%). No significant complications from cyanoacrylate injection were observed. Early rebleeding was rare (8%) and late rebleeding occurred in only 28% of patients. The all-cause mortality rate was 28.6% during the median follow-up period. CONCLUSION: The data suggest that cyanoacrylate injection therapy is safe and effective for the prevention of short and long-term bleeding from gastric varices. Furthermore, although these patients had significant comorbid disease, survival in the follow-up time period was greater than 70%. PMID- 21037988 TI - Efficacy and safety of a novel pegylated interferon alpha-2a in Egyptian patients with genotype 4 chronic hepatitis C. AB - BACKGROUND: Hepatitis C virus (HCV) genotype 4 is a common infection in Egypt and is the leading cause of liver disease. OBJECTIVE: To study the efficacy and safety of a novel 20 kD pegylated interferon alpha-2a derived from Hansenula polymorpha in combination with ribavirin for the treatment of Egyptian patients with genotype 4 chronic hepatitis C (CHC). METHODS: One hundred seven patients with genotype 4 CHC were involved in the present study. Liver biopsy was performed in all patients. All patients received a fixed weekly dose of 160 ug of a novel pegylated interferon in combination with ribavirin in standard and adjusted doses. Serum HCV RNA levels were assessed by a real-time sensitive polymerase chain reaction assay at four, 12, 48 and 72 weeks after the start of therapy. Patients demonstrating an early virological response (EVR) completed a 48-week course of treatment. RESULTS: The overall sustained virological response (SVR) was 60.7%. The SVR in patients with a rapid virological response was significantly higher (91.7%) than in patients with complete EVR (67.74%) (P=0.033) and partial EVR (56.14%) (P=0.003). SVR was also significantly higher in patients with a low degree of liver fibrosis according to Metavir score (F1 and F2) (67.57%) compared with those with a high degree of liver fibrosis (F3 and F4) (45.45%) (P=0.017). The baseline viral load had no impact on SVR in the present series nor were any serious adverse events reported. CONCLUSION: The novel pegylated interferon alpha-2a assessed in the present study was effective for the treatment of patients with genotype 4 CHC, and was safe and well tolerated. PMID- 21037989 TI - Diagnostic performance of gastric imprint smear for determination of Helicobacter pylori infection. AB - BACKGROUND: Despite the availability of several methods (invasive and noninvasive) for the diagnosis of Helicobacter pylori infection, no test is considered to be the 'gold standard'. Endoscopy-based tests are regarded as the reference method in most studies. OBJECTIVE: To evaluate the diagnostic performance of imprint cytology smears of antral biopsies compared with Gram stained smears, the rapid urease test and culture methods, separately and in combination. METHODS: Antral biopsies were obtained from consecutive patients undergoing upper gastrointestinal endoscopy at a single centre. The biopsies were examined for the presence of H pylori by Gram-stained smear, the rapid urease test, culture methods and imprint cytology smear. RESULTS: A total of 273 biopsies were studied. All tests were positive in 36% of the patients. Of 252 biopsies tested, 73% were positive using the imprint cytology technique. Using Gram-stained smear, the rapid urease test and culture methods individually, the sensitivity and specificity of imprint cytology smears for the detection of H pylori were found to be 92.7% and 50%; 92.7% and 49%; and 92.4% and 38.5%, respectively. Combining the three microbiological methods resulted in a sensitivity of 92.1%, a specificity of 51.0% and an efficiency of 71.7% for imprint cytology smears. CONCLUSIONS: Endoscopic examination provides useful clinical information. Imprint gastric cytology can be used as a rapid test to establish the diagnosis of H pylori infection at the time endoscopy is performed, enabling the endoscopist to start treatment with immediate effect. PMID- 21037990 TI - A prospective audit of patient experiences in colonoscopy using the Global Rating Scale: a cohort of 1,187 patients. AB - BACKGROUND: The Global Rating Scale (GRS) comprehensively evaluates the quality of an endoscopy department, providing a patient-centred framework for service improvement. OBJECTIVE: To assess patient experiences during colonoscopy and identify areas that need service improvement using the GRS. METHODS: Consecutive outpatients undergoing colonoscopy were asked to complete a pre- and postprocedure questionnaire. Questions were based on GRS items and a literature review. The preprocedure questionnaire addressed items such as patient characteristics and information provision. The postprocedure questionnaire contained questions regarding comfort, sedation, the attitude of endoscopy staff and aftercare. RESULTS: The preprocedure questionnaire was completed by 1,187 patients, whereas the postprocedure part of the questionnaire was completed by 851 patients (71.9%). Fifty-four per cent of patients were first seen in the outpatient clinic. The indication for colonoscopy was explained to 85% of the patients. Sixty-five per cent of the patients stated that information about the risks of colonoscopy was provided. Sedation was used in 94% of the patients; however, 23% judged the colonoscopy to be more uncomfortable than expected. Ten per cent of patients rated the colonoscopy as (very) uncomfortable. Preliminary results of the colonoscopy were discussed with 87% of patients after the procedure. Twenty-one per cent of the patients left the hospital without knowing how to obtain their final results. Being comfortable while waiting for the procedure (OR 9.93) and a less uncomfortable procedure than expected (OR 2.99) were important determinants of the willingness to return for colonoscopy. CONCLUSIONS: The present study provided evidence supporting the GRS in identifying service gaps in the quality of patient experiences for colonoscopy in a North American setting. Assessing experiences is useful in identifying areas that need improvement such as the provision of pre- and postprocedure information. PMID- 21037991 TI - Sir William Osler and gastroenterology. PMID- 21037992 TI - Mycobacterium avium paratuberculosis and the etiology of Crohn's disease: a review of the controversy from the clinician's perspective. AB - Mycobacterium avium paratuberculosis (MAP) is an obligate intracellular organism that has frequently been associated with Crohn's disease (CD). Because CD is a chronic inflammatory condition, many researchers have speculated that an infectious agent must be the cause of CD. MAP has often been proposed to be one such agent; however, despite considerable research, the evidence remains inconclusive. Higher levels of MAP have been found in the tissues and blood of CD patients than in controls, forming the foundation for much of the research into the role of MAP in CD and the primary argument in support of a causative role for MAP in CD. MAP is a slow-growing and fastidious organism that is difficult to grow in culture and, therefore, challenging to detect in patients. As a result, there has been variability in the results of studies attempting to detect the presence of MAP in CD patients, and considerable controversy over whether this organism has a causative role in the etiology of CD. Two main hypotheses exist with respect to the role of MAP in CD. The first is that MAP is a principal cause of CD, while the second is that MAP is more prevalent because of the immune dysfunction seen in CD but does not play a causative role. Clinicians are often faced with questions regarding the role of this organism and the need to treat it. The present article attempts to provide an overview of the controversy including the nature of the mycobacterium, the difficulty in detecting it, the use of antimycobacterial agents to treat it and the effect of immunosuppressive agents - all from a clinician's perspective. Although the role of MAP in CD remains controversial and an area of considerable research, it is currently only of academic interest because there is no clinically useful test to identify the presence of the organism, and no evidence to support the use of antibiotics to eradicate it for the treatment of CD. PMID- 21037993 TI - The Lung Association Leadership Conference--an opportunity for change. PMID- 21037994 TI - Garbage in, garbage out. PMID- 21037995 TI - Analysis of hospital discharge data to characterize obstructive sleep apnea and its management in adult patients hospitalized in Canada: 2006 to 2007. AB - BACKGROUND: Diagnosed obstructive sleep apnea (OSA) affects 2% to 7% of middle age persons worldwide and represents a substantial health care burden. The gold standard for treating OSA in adults is continuous positive airway pressure (CPAP) therapy. Compliance with this treatment is especially important in OSA patients experiencing concomitant acute and chronic disease or illness, and those undergoing procedures associated with sedation, analgesia and anesthesia. OBJECTIVE: To describe the clinical characteristics and management of hospitalized OSA patients in Canada. METHODS: Using the Canadian Institute for Health Information's hospital Discharge Abstract Database (fiscal year 2006/2007), a retrospective cohort study of all acute care patients discharged with a diagnosis that included OSA was performed. RESULTS: An examination of the discharge data of 2,400,245 acute care hospital abstracts identified 8823 cases of OSA. The mean age of OSA patients was 45.7 years and 66.5% were men. The most common comorbidities in the adult OSA population were obesity, cardiovascular disease, type 2 diabetes mellitus and chronic obstructive pulmonary disease. In adult OSA patients, the reported surgical intervention rate using uvulopalatopharyngoplasty (9.6%) was much higher than interventional CPAP therapy (4.8%). CONCLUSIONS: Only a small percentage of hospitalized OSA patients were documented as having received CPAP therapy during their stay. Issues relating to the accuracy, specificity and completeness of the Canadian Institute for Health Information's hospital Discharge Abstract Database specific to OSA and its management were identified. Practices pertaining to the reporting, coding and management of hospitalized adult OSA patients warrant further investigation and research. PMID- 21037996 TI - Success in pulmonary rehabilitation in patients with chronic obstructive pulmonary disease. AB - BACKGROUND: Pulmonary rehabilitation (PR) is beneficial for some, but not all, patients with chronic lung disease. OBJECTIVES: To determine the success rate of a comprehensive PR program for patients with chronic obstructive pulmonary disease (COPD) and to characterize the differences between responders and nonresponders. METHODS: A chart review was performed on patients with a clinical diagnosis of COPD who were referred for PR. Success was defined according to clinically important changes in St George's Respiratory Questionnaire scores and/or 6 min walk test distance. RESULTS: The majority of subjects were men (58%) with a mean (+/- SD) age of 69+/-10 years (n=177). Sixty-two per cent of participants had a successful outcome with PR, with proportionally more responders noting subjective improvement than objective improvement on a 6 min walk test (73% versus 51%). Subjects with poor baseline St George's Respiratory Questionnaire scores tended to improve the most (P=0.011 [ANOVA]). Successful participants had a greater forced expired volume in 1 s (1.1 L versus 0.9 L; P<0.05) and a lower BODE index (body mass index, airflow obstruction, dyspnea, and exercise capacity index) at baseline (9.6 versus 10.3; P<0.05). Success of PR was not correlated with age, sex, chronic hypoxemic respiratory failure or other chronic conditions. Successful participants were more likely to be compliant and to experience fewer adverse events (P<=0.001). CONCLUSIONS: Our study reinforced the belief that the majority of participants with COPD benefit from PR. Few baseline characteristics were predictive of success. Subjectively measured improvement occurred more frequently than objectively measured improvement and was greatest in those with the poorest baseline values. PMID- 21037997 TI - Does the methacholine test reproduce symptoms? AB - BACKGROUND: The interpretation of methacholine test results do not usually consider the symptoms for which the subject was referred and those that occur during the test. OBJECTIVE: To assess the association between methacholine test results and symptoms, and to examine variables that may affect this association. METHODS A total of 400 prospectively chosen subjects who underwent methacholine testing for possible asthma were investigated. The subjects answered a short questionnaire regarding the symptoms for which they had been referred and those that were encountered during the methacholine test. RESULTS: The positive predictive value for the reproduction of symptoms during the test compared with symptoms for which subjects had been referred were 84% for dyspnea, 87% for cough, 81% for wheezing and 72% for chest tightness. The positive predictive value among the values obtained by measuring the provocative concentration of methacholine causing a 20% fall (PC20) in forced expiratory volume in 1 s on the one hand, and specific symptoms on the other, varied by up to approximately 50%; negative predictive values were higher. Forty-eight per cent of subjects with a PC20 of 16 mg/mL or lower reported that the test had globally reproduced their symptoms. This association was significantly stronger in women, young subjects and those taking inhaled steroids. CONCLUSIONS: The methacholine test generally reproduced the symptoms for which the subjects were referred. The absence of a specific symptom (eg, dyspnea, cough, wheezing or chest tightness), either in daily life or at the time of methacholine testing, was more generally associated with a negative test than the reverse. The global impression that the test had reproduced what the patient had experienced in daily life was significantly associated with a positive test (ie, a PC20 of 16 mg/mL or lower), with the association being stronger in young subjects and women. PMID- 21037998 TI - Canadian Sleep Society/Canadian Thoracic Society position paper on the use of portable monitoring for the diagnosis of obstructive sleep apnea/hypopnea in adults. AB - The present position paper on the use of portable monitoring (PM) as a diagnostic tool for obstructive sleep apnea/hypopnea (OSAH) in adults was based on consensus and expert opinion regarding best practice standards from stakeholders across Canada. These recommendations were prepared to guide appropriate clinical use of this new technology and to ensure that quality assurance standards are adhered to. Clinical guidelines for the use of PM for the diagnosis and management of OSAH as an alternative to in-laboratory polysomnography published by the American Academy of Sleep Medicine Portable Monitoring Task Force were used to tailor our recommendations to address the following: indications; methodology including physician involvement, physician and technical staff qualifications, and follow up requirements; technical considerations; quality assurance; and conflict of interest guidelines. When used appropriately under the supervision of a physician with training in sleep medicine, and in conjunction with a comprehensive sleep evaluation, PM may expedite treatment when there is a high clinical suspicion of OSAH. PMID- 21038000 TI - Re: Dorman SC, Bussoli MA, Ritz SA. Alcohol fixation of induced sputum samples for applications in rural communities. Can Respir J 2010;17:115-121. PMID- 21038002 TI - Re: Fleetham JA. Postal code diagnosis and treatment of sleep apnea. Can Respir J 2010;17:169. PMID- 21038003 TI - Role of age at asthma diagnosis in the asthma-obesity relationship. AB - OBJECTIVE: To determine whether age at asthma diagnosis has an impact on the previously described relationship between asthma and obesity. METHODS: Data were provided from Cycle 1.1 (2000/2001) of the Canadian Community Health Survey, a nationally representative health survey that included 6871 participants (2464 males and 4407 females) with asthma. Body mass index was used to categorize participants as normal weight (18.5 kg/m2 to 24.9 kg/m2), overweight (25 kg/m2 to 29.9 kg/m2) or obese (30 kg/m2 or greater). Multivariate logistic regression analyses were used to estimate the odds of overweight and obesity by self reported age at asthma diagnosis, after accounting for current age and other covariables. RESULTS: In fully adjusted models, males diagnosed with asthma during adolescence (12 to 20 years of age) were at elevated odds of obesity (OR 1.58; 95% CI 1.03 to 2.43) compared with asthmatic patients diagnosed during childhood (0 to 11 years of age). Women diagnosed with asthma in mid life (21 to 44 years of age) and later life (45 to 64 years of age) were 43% (OR 1.43; 95% CI 1.08 to 1.90) and 56% (OR 1.56; 95% CI 1.00 to 2.44) more likely to be obese than those diagnosed in childhood, respectively. CONCLUSIONS: The impact of age at asthma diagnosis on the asthma-obesity relationship differed between males and females. However, the identification of high-risk groups of asthmatic patients may strengthen primary prevention strategies for obesity and related comorbidities at multiple levels of influence. PMID- 21038004 TI - Hazards of the 'hard cash': hypersensitivity pneumonitis. AB - Hypersensitivity pneumonitis (HP) is a nonimmunoglobulin E-related immune mediated parenchymal lung disease. A 45-year-old woman who was a lifelong nonsmoker with a six-month history of frequent episodes of cough and dyspnea was admitted to hospital. She had been working as a money counter for 20 years at a central bank. Bibasilar crackles on lung auscultation, ground-glass opacities and a mosaic pattern on high-resolution computed tomography, restrictive abnormality on pulmonary function tests and mild hypoxemia were the prominent findings. Bronchoalveolar lavage fluid analysis revealed a predominance of CD4-positive T cells, and she tested positive on her natural challenge test. She was diagnosed with subacute HP based on established criteria. She was advised to discontinue counting fresh banknotes. Prednisolone was commenced, then tapered to discontinue in the ensuing six months. Clinical and radiological improvement was achieved within two months. To the authors' knowledge, the present report is the first to describe 'hard cash HP', possibly caused by chipping dust or printing dye. PMID- 21038005 TI - Global year against musculoskeletal pain. PMID- 21038006 TI - Toward an evidence-based approach to whiplash injuries. PMID- 21038007 TI - A research synthesis of therapeutic interventions for whiplash-associated disorder: part 1 - overview and summary. AB - Whiplash-associated disorder (WAD) represents a significant public health problem, resulting in a substantial socioeconomic burden throughout the industrialized world, wherever costs are documented. While many treatments have been advocated for patients with WAD, scientific evidence of their effectiveness is often lacking. A systematic review was conducted to evaluate the strength of evidence supporting various WAD therapies. Multiple databases (including Web of Science, EMBASE and PubMed) were searched to identify all studies published from January 1980 through March 2009 that evaluated the effectiveness of any clearly defined treatment for acute (less than two weeks), subacute (two to 12 weeks) or chronic (longer than 12 weeks) WAD. The present article, the first in a five-part series, provides an overview of the review methodology as well as a summary and discussion of the review's main findings. Eighty-three studies met the inclusion criteria, 40 of which were randomized controlled trials. The majority of studies (n=47) evaluated treatments initiated in the chronic stage of the disorder, while 23 evaluated treatments for acute WAD and 13 assessed therapies for subacute WAD. Exercise and mobilization programs for acute and chronic WAD had the strongest supporting evidence, although many questions remain regarding the relative effectiveness of various protocols. At present, there is insufficient evidence to support any treatment for subacute WAD. For patients with chronic WAD who do not respond to conventional treatments, it appears that radiofrequency neurotomy may be the most effective treatment option. The present review found a relatively weak but growing research base on which one could make recommendations for patients at any stage of the WAD continuum. Further research is needed to determine which treatments are most effective at reducing the disabling symptoms associated with WAD. PMID- 21038008 TI - A research synthesis of therapeutic interventions for whiplash-associated disorder (WAD): part 2 - interventions for acute WAD. AB - Whiplash-associated disorder (WAD) represents a significant public health problem, resulting in substantial social and economic costs throughout the industrialized world. While many treatments have been advocated for patients with WAD, scientific evidence supporting their effectiveness is often lacking. A systematic review was conducted to evaluate the strength of evidence associated with various WAD therapies. Multiple databases (including Web of Science, EMBASE and PubMed) were searched to identify all studies published from January 1980 through March 2009 that evaluated the effectiveness of any clearly defined treatment for acute (less than two weeks), subacute (two to 12 weeks) or chronic (more than 12 weeks) WAD. The present article, the second in a five-part series, evaluates the evidence for interventions initiated during the acute phase of WAD. Twenty-three studies that met the inclusion criteria were identified, 16 of which were randomized controlled trials with 'fair' overall methodological quality (median Physiotherapy Evidence Database score of 5.5). For the treatment of acute WAD, there was strong evidence to suggest that not only is immobilization with a soft collar ineffective, but it may actually impede recovery. Conversely, although exercise programs, active mobilization and advice to act as usual all appeared to improve recovery, it is not clear which of these interventions was the most effective. While there was also evidence supporting the use of pulsed electromagnetic field therapy and methylprednisolone infusion, the evidence was insufficient to establish the effectiveness of either of these treatments. Based on current evidence, activation-based therapy is recommended for the treatment of acute WAD; however, additional research is required to determine the relative effectiveness of various exercise/mobilization programs. PMID- 21038009 TI - A research synthesis of therapeutic interventions for whiplash-associated disorder (WAD): part 3 - interventions for subacute WAD. AB - Whiplash-associated disorder (WAD) represents a significant public health problem, resulting in substantial social and economic costs throughout the industrialized world. While many treatments have been advocated for patients with WAD, scientific evidence supporting their effectiveness is often lacking. A systematic review was conducted to evaluate the strength of evidence associated with various WAD therapies. Multiple databases (including Web of Science, EMBASE and PubMed) were searched to identify all studies published from January 1980 through March 2009 that evaluated the effectiveness of any clearly defined treatment for acute (less than two weeks), subacute (two to 12 weeks) or chronic (longer than 12 weeks) WAD. The present article, the third in a five-part series, evaluates the evidence for interventions initiated during the subacute phase of WAD. Thirteen studies that met the inclusion criteria were identified, six of which were randomized controlled trials with 'good' overall methodology (median Physiotherapy Evidence Database score of 6). Although some evidence was identified to support the use of interdisciplinary interventions and chiropractic manipulation, the evidence was not strong for any of the evaluated treatments. There is a clear need for further research to evaluate interventions aimed at treating patients with subacute WAD because there are currently no interventions satisfactorily supported by the research literature. PMID- 21038010 TI - A research synthesis of therapeutic interventions for whiplash-associated disorder (WAD): part 4 - noninvasive interventions for chronic WAD. AB - Whiplash-associated disorder (WAD) represents a significant public health problem, resulting in substantial social and economic costs throughout the industrialized world. While many treatments have been advocated for patients with WAD, scientific evidence supporting their effectiveness is often lacking. A systematic review was conducted to evaluate the strength of evidence for various WAD therapies. Multiple databases (including Web of Science, EMBASE and PubMed) were searched to identify all studies published from January 1980 through March 2009 that evaluated the effectiveness of any clearly defined treatment for acute (less than two weeks), subacute (two to 12 weeks) or chronic (longer than 12 weeks) WAD. The present article, the fourth in a five-part series, evaluates the evidence for noninvasive interventions initiated during the chronic phase of WAD. Twenty-two studies that met the inclusion criteria were identified, 12 of which were randomized controlled trials with 'good' overall methodological quality (median Physiotherapy Evidence Database score of 6). For the treatment of chronic WAD, there is evidence to suggest that exercise programs are effective in relieving whiplash-related pain, at least over the short term. While the majority of a subset of nine studies supported the effectiveness of interdisciplinary interventions, the two randomized controlled trials provided conflicting results. Finally, there was limited evidence, consisting of one supportive case series each, that both manual joint manipulation and myofeedback training may provide some benefit. Based on the available research, exercise programs were the most effective noninvasive treatment for patients with chronic WAD, although many questions remain regarding the relative effectiveness of various exercise regimens. PMID- 21038011 TI - A research synthesis of therapeutic interventions for whiplash-associated disorder (WAD): part 5 - surgical and injection-based interventions for chronic WAD. AB - Whiplash-associated disorder (WAD) represents a significant public health problem, resulting in substantial social and economic costs throughout the industrialized world. While many treatments have been advocated for patients with WAD, scientific support regarding their effectiveness is often lacking. A systematic review was conducted to evaluate the strength of evidence associated with various WAD therapies. Multiple databases (including Web of Science, EMBASE and PubMed) were searched to identify all studies published from January 1980 through March 2009 that evaluated the effectiveness of any well-defined treatment for acute (less than two weeks), subacute (two to 12 weeks) or chronic (more than 12 weeks) WAD. The present article, the fifth in a five-part series, evaluates the evidence for surgical and injection-based interventions initiated during the chronic phase of WAD. Twenty-five studies were identified that met the inclusion criteria, six of which were randomized controlled trials with 'good' overall methodological quality (median Physiotherapy Evidence Database score of 7.5). For the treatment of chronic WAD, there was moderate evidence supporting radiofrequency neurotomy as an effective treatment for whiplash-related pain, although relief is not permanent. Sterile water injections have been demonstrated to be superior to saline injections; however, it is not clear whether this treatment is actually beneficial. There was evidence supporting a wide range of other interventions (eg, carpal tunnel decompression) with each of these evaluated by a single nonrandomized controlled trial. There is contradictory evidence regarding the effectiveness of botulinum toxin injections, and cervical discectomy and fusion. The evidence is not yet strong enough to establish the effectiveness of any of these treatments; of all the invasive interventions for chronic WAD, radiofrequency neurotomy appears to be supported by the strongest evidence. Further research is required to determine the efficacy and the role of invasive interventions in the treatment of chronic WAD. PMID- 21038012 TI - Recalcitrant rhinosinusitis, the diagnosis and treatment and evaluation of results. PMID- 21038013 TI - Stem cells and regenerative medicine: potentials and realities for rhinology. AB - It is widely believed that regenerative medicine, including stem cell-based technologies, will revolutionise healthcare in decades to come. Stem-cell treatments are already a reality and tissue engineering is moving deeper and deeper into the clinic. Various forms of stem cell and scaffold are in clinical trials and can be used alone, in combinations or supported by conventional treatments, such as drugs and free tissue transfer. It is likely that rhinology will also feel the winds of change very shortly. We review the present state-of the art and a view of the future potential for regenerative medicine to influence care of patients with rhinologic disorders. PMID- 21038014 TI - From ancient Greek medicine to EP3OS. AB - The manuscripts of eminent Byzantine physicians from the 4th to the 14th century contain extensive information on various otorhinolaryngological issues. In their work, the early knowledge of rhinological disease from definition and symptoms to conservative treatment and surgical intervention is intriguing. Most of this meticulous knowledge was developed through time, beginning mainly from Hippocrates and the Hellenistic period. Thereafter, medicine developed through Roman and Byzantium times to finally influence European medicine and later the rest of the Western world. History of medicine reflects the history of mankind itself, and otorhinolaryngology follows closely this path. Our goal is to slim down and illuminate the most challenging of the vast amount of information on rhinological issues contained in the original Greek text of Hippocrates, and mainly in the hagiographical texts of Byzantine medical writers. In particular, we focus on rhinological diseases from antiquity till the time being, following the journey of evolution of topical and nebulizer therapy for sinonasal inflammatory diseases in Greece, from "milothris" to modern nasal sprays, leading to an understanding of the philosophy of our predecessors and the roots of modern rhinology. PMID- 21038015 TI - Smell training increases cognitive smell skills of wine tasters compared to the general healthy population. The WINECAT Study. AB - BACKGROUND: Wine experts show higher accuracy than novices in selecting a wine that matches a sample. Only one study has compared wine experts with non-trained healthy controls on smell. The aim of this study was to compare the smell characteristics, both sensorial and cognitive, of wine tasters with Spanish healthy population using the Barcelona Smell Test-24. METHODS: Wine tasters were tested for smell and compared with a control group of healthy volunteers, by tasting 20 odours and scoring smell detection, identification, intensity, irritability, freshness, pleasure and forced choice. RESULTS: Wine tasters performed significantly better on identification and forced choice than healthy controls. In addition, wine tasters perceived more odours as intense, but fewer as irritating than controls. CONCLUSIONS: Probably linked to smell education, wine tasters show better cognitive but not sensorial smell skills than a non trained healthy population. PMID- 21038016 TI - Nasal functional modifications after physical exercise: olfactory threshold and peak nasal inspiratory flow. AB - STATEMENT OF PROBLEM: The respiratory nasal effects of physical exercise have been extensively investigated; on the other hand there are no data regarding olfactory threshold modification after aerobic physical exercise. METHODS: The present prospective study investigated the modifications in nasal respiratory flows and olfactory thresholds after controlled aerobic physical exercise in a cohort of 15 adult, healthy volunteers. The Peak Nasal Inspiratory Flow (PNIF), and the Sniffin' Sticks olfactory threshold test were used for our determinations. MAIN RESULTS: The mean PNIF after physical exercise was significantly higher than the mean PNIF value found before physical exercise. Statistical analysis ruled out any significant difference between mean olfactory thresholds pre vs post physical exercise. PRINCIPAL CONCLUSIONS: These outcomes confirmed PNIF sensitivity and reliability also in determining the changes in nasal patency occurring after physical exercise. The active vasoconstriction of nasal mucosa associated with the reduction of blood flow to the olfactory epithelium due to physical exercise may be compensated for by the increase of olfactory molecules that reach the olfactory mucosa because of nasal mucosal shrinkage: this mechanism could explain the stability of mean olfactory threshold after physical exercise. PMID- 21038017 TI - Just noticeable difference in olfaction is related to trigeminal component of odorants. AB - Many studies have dealt with the interrelationships between both olfactory and trigeminal systems but a poorly explored question concerns the role of each system in the detection processes, especially in the just noticeable difference (JND). The aim of this study was to investigate variations in JNDs for three odorants in relation to their trigeminal component, i.e. low, middle, high. The results indicated that the higher the trigeminal component, the lower the JND, suggesting a better capacity to perceive intensity changes for pungent odorants than for relatively pure odorants. PMID- 21038018 TI - Numeric score and visual analog scale in assessing seasonal allergic rhinitis severity. AB - BACKGROUND: Allergic rhinitis (AR) is characterized by symptoms whose severity can be difficult to quantify due to the patient's subjective perception. The aim of this study was to compare two methods for assessing the severity of allergic rhinitis, a numerical score (SGS) and a visual analog scale (VAS), respectively. METHODS: A large study was carried out on more than 36,000 patients with a diagnosis of a non-complicated and non-treated seasonal allergic rhinitis (SAR) between May and August 2004 over all the metropolitan France. For each patient, a physician had to assess the severity of the AR calculating a score corresponding to the intensity of the symptoms as felt by the patient but also using an analog scale. RESULTS: SAR severity differed according to the used method: 18.94% of the patients were classed severe according to the SGS and 23.58% according to the VAS. Moreover, among the 35,126 people for which the two measures were available, 23.86% were classed severe according to one but not according to the other. These patients differ from those classed in the same manner by SGS and VAS in age, gender, type of doctor and geographical area. SGS and VAS correlated each other. Principal prescribed drugs for SAR were antihistamines and local steroids. CONCLUSION: Severity assessment varied according to the used method. PMID- 21038019 TI - Preliminary efficacy of fluticasone delivered by a novel device in recalcitrant chronic rhinosinusitis. AB - OBJECTIVE: To assess whether delivery of fluticasone propionate using a novel bi directional delivery device (Opt-FP) offers therapeutic benefits in patients with chronic rhinosinusitis (CRS). METHODS: A prospective, single centre, randomized, double-blind, placebo (PBO)-controlled, parallel group study was conducted in adult subjects (n=20) with CRS without nasal polyps or only cobblestoned mucosa. Subjects received Opt-FP 400 ug or placebo twice daily for 12 weeks (n=10/group). Outcome measures included symptom scores, RSOM-31, CRS VAS, nasendoscopy, peak nasal inspiratory flow (PNIF) and magnetic resonance imaging (MRI). RESULTS: Endoscopy score for oedema showed a highly significant and progressive improvement (12 weeks (median scores): Opt-FP -4.0, PBO -1.0, p=0.015). PNIF increased significantly during Opt-FP treatment compared to placebo (4 weeks: p=0.006; 8 weeks: p=0.03). After 12 weeks MRI scores in the Opt-FP group improved against baseline (p=0.039) and a non-significant trend was seen versus placebo. The nasal RSOM-31 subscale was significantly improved with Opt-FP treatment (4 weeks: p<0.009, 8 weeks: p<0.016, 12 weeks: NS). Sense of smell, nasal discomfort and combined score were all significantly improved (p<0.05). The Opt-FP was well tolerated. CONCLUSIONS: The OptiNose breath-actuated bi-directional delivery device administering fluticasone propionate (400 ug b.i.d.) is an effective and well tolerated treatment for recalcitrant CRS. PMID- 21038020 TI - Topography of the rabbit paranasal sinuses as a prerequisite to model human sinusitis. AB - BACKGROUND: Anatomical studies of the rabbit paranasal cavities are impelled by the increasing interest in the rabbit model to investigate human sinusitis. Although several such studies have already been performed, the topography of the rabbit dorsal conchal and maxillary sinuses is described ambiguously and the existence of the ethmoidal, frontal and sphenoidal sinuses is controversial. METHODOLOGY: The paranasal cavities were investigated using corrosion casting, gross and histological cross-sectioning, and micro-CT scanning of rabbit noses followed by computerized three-dimensional reconstruction. RESULTS: Micro-CT scanning was most useful to illustrate the dorsal conchal sinus, the large maxillary sinus consisting of a dorsal and a ventral recess, and the sphenoidal sinus. All these sinuses are paired and symmetrical. A large connection is present between the dorsal conchal sinus and the maxillary sinus resulting in one large conchomaxillary cavity. The sphenoidal sinus lies most caudal and is surrounded by the presphenoid bone. The openings from the nasal cavity into the conchomaxillary cavity and the sphenoidal sinus are very small. CONCLUSIONS: The absence of frontal and ethmoidal sinuses in any of the rabbits examined is a major difference between the rabbit and human sinuses. The rabbit maxillary sinus seems most appropriate for experimental work. PMID- 21038021 TI - Impact of chronic rhinosinusitis therapy on quality of life: a prospective randomized controlled trial. AB - OBJECTIVES: To conduct the first prospective randomized controlled trial, evaluating and comparing the effect of medical and surgical treatment of chronic rhinosinusitis (CRS) on quality of life. MATERIALS AND METHODS: Ninety patients with CRS, who remained symptomatic after initial medical treatment with Dexarhinaspray duo and nasal douche, were randomized either to medical or surgical therapy. All patients underwent pre- and post-treatment assessments of the Sinonasal Outcome Test-20 (SNOT-20), and the Short Form 36 Health Survey (SF 36). Each patient had three assessments: before starting the randomized treatment, after six months and finally after one year. RESULTS: Both the medical and surgical treatment of CRS significantly improved almost all the parameters of SNOT and SF-36 (p<0.05), with no significant difference being found between the medical and surgical groups (p>0.05). CONCLUSION: Both maximal medical and surgical therapy of CRS improves the quality of life of CRS patients, providing further evidence that chronic rhinosinusitis should be targeted with maximal medical therapy in the first instance, with surgical treatment being reserved for cases refractory to medical therapy. The presence of nasal polyps does not imply any negative effect on the quality of life after CRS therapy, either medical or surgical. PMID- 21038022 TI - Sinus aspirates in chronic rhinosinusitis: fungal colonization of paranasal sinuses, evaluation of ICAM-1 and IL-8 and studying of immunological effect of long-term macrolide therapy. AB - PURPOSE: In patients with chronic fungal sinusitis, concentrations of interleukin 8 (IL-8), immunoglobulin E (IgE), and soluble intercellular adhesion molecule-1 (sICAM-1) were compared in paranasal sinus aspirates and serum. Furthermore, immunological effects of macrolide treatment of our patients with chronic fungal rhinosinusitis were also studied. MATERIAL AND METHODS: In our cohort study, 108 patients with chronic rhinosinusitis undergoing sinus surgery were selected. Sinus aspirates were collected, and used for immunological assasy and cultured for fungal study. All patients were examined for the presence of characteristic allergic mucin of chronic allergic fungal rhinosinusitis and this was confirmed later by measurement of total serum IgE. RESULTS: Our cases were classified into 3 groups: chronic rhinosinusitis with positive fungal culture and negative allergic mucin, chronic rhinosinusitis with positive fungal culture and positive allergic mucin and chronic rhinosinusitis without fungal growth. A control group was included. We found 57.4% of the patient cultures positive for fungus and 36.4% of the control subjects. Aspergillus ssp. were the most prevalent followed by Bipolaris ssp., and Curvularia. IgE levels were increased in group II compared to group I, III and IV. ICAM-1 and IL-8 levels were increased in groups I, II and III compared to the control group. Erythromycin given in group II decreased the levels of IL-8 and ICAM-1. CONCLUSION: Aspergillus species were the most common. These results confirm the role of ICAM-1 and IL-8 in all types of rhinosinusitis. Erythromycin modulated the immune status of the patients. PMID- 21038023 TI - A randomized, double-blind, placebo-controlled trial of anti-IgE for chronic rhinosinusitis. AB - Evidence suggests IgE may play a role in chronic rhinosinusitis (CRS). We sought to determine if treatment with a monoclonal antibody against IgE (omalizumab) is effective in reducing CRS inflammation. We performed a randomized, double blind, placebo controlled clinical trial in subjects with CRS despite treatment (including surgery). Subjects were randomized to receive omalizumab or placebo for 6 months. The primary outcome was quantitative measurement of sinus inflammation on imaging. Secondary outcome measures included quality of life, symptoms, and cellular inflammation, nasal airflow (NPIF) and olfactory testing (UPSIT). Subjects on omalizumab showed reduced inflammation on imaging after treatment, whereas those on placebo showed no change. The net difference, however, was not different between treatments. Treatment with omalizumab was associated with improvement in the Sino-Nasal Outcome Test (SNOT-20) at 3, 5, and 6 months compared to baseline with no significant changes in the control group. Remaining measures showed no significant differences across treatments. We conclude that IgE plays, at most, a small role in the mucosal inflammation of CRS and the symptoms. Placebo controlled, blinded studies with larger enrollment are needed to determine the clinical significance of any potential change. PMID- 21038024 TI - Quality of life is improved by endoscopic surgery and fluticasone in nasal polyposis with asthma. AB - BACKGROUND: The aim was to investigate the health impact of nasal polyposis with asthma and to study effects of endoscopic sinus surgery (ESS), and addition of fluticasone propionate nasal drops (FPND), on health related quality of life (HRQoL). METHODS: Prospective study of 68 patients with nasal polyposis and asthma. Effects were measured with Study 36-Item Short Form (SF-36). A randomized, double-blind, placebo-controlled 14-weeks phase measuring additive effects of FPND 400 ug twice daily (b.i.d.) was included. RESULTS: HRQoL was significantly decreased in both Physical Component Summary, PCS, (45 vs 48, p=0.049) and Mental Component Summary, MCS, (43 vs 51, p<0.001) vs reference population. ESS significantly improved PCS, (p=0.027) and MCS (p=0.021) after five weeks. We found significant additional benefit of FPND on three domains (RP, p=0.002; VT, p=0.007; SF, p=0.002). The increase in HRQoL with FPND reached reference population levels in all domains, as well as in both PCS (50, p=0.003) and MCS (52, p=0.002), five weeks after ESS. CONCLUSIONS: FPND 400 ug b.i.d. can be added to ESS in order to improve, and to reach population levels of, HRQoL already five weeks post-ESS. Physicians should evaluate HRQoL and consider ESS with nasal steroids early in their treatment of these patients. PMID- 21038025 TI - The effect of functional endoscopic sinus surgery on patients with asthma and CRS with nasal polyps. AB - AIM: To study the effect of functional endoscopic sinus surgery (FESS) in patients with chronic rhinosinusitis (CRS) and nasal polyps (NP) with concomitant asthma. DESIGN: The study was designed to evaluate prospectively whether FESS can influence parameters of asthma in patients with CRS with nasal polyps. METHODS AND RESULTS: One hundred thirty seven patients were recruited from the ENT Allergy and Panedoscopy Clinic of the ENT Department. All selected patients underwent medical therapy for CRS and NP for 12 weeks, and in case of no improvement, they proceeded to surgical treatment. They also underwent pre- and post-treatment subjective and objective measurements for CRS and asthma. In the study, 86 patients were finally evaluated who completed the protocol and were followed up for a period of 12 months. The patients showed statistically significant improvement of the objective measurements for asthma, from baseline to six and twelve months follow-up. No significant increase was found in the proportion of patients with well or very well overall asthma-control during the follow-up period. There was a clear improvement in the use of bronchodilators, oral steroids and need for hospitalization for asthma. CONCLUSIONS: Obviously, there is a link between CRS with NP and asthma. The data analysis of our study supports the hypothesis that FESS could have beneficial effect on both diseases improving objective and subjective measurements. PMID- 21038026 TI - Radiation dose reduction in computer assisted navigation for functional endoscopic sinus surgery--cadaver head experiments and clinical implementation. AB - OBJECTIVES: Computed tomography based navigation for endoscopic sinus surgery is inflationary used despite of major public concern about iatrogenic radiation induced cancer risk. Studies on dose reduction for CAS-CT are almost nonexistent. We validate the use of radiation dose reduced CAS-CT for clinically applied surface registration. METHODS: Dose reduced CAS-CT of mineral salt fixed, human cadaver heads with 9.6, 6, 4, 2 and 1.1 mGy were compared with the reference dose at 65 mGy CTDI (CT-Dose Index). For each CT dose with different surface resolution, the precision of the soft touch registration was measured with target registration error (TRE). In a practical step, dose reduced protocols were tested for 12 months. RESULTS: Using surface registration at highest and lowest doses, TRE did not differ significantly for registration accuracy. Protocols tested preserved technical registration accuracy and the pragmatics of dose reduction was limited only by different needs for picture quality of the individual surgeon, use for uncomplicated or revision surgery, and reserve for other unexpected factors (movement artifacts). CONCLUSIONS: The accuracy of today's surface registration technology was not the limit for dose reduction. It is the amount of diminished picture quality tolerated by the individual surgeon and the question of how much of the ever refined radiological picture resolution is necessary at all. For the majority of operations, consensus for a significant 6 fold radiation dose reduction from 65 mGy to 9.6 mGy CTDI could be realized illustrating a big potential for similar approaches in other institutions. PMID- 21038027 TI - British Rhinological Society audit of the role of antibiotics in complications of acute rhinosinusitis: a national prospective audit. AB - OBJECTIVE: Acute rhinosinusitis (ARS) is one of the most common presenting conditions to Primary Care physicians. Over-prescription of antibiotics has led to wide debate and divided expert opinion regarding the resulting increasing bacterial resistance or the merits of prevention of more serious complications of ARS. A national prospective audit was undertaken in the UK to evaluate use of antibiotics for ARS in Primary Care. METHODS AND MATERIALS: British Rhinological Society members were asked to contribute patients admitted with complications of ARS, between Feb 2008-Feb 2009 to a national prospective audit via an on-line survey. RESULTS: Seventy-eight patients were admitted with complications of ARS. The majority of patients were started on antibiotics (59%) prior to admission, with penicillin/amoxicillin being most frequently (64%) prescribed. Similar complication rates were seen in patients treated with and without prior antibiotics. CONCLUSION: Despite prior antibiotic treatment, complications of ARS seem to occur sporadically. Our study has shown that the complications which require surgical treatment are similar in both the prior antibiotic treated group and the no prior antibiotic group, suggesting limited benefit of oral antibiotics in the Primary Care setting. Early recognition with CT scanning and appropriate hospital management is essential to reduce any subsequent morbidity or mortality. PMID- 21038028 TI - Investigation of the influence of ambient temperature, atmospheric pressure and water vapour pressure on epistaxis admission rate. AB - BACKGROUND: To investigate the relationship between weather variables (atmospheric pressure, temperature, water vapour pressure) and epistaxis admission rates at Derriford Hospital, Plymouth, United Kingdom. METHODOLOGY: Retrospective observational study using hospital inpatient information databases to identify all patients admitted with epistaxis from April 1999 to March 2009 inclusive. Meteorological data for the same period was retrieved from the University of Plymouth Meteorological Archive. Epistaxis admissions were investigated for correlation with weather variables using Pearson correlation, and stepwise multiple regression analysis was performed. RESULTS: During the study period there were 1071 admissions (978 patients) (501 males (mean age 64 years) and 477 females (mean age 72 years)). Less than 10% of the variance in epistaxis admissions is explained by the maximum temperature only. Temperature and water vapour pressure demonstrated statistically significant association with epistaxis admission rates. CONCLUSIONS: Though there is statistical significance in the association of some weather variables and epistaxis admission rates, the findings are not practically relevant (<10% variance). The results of this study do not indicate a need for revision of current healthcare resource allocation. PMID- 21038029 TI - Expression of cathepsin S and its inhibitor stefin A in sinonasal inverted papilloma. AB - BACKGROUND: Dysregulation of cysteinyl cathepsins and their inhibitors, cystatins (stefins), were implied in progression of tumorgenesis; nevertheless, their role in sinonasal inverted papilloma (IP) is still unrecognized. METHODS: The differential expression of cathepsins and stefins in IP and normal tissues were revealed by data of human Affymetrix U133A gene chips, real-time polymerase chain reaction (PCR) and immunohistochemistry. RESULTS: Among the cathepsins and stefins family, expression of cathepsin S and stefin A were most differentially expressed (down- and up-regulated, respectively) in IP tissue as compared with normal tissues. Their expression levels were validated by real-time PCR, which showed the expression level of cathepsin S was significantly down-regulated, whereas the expression of stefin A was significantly up-regulated in IP tissue compared to normal sinus mucosa. Using immunohistochemistry, expression of cathepsin S was observed in stromal and epithelial area macrophages of normal sinus mucosa, but no obvious expression of cathepsin S was found in IP tissue. In contrast, over-expression of stefin A was present in nearly all layers of the proliferative squamous cells of IP, but expression of stefin A was only detected in a scattered area of normal sinus mucosa. CONCLUSION: Down-regulation of cathepsin S and up-regulation of its endogenous inhibitor, stefin A, were found in IP tissues as compared with their expression level in normal sinus mucosa tissues. The biological significance of inverse expression of both stefin A and cathepsin S in sinonasal IP need further investigation in the future. PMID- 21038030 TI - Endoscopic management of inverted papillomas: long-term results--the St. Paul's Sinus Centre experience. AB - OBJECTIVES: To demonstrate that computer-assisted endoscopic management of inverted papillomas yields excellent long-term results in terms of preventing recurrence and minimizing significant morbidity and mortality. METHODS: A retrospective chart review of patients who are being followed up for tumour recurrence or have undergone tumour removal between 2000 and 2008. All cases were undertaken using the GE Instatrak 3500+ navigation system. RESULTS: Inverted papillomas are the most common tumour managed endoscopically (57% of all sinonasal tumours) with 76 patients seen over the last 8 years. Approximately 50% of these cases had undergone previous surgery in another centre where the tumour was either not recognized or the resection was incomplete. Twentynine percent of these patients had a recurrence but only three required a revision procedure using an open approach; otherwise recurrences were successfully managed endoscopically. Endoscopic recurrence during the first half was 32% (versus 14% for open procedures), dropping to a recurrence rate of 11% in the latter period. CONCLUSIONS: Endoscopic management of inverted papillomas allows good control of the disease and avoids unnecessary morbidity associated with open procedures. Although there is a higher initial recurrence rate, these recurrences can be successfully managed endoscopically, and computer navigation can be a useful adjunct in achieving this. PMID- 21038031 TI - The Agger Nasi cell and uncinate process, the keys to proper access to the nasolacrimal drainage system. AB - BACKGROUND: Profound knowledge of the surgical anatomy is required to perform any dacryo-cysto-rhinostomy (DCR) procedure. In endoscopic endonasal DCR surgery, the uncinate process (UP) and/or agger nasi (AN) cell are frequently found to obstruct access to the lacrimal fossa. The aim of this study was to analyse the radiological anatomy of the UP and the AN cell in relation to the lacrimal sac. METHODS: Preoperative computed tomography (CT) scans of patients undergoing DCR and other endoscopic sinus surgeries were analysed regarding their anatomical variations concerning the UP and the AN cell at the level of the common canaliculus. The covering of the lacrimal fossa by the UP was graded and a surgically relevant AN cell was sought after. RESULTS: CT datasets of 60 sides were included. Analysis showed an AN cell overlying the upper parts of the lacrimal sac in 55% of patients. An anteriorly attaching UP covering at least 50% of the lacrimal fossa was found in 63% of individuals. CONCLUSION: In this study more than 80% of all patients presented with either a very anteriorly attached UP or an AN cell that would have to be removed to perform effective endoscopic DCR. The AN cell and the UP need to be included in the endonasal surgical concept. PMID- 21038032 TI - Comparison of two different odorants in an olfactory detection threshold test of the Sniffin’ Sticks. AB - The olfactory test battery Sniffin' Sticks is a test of nasal chemosensory function that is based on pen-like devices for odour presentation. It consists of three olfactory subtests: threshold, discrimination, and identification. The detection threshold can be measured using two different odorants--n-butanol or PEA (phenylethyl alcohol). Both tasks are commonly applied in published studies, but little is known about the formal comparison of values obtained using them. Unlike the Sniffin' Sticks with n-butanol as odorant, there is poor validation for the threshold subtest with the odorant PEA. The purpose of this study was to compare these two different odorants. Both odorants were applied to 100 normosmic, healthy subjects (50 females). The experiment was divided into two sessions performed on two different days. After each threshold test the discrimination and identification subtests were conducted. We obtained significant differences in detection thresholds of PEA and n-butanol. The mean score of PEA threshold and PEA TDI (sum of threshold, discrimination, identification) was significantly higher compared to n-butanol. No significant correlation between individual PEA and n-butanol thresholds was observed. The differences between both odorants indicate that a formal validation of the Sniffin' Sticks with PEA as odorant for probing olfactory thresholds may be required. PMID- 21038033 TI - Chemotherapy in severe nasal polyposis--a possible beneficial effect? A report of three cases. AB - BACKGROUND: Nasal polyposis is an inflammatory process of the nasal mucosa. Treatment has changed from surgery to an anti-inflammatory approach, but neither of these treatments addresses the underlying cause. Topical steroids and occasional use of systemic steroids in patients with nasal polyposis can frequently control the polypoid disease. In a few cases, when the disease is more aggressive, the repeated application of systemic steroids together with sinus surgery is required. MATERIAL AND METHODS: We present our experience with one case of rheumatoid arthritis and two cases with malignant diseases, all of which were treated with chemotherapy and were also accompanied by severe nasal polyposis. All of our patients had eosinophilic polypoid disease. Various chemotherapeutic treatment schemes were utilized. RESULTS: During chemotherapy all three patients were markedly improved symptomatically including olfaction along with a significant reduction in their nasal polyposis. Duration of remission lasted for a few months in two cases and for three years, in a third case. CONCLUSION: This is the first report describing the successful treatment of severe nasal polyposis with chemotherapy. Based on this experience, we suggest a phase II trial with chemotherapy, preferably "low dose" methotrexate, in patients with severe nasal polyposis. PMID- 21038034 TI - A feedback control system for high-fidelity digital microfluidics. AB - Digital microfluidics (DMF) is a technique in which discrete droplets are manipulated by applying electrical fields to an array of electrodes. In an ideal DMF system, each application of driving potential would cause a targeted droplet to move onto an energized electrode (i.e., perfect fidelity between driving voltage and actuation); however, in real systems, droplets are sometimes observed to resist movement onto particular electrodes. Here, we implement a sensing and feedback control system in which all droplet movements are monitored, such that when a movement failure is observed, additional driving voltages can be applied until the droplet completes the desired operation. The new system was evaluated for a series of liquids including water, methanol, and cell culture medium containing fetal bovine serum, and feedback control was observed to result in dramatic improvements in droplet actuation fidelity and velocity. The utility of the new system was validated by implementing an enzyme kinetics assay with continuous mixing. The new platform for digital microfluidics is simple and inexpensive and thus should be useful for scientists and engineers who are developing automated analysis platforms. PMID- 21038035 TI - Analysing and understanding the active site by IR spectroscopy. AB - IR spectroscopy is a technique particularly adapted for understanding the mechanism of catalytic reactions, being able to probe the surface mechanisms at the molecular level. In this critical review the main advances in the field are presented, both under the aspects of the in situ and operando approaches. A broad view of the most authoritative literature of the domain is given, based largely on the experience built up at the LCS laboratory in the last decades. After having presented the general methodology to observe a potential active site directly or by probe molecule adsorption, several examples illustrate the qualitative and quantitative analysis of the physical-chemical properties of the surface entities. The last part of the review is dedicated to the discrimination of the role of the active site and its links with the catalytic steps; the hot problem of the reaction intermediates and their visibility via spectroscopic techniques is critically addressed (138 references). PMID- 21038036 TI - Optimization of partial multicanonical molecular dynamics simulations applied to an alanine dipeptide in explicit water solvent. AB - The partial multicanonical algorithm for molecular dynamics and Monte Carlo simulations samples a wide range of an important part of the potential energy. Although it is a strong technique for structure prediction of biomolecules, the choice of the partial potential energy has not been optimized. In order to find the best choice, partial multicanonical molecular dynamics simulations of an alanine dipeptide in explicit water solvent were performed with 15 trial choices for the partial potential energy. The best choice was found to be the sum of the electrostatic, Lennard-Jones, and torsion-angle potential energies between solute atoms. In this case, the partial multicanonical simulation sampled all of the local-minimum free-energy states of the P(II), C(5), alpha(R), alpha(P), alpha(L), and C states and visited these states most frequently. Furthermore, backbone dihedral angles phi and psi rotated very well. It is also found that the most important term among these three terms is the electrostatic potential energy and that the Lennard-Jones term also helps the simulation to overcome the steric restrictions. On the other hand, multicanonical simulation sampled all of the six states, but visited these states fewer times. Conventional canonical simulation sampled only four of the six states: The P(II), C(5), alpha(R), and alpha(P) states. PMID- 21038037 TI - Using one-step perturbation to predict the folding equilibrium of differently stereochemically substituted beta-peptides. AB - The one-step perturbation technique is used to predict the folding equilibria for 16 peptides with different stereochemical side-chain substitutions through one or two long-time simulations, one of an unphysical reference state and another of one of the 16 peptides for which many folding events can be sampled. The accuracy of the one-step perturbation results was investigated by comparing to results available from long-time MD simulations of particular peptides. Their folding free energies were reproduced within statistical accuracy. The one-step perturbation results show that an axial substitution at either the C(alpha) or the C(beta) position destabilizes the 3(14)-helical conformation of the hepta beta-peptide, which is consistent with data inferred from experimental CD spectra. The methodology reduces the number of required separate simulations by an order of magnitude. PMID- 21038038 TI - Stable isotope fractionation to investigate natural transformation mechanisms of organic contaminants: principles, prospects and limitations. AB - Gas chromatography-isotope ratio mass spectrometry (GC-IRMS) has made it possible to analyze natural stable isotope ratios (e.g., (13)C/(12)C, (15)N/(14)N, (2)H/(1)H) of individual organic contaminants in environmental samples. They may be used as fingerprints to infer contamination sources, and may demonstrate, and even quantify, the occurrence of natural contaminant transformation by the enrichment of heavy isotopes that arises from degradation-induced isotope fractionation. This review highlights an additional powerful feature of stable isotope fractionation: the study of environmental transformation mechanisms. Isotope effects reflect the energy difference of isotopologues (i.e., molecules carrying a light versus a heavy isotope in a particular molecular position) when moving from reactant to transition state. Measuring isotope fractionation, therefore, essentially allows a glimpse at transition states! It is shown how such position-specific isotope effects are "diluted out" in the compound average measured by GC-IRMS, and how a careful evaluation in mechanistic scenarios and by dual isotope plots can recover the underlying mechanistic information. The mathematical framework for multistep isotope fractionation in environmental transformations is reviewed. Case studies demonstrate how isotope fractionation changes in the presence of mass transfer, enzymatic commitment to catalysis, multiple chemical reaction steps or limited bioavailability, and how this gives information about the individual process steps. Finally, it is discussed how isotope ratios of individual products evolve in sequential or parallel transformations, and what mechanistic insight they contain. A concluding session gives an outlook on current developments, future research directions and the potential for bridging the gap between laboratory and real world systems. PMID- 21038039 TI - Cluster analysis of infrared spectra of rabbit cortical bone samples during maturation and growth. AB - Bone consists of an organic and an inorganic matrix. During development, bone undergoes changes in its composition and structure. In this study we apply three different cluster analysis algorithms [K-means (KM), fuzzy C-means (FCM) and hierarchical clustering (HCA)], and discriminant analysis (DA) on infrared spectroscopic data from developing cortical bone with the aim of comparing their ability to correctly classify the samples into different age groups. Cortical bone samples from the mid-diaphysis of the humerus of New Zealand white rabbits from three different maturation stages (newborn (NB), immature (11 days-1 month old), mature (3-6 months old)) were used. Three clusters were obtained by KM, FCM and HCA methods on different spectral regions (amide I, phosphate and carbonate). The newborn samples were well separated (71-100% correct classifications) from the other age groups by all bone components. The mature samples (3-6 months old) were well separated (100%) from those of other age groups by the carbonate spectral region, while by the phosphate and amide I regions some samples were assigned to another group (43-71% correct classifications). The greatest variance in the results for all algorithms was observed in the amide I region. In general, FCM clustering performed better than the other methods, and the overall error was lower. The discriminate analysis results showed that by combining the clustering results from all three spectral regions, the ability to predict the correct age group for all samples increased (from 29-86% to 77-91%). This study is the first to compare several clustering methods on infrared spectra of bone. Fuzzy C-means clustering performed best, and its ability to study the degree of memberships of samples to each cluster might be beneficial in future studies of medical diagnostics. PMID- 21038040 TI - Evaluation and discrimination of simvastatin-induced structural alterations in proteins of different rat tissues by FTIR spectroscopy and neural network analysis. AB - Statins are commonly used to control hypercholesterolemia and to prevent cardiovascular diseases. Among the statins, Simvastatin is one of the most frequently prescribed statins because of its efficacy in reducing LDL lipoprotein cholesterol levels, its tolerability, and its reduction of cardiovascular risk and mortality. Conflicting results have been reported with regard to benefits (pleiotropic effects) as well as risks (adverse effects) of simvastatin on different soft and hard tissues. In the current study, Attenuated Total Reflectance-Fourier transform infrared (ATR-FTIR) spectroscopy was used to obtain detailed information about protein conformational changes due to simvastatin therapy of soft tissues namely liver, testis, sciatic nerve and hard tissues such as femur and tibia. Protein secondary structural changes were predicted by intensity calculations from second derivative spectra and neural network (NN) analysis, using the amide I band (1700-1600 cm(-1)) of FTIR spectra. Moreover, based on protein secondary structural differences, hierarchical cluster analysis was carried out in the 1700-1600 cm(-1) region. The results of our study in liver, testis and sciatic nerve tissues revealed that simvastatin treatment significantly decreased alpha helix structure and beta sheet structure at 1638 cm(-1), while increased the anti-parallel and aggregated beta sheet and random coil structures implying a simvastatin-induced protein denaturation in treated groups. Different to soft tissues, the results of hard tissue studies on femur and tibia bones revealed increased alpha helix structure and decreased anti parallel beta sheet, aggregated beta sheet and random coil structures implying more strengthened bone tissues in simvastatin-treated groups. Finally, the simvastatin-treated and control groups for all soft and bone tissues were successfully differentiated using cluster analysis. According to the heterogeneity values in the cluster analysis of these tissues, the sciatic nerve tissue was found to be the most affected tissue from simvastatin treatment among the studied soft tissues. In addition, the high heterogeneity value implied high secondary structural difference between control and simvastatin-treated groups in tibia bone tissues. These findings reveal that FTIR spectroscopy with bioinformatic analyses such as neural network and hierarchical clustering, allowed us to determine the simvastatin-induced protein conformational changes as adverse and pleiotropic effects of the drug on different soft and hard tissues. PMID- 21038041 TI - Structure-based design of selective high-affinity telomeric quadruplex-binding ligands. AB - A library of triazole-based telomeric quadruplex-selective ligands has been developed that mimic an established family of tri-substituted acridine-based ligands, using crystal structure data as a starting-point for computer-based design. Binding affinities, estimated by electrospray mass spectrometry, are in accord with the design concept. PMID- 21038042 TI - Highly enantioselective Cu(I)-Tol-BINAP-catalyzed asymmetric conjugate addition of Grignard reagents to alpha,beta-unsaturated esters. AB - The copper-catalyzed conjugate addition (CA) of organometallic reagents to alpha,beta-unsaturated carbonyl compounds is one of the most versatile synthetic methods for the construction of C-C bonds. Interestingly, the application of Grignard reagents, which are among the most widely used of organometallic compounds, in asymmetric conjugate addition (CA) reactions has received less attention. Therefore, our group and others have been exploring better catalytic systems to effect the asymmetric 1,4-conjugate addition of Grignard reagents to alpha,beta-unsaturated esters. It is only in the past decade that significant breakthroughs have been made in this field. In our studies, we found that CuI-Tol BINAP could catalyze the asymmetric conjugate addition (CA) reactions of Grignard reagents, including the addition of MeMgBr to alpha,beta-unsaturated esters to afford the beta-methylated esters in good yields with excellent regio- and enantioselectivities. Both enantiomers of the products could be obtained by either using the enantiomers of the chiral Tol-BINAP or by using the geometrical isomer of the starting material. This method is also suitable for other Michael acceptors. In this article, we describe the development of the asymmetric Cu(I) Tol-BINAP catalyzed 1,4-conjugate addition of Grignard reagents to alpha,beta unsaturated esters and applications of this chemistry. This method provides a convenient method to synthesize beta-alkyl esters with high enantioselectivity or diastereoselectivity using CuI and the inexpensive chiral ligand, Tol-BINAP. PMID- 21038043 TI - Analysis of the dispersity in carbohydrate loading of synthetic glycoproteins using MALDI-TOF mass spectrometry. AB - Statistical correlation of mass spectrum peak broadening with product dispersity in protein conjugation reactions allows more detailed characterization of putative therapeutic conjugates. PMID- 21038044 TI - The use of Br/Cl to promote regioselective gold-catalyzed rearrangement of propargylic carboxylates: an efficient synthesis of (1Z, 3E)-1-bromo/chloro-2 carboxy-1,3-dienes. AB - A gold-catalyzed synthesis of 1-bromo/chloro-2-carboxy-1,3-dienes is developed using propargylic carboxylates containing halogenated alkynes as substrates. The reaction is highly diastereoselective, and the halogen atom at the alkyne terminus selectively promotes a 1,2-acyloxy migration. The diene products participate in the Diels-Alder and cross-coupling reactions. PMID- 21038045 TI - Acid-base thermochemistry of gaseous aliphatic alpha-aminoacids. AB - Acid-base thermochemistry of isolated aliphatic amino acids (denoted AAA): glycine, alanine, valine, leucine, isoleucine and proline has been examined theoretically by quantum chemical computations at the G3MP2B3 level. Conformational analysis on neutral, protonated and deprotonated species has been used to identify the lowest energy conformers and to estimate the population of conformers expected to be present at thermal equilibrium at 298 K. Comparison of the G3MP2B3 theoretical proton affinities, PA, and DeltaH(acid) with experimental results is shown to be correct if experimental thermochemistry is re-evaluated and adapted to the most recent acidity-basicity scales. From this point of view, a set of evaluated proton affinities of 887, 902, 915, 916, 919 and 941 kJ mol( 1), and a set of evaluated DeltaH(acid) of 1433, 1430, 1423, 1423, 1422 and 1426 kJ mol(-1), is proposed for glycine, alanine, valine, leucine, isoleucine and proline, respectively. Correlations with structural parameters (Taft's sigma(alpha) polarizability parameter and molecular size) suggest that polarizability of the side chain is the major origin of the increase in PA and decrease in DeltaH(acid) along the homologous series glycine, alanine, valine and leucine/isoleucine. Heats of formation of gaseous species AAA, AAAH(+) and [AAA H](-) were computed at the G3MP2B3 level. The present study provides previously unavailable Delta(f)H degrees (298) for the ionized species AAAH(+) and [AAA-H]( ). Comparison with Benson's estimate, and correlation with molecular size, show that several experimental Delta(f)H degrees (298) values of neutral or gaseous AAA might be erroneous. PMID- 21038046 TI - Optical properties of ZnO nanostructures: a hybrid DFT/TDDFT investigation. AB - We report on the first principles computational modeling of the electronic and optical properties of ZnO nanosystems. 1D, 2D and 3D ZnO nanostructures with different characteristic size are examined and their lowest optical transition energies are calculated by hybrid TDDFT to investigate the effect of quantum confinement on the optical properties of the systems. For a realistic 3D nanoparticle model we evaluate the influence of oxygen vacancies, including relaxation of the excited states, on the photoluminescence process. The results are in quantitative agreement with experimental data, indicating that neutral oxygen vacancies are likely at the origin of green emission in the ZnO nanostructure. The calculated emission process corresponds to radiative decay from a long-living triplet state, in agreement with the experimental evidence of ~MUs emission lifetime and with the results of optically detected magnetic resonance experiments. PMID- 21038047 TI - Crystal structure and electronic properties of 2-amino-2-methyl-1-propanol (AMP) carbamate. AB - A crystal structure of a carbamate of 2-amino-2-methyl-1-propanol (AMP-carbamate) has been elucidated and its structural and electronic properties investigated by density functional theory calculations and natural bond orbital analyses. PMID- 21038048 TI - The nitrogen-boron paramagnetic center in visible light sensitized N-B co-doped TiO(2). Experimental and theoretical characterization. AB - Nitrogen boron co-doped TiO(2) prepared via sol-gel synthesis and active under visible light, contains two types of paramagnetic extrinsic defects, both exhibiting a well resolved EPR spectrum. The first center is the well characterized [N(i)O] species (i = interstitial) also present in N-doped TiO(2), while the second one involves both N and B. This latter center (labeled [NOB]) exhibits well resolved EPR spectra obtained using either (14)N or (15)N which show a high spin density in a N 2p orbital. The structure of the [NOB] species is different from that previously proposed in the literature and is actually based on the presence of interstitial N and B atoms both bound to the same lattice oxygen ion. The interstitial B is also linked to two other lattice oxygen ions reproducing the trigonal planar structure typical of boron compounds. The energy level of the [NOB] center lies near the edge of the valence band of TiO(2) and, as such, does not contribute to the visible light absorption. However, [NOB] can easily trap one electron generating the [NOB](-) diamagnetic center which introduces a gap state at about 0.4 eV above the top of the valence band. This latter species can contribute to the visible light activity. PMID- 21038049 TI - Impact of in situ MAS NMR techniques to the understanding of the mechanisms of zeolite catalyzed reactions. AB - The in situ MAS NMR studies of the mechanisms of zeolite catalyzed reactions are reviewed. The first part of the critical review contains brief information on the different experimental approaches used for the in situ MAS NMR studies under batch and flow conditions. In the second part, a cross reference index between the reactions studied, the catalysts used, the mechanistic information obtained and the corresponding literature sources is established. Finally, in the last part the most widely studied areas are discussed in more detail. In particular, the impact of in situ MAS NMR to unravel the mechanisms of olefin, alcohol and alkanes transformations over zeolite catalysts is analyzed (232 references). PMID- 21038050 TI - UV Raman spectroscopic study on the synthesis mechanism and assembly of molecular sieves. AB - In the past decade, UV Raman spectroscopy has become a powerful technique for the characterization of the synthesis mechanism and assembly of molecular sieves. Ultraviolet excitation avoids fluorescence that plagues visible Raman spectroscopy and concurrently enhances the Raman signal because of the short wavelength of excitation and the resonance Raman effect. The advances of UV Raman spectroscopy, UV resonance Raman spectroscopy and in situ UV Raman spectroscopy and their applications to the characterization of zeolite assembly mechanisms are provided in this tutorial review. Using UV Raman spectroscopy, the synthesis mechanism of zeolites, including the identification of primary units, assembly through key intermediates, transition metal species, and the roles of the organic templates in framework formation have been elucidated, and are discussed herein. PMID- 21038051 TI - Identifying reaction intermediates and catalytic active sites through in situ characterization techniques. AB - This tutorial review centers on recent advances and applications of experimental techniques that help characterize surface species and catalyst structures under in situ conditions. We start by reviewing recent applications of IR spectroscopy of working catalysis, emphasizing newer approaches such as Sum Frequency Generation and Polarization Modulation-infrared reflection absorption spectroscopy. This is followed by a section on solid-state NMR spectroscopy for the detection of surface species and reaction intermediates. These two techniques provide information mainly about the concentration and identity of the prevalent surface species. The following sections center on methods that provide structural and chemical information about the catalyst surface. The increasingly important role of high-pressure X-ray photoelectron spectroscopy in catalyst characterization is evident from the new and interesting information obtained on supported catalysts as presented in recent reports. X-Ray absorption spectroscopy (XANES and EXAFS) is used increasingly under reaction conditions to great advantage, although is inherently limited to systems where the bulk of the species in the sample are surface species. However, the ability of X-rays to penetrate the sample has been used cleverly by a number of groups to understand how changing reaction conditions change the structure and composition of surface atoms on supported catalyst. PMID- 21038052 TI - UV-VIS-NIR spectroscopy and microscopy of heterogeneous catalysts. AB - This critical review article discusses the characterization of heterogeneous catalysts by UV-VIS-NIR spectroscopy and microscopy with special emphasis on transition metal ion containing catalysts. A review is given of the transitions, that can be observed in the UV-VIS-NIR region and the peculiarities of catalytic solids that have to be taken into account. This is followed by a short discussion of the techniques that have been developed over the years: diffuse reflectance spectroscopy, UV-VIS microscopy, in situ or operando spectroscopy, the combination of UV-VIS spectroscopy with other spectroscopic techniques, with chemometrics and with quantum chemistry. In the third part of this paper four successes of UV-VIS-NIR spectroscopy and microscopy are discussed; (1) coordination of transition metal ions to surface oxygens; (2) quantitative determination of the oxidation states of transition metal ions; (3) characterization of active sites and (4) study of the distribution of transition metal ions and carbocations in catalytic bodies, particles and crystals (104 references). PMID- 21038053 TI - Probing the surfaces of heterogeneous catalysts by in situ IR spectroscopy. AB - This critical review describes the reactivity of heterogeneous catalysts from the point of view of four simple, but essential for Chemistry, molecules (namely dihydrogen, carbon monoxide, nitrogen monoxide and ethylene) that are considered as probes or as reactants in combination with "in situ" controlled temperature and pressure Infrared spectroscopy. The fundamental properties of H(2), CO, NO and C(2)H(4) are shortly described in order to justify their different behaviour in respect of isolated sites in different environments, extended surfaces, clusters, crystalline or amorphous materials. The description is given by considering some "key studies" and trying to evidence similarities and differences among surfaces and probes (572 references). PMID- 21038054 TI - Monitoring surface metal oxide catalytic active sites with Raman spectroscopy. AB - The molecular aspect of the Raman vibrational selection rules allows for the molecular structural and reactivity determinations of metal oxide catalytic active sites in all types of oxide catalyst systems (supported metal oxides, zeolites, layered hydroxides, polyoxometalates (POMs), bulk pure metal oxides, bulk mixed oxides and mixed oxide solid solutions). The molecular structural and reactivity determinations of metal oxide catalytic active sites are greatly facilitated by the use of isotopically labeled molecules. The ability of Raman spectroscopy to (1) operate in all phases (liquid, solid, gas and their mixtures), (2) operate over a very wide temperature (-273 to >1000 degrees C) and pressure (UHV to ?100 atm) range, and (3) provide molecular level information about metal oxides makes Raman spectroscopy the most informative characterization technique for understanding the molecular structure and surface chemistry of the catalytic active sites present in metal oxide heterogeneous catalysts. The recent use of hyphenated Raman spectroscopy instrumentation (e.g., Raman-IR, Raman-UV vis, Raman-EPR) and the operando Raman spectroscopy methodology (e.g., Raman-MS and Raman-GC) is allowing for the establishment of direct structure activity/selectivity relationships that will have a significant impact on catalysis science in this decade. Consequently, this critical review will show the growth in the use of Raman spectroscopy in heterogeneous catalysis research, for metal oxides as well as metals, is poised to continue to exponentially grow in the coming years (173 references). PMID- 21038056 TI - Explorations of new quaternary phases in the Ln(III)-V(V)(d0)-Se(IV)-O (Ln = Nd, Eu, Gd, Tb) systems. AB - Systematic explorations of new phases in the Ln(III)-V(V)-Se(IV)-O systems by hydrothermal syntheses led to four new quaternary compounds, namely, Nd(2)(V(V)(2)O(4))(SeO(3))(4).H(2)O (1), Ln(V(V)O(2))(SeO(3))(2) (Ln = Eu 2, Gd 3, Tb 4). The structure of Nd(2)(V(V)(2)O(4))(SeO(3))(4).H(2)O features a 3D framework composed of the 2D layers of [N d(SeO(3))](+) bridged by the infinite [VO(2)(SeO(3))](-) chains with the lattice water molecules located at the 6 membered ring tunnels formed. The structure of Ln(V(V)O(2))(SeO(3))(2) (Ln = Eu, Gd, Tb) also features a 3D framework composed of 2D layers of [Ln(SeO(3))](+) bridged by the infinite [(VO(2))(SeO(3))](-) double chains. The 1D vanadium oxide selenite chain of 1 differs significantly from those in compounds 2-4 in terms of the coordination modes of the selenite groups and the connectivities between neighbouring VO(6) octahedra. Luminescent and magnetic properties of these compounds were also measured. PMID- 21038057 TI - Controlling the dynamics of molecular encapsulation and gating. AB - This critical review describes mechanisms by which guest molecules enter and depart molecular capsules. The discussion focuses on presenting gated molecular encapsulation, i.e., trapping and releasing of guest molecules at rates that are controlled by conformational changes in the host's structure. Developing quantitative rules that describe the gating are, at present, a matter of scientific curiosity but could play an important role in building more effective catalysts, drug-delivery devices or membranes (105 references). PMID- 21038058 TI - Selective decomposition of nucleic acids by laser irradiation on probe-tethered gold nanoparticles in solution. AB - We have developed a new method for selective decomposition of nucleic acids. The method utilizes a high temperature and pressure region (HTP region, hereafter) around a gold nanoparticle, which was generated when the gold nanoparticle was irradiated with a pulsed laser in aqueous solution. A probe DNA molecule whose sequence was complementary to a part of a target DNA molecule was bound to the gold nanoparticle surface. In a solution containing both the target and non target DNA molecules, the gold nanoparticle selectively attached to the target DNA through hybridization of the probe DNA. When the gold nanoparticle was excited by a pulsed laser, the HTP region was generated in the close vicinity of the gold nanoparticle and then the target DNA molecules inside of this region were decomposed. The non-target DNA molecules having no part complementary to the probe DNA were scarcely decomposed by laser irradiation. When the gold nanoparticle was excited by an intense laser, the non-target DNA molecules were also decomposed, because some of them were located inside the inflated HTP region. We discussed the mechanism of the decomposition of the DNA molecules by the HTP region. PMID- 21038059 TI - A novel class of Cd(II), Hg(II) turn-on and Cu(II), Zn(II) turn-off Schiff base fluorescent probes. AB - N,N'-((5,5'-(quinoxaline-2,3-diyl)bis(1H-pyrrole-5,2 diyl))bis(methanylylidene))bis(4-methoxyaniline) 4 and N,N'-((5,5'-(quinoxaline 2,3-diyl)-bis(1H-pyrrole-5,2-diyl))bis(methanylylidene))dianiline 5 have been prepared and structurally characterized. The X-ray crystal structures of compounds 4 and 4a have been determined. These compounds displayed good sensitivity toward transition metal ions with Cd(II), Zn(II) turn-on and Cu(II), Hg(II) turn-off in fluorescence. It is an elegant example of on/off behavior like a lamp. When Cd(II) or Zn(II) is added into compounds 4 or 5, the lamp will switch on, and then when Cu(II) or Hg(II) is added into the mixture, the lamp will switch off. The binding properties of 4 and 5 for cations were examined by fluorescence spectroscopy. The fluorescence data and crystal structure indicate that a 1:1 stoichiometry complex is formed between compound 4 (or 5) and metal ions, and the binding affinity is very high. The recognition mechanism between compound 4 (or 5) and metal ion was discussed based on the their chemical constructions and the CHEF/CHEQ effect when they interacted with each other. PMID- 21038060 TI - Pd-catalyzed decarboxylative arylation of silyl enol ester sp3 beta-C-H bond under aerobic conditions. AB - Pd-catalyzed aerobic oxidative coupling of various benzoic acids with silyl enol esters proceeds via a combination of decarboxylation with sp(3) beta-C-H bond activation to give Heck-type products. Mechanistic studies reveal this coupling involves in situ generation of olefin from aerobic oxidation of silyl enolate, followed by decarboxylative Heck coupling. PMID- 21038061 TI - Structure, bioactivities, biosynthetic relationships and chemical synthesis of the spirodioxynaphthalenes. PMID- 21038062 TI - A method to tune the ionic current rectification of track-etched nanopores by using surfactant. AB - A method is reported here to tune the ionic current rectification behavior through a conical nanopore fabricated with the track-etching technique. In order to change the surface charge property of the pore wall, we added the cationic surfactant hexadecyl trimethylammonium bromide (CTAB) into the working electrolyte of 0.1 M KCl. By controlling the modified region and the concentration of CTAB, the ionic current rectification degree of the nanopore could be tuned over the wide range of 0.2-65 at the voltage of +/-0.9 V. The mechanism of the changes in current rectification behavior was analyzed by numerically solving the Poison-Nernst-Planck (PNP) equations. PMID- 21038063 TI - Supramolecular architecture of tetrathiafulvalene-bridged bis(beta-cyclodextrin) with porphyrin and its electron transfer behaviors. AB - A bridged bis(beta-cyclodextrin) 3 with a tetrathiafulvalene (TTF) linker was synthesized by an electrophilic reaction of mono-6-deoxy-6-iodo-beta-cyclodextrin 1 with 6,7-bis(methylsulfanyl)-2,3- bis(2-cycanoethylsulfanyl)tetrathiafulvalene 2 under the alkaline condition. Benefiting from the good solubilizing ability of the beta-cyclodextrin unit, the solubility limit of 3 in water could reach 1.0 * 10(-3) M, i.e. 0.4 mg mL(-1) calculated as TTF residue. The conformational changes during the inclusion complexation process of 3 with 5,10,15,20-tetrakis(4 sulfonatophenyl)-porphyrin 4 were investigated by UV/Vis and 2D NMR spectroscopy. Significantly, the photo-induced electron transfer (PET) process between the TTF moiety in 3 and the porphyrin unit in 4 would take place within the 3/4 supramolecular complex under the light irradiation, leading to the highly efficient quenching of the fluorescence of 4, and could then be recovered by the formation of TTF cations in the presence of H(2)O(2). Furthermore, taking advantage of the high affinity between 3 and 4, the linear nanoarchitectures were achieved and comprehensively characterized by using transmission electron microscopy (TEM) and atomic force microscopy (AFM). These observations indicated that the strong complexation was a crucial and basic factor to achieve the PET process in the non-covalently constructed assemblies. PMID- 21038064 TI - Norharmane: old yet highly selective dual channel ratiometric fluoride and hydrogen sulfate ion sensor. AB - Norharmane provides a simple unexplored class of anion receptor, that allows for the ratiometric selective detection of F(-) and HSO(4)(-) ions. The presence of a strong base can easily form hydrogen bonds with the acidic hydrogen bond donor moiety and the relatively strong acid can easily protonate the basic hydrogen bond acceptor moiety, which can modulate the optical response and can detect the anions efficiently with high selectivity. In view of that, it is promising to conceive the use of these systems in various sensing applications as well as in other situations, such as anion transport and purification, where the availability of cheap and easy-to-make anion receptors, would be advantageous. PMID- 21038065 TI - Isomerism in rhodium(I) N,S-donor heteroscorpionates: ring substituent and ancillary ligand effects. AB - The heteroscorpionate ligands [HB(taz)(2)(pz(R))](-) (pz(R) = pz, pz(Me2), pz(Ph)) and [HB(taz)(pz)(2)](-), synthesised from the appropriate potassium hydrotris(pyrazolyl)borate salt and 4-ethyl-3-methyl-5-thioxo-1,2,4-triazole (Htaz), react with [{Rh(cod)(MU-Cl)}(2)] to give [Rh(cod)Tx] {Tx = HB(taz)(2)(pz), HB(taz)(2)(pz(Me2)), HB(taz)(2)(pz(Ph)), HB(taz)(pz)(2)}; the heteroscorpionate rhodaboratrane [Rh{B(taz)(2)(pz(Me2))}{HB(taz)(2)(pz(Me2))}] is the only isolable product from the reaction of [{Rh(nbd)(MU-Cl)}(2)] with K[HB(taz)(2)(pz(Me2))]. Carbonylation of the cod complexes gave a mixture of [Rh(CO)(2)Tx] and [(RhTx)(2)(MU-CO)(3)] which reacts with PR(3) to give [Rh(CO)(PR(3))Tx] (R = Cy, NMe(2), Ph, OPh). In the solid state the complexes are square planar with the particular structure dependent on the steric and/or electronic properties of the scorpionate and ancillary ligands. The complex [Rh(cod){HB(taz)(pz)(2)}] has the heteroscorpionate kappa(2)[N(2)]-coordinated to rhodium with the B-H bond directed away from the rhodium square plane while [Rh(cod){HB(taz)(2)(pz(Me2))}] is kappa(2)[SN]-coordinated, with the B-H bond directed towards the metal. The complexes [Rh(CO)(PPh(3)){HB(taz)(2)(pz)}] and [Rh(CO)(PPh(3)){HB(taz)(2)(pz(Me2))}] are also kappa(2)[SN]-coordinated but with the pyrazolyl ring cis to PPh(3); in the former the B-H bond is directed towards rhodium while in the latter the ring is pseudo-parallel to the rhodium square plane, as also found for [Rh(CO)(2){HB(taz)(2)(pz(Me2))}]. The analogues [Rh(CO)(PR(3)){HB(taz)(2)(pz(Me2))}] (R = Cy, NMe(2)) have the phosphines trans to the pyrazolyl ring. Uniquely, [Rh(CO)(PPh(3)){HB(taz)(2)(pz(Ph))}] is kappa(2)[S(2)]-coordinated. A qualitative mechanism is given for the rapid ring exchange, and hence isomerisation, observed in solution. PMID- 21038066 TI - The electronic structures of diruthenium complexes containing an oligo(phenylene ethynylene) bridging ligand, and some related molecular structures. AB - The complexes [{Cp'(L(2))Ru}C=CC(6)H(4)C=CC(6)H(2)(OMe)(2)C=CC(6)H(4)C=C{Ru(L(2))Cp'}](L(2) = (PPh(3))(2), Cp' = Cp; L(2) = dppe, Cp' = Cp*) in which the metal centres are bridged by an oligomeric phenylene ethynylene (OPE) ligand have been prepared and the electronic structure of these representative ruthenium-capped OPEs investigated using a combination of electrochemical, UV-vis-NIR and IR spectroelectrochemical methods, and DFT-based calculations. The diruthenium complexes are oxidised to the thermodynamically stable dications [Cp'Ru(L(2))C=CC(6)H(4)C=CC(6)H(2)(OMe)(2)C=CC(6)H(4)C=CRu(L(2))Cp'](2+), which on the basis of the spectroelectrochemical and computational results can be described in terms of two non-interacting Ru(C=CAr)(L(2))Cp' moieties. X-ray structures of the oligophenyleneethynylene HC=CC(6)H(4)C=CC(6)H(2)(OMe)(2)C=CC(6)H(4)C=CH, the bis(gold) complex Ph(3)PAuC=CC(6)H(4)C=CC(6)H(2)(OMe)(2)C=CC(6)H(4)C=CAuPPh(3) and the precursor 1 ethynyl-4-(trimethylsilylethynyl)benzene are also reported. PMID- 21038067 TI - Deposition of thin films of organic-inorganic hybrid materials based on aromatic carboxylic acids by atomic layer deposition. AB - Thin films of organic-inorganic hybrid materials have been grown by the atomic layer deposition (ALD) technique, using trimethylaluminium (TMA) and aromatic carboxylic acids such as 1,2-benzene dicarboxylic acid, 1,3-benzene dicarboxylic acid, 1,4-benzene dicarboxylic acid, 1,3,5-benzene tricarboxylic acid, 1,2,4,5 benzene tetracarboxylic acid as precursors. Growth rates as function of temperature show that all systems, with the exception of the benzoic acid-TMA system, possess ALD-windows and provides growth rates in the range of 0.25-1.34 nm/cycle. X-ray diffraction studies of the as-deposited films reveal their amorphous character, which is also supported by very low surface roughness as measured by atomic force microscopy. As-deposited films were investigated by Fourier Transform Infrared Spectroscopy proving that the deposited films are of a hybrid character. PMID- 21038068 TI - Molecular clips and tweezers hosting neutral guests. AB - Intense current interest in supramolecular chemistry is devoted to the construction of molecular assemblies displaying controlled molecular motion associated to recognition. On this ground, molecular clips and tweezers have focused an increasing attention. This tutorial review points out the recent advances in the construction of always more sophisticated molecular clips and tweezers, illustrating their remarkably broad structural variety and focusing on their binding ability towards neutral guests. A particular attention is brought to recent findings in dynamic molecular tweezers whose recognition ability can be regulated by external stimuli. Porphyrin-based systems will not be covered here as this very active field has been recently reviewed. PMID- 21038069 TI - Redox-induced Ru(bpy)(3)(2+)-methylviologen radical formation and its dimerization in cucurbit[8]uril. AB - A redox-induced radical Ru(bpy)(3)(2+)-(CH(2))(n)-MV(+) (n = 4, 7) and its dimerization in cucurbit[8]uril (CB[8]) have been observed concomitantly in aqueous solution, which depends heavily on the length of the carbon chain linkage, in the case of n = 4, the characteristic pattern for the radical dimer is predominating, while that for the radical becomes dominated for n = 7. PMID- 21038070 TI - A multicellular spheroid formation and extraction chip using removable cell trapping barriers. AB - This paper presents a multicellular spheroid chip capable of forming and extracting three-dimensional (3D) spheroids using removable cell trapping barriers. Compared to the conventional macro-scale spheroid formation methods, including spinning, hanging-drop, and liquid-overlay methods, the recent micro scale spheroid chips have the advantage of forming smaller spheroids with better uniformity. The recent micro spheroid chips, however, have difficulties in extracting the spheroids due to fixed cell trapping barriers. The present spheroid chip, having two PDMS layers, uses removable cell trapping barriers, thereby making it easy to form and extract uniform and small-sized spheroids. We have designed, fabricated and characterized a 4 * 1 spheroid chip, where membrane cell trapping barriers are inflated at a pressure of 50 kPa for spheroid formation and are deflated at zero gauge pressure for simple and safe extraction of the spheroids formed. In this experimental study, the cell suspension of non small lung cancer cells, H1650, is supplied to the fabricated spheroid chip in the pressure range 145-155 Pa. The fabricated spheroid chips collect the cancer cells in the cell trapping regions from the cell suspension at a concentration of 2 * 10(6) ml(-1), thus forming uniform 3D spheroids with a diameter of 197.2 +/- 11.7 MUm, after 24 h incubation at 5% CO(2) and 37 degrees C environment. After the removal of the cell trapping barriers, the spheroids formed were extracted through the outlet ports at a cell inlet pressure of 5 kPa. The cells in the extracted spheroids showed a viability of 80.3 +/- 7.7%. The present spheroid chip offers a simple and effective method of obtaining uniform and small-sized 3D spheroids for the next stage of cell-based biomedical research, such as gene expression analysis and spheroid inoculation in animal models. PMID- 21038071 TI - Engineering active sites for enhancing synergy in heterogeneous catalytic oxidations. AB - The simultaneous isomorphous substitution of Al(III) and P(V) ions, in an aluminophosphate framework, with redox active Co(III) and Ti(IV) metal ions, generates highly active single-site heterogeneous catalysts that exhibit considerable synergy, compared to their corresponding monometallic analogues, in the catalytic epoxidation of olefins. PMID- 21038072 TI - Direct and efficient N-heterocyclic carbene-catalyzed hydroxymethylation of aldehydes. AB - The N-heterocyclic carbene-catalyzed coupling of several aldehydes with paraformaldehyde is reported, directly providing the corresponding valuable hydroxymethyl ketones. Results of first mechanistic experiments for this remarkably selective transformation are also provided. PMID- 21038073 TI - Europium(II) compounds: simple synthesis of a molecular complex in water and coordination polymers with 2,2'-bipyrimidine-mediated ferromagnetic interactions. AB - Reaction between EuCl(2) and 2,2'-bipyrimidine (bpm) in de-oxygenated water afforded a cationic molecular complex [EuCl(bpm)(2)(H(2)O)(4)][Cl].H(2)O (1). When performed in an organic solvent such as THF or methanol, the same reaction yielded a 3-dimensional coordination polymer of formula [EuCl(2)(bpm)(MeOH)(0.5)](infinity) (2) in which both bpm and the chloride ions act as linkers between the Eu(II) ions. Upon replacing Cl(-) by I(-), two coordination polymers of formula {[Eu(bpm)(2)(H(2)O)(3)][I](2).0.5bpm}(infinity) (3) and {[Eu(I)(bpm)(MeOH)][I]}(infinity) (4) were obtained from reaction in water and methanol, respectively. All these compounds were characterized by X-ray crystallography. Investigations of the magnetic properties revealed a weak antiferromagnetic coupling in 2, while 3 and 4 showed a weak ferromagnetic coupling at low temperature. PMID- 21038074 TI - Metabolic fingerprinting as a tool to monitor whole-cell biotransformations. AB - Fourier transform infrared (FT-IR) spectroscopy was employed as a rapid high throughput phenotypic typing technique to generate metabolic fingerprints of Escherichia coli MG1655 pDTG601A growing in fed-batch culture, during the dioxygenase-catalysed biotransformation of toluene to toluene cis-glycol. With toluene fed as a vapour, the final toluene cis-glycol concentration was 83 mM, whereas the product concentration was only 22 mM when the culture was supplied with liquid toluene. Multivariate statistical analysis employing cluster analysis was used to analyse the dynamic changes in the data. The analysis revealed distinct trends and trajectories in cluster ordination space, illustrating phenotypic changes related to differences in the growth and product formation of the cultures. In addition, partial least squares regression was used to correlate the FT-IR metabolic fingerprints with the levels of toluene cis-glycol and acetate, the latter being an indicator of metabolic stress. We propose that this high-throughput metabolic fingerprinting approach is an ideal tool to assess temporal biochemical dynamics in complex biological processes, as demonstrated by this redox biotransformation. Moreover, this approach can also give useful information on product yields and fermentation health indicators directly from the fermentation broth without the need for lengthy chromatographic analysis of the products. PMID- 21038076 TI - Effect of cytochrome P450 2C19 genotype on voriconazole exposure in cystic fibrosis lung transplant patients. AB - PURPOSE: Voriconazole is widely used to treat invasive aspergillosis after lung transplantation. In cystic fibrosis patients, the interindividual variability in drug disposition complicates the optimal voriconazole dosing and increases the risk of toxicity. The objective of this retrospective study was to evaluate the influence of CYP2C19 genotype on voriconazole response in lung transplant patients with cystic fibrosis. METHODS: We retrospectively studied 24 Caucasian cystic fibrosis lung transplant recipients who received voriconazole. We analyzed the influence of CYP2C19 genotype (*2 and *17 alleles) on voriconazole exposure and maintenance dose and side effects. RESULTS: Heterozygous carriers of the CYP2C19*2-deficient allele required lower maintenance doses (440 +/- 107 mg/day) compared with wild-type and CYP2C19*17-allele carriers (633 +/- 197 mg/day and 600 +/- 193 mg/day, respectively, P<0.05). The time to achieve the therapeutic range and the proportion of out-of-range concentrations were significantly higher in the CYP2C19*2 group (31.3% vs. 12.1% and 9.8% of above-range levels in the CYP2C19*1 and CYP2C19*17 groups, respectively) or CYP2C19*17 group (37.9% vs. 15.6% and 13% of below-range levels in the CYP2C19*1 and CYP2C19*2 groups, respectively) (P<0.01). No relationship was found between voriconazole toxicity and CYP2C19 status. CONCLUSIONS: In this frail population, voriconazole exposure is strongly influenced by CYP2C19 genotype, and determining the genotype before voriconazole initiation may help determine the initial dosing regimen that will promptly achieve therapeutic plasma levels without producing out-of-range levels. PMID- 21038077 TI - Effect of gender on intra-abdominal fat in teenagers and young adults. AB - BACKGROUND: Adult men accumulate greater intra-abdominal fat (IAF) than adult women, a difference closely related to gender differences in cardiometabolic disease risk in the elderly. However, the time when this gender difference appears is a matter of controversy. OBJECTIVE: We examine the influence of gender on IAF deposition in lean, overweight and obese teenagers and young adults. MATERIALS AND METHODS: We measured subcutaneous abdominal fat (SAF) and IAF in 505 healthy sexually mature teenagers and young adults (254 males, 251 females; 15-22 years) using a single CT scan at the level of the umbilicus. RESULTS: Regardless of body size, young females had greater SAF values than young males. Although lean females had higher IAF values than lean males (13.7 +/- 7.8 vs. 11.2 +/- 5.4; P = 0.001), overweight and obese females and males had strikingly similar IAF values (23.4 +/- 11.1 vs. 23.1 +/- 9.5; P = 0.88 and 36.5 +/- 20.4 vs. 38.8 +/- 13.2; P = 0.59, respectively). In contrast, the ratio of IAF/SAF was greater in males than females, except in the very obese. Multiple regression analysis indicated that while gender was a strong predictor of SAF in lean and overweight subjects (P's < 0.0001), it did not influence IAF after adjusting for height and weight (P = 0.35 and 0.65, respectively) in overweight and obese subjects. CONCLUSION: Overweight and obese young women have similar absolute amounts of IAF as young men. PMID- 21038078 TI - Ultrasound and MR findings in sclerosing perineurioma. AB - Perineurioma is an unusual benign peripheral nerve sheath tumor that includes intraneural, soft tissue (conventional), reticular and sclerosing types. Sclerosing perineurioma is a recently described distinctive variant occurring nearly exclusively on the hands of young adults, in which it presents as a small, painless, dermal or subcutaneous mass. The only report of imaging findings in sclerosing perineurioma was by Miyake et al., who described the MR findings in 2006. However, there have been no reports of the ultrasound features. We report a 22-year-old man with sclerosing perineurioma and describe the lesion's ultrasound and MR features. PMID- 21038079 TI - Vagus nerve stimulation: effectiveness and tolerability in patients with epileptic encephalopathies. AB - PURPOSE: We discuss the effectiveness, tolerability, and safety of vagus nerve stimulation (VNS) as adjunctive therapy in 26 patients with refractory epileptic encephalopathies (EEs). MATERIAL AND METHODS: Twenty-six patients (17 male and 9 female) with electroclinical features compatible with Lennox-Gastaut syndrome (LGS) in 20 patients, Dravet syndrome (DS) in 3 patients, and epilepsy with myoclonic-astatic seizures (EMAS) in 3 patients implanted with the NCP system were analyzed. RESULTS: In our series of patients with LGS, 17 cases showed a significant improvement in seizure control, with a reduction in seizure frequency of at least 50%. Seven of them previously had epileptic spasms. Three patients with EMAS and two patients with DS showed a significant improvement in seizure control, with a reduction in seizure frequency of at least 50%. A good clinical response was evident early and efficacy progressively improved with the duration of treatment up to 36 months. In patients who had a reduction in seizure frequency of at least 50%, quality of life (QOL) and neuropsychological performance improved. VNS was well-tolerated in all patients. CONCLUSION: VNS is an effective and well-tolerated treatment for patients with epileptic encephalopathies EEs, improving QOL and neuropsychological performance. PMID- 21038080 TI - Focal macular electroretinograms after photodynamic therapy combined with intravitreal bevacizumab. AB - BACKGROUND: Retinal function is transiently depressed after photodynamic therapy (PDT) alone. One of the reasons for this functional impairment is a reduction of choroidal circulation caused by the PDT. The purpose of this study was to determine whether PDT combined with intravitreal bevacizumab (PDT+IVB) can reduce or prevent the transient impaired macular function. In addition, we examined whether a significant correlation existed between the changes in the focal macular electroretinograms (FMERGs), optical coherence tomography (OCT) determined morphology, and changes in choroidal circulation. METHODS: Thirty eight eyes that were treated by full fluence PDT+IVB were studied. FMERGs, OCT, and indocyanine green angiography (ICGA) were performed before and after the PDT. The intensity of the diffuse fluorescence within the PDT site was measured by densitometry (I/N ratio). RESULTS: The macula was significantly thinner 1 week after PDT+IVB (P < 0.01). The mean a- and b-wave amplitudes of the FMERGs were not significantly decreased 1 week after PDT+IVB. The mean b-wave amplitudes 3 months after PDT+IVB were significantly increased (P < 0.01). The I/N ratio of ICGA 3 months after PDT+IVB was 0.88 +/- 0.1. The correlation between the FMERGs and I/N ratio was not significant. CONCLUSION: The use of IVB with PDT mitigates the reduction of the FMERGs and reduces the macular thickness soon after PDT, regardless of the degree of impairment of choroidal circulation caused by PDT. Finally, the macular retinal function 3 months after PDT+IVB were better than that before the treatment. PMID- 21038081 TI - Mid-term results of PLIF/TLIF in trauma. AB - Treatment of thoracolumbar fractures is still controversial. Several treatment options are reported to yield satisfactory results. There is no evidence indicating superiority of any treatment option. We have already presented radiological results of the use of PLIF/TLIF in trauma, which showed satisfactory results concerning intervertebral fusion and acceptable loss of correction. We examined 50 patients regarding loss of correction after implant removal and clinical outcome using a validated visual analogue score. The average time of follow-up (FU) was 35 months. We observed a total loss of correction of 4 degrees . The pre-injury mean VAS score was 92. At FU, there was an average reduction of 17.2 points. Owing to the presented results, we suggest this method as an alternative to combined procedures. PMID- 21038082 TI - Pick-N multiple choice-exams: a comparison of scoring algorithms. AB - To compare different scoring algorithms for Pick-N multiple correct answer multiple-choice (MC) exams regarding test reliability, student performance, total item discrimination and item difficulty. Data from six 3rd year medical students' end of term exams in internal medicine from 2005 to 2008 at Munich University were analysed (1,255 students, 180 Pick-N items in total). Scoring Algorithms: Each question scored a maximum of one point. We compared: (a) Dichotomous scoring (DS): One point if all true and no wrong answers were chosen. (b) Partial credit algorithm 1 (PS(50)): One point for 100% true answers; 0.5 points for 50% or more true answers; zero points for less than 50% true answers. No point deduction for wrong choices. (c) Partial credit algorithm 2 (PS(1/m)): A fraction of one point depending on the total number of true answers was given for each correct answer identified. No point deduction for wrong choices. Application of partial crediting resulted in psychometric results superior to dichotomous scoring (DS). Algorithms examined resulted in similar psychometric data with PS(50) only slightly exceeding PS(1/m) in higher coefficients of reliability. The Pick-N MC format and its scoring using the PS(50) and PS(1/m) algorithms are suited for undergraduate medical examinations. Partial knowledge should be awarded in Pick-N MC exams. PMID- 21038083 TI - Quality of life of children with mitral valve prolapse. AB - OBJECTIVE: The aim of our prospective study was to assess the health-related quality of life (HRQOL) of children with mitral valve prolapse (MVP) and the impact of clinical symptoms on HRQOL. METHODS: Sixty-seven patients with primary MVP aged 8-18 years were studied and compared with 31 healthy children. All children completed the polish version of KIDSCREEN-27. For searching occurrence and frequency of 18 clinical symptoms, authors' questionnaire was used. RESULTS: The statistically significant difference was found only for one from five searching dimensions of HRQOL-physical well-being. In the remaining studied aspects of HRQOL, no statistically significant differences were found in comparison with the healthy children. The statistically significant moderate correlation between the number and frequency of clinical symptoms and physical well-being was found. CONCLUSIONS: In children with MVP, the lower self assessment is observed mainly in evaluation of their health and own physical activity. The remaining studied dimensions of HRQOL are comparable with the healthy children. However, within the population of children suffering from MVP, the frequency of clinical symptoms impact upon the different HRQOL dimensions. Thus, MVP represents a heterogeneous population. Whether there are impairments of HRQOL largely depend on the severity and frequency of clinical symptoms. PMID- 21038084 TI - A high omega-3 fatty acid diet has different effects on early and late stage myeloid progenitors. AB - The effects of the polyunsaturated omega-3 (n-3) and omega-6 (n-6) fatty acids (FA) on hematopoiesis are complex in that both FA forms are processed into leukotrienes, eicosanoids, and prostaglandins, which can have independent effects. These FA have antagonistic effects in that n-6 FA prostaglandins tend to be pro-proliferative and pro-inflammatory, while the effects of n-3 FA prostaglandins are the opposite. We have previously shown that diets high in n-3 FA reduce the size of the middle to later stage myeloid progenitor compartment in FVB X sv129 F(1)hybrid mice. To assay the effects of high n-3 FA diets on earlier stages of myelopoiesis, we fed C57BL/6J mice diets high in n-3 FA or levels of n 3/n-6 FA similar to western diets and assayed the effects on myelopoiesis with flow cytometry and colony forming cell assays. Results indicate an expansion of the common myeloid progenitor cell compartment in high n-3 FA diets, which does not persist into later stages where the number of progenitor cells is actually lower in high n-3 FA fed animals. Investigations in vitro with the hematopoietic stem cell line EML-clone 1 indicate that cells cultured with eicosapentaenoic acid (n-3 FA) or arachidonic acid (n-6 FA) have no differences in cell viability but that arachidonic acid more rapidly produces progenitors with low levels of the macrophage developmental marker, F4/80. PMID- 21038085 TI - Reversible gastric restriction implant: safety and efficacy in a canine model. AB - BACKGROUND: Gastric restrictive procedures are considered effective weight loss treatment for severe obesity. The aim of the study was to evaluate the efficacy and safety of a reversible implant that renders a partial restriction of stomach in a canine model. METHODS: The device was comprised of two longitudinal parallel non-compressive plates with two C-rings to create a small gastric pouch that opposed gastric distension. Three groups of non-obese mongrel dogs were included: group I (n = 6) underwent surgical implant for 6 weeks, group II (n = 6) underwent surgical implant for 6 weeks, followed by surgical removal of the implant and additional monitoring for 5 weeks, and group III (n = 5) served as sham-operated controls for groups I and II. Food intake and body weight were monitored, and the stomachs were examined histologically postmortem. RESULTS: The average food intake was significantly decreased by 38.2% in group I as compared to group III throughout the 6 weeks of surgical implant (P < 0.05). The implanted dogs showed a progressive weight loss as compared to sham, which reached 21% by the end of 6 weeks. In group II, after 5 weeks of implant removal, the body weights recovered to approximately 96% of baseline. Histological evidence of the implant site at the gastric walls revealed no significant structural changes, tissue ischemia, hemorrhage, or necrosis. CONCLUSION: Our results validate the feasibility of a reversible gastric restriction implant in a non-obese canine model, with the potential for achieving significant weight loss within 6 weeks and with no injury to the gastric wall. PMID- 21038086 TI - Enzymatic hydrolysis of polylactic acid fiber. AB - This study investigated the optimization of the enzymatic processing conditions for polylactic acid (PLA) fibers using enzymes consisting of lipases originating from different sources. The hydrolytic activity was evaluated taking into consideration the pH, temperature, enzyme concentration, and treatment time. The structural change of the PLA fibers was measured in the optimal treatment conditions. PLA fiber hydrolysis by lipases was maximized for lipase from Aspergillus niger at 40 degrees C for 60 min at pH 7.5 with 60% (owf) concentration, for lipase from Candida cylindracea at 40 degrees C for 120 min at pH 8.0 with 70% (owf) concentration, and for lipase from Candida rugosa at 45 degrees C for 120 min at pH 8.0 with 70% (owf) concentration. There was a change in protein absorbance of the treatment solution before and after all lipase treatments. The analyses of the chemical structure change and structural properties of the PLA due to lipase treatment was confirmed by tensile strength, differential scanning calorimetry, wide-angle X-ray scattering diffractometry, Fourier transform infrared spectroscopy, and scanning electron microscopy. PMID- 21038087 TI - Affinity covalent immobilization of glucoamylase onto rho-benzoquinone activated alginate beads: I. Beads preparation and characterization. AB - rho-Benzoquinone-activated alginate beads were presented as a new carrier for affinity covalent immobilization of glucoamylase enzyme. Evidences of alginate modification were extracted from FT-IR and thermal gravimetric analysis and supported by morphological changes recognized through SEM examination. Factors affecting the modification process such as rho-benzoquinone (PBQ) concentration, reaction time, reaction temperature, reaction pH and finally alginate concentration, have been studied. Its influence on the amount of coupled PBQ was consequently correlated to the changes of the catalytic activity and the retained activity of immobilized enzyme, the main parameters judging the success of the immobilization process. The immobilized glucoamylase was found kept almost 80% of its native activity giving proof of non-significant substrate, starch, diffusion limitation. The proposed affinity covalent immobilizing technique would rank among the potential strategies for efficient immobilization of glucoamylase enzyme. PMID- 21038088 TI - Granulation of simultaneous partial nitrification and anammox biomass in one single SBR system. AB - The granulation of simultaneous partial nitrification and anaerobic ammonium oxidation (Anammox) was investigated in a single, oxygen-limited, sequencing batch reactor. In this research, the reactor was started anaerobically and fed using the synthetic medium described by Van de Graaf et al. to cultivate Anammox biomass after inoculation with methanogenic granular sludge. Subsequently, mixture gas (air and nitrogen gas) was supplied to the reactor and a nitrifying population developed. Research results indicated that autotrophic granules was cultivated successfully by controlling the dissolved oxygen in the reactor between 0.3 and 0.5 mg/L, and a total inorganic nitrogen removal efficiency of 63.7% was obtained with a higher nitrogen load increased by reducing HRT to 3 days. It was also seen that the Ca and P concentrations of the feeding medium are important factors that influence the autotrophic granules from process running. When the Ca and P concentrations were exceeded the necessary quantity, salt precipitation was observed, interfered with microbial activity, and caused a decrease of the nitrogen removal rate of the system. After diminishing adequately the Ca and P concentrations, salt precipitation was avoided and the activity of the system restored quickly. Moreover, visual indication and scanning election microscopy observation revealed the process of sludge evolution and inner structure of the granules. PMID- 21038089 TI - Primary hypothyroidism, precocious puberty and hypothalamic obesity in Langerhans cell histiocytosis. AB - A 5 year old girl presented with central diabetes insipidus and primary hypothyroidism. No clinical or radiological evidence of Langerhans cell histiocytosis (LCH) was present. Absent posterior pituitary bright spot was seen in magnetic resonance imaging of the brain. She subsequently developed severe headache, massive obesity, accelerated growth and thelarche. A repeat MRI of the brain revealed hypothalamic tumor. Hormonal investigations revealed, paradoxically, undetectable growth hormone on a clonidine stimulation test. Langerhans cell histiocytosis was proved on electron microscopy of the thyroid tissue. There needs to be a high degree of suspicion for LCH as an etiology of primary hypothyroidism, especially in the presence of diabetes insipidus. Precocious puberty, accelerated growth despite growth hormone deficiency, hypothalamic obesity may occur in pediatric LCH. CNS lesions may have an evolving course, thus repeat neuroimaging is important. PMID- 21038090 TI - Well-differentiated liposarcoma of the oesophagus: clinicopathological, immunohistochemical and array CGH analysis. AB - Liposarcoma develops extremely rarely in the oesophagus. Microscopically, it exhibits subtle atypia of H&E-stained features. Accordingly, immunohistochemical features and chromosomal alterations are used for its confirmatory diagnosis. However, cytogenetic analysis has not been performed for oesophageal liposarcoma. We studied chromosomal alterations using array comparative genomic hybridization (CGH), as well as endoscopic, radiological, H&E-stained and immunohistochemical features in the oesophageal well-differentiated liposarcoma of a 67-year-old man. Array CGH analysis revealed the presence of high-level amplifications at chromosomal locations 1p12-1q21.2, 12q13.2-12q15 and 12q21.33-12q23.1. At least 29 genes were highly amplified (log(2) ratio >2), among which CDK4 and MDM2 were the most highly amplified (log(2) ratio >4) and were accepted as major target genes. Moreover, the amplification of AMDHD1, HAL and LTA4H (log(2) ratio = 3.153) was a novel finding. This case suggests the presence of a characteristic profile of gene amplification in well-differentiated liposarcoma of the oesophagus. The amplified genes may be of pathogenic importance for primary oesophageal well-differentiated liposarcoma. PMID- 21038095 TI - A high-throughput screening strategy for nitrile-hydrolyzing enzymes based on ferric hydroxamate spectrophotometry. AB - Nitrile-hydrolyzing enzymes (nitrilase or nitrile hydratase/amidase) have been widely used in the pharmaceutical industry for the production of carboxylic acids and their derivatives, and it is important to build a method for screening for nitrile-hydrolyzing enzymes. In this paper, a simple, rapid, and high-throughput screening method based on the ferric hydroxamate spectrophotometry has been proposed. To validate the accuracy of this screening strategy, the nitrilases from Rhodococcus erythropolis CGMCC 1.2362 and Alcaligenes sp. ECU0401 were used for evaluating the method. As a result, the accuracy for assaying aliphatic and aromatic carboxylic acids was as high as the HPLC-based method. Therefore, the method may be potentially used in the selection of microorganisms or engineered proteins with nitrile-hydrolyzing enzymes. PMID- 21038096 TI - The unconventional antimicrobial peptides of the classical propionibacteria. AB - The classical propionibacteria produce genetically unique antimicrobial peptides, whose biological activities are without equivalents, and to which there are no homologous sequences in public databases. In this review, we summarize the genetics, biochemistry, biosynthesis, and biological activities of three extensively studied antimicrobial peptides from propionibacteria. The propionicin T1 peptide constitutes a bona fide example of an unmodified general secretory pathway (sec)-dependent bacteriocin, which is bactericidal towards all tested species of propionibacteria except Propionibacterium freudenreichii. The PAMP antimicrobial peptide represents a novel concept within bacterial antagonism, where an inactive precursor protein is secreted in large amounts, and which activation appears to rely on subsequent processing by proteases in its resident milieu. Propionicin F is a negatively charged bacteriocin that displays an intraspecies bactericidal inhibition spectrum. The biosynthesis of propionicin F appears to proceed through a series of unusual events requiring both N- and C terminal processing of a precursor protein, which probably requires the radical SAM superfamily enzyme PcfB. PMID- 21038097 TI - Enhanced activity and stability of L-arabinose isomerase by immobilization on aminopropyl glass. AB - Immobilization of Bacillus licheniformis L: -arabinose isomerase (BLAI) on aminopropyl glass modified with glutaraldehyde (4 mg protein g support-1) was found to enhance the enzyme activity. The immobilization yield of BLAI was proportional to the quantity of amino groups on the surface of support. Reducing particle size increased the adsorption capacity (q(m)) and affinity (k(a)). The pH and temperature for immobilization were optimized to be pH 7.1 and 33 degrees C using response surface methodology (RSM). The immobilized enzyme was characterized and compared to the free enzyme. There is no change in optimal pH and temperature before and after immobilization. However, the immobilized BLAI enzyme achieved 145% of the activity of the free enzyme. Correspondingly, the catalytic efficiency (k(cat)/K(m)) was improved 1.47-fold after immobilization compared to the free enzyme. The thermal stability was improved 138-fold (t1/2) increased from 2 to 275 h) at 50 degrees C following immobilization. PMID- 21038098 TI - Biosynthesis of zeaxanthin in recombinant Pseudomonas putida. AB - Pseudomonas putida KT2440 strain was investigated for biosynthesis of the valuable xanthophyll zeaxanthin. A new plasmid was constructed harboring five carotenogenic genes from Pantoea ananatis and three genes from Escherichia coli under control of an L: -rhamnose-inducible promoter. Pseudomonas putida KT2440 wild type hardly tolerated the plasmids for carotenoid production. Mating experiments with E. coli S17-1 strains revealed that the carotenoid products are toxic to the Pseudomonas putida cells. Several carotenoid-tolerant transposon mutants could be isolated, and different gene targets for relief of carotenoid toxicity were identified. After optimization of cultivation conditions and product processing, 51 mg/l zeaxanthin could be produced, corresponding to a product yield of 7 mg zeaxanthin per gram cell dry weight. The effect of various additives on production of hydrophobic zeaxanthin was investigated as well. Particularly, the addition of lecithin during cell cultivation increased volumetric productivity of Pseudomonas putida by a factor of 4.7 (51 mg/l vs. 239 mg/l). PMID- 21038099 TI - The tyrosine O-prenyltransferase SirD catalyzes O-, N-, and C-prenylations. AB - Recently, the prenyltransferase SirD was found to be responsible for the O prenylation of tyrosine in the biosynthesis of sirodesmin PL in Leptosphaeria maculans. In this study, the behavior of SirD towards phenylalanine/tyrosine and tryptophan derivatives was investigated. Product formation has been observed with 12 of 19 phenylalanine/tyrosine derivatives. It was shown that the alanine structure attached to the benzene ring and an electron donor, e.g., OH or NH2, at its para-position are essential for the enzyme activity. Modifications were possible both at the side chain and the benzene ring. Enzyme products from seven phenylalanine/tyrosine derivatives were isolated and characterized by MS and NMR analyses including HSQC and HMBC and proven to be O- or N-prenylated derivatives at position C4 of the benzene rings. K ( M ) values of six selected derivatives were found in the range of 0.10-0.68 mM. Catalytic efficiencies (K(cat)/K(M)) were determined in the range of 430-1,110 s-1.M-1 with L-tyrosine as the best substrate. In addition, 7 of 14 tested tryptophan analogs were also accepted by SirD and converted to C7-prenylated derivatives, which was confirmed by comparison with products obtained from enzyme assays using a 7 dimethylallyltryptophan synthase 7-DMATS from Aspergillus fumigatus. PMID- 21038100 TI - Is thalassotherapy simply a type of climatotherapy? PMID- 21038101 TI - Metrical and histological investigation of the effects of low-level laser therapy on orthodontic tooth movement. AB - The aim of this study was to evaluate the effects of 820-nm diode laser on osteoclastic and osteoblastic cell proliferation-activity and RANKL/OPG release during orthodontic tooth movement. Thirty-eight albino Wistar rats were used for this experiment. Maxillary incisors of the subjects were moved orthodontically by a helical spring with force of 20 g. An 820-nm Ga-Al-As diode laser with an output power of 100 mW and a fiber probe with spot size of 2 mm in diameter were used for laser treatment and irradiations were performed on 5 points at the distal side of the tooth root on the first, second, and 3rd days of the experiment. Total laser energy of 54 J (100 mW, 3.18 W/cm(2), 1717.2 J/cm(2)) was applied to group II and a total of 15 J (100 mW, 3.18 W/cm(2), 477 J/cm(2)) to group III. The experiment lasted for 8 days. The number of osteoclasts, osteoblasts, inflammatory cells and capillaries, and new bone formation were evaluated histologically. Besides immunohistochemical staining of PCNA, RANKL and OPG were also performed. No statistical difference was found for the amount of tooth movement in between the control and study groups (p > 0.05). The number of osteoclasts, osteoblasts, inflammatory cells, capillary vascularization, and new bone formation were found to be increased significantly in group II (p < 0.05). Immunohistochemical staining findings showed that RANKL immunoreactivity was stronger in group II than in the other groups. As to OPG immunoreactivity, no difference was found between the groups. Immunohistochemical parameters were higher in group III than in group I, while both were lower than group II. On the basis of these findings, low-level laser irradiation accelerates the bone remodeling process by stimulating osteoblastic and osteoclastic cell proliferation and function during orthodontic tooth movement. PMID- 21038102 TI - Evidence of altered cardiac electrophysiology following prolonged androgenic anabolic steroid use. AB - The non-therapeutic use of androgenic anabolic steroids (AAS) is associated with sudden cardiac death. Despite this, there is no proposed mechanism by which this may occur. Signal-averaged ECG (SAECG) allows the assessment of cardiac electrical stability, reductions of which are a known risk factor for cardiac arrhythmias. The aim of the present study was to examine cardiac electrical stability using SAECG in a group (n = 15) of long-term AAS users (AAS use 21.3 +/ 3.1 years) compared with a group (n = 15) of age-matched weight lifters (WL) and age-matched sedentary controls [C (n = 15)]. AS, WL and C underwent SAECG analysis at rest and following an acute bout of exercise to volitional exhaustion. SAECGs were analyzed using a 40 Hz filter and were averaged over 200 beats. Results indicate a non-significant trend for increased incidence of abnormal SAECG measures at rest in AS (P = 0.55). However, AS demonstrated a significantly higher incidence of abnormalities of SAECG following exercise than C or WL (P < 0.05). In conclusion, the higher incidence of abnormal SAECG measurements immediately post-exercise in the AAS group places them at a greater risk of sudden death. The present study provides a strong contraindication to the use of AAS. PMID- 21038103 TI - Environmental and psychosocial correlates of accelerometer-assessed and self reported physical activity in Belgian adults. AB - BACKGROUND: Despite the well-known benefits of physical activity (PA) on overall health, the majority of the adult population does not engage in sufficient PA. To develop effective interventions to increase PA, it is necessary to understand the most important PA correlates and to investigate whether correlates are similar in different population subgroups. PURPOSE: This study examined associations between physical environmental perceptions and self-reported and objectively assessed PA in Belgian adults. Moreover, associations between psychosocial factors and PA, and the moderating effects of sociodemographic factors were investigated. METHOD: A sample of 1,200 Belgian adults (20-65 years; 47.9% males) completed a survey measuring sociodemographic variables and psychosocial correlates, the Neighborhood Environmental Walkability Scale and the long-version International Physical Activity Questionnaire. They wore an accelerometer for 7 days. RESULTS: Perceiving neighborhoods to be high walkable (high residential density, high land use mix access, and high land use mix diversity) and recreation facilities to be convenient, and the availability of home PA equipment were the most consistent physical environmental correlates of PA. The strongest psychosocial correlates were social support from friends and family and self-efficacy. The psychosocial associations were most consistent for self-reported leisure-time PA and less clear for self-reported active transportation and accelerometer-assessed PA. Few significant sociodemographic moderators were found. CONCLUSION: Both physical environmental and psychosocial factors were associated with PA in adults, with psychosocial factors being important especially for leisure-time PA. Correlates of PA were similar regardless of gender, age, or socio-economic status, so interventions to change these factors could have population-wide effects. PMID- 21038104 TI - Defining 'acute on chronic liver failure': an identity crisis! PMID- 21038105 TI - First-leaflet phase effect on properties of phospholipid bilayer formed through vesicle adsorption on LB monolayer. AB - Phospholipid bilayers were formed on mica using the Langmuir-Blodgett technique and liposome fusion, as a model system for biomembranes. Nanometer-scale surface physical properties of the bilayers were quantitatively characterized upon the different phases of the first leaflets. Lower hydration/steric forces on the bilayers were observed at the liquid phase of the first leaflet than at the solid phase. The forces appear to be related to the low mechanical stability of the lipid bilayer, which was affected by the first leaflet phase. The first leaflet phase also influenced the long-range repulsive forces over the second leaflet. Surface forces, measured using a modified probe with an atomic force microscope, showed that lower long-range repulsive forces were also found at the liquid phase of the first leaflet. Force measurements were performed at 300 mM sodium chloride solution so that the effect of the phase on the long-range repulsive forces could be investigated by reducing the effect of the repulsion between the second leaflet lipid headgroups on the long-range repulsive forces. Forces were analyzed using the Derjaguin-Landau-Verwey-Overbeek theory so that the surface potential and surface charge density of the lipid bilayers were quantitatively acquired for each phase of the first leaflet. PMID- 21038106 TI - Target biopsy or step biopsy? Optimal surveillance for ulcerative colitis: a Japanese nationwide randomized controlled trial. AB - Colorectal cancer is one of the complications of ulcerative colitis (UC) and the risk of cancer increases as the duration of the disease becomes longer. Surveillance colonoscopy has been considered to be important for the early detection and early treatment of colorectal cancer, especially in longstanding UC. Because it is not always easy to detect neoplastic lesions in UC endoscopically, guidelines recommend the use of step biopsy in surveillance, in which either 4 biopsy specimens for every 10 cm or a total of 33 or more biopsy specimens are obtained. However, it has been pointed out that a step biopsy obtaining several tens of biopsy specimens may not be an ideal method in terms of invasiveness to the patient or medical cost. Instead of step biopsy, recent studies report the usefulness of target biopsy, in which biopsy tissues are obtained only from regions suspected of neoplasia. Therefore, the Research Group for Intractable Inflammatory Bowel Disease of the Ministry of Health, Labour and Welfare of Japan has initiated a randomized controlled study to compare the efficacy of step biopsy and target biopsy. The present article gives an introduction to this ongoing randomized controlled trial in Japan. PMID- 21038107 TI - Atlanto-axial joint of atlanto-axial subluxation patients due to rheumatoid arthritis before and after surgery: morphological evaluation using CT reconstruction. AB - This study investigated the preoperative morphology and postoperative fusion of the atlanto-axial joint (AAJ) in patients with atlanto-axial subluxation (AAS) due to rheumatoid arthritis (RA) using computed tomography (CT). Furthermore, we examined the relationship between the preoperative morphology of AAJ and other radiographic results. Thirty patients with AAS due to RA treated by C1-2 transarticular screw fixation (TSF) were reviewed. The morphology of the AAJ was evaluated using sagittal reconstruction views on CT before and 1 year after surgery. Thereafter, the atlanto-dental interval (ADI) value at the neutral and maximal flexion position and atlanto-axial angle (AAA) at the neutral position was assessed in preoperative lateral cervical radiographs. The preoperative morphology of the AAJ on CT reconstruction views was graded as follows: Grade 1 showed maintenance of the joint space, Grade 2 showed the joint space narrowing and Grade 3 showed the destructive abnormality of subchondral bone. After surgery, the ADI value at the neutral position was assessed in lateral cervical radiographs. Furthermore, the fusion in the AAJ was investigated using CT sagittal reconstruction views taken 1 year after surgery. The preoperative CT image of the AAJ demonstrated Grade 1 in 12 cases (Group A), Grade 2 in 9 cases (Group B) and Grade 3 in 9 cases (Group C). There was no significant difference in age, gender and duration of RA among the three groups. The average ADI value at the flexion position was 11.0 mm in Group A, 12.3 mm in Group B and 12.7 mm in Group C (p>0.313). The average ADI value at the neutral position before surgery was 4.5 mm in Group A, 7.3 mm in Group B and 11.4 mm in Group C (p<0.003). The mean AAA value was 20.8 degrees in Group A, 21.8 degrees in Group B and 8.4 degrees in Group C (p<0.033). The average ADI value after TSF was 1.7 mm in Group A, 2.1 mm in Group B and 3.0 mm in Group C (p>0.144). Fusion in the AAJ 1 year after surgery was demonstrated in 14 cases (46.7%; Group A, 0 case; Group B, 5 cases; Group C, 9 cases). According to the preoperative grading of the AAJ, the postoperative fusion in the AAJ was demonstrated in 0 of 32 joints (0%) in Grade 1, 7 of 18 joints (38.9%) in Grade 2 and all of 10 joints (100%) in Grade 3. In conclusion, this study showed that a destructive abnormality of subchondral bone in the AAJ induced an enlargement of the ADI and anterior inclination of the atlas in patients with AAS due to RA. The current study also showed that fusion in the AAJ was demonstrated in 14 of 30 patients after C1/2 TSF. This was easy to recognize in AAS patients whose joint destruction extended to the subchondral bone. PMID- 21038108 TI - Health care utilization among first and second generation immigrants and native born Germans: a population-based study in Germany. AB - OBJECTIVES: There are contradictory findings on health care utilization (HCU) of immigrants compared to native-born populations. Our study focuses on this topic using a population-based approach and differentiates generational cohorts of immigrants. METHODS: In a representative population survey in Germany (N = 2,510), immigrant background/generational cohort and HCU in the preceding 12 months were screened by means of self-rating instruments. RESULTS: 11.1% (7.0% first and 4.1% second generation) of the sample are immigrants. No differences have been detect with regard to subjective state of health, satisfaction with life and with health and functional disabilities. First generation immigrants contacted a medical specialist less likely, but they more frequently use general practitioners (GPs) than the native-born Germans and the second generation immigrants. CONCLUSIONS: First generation immigrants show remarkable differences in HCU compared to the native-born Germans and the second generation immigrants. Their HCU seems to be focused on primary care, and access to secondary care might be complicated. It seems relevant to especially pay attention to HCU of first generation immigrants and to support equal access to care for this subgroup. PMID- 21038109 TI - Evaluating the incidence and utility of microscopic metastatic dissemination as diagnosed by lumbar cerebro-spinal fluid (CSF) samples in children with newly diagnosed intracranial ependymoma. AB - Ependymomas are the third most common central nervous system (CNS) tumor in childhood. After resection, the standard evaluation of intracranial ependymomas includes a post-contrast spine MRI and a lumbar CSF sample to evaluate for metastasis. Although it is estimated that 10-30% of patients will present with metastatic disease, it is unclear what percentage of metastatic disease is solely identified microscopically via lumbar cytology versus that identified as bulky disease on post-contrast spinal MRIs. We retrospectively evaluated all patients at our institution with intracranial ependymoma diagnosed between January 1991 and June 2008 in an effort to evaluate prognostic factors, survival outcomes and incidence of metastatic disease. Sixty-one evaluable patients were identified: 46% were male and the mean age at diagnosis was 64 months (2.04-196.92). The most common tumor location was the posterior fossa (77%) and 64% of patients achieved a gross total resection with initial surgery. Five-year event-free and overall survivals were 39 +/- 7% and 87 +/- 4%, respectively. Approximately 10% of patients presented with bulky metastatic disease as seen on post-contrast spine MRI. No patient whose spine MRI was negative for tumor had positive lumbar CSF cytology. These data highlight the rarity of patients who present with microscopic metastatic disease noted on lumbar cytology alone and suggest that evaluation of lumbar cytology may not be useful in patients with negative post contrast spine MRIs. Future prospective trials may be helpful in validating this conclusion before eliminating this procedure as part of the standard evaluation in newly diagnosed ependymoma patients. PMID- 21038110 TI - Treatment of children with recurrent high grade gliomas with a bevacizumab containing regimen. AB - Children with recurrent high grade gliomas (HGG) have a dismal outcome with a median progression free survival (PFS) of 12 weeks. Adults with recurrent HGG treated with irinotecan and bevacizumab reportedly have a 63% response rate and a median PFS of 23 weeks. There is a paucity of corresponding published pediatric data. We retrospectively reviewed the records of patients less than 21 years of age with recurrent or progressive WHO grade 3-4 gliomas who were treated with bevacizumab containing regimens at our institution between January 2006 and September 2008. We identified eight patients. Six out of eight patients received irinotecan, temozolomide and bevacizumab, one patient received irinotecan and bevacizumab, and one patient received CCNU and bevacizumab. Three patients had stable disease for 30-93 weeks. The remaining five patients developed progressive disease within 17 weeks. The median PFS was 15 weeks and the 6-month PFS was 38%. Contrast enhancing disease responded or remained stable in five out of seven patients whereas non-enhancing disease progressed in three out of four patients. New distant non-enhancing lesions developed in three patients. The most common side effects included diarrhea, vomiting, thrombocytopenia and neutropenia. Bevacizumab was well tolerated when used in combination with conventional chemotherapy (irinotecan in most cases). PFS in our cohort was much shorter and the response rate was inferior in this small cohort of patients when compared with published adult data. However, bevacizumab containing regimens might be effective in a subset of pediatric patients, especially those with predominantly contrast-enhancing disease. PMID- 21038111 TI - Purification of beta-glucosidases from Pichia etchellsii using CIM monolith columns. AB - beta-Glucosidases (EC 3.2.1.21) are industrially important glycosyl hydrolases used for cellulose saccharification as well as for synthesis of glyco-conjugates. Crystal structure of only one beta-glucosidase of family 3 of the glycosyl hydrolase families is available due to difficulty in purification of these closely related enzymes from a given source. Multiple steps used during purification result in low yield, making it difficult to study their properties. Conditions for purification of two closely related beta-glucosidases (BGL I and BGL II) of family 3 from Pichia etchellsii were investigated in this study. Two weak anion exchange columns convective interaction media-diethyl amino ethyl (CIM DEAE) and CIM-ethylenediamine (CIM-EDA) were used for this purpose. The results obtained at 0.34 ml disk (CIM-DEAE) level were scaled up to 8 ml CIM-DEAE tube column wherein BGL I and BGL II were separated from the major contaminants in the cell-free extract. The recovered enzymes were completely resolved in the second step using CIM-EDA. A final specific activity of 9,180 IU/mg and 2,345.3 IU/mg was achieved for BGL I and BGL II respectively with an overall yield of 33%. The system should be applicable to resolution of other closely related enzymes from this family. PMID- 21038112 TI - Variable-temperature, variable-field magnetic circular dichroism spectroscopic study of NifEN-bound precursor and "FeMoco". AB - NifEN plays a key role in the biosynthesis of the iron-molybdenum cofactor (FeMoco) of nitrogenase. A scaffold protein that hosts the conversion of a FeMoco precursor to a mature cofactor, NifEN can assume three conformations during the process of FeMoco maturation. One, designated DeltanifB NifEN, contains only two permanent [Fe(4)S(4)]-like clusters. The second, designated NifEN(Precursor), contains the permanent clusters and a precursor form of FeMoco. The third, designated NifEN("FeMoco"), contains the permanent [Fe(4)S(4)]-like clusters and a fully complemented, "FeMoco"-like structure. Here, we report a variable temperature, variable-field magnetic circular dichroism spectroscopic investigation of the electronic structure of the metal clusters in the three forms of dithionite-reduced NifEN. Our data indicate that the permanent [Fe(4)S(4)]-like clusters are structurally and electronically conserved in all three NifEN species and exhibit spectral features of classic [Fe(4)S(4)](+) clusters; however, they are present in a mixed spin state with a small contribution from the S > 1/2 spin state. Our results also suggest that both the precursor and "FeMoco" have a conserved Fe/S electronic structure that is similar to the electronic structure of FeMoco in the MoFe protein, and that the "FeMoco" in NifEN("FeMoco") exists, predominantly, in an S = 3/2 spin state with spectral parameters identical to those of FeMoco in the MoFe protein. These observations provide strong support to the outcome of our previous EPR and X-ray absorption spectroscopy/extended X-ray absorption fine structure analysis of the three NifEN species while providing significant new insights into the unique electronic properties of the precursor and "FeMoco" in NifEN. PMID- 21038113 TI - Does intraabdominal use of Ankaferd Blood Stopper cause increased intraperitoneal adhesions? AB - BACKGROUND: The aim of this study was to investigate whether intraabdominal Ankaferd Blood Stopper (ABS) causes increased intraabdominal adhesion formation and to determine any side effects of ABS in vivo. METHODS: The present experimental study was designed to examine the effects of Ankaferd solution on peritoneal adhesion formation in a rat model of cecal abrasion. Intraperitoneal adhesions were assessed macroscopically and histopathologically on the 10th postoperative day. The possible adverse affects of ABS on liver and lung tissues were analyzed histopathologically, and blood chemistry was also evaluated. RESULTS: Our study revealed that ABS reduced intraperitoneal adhesion formation in an experimental rat model. The blood chemistry was not disturbed due to ABS administration. Intraperitoneal administration of ABS led to some minor changes in the lungs and serosal surfaces of the intestines, with minor architectural changes in the liver that were not considered as toxic. Further studies with various application doses and routes with more detailed cellular analysis are thus warranted to clarify the possible pleiotropic and adverse effects of this new agent away from hemostasis. CONCLUSION: There was less intraperitoneal adhesion formation in the ABS group than in the control group and saline group. Intraperitoneal administration of ABS has no toxic effects on blood chemistry or the lungs, kidneys and the liver, but it has some minor adverse effects. PMID- 21038114 TI - The effects of protein kinase C activator phorbol dibutyrate on traumatic brain edema and aquaporin-4 expression. AB - BACKGROUND: Aquaporin-4 (AQP4) is the major water channel in the central nervous system. Brain edema emerges from increased AQP4 expression in traumatic brain injury (TBI). Cell line studies have shown that the protein kinase activator phorbol ester exerts a suppressive effect on AQP4 and water permeability. The aim of this study was to investigate the effects of a phorbol ester, phorbol dibutyrate (PDBu), on increased TBI AQP4 expression and accompanying brain edema. METHODS: Fifty-six male Wistar rats were first divided into two groups: the edema group, in which the percentage of water in brain tissue would be evaluated, and the immunohistochemical group, allowing AQP4 expression to be determined. Both groups were further sub-divided into four groups consisting of 7 subjects. These four groups were as follows: sham-operated control group, severe diffuse TBI group, 0.9% saline-treated diffuse TBI group, and the PDBu-treated diffuse TBI group (2300 ug/kg, iv). The results were evaluated statistically. RESULTS: PDBu treatment significantly reduced brain water concentration (p<0.001). Furthermore, PDBu was found to reduce trauma-induced AQP4 upregulation (p<0.05). CONCLUSION: This study showed that traumatic brain edema was prevented by intravenous PDBu administration via AQP4 downregulation, supporting the idea emphasizing the importance of AQP4 expression control in TBI. PMID- 21038115 TI - [The disguised face of blast injuries: shock waves]. AB - BACKGROUND: The increase in terrorist attacks has brought a profound and new knowledge of blast injuries. In order to improve our knowledge regarding the mechanisms of blast injuries, we analyzed the effects of shock waves. METHODS: 100 g TNT and 1000 g C4 were detonated and recorded by high-speed camera. Blast wind, shock wave and shrapnel speeds were calculated, and final condition of the target was examined. RESULTS: A flash ball appeared first followed by the shock wave. Finally, blast wind occurred and shrapnel was distributed. The macroscopic structure of targets was not affected by the shock wave but was affected by shrapnel and blast wind. Shock waves created a transparent ballistic gel inside the target mat by changing its microscopic structure. The speed of the shock wave was 6482-7194 m/sn and shrapnel speed was 1420-1752 m/sn. CONCLUSION: Shock waves especially affect the air-filled organs and cause lung injury, acute respiratory distress syndrome, and intestinal and eardrum perforation. Blast wind destroys targets due to its high speed and high density. The main cause of mortality is shrapnel injury. The high temperature created by the explosion causes thermal injuries. Being informed of the mechanisms of blast injuries will assist in providing better treatment. Additionally, consideration of all mechanisms of blast injuries will facilitate lower mortality and morbidity rates. PMID- 21038116 TI - Treatment of post-burn upper extremity, neck and facial contractures: report of 77 cases. AB - BACKGROUND: Post-burn contractures severely deteriorate life quality. We aimed to present our treatment protocols for post-burn sequelae and the contractures that cause functional limitations. METHODS: Seventy-seven cases with post-burn contracture were treated in our clinic. Post-burn contractures occurring after a burn injury affected the upper extremity, face and neck in 60, 17 and 6 cases, respectively. Skin grafts, local flaps such as advancement flaps, Z-plasties, K plasties, regional flaps such as posterior interosseous flap, tissue expanded flaps, and free flaps were used according to the severity of the contractures. RESULTS: In one patient with type II axillary contraction, recurrence was seen. Full range of motion was achieved in the 3.6-year follow-up period in elbow contractures. Eight of 71 phalangeal joint contractures recurred. Two patients underwent reoperation for neck contracture recurrences. CONCLUSION: Excellent results were seen with prefabricated flaps, which were used for the facial reconstruction. PMID- 21038117 TI - Difficulties with running V-Y plasty in releasing burn scar contracture. AB - BACKGROUND: Many approaches to the release of burn contracture have been described. Each offers some advantages for the treatment of contractures, but they have some limitations affecting their indications and outcomes. In this study, we attempt to describe clearly the difficulties with running V-Y plasty after our experience with this technique. METHODS: This study included 21 patients with scar contracture. Preoperatively, for marking the flaps, a zigzag line, the angles of which were kept in the range of 60-90 degrees , was drawn over the contracture line. The incision was firstly made into the skin to avoid retraction of the flaps, and then deep through the fascia, making advancement of the V flap easy by sliding. The V flap was advanced along the long limb of the Y on the base of a subcutaneous pedicle. After meticulous hemostasis, all incisions were sutured. RESULTS: In most of the patients, successful release of the contracture was achieved without any complication. However, in a few cases, some challenging problems developed, suggesting possible limitations of the running V Y plasty procedure. CONCLUSION: When considering running V-Y plasty for the release of contracture, awareness of the related difficulties will be helpful and will facilitate selection of the cases suitable for the procedure to achieve successful results and avoid possible complications. PMID- 21038118 TI - [Treatment of intertrochanteric femur fractures in high-risk geriatric patients (>=65 years) with external fixation]. AB - BACKGROUND: We evaluated the results of intertrochanteric hip fractures treated with closed reduction and external fixation (minimally invasive and biological osteosynthesis) in patients with high surgical risk. METHODS: Twenty-three patients (14 females, 9 males; mean age 74 [65-88]) with intertrochanteric hip fracture classified as group III and IV according to American Society of Anesthesiologists (ASA) criteria were treated with closed reduction and unilateral external fixation under short-term anesthesia. Fourteen fractures were stable (60.8%) and nine were unstable (39.2%). The mean follow-up was 23.8 months (4-58). We evaluated the operation time, hospitalization time, union time, mobilization capacity, complications, and mortality rates. RESULTS: The mean duration of operation was 25 minutes (15-40), mean duration of hospital stay 4 days (2-9) and average union time 13 weeks. Five patients (21.7%) died due to additional health problems in the first six months after surgery. Superficial pin tract infection occurred in 11 cases (47.8%). There was no implant failure. In the last follow up, an average 5 degrees varus (range: 0-8 degrees ) and 1 cm shortening (range: 0-3) in stable fractures and an average 15 degrees varus (range: 8-20 degrees ) and 3 cm shortening (range: 2-5) in unstable fractures were determined. CONCLUSION: The treatment of intertrochanteric hip fractures with closed reduction and external fixation is an easy, effective and safe biological fixation method with minimal damage to surrounding tissues, especially in patients with high surgical risk. PMID- 21038119 TI - Determination of predictors and risk factors in patients with multiple emergency surgical traumas. AB - BACKGROUND: In the rescue and management of patients with multiple traumas, identifying and eliminating risk factors can guarantee a promising outcome. METHODS: This was a prospective randomized cohort study in trauma patients in the Department of Surgery, Jundishapour University of Medical Sciences, covering 17 months. Based on the cause of mortality, complications and discharge, the patients were divided into groups as non-survivor and complicated and non complicated groups. The results were compared for the risk factor extraction. RESULTS: From 125 studied patients, 27 died, 19 cases were complicated and 79 injured patients were successfully treated and discharged. Three extracted classifications as main (real), moderate and predictive risk factors were determined. Referral delay, delay in diagnosis and treatment, decreased blood pressure on arrival, multiple intra-abdominal visceral injuries, and severe acidosis were identified as the main risk factors. Age, multiple surgery and pitfalls, intensive care unit admittance, electrolyte imbalance, and mismanagement during transfer were identified as moderate risk factors. Low hemoglobin, hypoxemia, observation in multiple services, and distance of more than 100 kilometers were identified as predictive factors. CONCLUSION: Elimination of the main risk factors is a substantial issue to decrease inevitable mortalities. Thus, attempt to shorten the lethal chain of the risk factors can lengthen a patient's life and improve the prognosis. PMID- 21038120 TI - [Use of drainage in surgery for perforated appendicitis: the effect on complications]. AB - BACKGROUND: We aimed to investigate the use of drainage in surgery for perforated appendicitis and to determine its effect(s) on complications. METHODS: Two hundred and eight patients diagnosed with perforated appendicitis between May 1999 and January 2009 were retrospectively evaluated in terms of using drainage with respect to surgical infections and other complications and duration of hospital stay. RESULTS: A total of 208 patients diagnosed with perforated appendicitis underwent surgery. The mean age of the patients was 41.05+/-16.30 years. Of the 208 patients, 128 (61.5%) were male. The median duration of complaints was 2 days (1-15 days). Drainage was applied in 83 patients (39.9%). The median duration of drainage was 3 days (1-7 days). Surgical infections, wound dehiscence, other complications, and re-hospitalization rates were significantly higher in patients with drainage. The mean time from appearance of complaints to surgery, the duration of hospitalization and antibiotic treatment were also longer in the drainage group (p=0.001 for all). A higher rate of the patients with an accompanying disease (39.8%-19.2%) and midline incision (20.5%-3.2%) and of the elderly patients had drainage. Multivariate analyses showed that using a drain independently affected surgical infections (p<0.001). CONCLUSION: It can be concluded that using a drain after surgery for perforated appendicitis increases surgical infections and in turn the duration of hospital stay. PMID- 21038121 TI - Unusual hand frostbite caused by refrigerant liquids and gases. AB - BACKGROUND: The refrigerant liquids and gases used widely in industry, farming and medicine for their cooling properties may cause severe frostbite. Despite their widespread use, only a few reports on frostbite of the hand involving these liquids and gases have been published. In this study, the circumstances accompanying these injuries, several adjunctive therapies and preventive measures are discussed. METHODS: A retrospective analysis of hand frostbite injuries was conducted between June 2005 to June 2009 in a burn care center in Istanbul, Turkey. Seventeen patients (13 men, 4 women) were treated for hand frostbite injuries due to contact with refrigerant liquids and gases. RESULTS: There was a preponderance of male patients (76.5%). Ages ranged from 22 to 52 years (mean age, 30.82 years). Eleven patients were treated conservatively. The hospital stay for treatment of their burns ranged from 16 to 52 days, with a mean stay of 30 days. CONCLUSION: Frostbite injuries of the hand are uncommon and their etiologies vary. Thus, the low incidence of these injuries and limited experience in handling rare cases of this nature may lead to misjudgments in treatment that can have grave consequences. Decreasing the exposure time is an important first step in the treatment approach. After exposure to gas, quick delivery of the patient to a burn center is essential. PMID- 21038122 TI - Factors affecting mortality and morbidity in emergency abdominal surgery in geriatric patients. AB - BACKGROUND: The purpose of the present study was to determine the factors affecting morbidity and mortality in geriatric patients undergoing abdominal surgery. METHODS: Ninety-two patients who had undergone acute abdominal surgery at >65 years of age were evaluated in terms of surgical indications, morbidity and mortality rates and the factors affecting morbidity and mortality. Forty eight patients (52.2%) were males and 44 (47.8%) were females. The mean age was 73.32+/-6.37 (65-92) years. RESULTS: The most common surgical indication was acute cholecystitis (26.09%). Morbidity was established as 21 (22.82%) and mortality as 14 (15.21%), and the most common cause of mortality was mesenteric vascular occlusion. American Society of Anesthesiology (ASA) IV was noted in 90.05% of the patients admitted to intensive care, and 92.85% of the patients had mortal progression. The mean hospitalization duration was 7.94+/-7.13 days (median, 7 days). While older age and high ASA scores were significantly correlated with morbidity, mortality and duration of hospitalization, gender was not (p>0.05). CONCLUSION: In order to decrease the postoperative mortality rate in geriatric patients, precaution should be taken beforehand to avoid surgical complications. By carrying out elective surgery in geriatric patients, the likelihood of common causes of acute abdomen, such as acute cholecystitis and incarcerated hernia, can be reduced. PMID- 21038123 TI - The role of computerized tomography in the diagnosis of acute appendicitis in patients with negative ultrasonography findings and a low Alvarado score. AB - BACKGROUND: We aimed to identify the role of computerized tomography (CT) in the differential diagnosis of acute appendicitis in patients with a low Alvarado score and negative ultrasonography findings. METHODS: Fifty-two cases who underwent appendectomy (December 2004-September 2008) were included. All patients had an Alvarado score of 4-6 together with negative ultrasonography findings; preoperative abdominal CT examination results were available in all patients. CT results were compared with intraoperative and pathological findings. RESULTS: The mean age of the cases was 31+/-4 years (range 11 to 71 years). The mean Alvarado score was 4.9. CT results were in favor of acute appendicitis in 34 of 52 cases. Of these 34 patients, acute appendicitis was confirmed by pathological findings in 31, whereas acute appendicitis could not be confirmed in the remaining three cases (8.2%). In 15 of 18 cases without CT findings of appendicitis, intraoperative and pathological findings were also in agreement; however, the remaining three cases had acute appendicitis. Based on the results of the recent studies, sensitivity and specificity of CT in the diagnosis of acute appendicitis were 91.2% and 83.3%, respectively. CONCLUSION: To avoid unnecessary appendectomies in suspected acute appendicitis cases with a low Alvarado score and negative ultrasonography findings, CT may be used as a complementary diagnostic tool. PMID- 21038124 TI - Genitourinary injuries following road traffic collisions: a population-based study from the Middle East. AB - BACKGROUND: The epidemiology of trauma to genitourinary (GU) organs following Road Traffic Collisions (RTC) is not well-studied, especially in the Middle East. METHODS: The data of the RTC Injury Registry in Al-Ain City were collected prospectively from April 2006-October 2007. RESULTS: Of the 1,008 patients in the registry, there were 23 GU injuries. Renal injuries accounted for 74% of injuries. Of these, 35% were severe (grade IV-V). There were two extraperitoneal bladder injuries and two membranous urethral injuries, all of which were associated with pelvic fractures. In addition, there were two asymptomatic adrenal injuries. The mean Injury Severity Score, mean total hospital stay and percentage of patients who required intensive care unit (ICU) admission were higher in patients with GU injuries compared to non-GU patients (24.9 vs. 9.0 (p<0.0001), 24.1 vs. 8.9 days (p<0.0001) and 67% vs. 17% (p<0.0001), respectively). Side-angle collision was the primary crash mechanism in 39% of GU patients vs. 16% in non-GU patients (p=0.015). CONCLUSION: This is the first population-based study of GU injuries following RTC from the Middle East. Patients with GU organ injury tend to have more severe trauma compared to other patients. The incidence of GU injuries following RTC in the current study appears to be higher than that reported in the West. PMID- 21038125 TI - [Forensic medicine approach to bone fractures in the framework of the new Turkish penal code]. AB - BACKGROUND: "Fractures of the body" is a new definition in which the punishment for "aggravated injury in the end" is delineated in the new Turkish Penal Code (Article 87, Section 3). In the current study, we intended to evaluate the fact evaluation reports of injuries with broken bones in the framework of the new Turkish Penal Code, which went into effect on 1 June 2005. METHODS: Of the 842 forensic reports of our Eskisehir Osmangazi University, Department of Forensic Medicine, 306 cases with bone fractures were determined between 1 June 2005 and 31 December 2008. RESULTS: Traffic accidents were determined as the most common cause of all broken bone cases (n=183, 59.8%). One hundred and eight of the cases (35.3%) were determined to have fracture in the head area, with life endangered in 61.1% (n=187) of all cases. CONCLUSION: All traumatic phenomena are considered judicial cases. Forensic experts need to report on institutions to ensure they are complying with the regulations, and their work in this area is widely considered to be useful. However, in current circumstances, the doctor responsible for all forensic reports required to edit the case should be applied to broken bones to not fall into the legal procedures and practices need-to-know basis. PMID- 21038126 TI - A rare cause of acute abdomen: small bowel obstruction due to phytobezoar. AB - BACKGROUND: Phytobezoars are a rare cause of acute small bowel obstruction. The aim of this work was to identify the diagnostic difficulties and treatment of this rare entity. METHODS: Data of 14 patients operated between January 1999 January 2009 with small bowel phytobezoar were retrospectively studied. The patients (n=432) were treated in our clinic for small bowel obstructions. Of these, 14 (3.2%) phytobezoar-induced small bowel obstructions were included in this series. Median patient age was 57.25 years; nine (64%) of the patients were male, and five (36%) were female. RESULTS: The predisposing factor was previous gastric surgery in 12 (87.5%) patients and previous abdominal surgery and total absence of the teeth in two (14.3%) patients. A completely obstructing terminal ileal phytobezoar was found in nine (64%) patients and jejunal phytobezoar in five (36%) patients during exploration. There was no mortality. CONCLUSION: Phytobezoar-induced small bowel obstruction remains an uncommon diagnosis that poses a diagnostic and management challenge. It should be suspected in patients with an increased risk of bezoar formation, such as in the presence of previous gastric surgery, poor dentition or a history suggestive of increased fiber intake. PMID- 21038127 TI - Walnut tree falls as a cause of musculoskeletal injury--a study from a tertiary care center in Kashmir. AB - BACKGROUND: Fall from height is one of the important causes of musculoskeletal injuries. Fall from walnut trees constitutes an important entity that leads to a significant mortality and morbidity amongst those engaged in fruit collection. The present study aimed to determine the prevalence of different musculoskeletal injuries in these victims and to highlight the importance of recognizing this incident as an occupational injury. METHODS: A retrospective study of all patients admitted to the Orthopedic Department of the Sher-i-Kashmir Institute of Medical Sciences (SKIMS) Medical College from January 2003 to December 2007 was conducted. The medical records were studied for the different types of bony injuries, associated injuries and delay in the referral of patients. RESULTS: 94% of falls occurred from August to October. Of the 115 patients, 63 (54.7%) had associated non-orthopedic injuries. Head injury was the most common associated injury, presenting in 34 patients (29.5%). Thoracolumbar (16.5%), calcaneum (10.5%) and distal radius (8.7%) fractures constituted the common fractures encountered in the victims. CONCLUSION: Walnut tree injuries mostly involve young males who form the productive group of the population. Being a seasonal injury, it puts a considerable load on the health resources of the region over a small period of time. PMID- 21038128 TI - Metastatic cutaneous melanoma presented with ileal invagination: report of a case. AB - We herein report a case of ileal invagination secondary to metastasis of a cutaneous melanoma. A 45-year-old female was admitted with intermittent abdominal pain and nausea. The patient's medical history was remarkable for cutaneous malignant melanoma. Imaging studies showed a solid mass in the right lower quadrant and the possibility of invagination. We made a preoperative diagnosis of partial intestinal obstruction, and laparotomy was performed. Intraoperative findings revealed ileal invagination. Segmental ileum resection with wide mesenteric lymph node dissection was performed. A polypoid metastasis of melanoma into the lumen of the ileum was confirmed with pathological examination. The diagnosis and management of intestinal metastasis of cutaneous malignant melanoma are discussed together with a literature review. PMID- 21038129 TI - Brain injury due to air gun shot: report of three adult cases. AB - Air guns (AGs) are arms that use air or another compressed gas to propel a projectile. Generally, brain injury may occur in children due to their incomplete skull development; however, the less-resistant and thin region of the skull in adults may also be penetrated by an AG shot. In this paper, we present three adult cases treated in our clinic for brain injury caused by an AG. The first case had brain and skull damage related to the high pressure of the compressed gas, and the others additionally had foreign bodies in their brain. All of the patients were operated. Two were discharged without neurological deficit; the third case had a permanent slight hemiparesis. Average follow-up was 11 months and no abscess formation was observed in this period. AGs are known as low velocity arms; however, they have the potential to cause brain injury, and brain penetration may occur especially in the relatively less resistant and thin sites of the skull such as the orbit and temporal and occipital bones. As cerebrospinal fluid leakage is one of the expected conditions, urgent surgery is usually required. PMID- 21038130 TI - Tension pneumopericardium: case report. AB - Pneumopericardium is defined as the presence of air within the pericardial space. It is an uncommon complication of blunt or penetrating chest trauma and may also occur iatrogenically. 'Simple' pneumopericardium produces no discernible circulatory compromise; however, simple pneumopericardium may progress rapidly to produce cardiovascular compromise or circulatory collapse, when it is termed 'tension' pneumopericardium. Tension pneumopericardium requires emergent drainage of the pericardial sac. Failure to achieve rapid effective drainage may result in cardiac arrest. Drainage of the pericardial sac may be achieved by either percutaneous or open drainage technique. Formation of a sub-xiphoid pericardial window has been advocated as a rapid and effective means of achieving open drainage. This may be carried out at the bedside with minimal equipment, and the authors advocate this technique as the preferred option for achieving drainage. This case demonstrates the rapid and dramatic deterioration to cardiac arrest of a multiply injured trauma patient with radiologically confirmed pneumopericardium. The effectiveness of open drainage via a sub-xiphoid pericardial window at the bedside with resultant return of spontaneous circulation is also shown. In addition, the pathogenesis and natural history of this uncommon condition are reviewed and the options for management are discussed. PMID- 21038131 TI - Retained wooden foreign body in lung parenchyma: a case report. AB - Despite advances in imaging techniques, the detection of retained wooden foreign bodies remains a difficult and challenging task. The detection of wood is especially important because it may serve as a nidus for superimposed infection. The initial examination may mimic malignancy. We report a rare case of retained wooden foreign body in the lung parenchyma that was found on chest X-ray and computerized tomography as a thick wall cavity. Surgical resection was performed and a wooden particle was revealed. Review of the literature shows that presence of wooden foreign bodies in the lung parenchyma is quite rare and may present with a wide variety of densities. We conclude that foreign body should be considered in the differential diagnosis of unusual lung opacity, and it must be resected on an urgent basis due to the risk of recurrent infection. PMID- 21038132 TI - [Subclavian-carotid transposition for subclavian artery stenosis causing recurrent brachial artery embolism: case report]. AB - Subclavian artery stenosis represents a relatively lower rate for upper extremity emboli source. Subclavian artery stenosis with thrombus localized distal to the stenosis was diagnosed on the arteriography of a patient who had a history of three previous brachial artery embolectomies. In this report, a case with subclavian artery stenosis causing recurrent brachial artery embolism who was successfully treated using subclavian-carotid transposition is presented. PMID- 21038133 TI - Evaluation of the functional parameters in scleroderma cases with pulmonary involvement. AB - To evaluate the relationship between functional changes in the scleroderma patients with pulmonary involvement and the diagnostic tests and to identify the tests that may be helpful in early diagnosis. In this prospective study, 33 scleroderma patients with pulmonary involvement were included. Pulmonary function tests, echocardiography, arterial blood gases, six minute walk tests, thorax high resolution computed tomography were performed and all patients were classified according to MRC dyspnea scores and NYHA(WHO) functional classification. Patients were also asked to conclude Saints Georges Respiratory Questionnaire (SGRQ). DLCO% found to be the earliest deteriorated parameter in our patients. Sensitivity of FVC%/DLCO% ratio, for detecting pulmonary arterial hypertension as a noninvasive method, was found low. SGRQ was found to be correlated with all functional parameters used in scleroderma follow up. Patients with scleroderma should be evaluated for pulmonary involvement and must be followed up ever if they were asymptomatic. Pulmonary function tests, echocardiography, thorax high resolution computed tomography, six minute walk tests are valuable tools that should be used in diagnosis and follow up. NYHA (WHO) functional classification, MRC and Borg dyspnea scores are also helpful for early diagnosis. SGRQ can also be helpful to evaluate the patients functional capacity in diagnosis and follow up as a non invasive parameter. PMID- 21038134 TI - General aspects of hypersensitivity pneumonitis in Turkey. AB - Hypersensitivity pneumonitis prevalence rates are between 5 and 15% of the overall population exposed to known inciting antigens but a small number of cases have been reported from Turkey until now. We aimed to present a broad picture of hypersensitivity pneumonitis in Turkey, thus promoting interest in this relatively common disease in developing countries. Search engines were utilized to retrieve the cases reported from Turkey. Other published journals and meeting abstracts which have not been registered into electronic databases were manually reviewed. Twenty-two cases from 13 reports were characterized by demographics, clinical features, occupational and environmental exposures, diagnostic tools and prognostic data. The majority of the group consisted of women (68.2%) and had a positive history for contact with an avian (59%). Mean exposure period was 69 +/- 77.6 months. The most common reported clinical form was chronic hypersensitivity pneumonitis (58.8%). Reticulonodular pattern was the basic pathological finding (45%). Restrictive impairments of the forced vital capacity (FVC) and carbon monoxide diffusing capacity (DLCO) of the lungs were the basic pathologies observed in pulmonary function tests. Interstitial fibrosis was the most common pathological finding (61.5%). Few cases reported with preponderance of chronic hypersensitivity pneumonitis with avian exposure from 70 million populations suggest that many hypersensitivity pneumonitis cases, especially acute forms, have been ignored. Also, hypersensitivity pneumonitis somehow appears to be a neglected occupational disease. The present situation should be considered as a common problem currently faced by developing countries and occupational groups under risk must be investigated promptly. PMID- 21038135 TI - Working conditions and pneumoconiosis in Turkish coal miners between 1985 and 2004: a report from Zonguldak coal basin, Turkey. AB - In Turkey, bituminous coal mining is performed only in Zonguldak coal basin since 1940. Pneumoconiosis surveillance programs and dust control measures are in effect, but published pneumoconiosis data from this area is lacking. In this study, we aimed to evaluate the change in prevalence and case detection between 1985 and 2004 and assess the correlation between the dust concentration in workplaces and the prevalence of pneumoconiosis. Data on respirable dust concentrations and number of workers diagnosed as pneumoconiosis between 1985 and 2004 were obtained from Turkish Coal Enterprises authorities. Mean respirable dust concentrations in workplaces underground and on the ground were 1.66 mg/m(3) and 0.73 mg/m(3) respectively. Total number of workers decreased from 38.231 in 1985 to 12.261 in 2004 including 8932 underground workers. In this period, pneumoconiosis has not been reported in the ground workers. Incidence of pneumoconiosis ranged between 0.17-2.8 percent and prevalence ranged between 1.23 6.23 percent between 1985 and 2004. Radiologic opacities compatible with pneumoconiosis were predominantly small opacities. This is the first report about the incidence and prevalence rate of coal worker's pneumoconiosis in the main coal mining area of Turkey. Dust measurement and screening standards should be improved and adapted to international standards and we conclude that surveillance data should be closely monitored in this region and further epidemiologic studies in this area are warranted. PMID- 21038136 TI - Prevalence of major obstructive sleep apnea syndrome symptoms in coal miners and healthy adults. AB - Obstructive sleep apnea syndrome obstructive sleep apnea syndrome is associated with symptoms including habitual snoring, witness apnea and excessive daytime sleepiness. Also obstructive sleep apnea syndrome is related to some occupations which are needed attention for work accident. We aimed to determine the prevalence of snoring, witnessed apnea and excessive daytime sleepiness in coal workers and healthy adults in Zonguldak city center, and also evaluate the differences between these groups. This study consisted of 423 underground coal workers and 355 individuals living in centre of Zonguldak. Study and comparison group were chosen by nonstratified randomized sampling method. Data were collected by a questionnaire that included information regarding snoring, witnessed apnea and excessive daytime sleepiness. Mean age was 43.3 +/- 6.05 years in miners and 44.3 +/- 11.8 years in comparison group. In miners, snoring frequency was determined as 42.6%, witnessed apneas were 4.0%, and daytime sleepiness were 4.7%. In comparison group, these symptoms were 38.6%, 4.8% and 2.8% respectively. There were no statistical differences between coal workers and comparison group in these symptoms. Also snoring prevalence was higher in smoker miners. We found that major symptoms of obstructive sleep apnea syndrome in coal workers are similar to general population in Zonguldak. Further studies that constucted higher populations and with polysomnography are needed to evaluate these findings. PMID- 21038137 TI - [Effecting factors on survival in patients taking thrombolytic treatment due to massive pulmonary embolism]. AB - Massive pulmonary embolism (MPE) is a life threatening disease, thrombolytic treatment could save lives. The aims of this study are to identify early and late mortality rates in patients with MPE who received thrombolytic treatment, and mortality related risk factors. All the hospital records for the MPE patients who received thrombolytic treatment between 1998 and 2006 were retrospectively investigated. Pulmonary embolism was diagnosed through computed tomografi scan and V/P scintigraphy. Due to MPE, 21 women total 41 patients who undergo tPA or streptokinase were included in the study. Kaplan-Meier for the survival analysis and cox regression analysis for determining the mortality related independent risk factors were used. Dying while staying in hospital was accepted as early or hospital mortality, after discharge from hospital as late mortality. Out of 41 patients, 12 of them died while they are hospitalized (hospital mortality; 29%) 6 of them died after they were discharged (late mortality; 21%). The average survival time among discharged patients was 2304 days (95% confidence interval: 1725-2884). Among those patients who took streptokinase or tPA, late or early mortality rates (p> 0.05) and survival time did not show significant difference (p= 0.8908). The presence of arrhythmia [p= 0.01; odds rate (OR): 6.25] and jugular vein distention (JVD) (p= 0.03; OR: 6.25) for hospital mortality and multiple ongoing health problems for the late mortality were identified as the independent risk factors. For the hospital mortality, the presence of JVD or arrhythmia, for prognostic sensitivity, specificity, positive predictive value and negative predictive value were recorded as 75%, 79%, 60% and 88% respectively. In conclusion, the presence of arrhythmia and/or JVD on a patient with MPE is a negative prognostic factor for hospital mortality. The presence of other ongoing health problems influences the survival time of the discharged patients. PMID- 21038138 TI - Influenza A (H1N1) virus pneumonia in intensive care unit. AB - Patients with influenza A (H1N1) virus infection have been admitted to intensive care units (ICU) due to development of severe respiratory failure. We described the clinical and epidemiologic characteristics of the 19 patients admitted to ICU due to influenza A (H1N1) virus infection. Study design is a descriptive case series in a third level-20 bed respiratory ICU at training hospital in Istanbul/Turkey. Influenza A (H1N1) virus infection was laboratory confirmed in specimens using real-time reverse transcriptase-polymerase-chain-reaction (RT PCR). We collected data concerning demographic, epidemiologic and clinical characteristics of the patients, treatment mortality and outcome. From November 10 to December 31 2009, a total of 19 patients; 7 laboratory confirmed, 12 with high clinical suspicion were treated at ICU. Among 12 patients with high clinical suspicion; 3 patients had negative RT-PCR testing for influenza A (H1N1) virus, 9 patients had no tests. Mean age was 41.6 +/- 11.9 (range 21 to 61). Median number of lung zone involvement was 4 (IQR= 3-4). Median PaO2/FiO2 was 105 (IQR= 85 165). Mean severity (APACHE II) and organ failure score (SOFA) were 13 +/- 4 and 4.0 +/- 1.3 respectively. Non-invasive mechanical ventilation (68.4%, n= 13), invasive mechanical ventilation (21.1%, n= 4) and nasal cannula oxygen (31.5%, n= 6) were implicated. The median length of ICU stay was 6 (IQR= 4-8). Oseltamivir therapy was given as 75 mg bid to 12 patients and 150 mg bid to 7 obese patients. ICU mortality rate was 21.1%. Presenting patients with pneumonia and acute respiratory failure due to influenza A (H1N1) virus infection were treated predominantly and successfully with non invasive mechanical ventilation. Clinicians should be aware of pulmonary complications of influenza A (H1N1) virus infection and that patients can be treated with non invasive mechanical ventilation paying attention to protective measures for health care providers. PMID- 21038139 TI - [Evaluation of the knowledge and manner of workers of workplaces in Tokat about the ban on restriction of indoor smoking]. AB - To evaluate the knowledge and manner of cafe, pub and restaurant (with/without alcohol) workers in our city center about the ban on restriction of indoor smoking. To determine the preparation about the ban, smoking characteristics of workers, the knowledge on passive smoking. A questionnaire was performed to workers. The type of workplace, the number of workers, existence of a restriction of indoor smoking, any preparation about the ban were asked. The job of worker, whether the worker has a knowledge on the ban or not, the idea of the workers on the necessity and practicability of the ban were asked. Smoking history and the knowledge about passive smoking of workers were recorded. Fagerstrom nicotine dependent test (FNDT) was performed to smokers. Eighty four work places with 568 workers included in the study. The questionnaire was performed to 337 workers whose mean age was 29.1/years. 292 of workers were male. 190 of cases were current smokers. 166 of cases (49.3%) know the meaning of passive smoking. Alcohol offering was made at 8 of workplaces. Smoking was forbidden in 20 of workplaces. A preparation was performed about the ban in 30 of (46.9%) other workplaces. 88.4% of workers have knowledge on the ban, 64.7% of them know the punishment of the noncompliance of the ban. 81.3% of the workers believe the necessity and 45.7% of them believe the practicability of the ban. Smokers and especially who's FNBT > 5 have a stronger belief on the necessity and practicability of the ban. We determined that the preparation about the ban was inadequate although there was an little time for the put into practice the law. So we think that the controls of workplaces should be happened frequent. PMID- 21038140 TI - [Very rare presentation of extrapulmonary tuberculosis: primary gastric tuberculosis]. AB - The most common site for gastrointestinal involvement in tuberculosis is the ileocecal region. Tuberculosis of stomach is quite uncommon. It is usually associated with pulmonary tuberculosis or with immunodeficiency. In this case non specific gastrointestinal complaints yielded a diagnosis of primary gastric tuberculosis, which is very rare. Absence of pulmonary focus and endoscopic findings of pangastritis are different features of this case which makes it presentable. PMID- 21038141 TI - Primary nasal tuberculosis: a case report. AB - Tuberculosis can involve virtually any organ and it manifests itself in various forms. When tuberculosis is as widespread as it is currently in this paper. Primary nasal tuberculosis is a rare disease. The clinicians fail to diagnose its symptoms as primary nasal tuberculosis and therefore its diagnosis and treatment is often delayed. We aim to present in the light of relevant literature the case of a 60-year-old woman with epistaxis and crusting who was admitted to a clinic. A biopsy of the marginal tissue was performed. The diagnosis was based on histopathology and successful response to antituberculous drug treatment. The basic principles that the treatment of pulmonary tuberculosis and extra-pulmonary forms of the disease to elucidate the clinical characteristics of nasal tuberculosis and to discuss its diagnostic difficulties. PMID- 21038142 TI - Malignant pericardial mesothelioma following thoracal radiotherapy; dissemination from pericardium to pleura. AB - Malignant pericardial mesothelioma (MPeM) is a rare, primary pericardial tumor of mesodermal-origin. With respect to the etiology of MPeM, a history of exposure to asbestos has not been clearly demonstrated. MPeM is difficult to diagnose because of the non-specificity of the clinical complaints and symptoms. A known effective treatment does not exist and the prognosis is poor. In this case study, the possible etiologies of MPeM are discussed based on the extant literature. We report herein a patient with MPeM and no history of asbestos exposure who had chemo-radiotherapy for non-Hodgkin's lymphoma, and in whom a tumor spread from the pericardium through the pleura. PMID- 21038143 TI - Mycobacterium simiae infection in an immunocompetent patient, with DNA analyses verification. AB - Mycobacterium simiae is a non-tuberculosis mycobacterium that does commonly cause clinical disease in immunocompromised patients with or without AIDS. We describe a case of M. simiae pulmonary infection in a patient without immunodeficiency syndrome, who had a history of Mycobacterium tuberculosis infections. PMID- 21038144 TI - [Pulmonary artery sling as a cause of recurrent wheezing in children]. AB - Vascular rings are a group of aortic arch anomalies and usually presents with dispnea, stridor and feeding difficulties after birth. Pulmonary artery sling is created by anomalous origin of the left pulmonary artery from the posterior aspect of the right pulmonary artery. The anomalous left pulmonary artery compresses the lower trachea and right mainstem bronchus, producing upper airway symptoms. We presented a seven-month-old male patient who admitted to our clinic because of recurrent wheezing, stridor, and frequent lower respiratory tract infections. PMID- 21038145 TI - [Fahr's disease accompanying to lung cancer]. AB - Fahr's disease occurs in relation with many metabolic disorders especially with hypoparathyroidism. Imbalance of the coordination system and dysarthria were seen at the end of the treatment in a lung cancer patient treated with radiotherapy and chemotherapy. Fahr's disease was diagnosed by diffuse symmetric calcifications at white matter and basal ganglia of cerebrum and cerebellum in cranial computed tomography. Disease was thought to be caused by hypoparathyroidism with lower calcium and parathyroid hormone levels. Possible factor that caused hipoparathyroidism and also of Fahr's disease was radiotherapy performed to a wide area because of lung cancer. This case is the first Fahr's disease that was diagnosed concurrently with lung cancer. PMID- 21038146 TI - [Venous thromboembolism in intensive care unit patients]. AB - In intensive care units, venous thromboembolism (VTE) is a life threatening, clinically important issue. The diagnosis of VTE is significantly complicated, even in patients except intensive care units and there is no consensus between clinicians about its treatment. The factors such as heterogeneity of intensive care units patients, the association of thrombosis and bleeding risks in the majority of patients, the non-specific signs and symptoms of VTE, the feasibility limitations of diagnostic methods are caused difficulties in the diagnosis and treatment of VTE. In this review, it has been aimed to remind VTE issue in the intensive care units, by review the investigations about the diagnosis, treatment and thromboprophylaxis of VTE. PMID- 21038147 TI - Pathophysiology, clinical evaluation and treatment options of spontaneous pneumothorax. AB - An apical subpleural bleb is most common pathology of primary spontaneous pneumothorax however, chronic obstructive pulmonary disease is most common cause of secondary spontaneous pneumothorax. The diagnosis is confirmed by physical examination, chest radiography and thoracoscopic examination. The typical person who present has an asthenic body, being taller and thinner than the average person. Spontaneous pneumothorax can seen in some special part of life such as; catamenial pneumothorax and during pregnancy. Therapeutic options of primary spontaneous pneumothorax is include the conservative, intermediate and invasive procedure. In conclusion we aimed the report pathophysiology, clinical evaluation and treatment options of spontaneous pneumothorax in the light of literatures with a review article. PMID- 21038148 TI - [A rare complication of clozapine treatment: pleural effusion]. PMID- 21038149 TI - 16S rDNA sequence analysis of culturable marine biofilm forming bacteria from a ship's hull. AB - Marine bacteria from the hull of a ship in the form of biofilms or microfouling were isolated, cultured, and identified by phylogenetic analysis using 16S rDNA sequences. With an average length of 946 bp, all the 16 sequences were classified using the Ribosomal database project (RDP) and were submitted to the National Center for Biotechnology Information. Phylogenetic analysis using 16S rDNA sequences indicated that the 16 strains belonged to the Firmicutes (IK-MB6 Exiguobacterium aurantiacum, IK-MB7 Exiguobacterium arabatum, IK-MB8 Exiguobacterium arabatum, IK-MB9 Jeotgalibacillus alimentarius, IK-MB10 Bacillus megaterium, IK-MB11 Bacillus pumilus, IK-MB12 Bacillus pumilus, IK-MB13 Bacillus pumilus, IK-MB14 Bacillus megaterium), High GC, Gram-positive bacteria (IK-MB2 Micrococcus luteus, IK-MB5 Micrococcus luteus, IK-MB16 Arthrobacter mysorens), G Proteobacteria (IK-MB3 Halomonas aquamarina, IK-MB15 Halotalea alkalilenta), CFB group bacteria (IK-MB1 Myroides odoratimimus), and Enterobacteria (IK-MB4 Proteus mirabilis). Among the 16 strains, representatives of the Firmicutes were dominant (56.25%) compared to the high GC, Gram-positive bacteria (18.75%), G Proteobacteria (12.5%), CFB group bacteria (6.25%), and Enterobacteria (6.25%). Analysis revealed that majority of marine species found in marine biofilm are of anthropogenic origin. PMID- 21038150 TI - Species-specific detection and quantification of common barnacle larvae from the Japanese coast using quantitative real-time PCR. AB - Species-specific detection and quantification methods for barnacle larvae using quantitative real-time polymerase chain reaction (qPCR) were developed. Species specific primers for qPCR were designed for 13 barnacle species in the mitochondrial 12S ribosomal RNA gene region. Primer specificity was examined by PCR using template DNA extracted from each of the 13 barnacle species, other unidentified barnacle species, and field collected zooplankton samples. The resulting PCR products comprised single bands following agarose gel electrophoresis when the templates corresponded to primers. The amplifications were highly species-specific even for the field plankton samples. The field plankton samples were subjected to qPCR assay. The calculated DNA contents for each barnacle species were closely correlated with the number of larvae measured by microscopic examination. The method could be applied to quantify barnacle larvae in natural plankton samples. PMID- 21038151 TI - Characterisation and in vitro activities of surface attached dihydropyrrol-2-ones against Gram-negative and Gram-positive bacteria. AB - Bacterial infection of biomedical devices is still a major barrier to their use. This is compounded by increasing antibiotic resistance. Here, the specific covalent attachment of a series of dihydropyrrol-2-one (DHP), analogues of bacterial quorum sensing inhibitors, to surfaces via a Michael-type addition reaction is described. Differences in efficiency of attachment related to the substituent groups were found by X-ray photoelectron spectroscopy. The physical characteristics of the surfaces were further explored by atomic force microscopy and contact angle measurements. The ability of these coatings to prevent the formation of a biofilm by Pseudomonas aeruginosa and Staphylococcus aureus was examined using confocal laser scanning microscopy and image analysis. The DHP treated surfaces showed significant reductions in bacterial adhesion without increased killing for both strains of bacteria (p < 0.001). 5-Methylene-1-(prop-2 enoyl)-4-phenyl-dihydropyrrol-2-one was identified as having broad spectrum activity and consequently represents an excellent candidate for the development of novel surfaces for the prevention of biomedical device infections. PMID- 21038152 TI - Efficacy of different antifouling treatments for seawater cooling systems. AB - In an industrial seawater cooling system, the effects of three different antifouling treatments, viz. sodium hypochlorite (NaClO), aliphatic amines (Mexel(r)432) and UV radiation, on the characteristics of the fouling formed were evaluated. For this study a portable pilot plant, as a side-stream monitoring system and seawater cooling system, was employed. The pilot plant simulated a power plant steam condenser, having four titanium tubes under different treatment patterns, where fouling progression could be monitored. The nature of the fouling obtained was chiefly inorganic, showing a clear dependence on the antifouling treatment employed. After 72 days the tubes under treatment showed a reduction in the heat transfer resistance (R) of around 70% for NaClO, 48% for aliphatic amines and 55% for UV, with respect to the untreated tube. The use of a logistic model was very useful for predicting the fouling progression and the maximum asymptotic value of the increment in the heat transfer resistance (DeltaR(max)). The apparent thermal conductivity (lambda) of the fouling layer showed a direct relationship with the percentage of organic matter in the collected fouling. The characteristics and mode of action of the different treatments used led to fouling with diverse physicochemical properties. PMID- 21038153 TI - Engineered antifouling microtopographies: mapping preferential and inhibitory microenvironments for zoospore attachment. AB - An algorithm was developed and implemented to map the locations of attached spores of Ulva linza on patterned surfaces. Using this mapping algorithm, spore preference among regions within a pattern can be detected and quantified. Settlement maps of spores on patterned topographies from several assays showed clear preferences in spore settlement. Over 94% of the spores attached within the depressed regions on the surfaces, including a surface containing pits instead of protruding features. The spores attached primarily at the intersections of several features, with over half and up to 96% of spores settling in these regions. The highest spore densities occurred at intersections where the features were most dissimilar. In contrast, the location of attached beads on the surfaces was nearly uniform across the surface. Identification of preferential attachment locations allows for the study of localized properties that influence cell behavior and aids in the development of new surfaces to control cell-surface interactions. PMID- 21038154 TI - Effects of depressed mood on drinking refusal self-efficacy: examining the specificity of drinking contexts. AB - College students with elevated depressive symptoms are more likely to engage in risky drinking and experience alcohol-related negative consequences. Efforts to understand the association between depressed mood and alcohol use have begun to identify the role of cognitive-motivational processes. Drinking refusal self efficacy is one such process that influences the decision to drink, but its relationship with depressed mood remains unclear. The current study sought to clarify the role of these processes using a depressed mood induction procedure in a sample of college student drinkers. Eighty-six students were randomized to a depressed or neutral mood induction and completed assessments of drinking refusal self-efficacy. Depressed mood significantly decreased self-efficacy in high-risk drinking contexts related to depression, whereas ratings of other high-risk contexts were unaffected. These findings suggest that the association between hazardous drinking and depressed mood may be due in part to the direct influence of mood state on one's self-efficacy to resist drinking in relevant contexts. PMID- 21038155 TI - Pain-related anxiety and marijuana use motives: a pilot test among active marijuana-using young adults. AB - The present investigation examined pain-related anxiety in regard to marijuana use motives among a sample of young adult marijuana users (N = 180; 45% women; M(age) = 21.11 years, SD = 6.41). Hierarchical multiple regression analyses were used to determine the relations between pain-related anxiety and marijuana use motives. After controlling for current marijuana use frequency (past 30 days), daily cigarette smoking rate, current rate of alcohol consumption, level of bodily pain (current), and other marijuana use motives, pain-related anxiety was significantly and uniquely associated with coping and conformity motives for marijuana use. Pain-related anxiety was not significantly related to other marijuana use motives. These results offer novel empirical insight pertaining to a relation between pain-related anxiety and coping as well as conformity motives for marijuana use among active users. PMID- 21038156 TI - Individual differences in developmental trajectories of A-not-B performance in infants born preterm. AB - Because early executive functioning is a potentially important predictor of developmental delay and learning difficulties in infants born preterm, this study assessed the effect of several perinatal predictors on the development of A-not-B performance between 7 and 14 months corrected age in 76 infants born preterm, and its relationship to subsequent global cognitive functioning. Latent Growth Modeling showed that gestational age was a predictor of initial level, and that birth weight and gender were predictors of the rate of developmental change in A not-B performance. Moreover, initial level and rate of developmental change in A not-B performance were predictive of global cognitive functioning. PMID- 21038157 TI - Neonatal cerebral abnormalities and later verbal and visuospatial working memory abilities of children born very preterm. AB - As part of a prospective, longitudinal study, 103 very preterm children underwent structural magnetic resonance (MR) imaging at term equivalent age and an assessment of verbal (Digit Span) and visuospatial (Corsi Blocks) working memory at 6 years corrected age. Compared to children born full term (N = 108), very preterm children were characterized by poorer verbal and visuospatial working memory performance. Very preterm children without cerebral abnormalities performed similarly to full-term children. Verbal impairments were largely confined to children with earlier moderate-severe cerebral abnormalities, while children with mild and moderate-severe abnormalities showed greater difficulties in visuospatial working memory. Findings suggest that very preterm children may be particularly vulnerable to visuospatial impairment. They also highlight the role of early neurological disturbance in the evolution of these difficulties. PMID- 21038158 TI - The Chernobyl accident and cognitive functioning: a study of Norwegian adolescents exposed in utero. AB - The results of investigations on the cognitive outcomes of adolescents exposed prenatally to radiation from Chernobyl are inconsistent. In 2005 through 2006, we assessed individuals exposed prenatally (N = 84) and controls (N = 94) using a broad neuropsychological test battery. Neuropsychological performance was significantly weaker in the 84 adolescents exposed prenatally compared to the 94 controls on measures of verbal working memory, verbal memory, and executive functioning when controlling for possible confounders. Our findings add new and important support to the hypothesis that the Chernobyl accident had a specific effect on the neuropsychological functioning of those exposed prenatally. PMID- 21038159 TI - Can training normalize atypical passive auditory ERPs in children with SRD or SLI? AB - This study tested if training can normalize atypical passive auditory event related potentials in the N1-P2 time window in children with specific reading disability (SRD) or specific language impairment (SLI). Children with SRD or SLI and untrained controls were tested for their behavioral responses and N1-P2 windows to tones, backward-masked tones, vowels, and consonant-vowels. Children with SRD or SLI with poor behavioral responses to one of these sounds trained to discriminate that sound for 30 minutes a day, 4 days a week, for 6 weeks. Post training measures revealed that training normalized atypical behavioral responses but not atypical N1-P2 windows. PMID- 21038160 TI - Memory maintenance and inhibitory control differentiate from early childhood to adolescence. AB - Existing evidence suggests that the organization of cognitive functions may differentiate during development. We investigated two key components of executive functions, memory maintenance and inhibitory control, by applying latent factor models appropriate for examining developmental differences in functional associations among aspects of cognition. Two-hundred and sixty-three children (aged 4 to 14 years) were administered tasks that required maintaining rules in mind or inhibiting a prepotent tendency to respond on the same side as the stimulus. Memory maintenance and inhibitory control were not separable in children of 4-7 or 7-9.5 years, but were differentiated in an older group (9.5 14.5 years). PMID- 21038161 TI - Prevalence of neurological soft signs and their neuropsychological correlates in typically developing Chinese children and Chinese children with ADHD. AB - This study examined prevalence of soft signs in 214 typically developing Chinese children and investigated whether soft signs are associated with attention deficit hyperactivity disorder (ADHD) in this population. Chinese children with ADHD (N = 54) scored significantly higher than age-matched controls on all three soft signs subscales and motor coordination correlated significantly with Stroop interference. Logistic regression supported the utility of the soft sign scales in discriminating children with ADHD and controls. Children with ADHD had a significant excess of soft signs, which may be a useful marker of developmental disruption in this clinical condition. PMID- 21038163 TI - Cognitive and motor control in neurofibromatosis type I: influence of maturation and hyperactivity-inattention. AB - Thirty children and adolescents with Neurofibromatosis Type 1 (NF1) and thirty controls performed neuropsychological tasks with varying cognitive control demands. Group differences, indicating poorer performance of individuals with NF1, increased as a function of cognitive control demands. Group by age interactions indicated greater differences among younger participants with respect to inhibitory control and motor function. When more cognitive control was required, particularly in working memory tasks, group differences were present across different ages. Excluding children with an attention deficit hyperactivity disorder (ADHD) diagnosis, which is highly prevalent among individuals with NF1, and further statistical control for hyperactivity-inattention, also reduced group differences regarding motor function and inhibition, but a cognitive control deficit remained evident for children and adolescents with NF1. PMID- 21038162 TI - Non-linguistic auditory processing and working memory update in pre-school children who stutter: an electrophysiological study. AB - Non-linguistic auditory processing and working memory update were examined with event-related potentials (ERPs) in 18 children who stutter (CWS) and 18 children who do not stutter (CWNS). Children heard frequent 1 kHz tones interspersed with rare 2 kHz tones. The two groups did not differ on any measure of the P1 and N1 components, strongly suggesting that early auditory processing of pure tones is unimpaired in CWS. However, as a group, only CWNS exhibited a P3 component to rare tones, suggesting that developmental stuttering may be associated with a less efficient attentional allocation and working memory update in response to auditory change. PMID- 21038164 TI - A longitudinal study of the effect of voicing on the dichotic listening ear advantage in boys and girls at age 5 to 8. AB - Recent studies indicate that the effect of voicing on the ear advantage in dichotic listening might serve as indicator of the development of speech processing abilities in children. In the present longitudinal study, we tested this idea by applying dichotic listening with voiced and unvoiced consonant-vowel syllables. In 35 boys and girls, tested at the age of 5, 6, 7, and 8 years, we found that the effect of voicing increases with age, and that girls were more affected by the voicing characteristics than boys. These results indicate a sex difference in the development of speech processing abilities. PMID- 21038165 TI - Cortical responses to speech sounds in 3- and 6-month-old infants fed breast milk, milk formula, or soy formula. AB - Controversy exists about the safety of soy formula, with the main concern relating to potential estrogenic effects of soy protein. Since estrogens influence early brain development, we compared behavioral development and cortical responses (event-related potentials; ERPs) to speech sounds in infants fed either breast milk or formula (milk- or soy-based). Across-groups ERP measures were generally similar and behavioral measures were within normal ranges, suggesting no important influences of soy formula on behavioral development and brain function during the study period. Analyses relating ERP and behavioral measures revealed diet- and gender-specific emphases that may reflect differences in developmental trajectories of brain-behavior relationships. PMID- 21038166 TI - Using global health initiatives to strengthen health systems: a civil society perspective. AB - Research into the effects of global health initiatives (GHIs) on health systems has largely left out the viewpoints and contributions of civil society. This study details civil society's perspective regarding the effects of two GHIs, the US President's Emergency Plan for AIDS Relief (PEPFAR) and the Global Fund to Fight AIDS, Tuberculosis and Malaria (GFATM), on country health systems and the added value of civil society interacting with GHIs to strengthen health systems. The study employed qualitative data collection methods using semi-structured interviews administered during focus groups and key informant interviews. A range of health system stakeholders were interviewed in Kenya, Malawi, Uganda and Zambia. Data were coded and analysed for themes and sub-themes. In total, 2910 civil society participants provided information individually or in focus groups. Respondents reported that GHIs have contributed to dramatic health benefits within and outside of a disease-specific focus, including health systems strengthening efforts. However, opportunities for synergy between GHIs and health systems have been missed, and GHIs have not worked sufficiently to close capacity gaps of grassroots civil society organisations. Despite some governance innovations, civil society's opportunities to participate meaningfully in GHI priority setting efforts are limited. Recommendations are included on how to best use GHIs to strengthen health systems by partnering with civil society. PMID- 21038167 TI - Changes in peak leg power induced by successive judo bouts and their relationship to lactate production. AB - Eleven male judoka, who compete at national level, were recruited with the aim of investigating changes in peak leg power as a result of successive judo bouts and their relationship with lactate production. The participants executed a force velocity curve to determine peak power in a 908 squat exercise in concentric work. The group then participated in four 5-min judo bouts each separated by 15 min of passive rest. The power developed as a result of the load associated with the maximum peak power reached in the preliminary test was determined, for the same movement, before and after each bout. Finger capillary blood samples were taken after each bout to determine the maximum lactate concentration achieved and lactate clearance. The results showed no effect of successive bouts on peak leg power (P > 0.05) and no difference when comparing the power measured before and after each bout (P > 0.05). Maximum lactate concentration of the fourth bout was lower than that of the first (12.6 + 3.5 and 14.6+4 mmol . l(-1) respectively; P < 0.05), although there was no difference in their clearance dynamics (P > 0.05). On the basis of the results obtained, we conclude that successive judo bouts, with the structure proposed in this study, produce high acidosis levels, which have no effect on the peak power developed in the legs. PMID- 21038168 TI - Differences in gender and performance in off-road triathlon. AB - The aims of this study were: (1) to examine performance trends and compare elite male and female athletes at the off-road triathlon (1.5-km swim, 30-km mountain biking, and 11-km trail running) world championships since its inception in 1996, and (2) to compare gender-related differences between off-road triathlon and conventional road-based triathlon. Linear regression analyses and ANOVA were used to examine performance trends and differences between the sexes. Elite male performance times stabilized over the 2005-2009 period, whereas elite female performance times continued to improve, especially for the run leg. Differences in performance times between the sexes were less marked in swimming than in mountain biking and running, whereas differences in power output were more marked for mountain biking than for swimming and running. In addition, differences in cycling between the sexes were greater for off-road than conventional on-road triathlon. The specific aspects of mountain biking (e.g. level and terrain) may partly explain the significant differences between the sexes recorded in cycling for off-road triathlon. Future studies will need to focus on the physiological bases of off-road triathlon and how they differ from conventional triathlon. PMID- 21038169 TI - Leftward lighting in advertisements increases advertisement ratings and purchase intention. AB - It has been reliably shown that light is assumed to come from above. There is also some suggestion that light from the left might be preferred. Leftward lighting biases have been observed across various mediums such as paintings, portraits, photographs, and advertisements. As advertisements are used to persuade the public to purchase products, it was of interest to better understand whether leftward lighting would influence future intention to purchase. Participants gave preference ratings for pairs of advertisements with opposing lighting directions. Attitude towards the advertisement and the brand as well as future purchase intention was then rated. Overall, participants indicated that they preferred advertisements with leftward lighting and were more likely to purchase these products in the future than when the same products were lit from the right. Findings are consistent with previously observed leftward lighting biases and suggest that advertisements with a leftward lighting bias might be more effective. PMID- 21038170 TI - Predicting depressive symptoms among the mothers of children with leukaemia: a caregiver stress model perspective. AB - The aim of this study was to find out the predictors of depressive symptoms of mothers of children with leukaemia. The potential predictors were chosen in the light of the caregiver stress model [Pearlin, Mullan, Semple, and Skaff, 1990. Caregiving and the stress process: An overview of concepts and their measures. The Gerontologist, 30(5), 583-594.], which examines the caregiver stress as composed of many factors such as the background variables, primary and secondary stressors, mediators and psychological health outcomes. This study included the caregiving tasks, basic needs of the caregivers and role strain as the stressors; coping strategies and perceived social support as mediators and depressive symptoms as the outcome variable. The participants of this study were 90 mothers of children with leukaemia. The results revealed that the satisfaction level of the basic needs and role strain were the predictors of the depressive symptoms. While emotion-focussed coping and perceived social support mediated the stressors and the depressive symptoms relationship, problem-focussed coping did not. The possible explanations of the results were explored and the implications were discussed. PMID- 21038171 TI - Effects of cancer rehabilitation on problem-solving, anxiety and depression: a RCT comparing physical and cognitive-behavioural training versus physical training. AB - We tested the effects on problem-solving, anxiety and depression of 12-week group based self-management cancer rehabilitation, combining comprehensive physical training (PT) and cognitive-behavioural problem-solving training (CBT), compared with PT. We expected that PT + CBT would outperform PT in improvements in problem solving (Social Problem-Solving Inventory-Revised (SPSI-R)), anxiety and depression (Hospital Anxiety and Depression Scale (HADS)), and that more anxious and/or depressed participants would benefit most from adding CBT to PT. Cancer survivors (aged 48.8 +/- 10.9 years, all cancer types, medical treatment completed) were randomly assigned to PT + CBT (n = 76) or PT (n = 71). Measurement occasions were: before and post-rehabilitation (12 weeks), 3- and 9 month follow-up. A non-randomised usual care comparison group (UCC) (n = 62) was measured at baseline and after 12 weeks. Longitudinal intention-to-treat analyses showed no differential pattern in change between PT + CBT and PT. Post rehabilitation, participants in PT and PT + CBT reported within-group improvements in problem-solving (negative problem orientation; p < 0.01), anxiety (p < 0.001) and depression (p < 0.001), which were maintained at 3- and 9-month follow-up (p < 0.05). Compared with UCC post-rehabilitation, PT and PT + CBT only improved in anxiety (p < 0.05). CBT did not add to the effects of PT and had no extra benefits for higher distressed participants. PT was feasible and sufficient for durably reducing cancer survivors' anxiety. PMID- 21038172 TI - What predicts depression in cardiac patients: sociodemographic factors, disease severity or theoretical vulnerabilities? AB - Depression is associated with increased cardiovascular risk in acute coronary syndrome (ACS) patients, but some argue that elevated depression is actually a marker of cardiovascular disease severity. Therefore, disease indices should better predict depression than established theoretical causes of depression (interpersonal life events, reinforcing events, cognitive distortions, type D personality). However, little theory-based research has been conducted in this area. In a cross-sectional design, ACS patients (n = 336) completed questionnaires assessing depression and psychosocial vulnerabilities. Nested logistic regression assessed the relative contribution of demographic or vulnerability factors, or disease indices or vulnerabilities to depression. In multivariate analysis, all vulnerabilities were independent significant predictors of depression (scoring above threshold on any scale, 48%). Demographic variables accounted for <1% of the variance of depression status, with vulnerabilities accounting for significantly more (pseudo R2 = 0.16, chi2(change) = 150.9, df = 4, p < 0.001). Disease indices accounted for 7% of the variance in depression (pseudo R2 = 0.07, chi2 = 137.9, p < 0.001). However, adding the vulnerabilities increased the overall variance explained to 22% (pseudo R2 = 0.22, chi2 = 58.6, df = 4, p < 0.001). Theoretical vulnerabilities predicted depression status better than did either demographic or disease indices. The presence of these proximal causes of depression suggests that depression in ACS patients is not simply a result of cardiovascular disease severity. PMID- 21038173 TI - The relation of illness representations to the 'end-stage' appraisal of outcomes through health status, and the moderating role of optimism. AB - The aim of this study was to examine an important pathway suggested by the common sense model (CSM): the relation of illness representations to the 'end-stage' appraisal of outcomes through health status. A further aim was to examine the moderating role of optimism in this relationship. One hundred and six chronic cardiac patients completed questionnaires about illness representations and dispositional optimism at baseline, and health status and illness-related helplessness (as an indicator of the 'end-stage' appraisal of outcomes) at a follow-up interview, 6 months later. Indirect (mediation) as well as conditional indirect (moderated mediation) effects were examined using bootstrapped models. According to the results, the effect of illness representations on helplessness was mediated by at least one of the health measures used. Also, most of these indirect relations were conditional on the values of optimism. That is, optimism moderated the 'illness representations-health status-"end-stage" appraisal of outcomes' relationship, as the mediating effects were absent at higher levels of optimism. These findings can provide us with a more comprehensive picture of adaptation to illness, as well as of the ways the illness-related information is being processed by patients. Thus, they have significant implications for theory, research and practice. PMID- 21038174 TI - Effects of momentary assessed stressful events and worry episodes on somatic health complaints. AB - Somatic health complaints are extremely common and are responsible for a large part of human suffering and healthcare costs. It has been recognised that psychosocial stress can affect somatic health. According to the 'perseverative cognition hypothesis', stressful events affect somatic health because people keep on worrying about them. Worry would prolong stress-related physiological activity that can ultimately lead to health problems. In this ambulatory study we tested whether stressful events and worry predict daily somatic complaints, and whether worry mediates the effects of stressful events. In addition, it was tested whether these effects were independent from negative affect. Using electronic diaries, 69 teachers (age 21-60 years) from Dutch primary and secondary schools reported daily stressful events, worry episodes, negative affect and somatic complaints for a period of 6 days. Results showed that worry intensity predicted the number of somatic complaints and mediated the effect of stressful events on somatic complaints. Furthermore, these results were independent from biobehavioural variables and daily negative affect. These findings support the perseverative cognition hypothesis proposing that the negative somatic health effects of stressful events are largely due to the worry; that is, to the prolonged cognitive representation of stressors. PMID- 21038175 TI - SBIRT implementation: moving beyond the interdisciplinary rhetoric. PMID- 21038176 TI - Breaking the bond between stimulant use and risky sex: a qualitative study. AB - Stimulant-using men who have sex with men (MSM) are at increased risk for human immunodeficiency virus (HIV) transmission, and are more likely to practice unprotected anal sex than MSM who do not use methamphetamine and/or crack cocaine. In this paper the authors report on interviews with stimulant-using men who have sex with men who have participated in Crystal Meth Anonymous and other 12-step groups, focusing on those who did not have unprotected anal intercourse during a 6-month follow-up period and their reasons for doing so. The authors find 4 common themes cited: a diminished sexual drive; exclusive sex with a primary partner; greater sense of responsibility/commitment to safer sex; and most commonly of the four, an overall healthier sex life. Participants' use of terms such as "healthy," "enjoyable," and "fulfilling" to describe sex not on stimulants, and avoidance of these terms for sex on stimulants, suggests a distinct dimension of sexual experience. PMID- 21038177 TI - Teaching condom use skills: practice is superior to observation. AB - Men exposed to a condom skills practice exercise were hypothesized to perform better on condom skills measures than those exposed only to a demonstration or to no intervention. As part of a larger National Institute on Drug Abuse (NIDA) Clinical Trials Network HIV Prevention protocol, men in substance abuse treatment were administered male and female condom use skills measures (MCUS, FCUS) at preintervention, 2 weeks, 3 months, and 6 months postintervention. The MCUS and FCUS scores were compared for 3 intervention exposure groups (demonstration only [DO, n = 149], demonstration plus practice [D+P; n = 112], attended no sessions [NS, n = 139]) across the 4 assessment time points using a mixed effects linear regression model. There is a statistically significant intervention group-by-time effect (P < .0001) for both the MCUS and FCUS. Post hoc, pairwise linear trends across time indicated that for both the MCUS and the FCUS, the D+P group is significantly superior to the DO group and the NS group. PMID- 21038178 TI - Inside the physician's black bag: critical ingredients of brief alcohol interventions. AB - Brief primary care interventions structured around patient workbooks have been shown to be effective in modifying hazardous drinking behavior. However, the critical ingredients of such interventions are not well understood, possibly contributing to their underutilization. Seventeen campus-based clinicians trained in a brief, workbook-based alcohol intervention participated in a qualitative study to identify the most promising clinician-patient interaction components within this shared approach, utilizing a focus group with the clinicians and ranking of the 24 workbook ingredients. Based on the clinicians' collective experience, consensus emerged around the perceived strength of 5 main components: (1) providing a summary of the patient's drinking level, (2) discussing drinking likes and dislikes, (3) discussing life goals, (4) encouraging a risk-reduction agreement, and (5) asking patients to track their drinking (on cards provided for this purpose). This is the first paper to examine primary care physician perspectives on potentially critical components of effective brief alcohol intervention. PMID- 21038179 TI - United Nations Office on Drugs and Crime International Network of Drug Dependence Treatment and Rehabilitation Resource Centres: Treatnet. AB - Key to the dissemination of evidence-based addiction treatments is the exchange of experiences and mutual support among treatment practitioners, as well as the availability of accurate addiction training materials and effective trainers. To address the shortage of such resources, the United Nations Office on Drugs and Crime (UNODC) created Treatnet, a network of 20 drug dependence treatment resource centers around the world. Treatnet's primary goal is to promote the use of effective addiction treatment practices. Phase I of this project included (1) selecting and establishing a network of geographically distributed centers; (2) conducting a capacity-building program consisting of a training needs assessment, development of training packages, and the training of 2 trainers per center in 1 content area each; and (3) creating good-practice documents. Data on the training activities conducted by the trainers during their first 6 months in the field are presented. Plans for Phase II of the Treatnet project are also discussed. PMID- 21038180 TI - Feasibility of emergency department bilingual computerized alcohol screening, brief intervention, and referral to treatment. AB - The purpose of this study was to assess the feasibility of utilizing a computerized alcohol screening and intervention (CASI) kiosk in an emergency department (ED). An interactive English and Spanish audiographical computer program, developed for used on a mobile computer cart, was administered to 5103 patients. Patients who screened at risk (19%) also received a fully computer guided brief negotiated interview (BNI) and a printed personal alcohol reduction plan. A higher percentage of younger patients, and males (31% versus 16% females), screened at risk or dependent. Patient surveys indicated CASI was easy to use and over 75% did not prefer a medical professional over the computer. The ED-based bilingual computerized alcohol screening, brief intervention, and referral to treatment required little time to administer, was acceptable to patients, identified at-risk and dependent drinkers, and was able to provide personalized feedback and brief intervention. PMID- 21038181 TI - Barbexaclone abuse in a cannabis ex-user. AB - Abuse of drugs including addictive ingredients is common among patients with initial addiction history. This article reports a patient who had experienced a panic attack due to cannabis intoxication and has began to abuse an antiepileptic drug barbexaclone after he had quitted cannabis. PMID- 21038182 TI - Does Cognitive Neuropsychology have a future? AB - Reflections stimulated by Rapp, B. (Ed.) (2001). 'The handbook of cognitive neuropsychology: What deficits reveal about the human mind'. Philadelphia: Psychology Press. PMID- 21038183 TI - The neuro in cognitive neuropsychology. PMID- 21038185 TI - Connectionism and Cognitive Neuropsychology: Comments on Harley's Reflections. PMID- 21038184 TI - Brain imaging, connectionism, and cognitive neuropsychology. PMID- 21038186 TI - Reconnecting Cognitive Neuropsychology: Commentary on Harley's 'Does Cognitive Neuropsychology have a Future?'. PMID- 21038187 TI - Does Harley Have a Point? Comments on Harley's 'Does Cognitive Neuropsychology have a Future?'. PMID- 21038188 TI - On harley on rapp. PMID- 21038189 TI - The 2003 status of cognitive neuropsychology. PMID- 21038190 TI - Promises, promises. AB - In the target article I argued that cognitive neuropsychologists have increasingly deviated from the original goals and methods of the subject. In this reply to the commentators, I argue that future progress using neuropsychological approaches to understanding behaviour is most likely to be made by the use of converging sources of evidence that are garnered by an interdisciplinary methodology. Neuroimaging data may have a role to play in such an enterprise, but are unlikely to be prominent in cognitive psychological theorisation in isolation. PMID- 21038191 TI - Dissociating Effects of Number of Phonemes, Number of Syllables, and Syllabic Complexity on Word Production in Aphasia: It's the Number of Phonemes that Counts. AB - Many people with aphasia show effects of word length on the accuracy of their word production. However, it is unclear from previous research whether this is an effect of number of phonemes, number of syllables or the complexity of the syllable structure (number of consonant clusters), as these factors are usually confounded. This paper is the first to attempt to dissociate the effects of these three factors on word production in a case series of nine English-speaking aphasic individuals. Using carefully controlled stimuli and analysis using logistic regression, the effects of these highly intercorrelated variables can be distinguished. Significant effects of number of phonemes were found on word production accuracy in English but there was no evidence for independent effects of number of syllables, number of clusters (syllabic complexity), or syllable frequency (once the intercorrelations between these variables had been controlled). It is argued that these data are consistent with any theory of spoken word production that incorporates a level of processing where phonemes are represented and that level is a potential source of error. PMID- 21038192 TI - Which People with Specific Language Impairment have Auditory Processing Deficits? AB - An influential theory attributes developmental disorders of language and literacy to low-level auditory perceptual difficulties. However, evidence to date has been inconsistent and contradictory. We investigated whether this mixed picture could be explained in terms of heterogeneity in the language-impaired population. In Experiment 1, the behavioural responses of 16 people with specific language impairment (SLI) and 16 control listeners (aged 10 to 19 years) to auditory backward recognition masking (ABRM) stimuli and unmasked tones indicated that a subgroup of people with SLI are less able to discriminate between the frequencies of sounds regardless of their rate of presentation. Further, these people tended to be the younger participants, and were characterised by relatively poor nonword reading. In Experiment 2, the auditory event-related potentials (ERPs) of the same groups to unmasked tones were measured. Listeners with SLI tended to have age-inappropriate waveforms in the N1-P2-N2 region, regardless of their auditory discrimination scores in Experiment 1. Together, these results suggest that SLI may be characterised by immature development of auditory cortex, such that adult level frequency discrimination performance is attained several years later than normal. PMID- 21038193 TI - Preface: introduction to this special issue of cognitive neuropsychology. PMID- 21038194 TI - Section I: single word processing. PMID- 21038195 TI - The noun/verb dissociation in language production: varieties of causes. AB - We report the performance of two patients who presented with complementary deficits in naming nouns relative to verbs: EA performed far worse with nouns than verbs, while MR performed worse with verbs than nouns. The two patients' grammatical category-specific deficits could not easily be explained in terms of damage to specific types of semantic knowledge prototypically associated with nouns (visual properties) and verbs (action features). One of the two patients, MR, also presented with a selective deficit in processing verbal as opposed to nominal morphology, in line with her impairment in naming verbs. The other patient, EA, showed no impairment in producing nominal and regular verbal morphology. The contrasting patterns of grammatical category-specific deficits in naming and morphological processing, along with other recently reported patterns, are interpreted as providing support for the claim that semantic and grammatical properties independently contribute to the organisation of lexical processes in the brain. PMID- 21038196 TI - Models of errors of omission in aphasic naming. AB - Five computational models of lexical access during production are tested for their ability to account for the distribution of aphasic picture-naming errors. The naming profiles (N= 14) were chosen from the literature to represent patients who make a relatively large number of omission errors. The most successful models combined the damage assumptions of the semantic-phonological model of lexical access (Foygel & Dell, 2000) with a treatment of omission errors as largely independent from overt errors (Ruml, Caramazza, Shelton, &Chialant, 2000). An explanation for the occurrence of omission errors was provided by the addition of a lexical-threshold parameter (Laine, Tikkala, ocjuhola, 1998) to the model. Suggestions for further testing of these models are introduced, as is a new website that allows other researchers to make use of the models. PMID- 21038197 TI - Evidence for the involvement of a nonlexical route in the repetition of familiar words: A comparison of single and dual route models of auditory repetition. AB - In this paper, we attempt to simulate the picture naming and auditory repetition performance of two patients reported by Hanley, Kay, and Edwards (2002), who were matched for picture naming score but who differed significantly in their ability to repeat familiar words. In Experiment 1, we demonstrate that the model of naming and repetition put forward by Foygel and Dell (2000) is better able to accommodate this pattern of performance than the model put forward by Dell, Schwartz, Martin, Saffran, and Gagnon (1997). Nevertheless, Foygel and Dell's model underpredicted the repetition performance of both patients. In Experiment 2, we attempt to simulate their performance using a new dual route model of repetition in which Foygel and Dell's model is augmented by an additional nonlexical repetition pathway. The new model provided a more accurate fit to the real-word repetition performance of both patients. It is argued that the results provide support for dual route models of auditory repetition. PMID- 21038198 TI - Origins of nonword phonological errors in aphasic picture naming. AB - A recent theory of lexical access in picture naming maintains that all nonword errors are generated during the retrieval of phonemic segments from the lexicon (Dell, Schwartz, Martin, Saffran, & Gagnon, 1997b). This theory is challenged by "dual origin" theories that postulate a second, post-lexical mechanism, whose disruption gives rise to "phonemic paraphasias" bearing close resemblance to the target. We tested the dual origin theory in a corpus of 457 nonword errors drawn from 18 subjects with fluent aphasia. The corpus was divided into two parts, based on degree of phonological overlap between error and target, and these parts were separately examined for proposed diagnostic characteristics of the postlexical error mechanism: serial order effects across the word, sensitivity to target length, and insensitivity to target frequency. Results did not support the dual origin theory but were consistent with a single, lexical origin account in which segment retrieval operates from left to right, rather than in parallel. Findings from this study also shed new light on how individual differences in the severity of the retrieval deficit modulate the expression of phonological errors in relation to target characteristics. PMID- 21038199 TI - "Phonological" dysphasia: a cross-modal phonological impairment affecting repetition, production, and comprehension. AB - In this paper we describe an aphasic patient, MS, who is impaired across a wide range of auditory input processing and spoken word production tasks. MS's performance on all these tasks shows phonological features: (1) his performance is poorest on auditory tasks with a strong phonological component, such as phoneme discrimination, auditory lexical decision, and word-picture matching featuring phono-logically related distractors; and (2) in spoken word production tasks, his errors are mainly phonemic and formal paraphasias. MS's single word repetition is particularly poor and exhibits some of the features of deep dysphasia, including lexicality effects (MS is unable to repeat nonwords) and image-ability effects. However, unlike in deep dysphasia, there are no semantic errors. We show that MS's condition, although apparently heterogeneous when viewed from a functional architecture perspective, can be described quite elegantly within an interactive-activation framework by proposing a single abnormality-a pathologically fast rate of decay within phonological representations. PMID- 21038200 TI - Section II: Beyond single word processing. PMID- 21038201 TI - Exploring the relationship between word processing and verbal short-term memory: evidence from associations and dissociations. AB - A theory of the cognitive organisation of lexical processing, verbal short-term memory, and verbal learning is presented along with a summary of data that bear on this issue. We conceive of verbal STM as the outcome of processing that invokes both a specialised short-term memory and the lexical system. On this model, performance of verbal STM tasks depends on the integrity of lexical knowledge, access to that knowledge, and processes that encode serial order information. PMID- 21038202 TI - Comprehension of reversible sentences in aphasia: the effects of verb meaning. AB - Comprehension of semantically reversible active and passive voice sentences was tested in a timed sentence/picture verification task. Three sets of six verbs were identified that incorporated different features of meaning relevant to the assignment of nouns to the thematic role of agent. Normal control subjects showed an effect of verb set on their response times, with significant effects between sets in the predicted direction. A group of aphasic patients without sentence comprehension disorder also showed a significant effect of verb set despite long and variable response times. A group of patients with reversible comprehension disorder in screening tasks showed weaker effects, primarily because of the use of consistent response biases that ignored the sentence verb. An experimental treatment of active/passive comprehension was conducted with two of these latter patients; one patient reached ceiling in post-testing, and the other showed significant improvement but demonstrated residual comprehension problems that indicated differences across verb sets. Results support the critical importance of verb meaning to normal and aphasic sentence comprehension. PMID- 21038203 TI - Semantic relatedness effects in conjoined noun phrase production: implications for the role of short-term memory. AB - Speech error data and empirical studies suggest that the scope of planning is larger for semantic than for phonological form representations in speech production. Previous results have demonstrated that some patients show dissociable impairments in the retention of semantic and phonological codes. The effect of these STM deficits on speech production was investigated using a phrase production paradigm that manipulated the semantic relatedness of the words in the phrase. Subjects produced a conjoined noun phrase to describe two pictures (e.g., "ball and hat") or produced the same phrases in response to pairs of written words. For the picture naming condition, control subjects showed an interference effect for semantically related pictures relative to unrelated pictures. This interference effect was greatly exaggerated for two patients with semantic short term memory deficits but not for a patient with a phonological STM deficit. For the written words, control subjects showed a small facilitatory effect for the onset of phrases containing semantically related words. One of the patients with a semantic STM deficit who was tested on picture naming was also tested on these materials and showed a small facilitatory effect within the range of controls. The findings support the contention that speech planning is carried out at a phrasal level at the lexical-semantic level and that the capacities that support semantic retention in list recall support speech production planning. PMID- 21038204 TI - The role of processing support in the remediation of aphasic language production disorders. PMID- 21038205 TI - Section iii: conceptual and semantic representations. PMID- 21038206 TI - Representations of the human body in the production and imitation of complex movements. AB - Previous investigations suggest that there are at least three distinct types of representation of the human body. One representation codes structural information about body part location (body structural description), the second codes knowledge about body parts (body semantics or body image), and the third provides a dynamic mapping of the current positions of body parts relative to one another (body schema) (Buxbaum & Coslett, 2001; Schwoebel, Coslett, & Buxbaum, 2001; Sirigu, Grafman, Bressler, & Sunderland, 1991). In this study we used an influential "two route" model of gesture performance (Gonzalez Rothi, Ochipa, & Heilman, 1991) to derive predictions about the body representations expected to underlie the production and imitation of meaningful and meaningless movements. The relationships between these measures were examined in 55 patients with unilateral left-hemisphere lesions. Multiple regression analyses demonstrated that performance on body semantics and body schema tasks were significant and unique predictors of meaningful gesture performance, whereas the body schema measure alone predicted imitation of meaningless movements. Body structural descriptions did not enter into any of the models. These findings are consistent with performance of meaningful actions via a semantic route that accesses body semantics and other action knowledge, and performance of meaningless movements via a "direct" route that bypasses this information. PMID- 21038207 TI - A circumscribed refractory access disorder: A verbal semantic impairment sparing visual semantics. AB - We report the case of a patient (AZ) with a semantic refractory access dysphasia. On matching-to-sample tests assessing comprehension of the spoken word, AZ shows all the hallmarks of a refractory access disorder, namely inconsistent performance on repeated testing and sensitivity to both presentation rate and the semantic similarity between competing responses. However, on tasks examining her visual knowledge, such as matching two structurally different exemplars of the same item, AZ's performance is quantitatively and qualitatively different. In a series of experiments testing her knowledge of animate and inanimate items, AZ demonstrated significantly worse performance with verbal-visual matching than with visual-visual matching. Furthermore, response accuracy was observed to decrease with successive probing of an item in the verbal conditions but not the visual conditions. We also demonstrate that this discrepancy cannot be explained on the basis of either task difficulty or presentation rate. We attribute our results to a build-up of refractoriness in the systems mediating verbal comprehension whilst those underlying visual comprehension remain unaffected. We argue that our data speak against a unitary amodal semantic system and in favour of at least partially separate verbal and visual semantic processing. PMID- 21038208 TI - Sentence comprehension in semantic dementia: a longitudinal case study. AB - Sentence comprehension abilities were investigated in a patient with semantic dementia who was administered tests of semantic knowledge and sentence comprehension over a 5-year period. Results showed that despite a severe and continual degradation in semantic knowledge, syntactic comprehension abilities remained largely intact. Evidence was also found for a codependency between semantics and syntax in a task in which knowledge about conceptual number influenced subject-verb agreement in the patient and in control participants. Results are discussed in relation to the nature of the sentence comprehension impairment in semantic dementia and with reference to the modularity of the components of the language processing system. PMID- 21038209 TI - Natural selection: the impact of semantic impairment on lexical and object decision. AB - This study was designed to investigate the impact of semantic deficits on the recognition of words and objects as real/familiar. Two-alternative forced-choice tasks of lexical decision and object decision were each administered to a case series of patients with semantic dementia. In both tasks, the critical manipulation was whether the real word or object was more or less "natural" (i.e., typical of its domain) than the nonword or nonobject with which it was paired. For lexical decision, typicality of the words and nonwords was manipulated in terms of bigram and trigram frequencies of the letter strings. For object decision, high typicality in real and chimeric objects consisted in having only or mainly visual features that are standard for objects in that category. This manipulation of relative typicality of real and made-up stimuli exerted a dramatic influence on the patients' success in both lexical and object decision. The patients' strong tendency towards "natural selection" was further modulated by both the frequency/familiarity of the real words/objects and the degree of semantic degradation of the individual patients. This outcome is in line with the authors' model of semantic knowledge and the impact of its degradation on a wide range of cognitive behaviour. PMID- 21038210 TI - Temporally graded semantic memory loss in Alzheimer's disease: cross-sectional and longitudinal studies. AB - Semantic knowledge of famous names and words that entered popular North American culture at different times in the 20th century was examined in 16 patients with mild-to-moderate Alzheimer's disease (AD), 12 of whom were re-tested 1 year later. All patients showed evidence of temporally graded memory loss, with names and words from the remote past being relatively better preserved than recent names and words. There was considerable between-patient variability with respect to severity of semantic impairment. Most patients exhibited losses extending back 30-40 years; however, two mildly impaired (MMSE >28) patients showed deficits restricted to the last 10-15 years. At the 1-year follow-up, patients not only exhibited more severe deficits overall, but the temporally graded period of loss extended further back in time, suggesting that this deficit reflects a loss of previously intact knowledge and not merely faulty encoding or lack of exposure to the material. The extensive period of graded semantic loss exhibited by most patients contrasts with the temporally limited retrograde semantic loss typical of medial temporal lobe amnesia. We propose that short periods of temporally graded semantic memory loss can be explained by damage to medial temporal structures, but that extensive periods of graded loss occur only with additional damage to neocortical tissue. This pattern contrasts with that of autobiographical memory loss, which is often ungraded and extends for the person's entire lifetime, even when damage is restricted to the medial temporal lobes. PMID- 21038211 TI - Section IV: Three As: Alexia, agraphia, agnosia. PMID- 21038212 TI - Lexical influences in graphemic buffer disorder. AB - We report the case of patient BH, who misspelled about half of the words she attempted and showed the characteristic features of "graphemic buffer disorder" (an effect of letter length on spelling accuracy, errors involving the substitution, omission, addition, and movement of letters that affect the middles more than the ends of words). Speech comprehension and production were good. Reading of words was, at most, only mildly impaired, though reading of nonwords was more affected. Words were spelled more accurately than nonwords, and BH's ability to spell words correctly was influenced by their imageability, age of acquisition, frequency, and number of orthographic neighbours (N). The effect of length was much reduced once these factors (especially N) were controlled. BH's spelling pattern is discussed in terms of top-down lexical influences on the graphemic buffer. We argue that such effects may be more widespread than has previously been acknowledged. PMID- 21038213 TI - Implicit recognition in pure alexia: The Saffran effect-a tale of two systems or two procedures? AB - Some patients with pure alexia or letter-by-letter reading demonstrate the Saffran effect: residual activation of higher order lexical-semantic representations despite poor word recognition. This study investigated the reading of patient FD, a letter-by-letter reader with a clear Saffran effect. Two alternative explanations for this effect were tested in a series of experiments and through the impact of whole-word and letter-based therapies on FD's reading. One theory assumes that the disparity between overt recognition and implicit activation of word meaning is underpinned by two separate reading systems. An alternative hypothesis argues for a single whole-word reading system supplemented by the deliberate, compensatory strategy of letter-by-letter reading. Under this hypothesis, the Saffran effect reflects partial activation of the single, whole word system. FD's results strongly supported the latter hypothesis. FD's reading behaviour was characterised by partial activation of higher word representations, accuracy was graded by word variables known to influence the normal reading system, and most importantly, once the characteristics of the tasks were equated, there was no evidence for a dissociation between word categorisation and recognition. In addition, the whole-word therapy encouraged FD to abandon the letter-by-letter strategy. Without this compensatory technique, FD's emergent deep dyslexia was consistent with a partially activated, whole-word reading system that produces overt reading responses. Comparison of data from this and other studies suggests that the Saffran effect is most likely to be observed in patients with severe pure alexia. PMID- 21038214 TI - Object identification in simultanagnosia: When wholes are not the sum of their parts. AB - We examined object identification in two simultanagnosic patients, ES and GK. We show that the patients tended to identify animate objects more accurately than inanimate objects (Experiments 1 and 4). The patients also showed relatively good identification of objects that could be recognised from their global shape, but not objects whose recognition depended on their internal detail (Experiment 2). Indeed, the presence of local segmentation cues disrupted global identification (Experiment 3). Identification was aided, though, by the presence of surface colour and texture (Experiment 4). We suggest that the patients could derive global representations of objects that served to recognise animate items. In contrast, they were impaired at coding parts-based representations for the identification of inanimate objects. PMID- 21038215 TI - Pure alexia and covert reading: Evidence from Stroop tasks. AB - Patients with pure alexia (also referred to as letter-by-letter readers) show a marked word-length effect when naming visually presented words, evidenced by a monotonic increase in response time (or decrease in accuracy) as a function of the number of letters in the string. Interestingly, despite the difficulty in overtly reporting the identity of some words, many patients exhibit fast and above-chance access to lexical and/or semantic information for the same words. To explore the extent of this covert reading, we examined the degree of interference afforded by the inconsistent (word identity and colour label do not match) versus neutral condition in a Stroop task in a pure alexic patient, EL. EL shows evidence of covert reading on a semantic categorisation task and a lexical decision task. She also demonstrates covert reading by exhibiting Stroop interference of the same magnitude as a matched control subject, when naming the colour of the ink in which a word is printed. When the word shares some but not all letters with the colour name (BLOW instead of BLUE), neither subject shows interference. In contrast with the control subject, EL does not show Stroop interference when various orthographic changes (degraded visual input, cursive font) or phonological or semantic changes are made to the word. These findings indicate that although some implicit processing of words may be possible, this processing is rather rudimentary. Not surprising, this implicit activation may be insufficient to support overt word identification. We explain these findings in the context of a single, integrated account of pure alexia. PMID- 21038216 TI - On having royal relatives: Interpreting misidentifications in a case of impaired person recognition. AB - We report data from a patient, NE, who after surviving encephalitis made misidentification responses to faces known to her premorbidly. NE frequently mistook one famous person for another, one relative for another, and, under some conditions, believed that a picture of a famous person actually depicted one of her relatives. Unlike previously reported patients who have misidentified faces, NE (1) performed reasonably well on tests of facial perception, (2) showed no obvious executive deficits in tests of frontal lobe function, and (3) showed an ability to constrain her misidentification responses in certain situations. A cognitive neuropsychological investigation revealed that NE was able to judge misidentified faces as familiar but failed to access precise semantic information. There were also semantic deficits when knowledge of people was probed through nonvisual modalities-for example, when naming people from definition. We argue that a semantic, as opposed to executive, deficit plays the major (though probably not sole) role in NE's misidentification responses, and we consider how the inter-active activation model of face recognition (Burton, Bruce, & Johnston, 1990) can account for such disorders of person recognition more comprehensively than the Bruce and Young (1986) model. PMID- 21038217 TI - Can phonological and semantic short-term memory be dissociated? Further evidence from landau-kleffner syndrome. AB - Recent studies have made a distinction between short-term storage capacities for phonological information and short-term storage capacities for lexico-semantic information (R. Martin, Lesch, & Bartha, 1999). In this multiple case study, we tried to provide further evidence for the dissociability of phonological and lexico-semantic short-term memory (STM) components, by studying verbal STM in three patients who had recovered from Landau-Kleffner syndrome. Furthermore, we explored to what extent apparent dissociations between phonological and lexico semantic STM could be related to underlying phonological and lexico-semantic processing impairments. We found clear dissociations between phonological and lexico-semantic STM measures in patients TG, JPH, and DC, whose performance was impaired in nonword immediate serial recall and in a rhyme probe task, while performance was normal for a category probe task. These patients also presented reduced phonological effects (word length, phonological similarity, phonotactic frequency) but normal lexico-semantic effects (lexicality, word imageability, word frequency) in STM. Moreover, there were no systematic correspondencies between phonological and lexico-semantic STM and phonological and lexico-semantic processing impairments. Implications for current models of STM and language processing are discussed. PMID- 21038218 TI - Semantic memory is an amodal, dynamic system: Evidence from the interaction of naming and object use in semantic dementia. AB - Classic neurological accounts and some contemporary theories of semantic memory assume that concepts are acquired through a learning process that draws together information experienced in each of our verbal and nonverbal modalities. These accounts embody three critical assumptions: semantic representations are amodal; the mapping between surface form and meaning varies for different modalities; and the representations are dynamic. The influence of these three factors was revealed in data collected over a 4-year longitudinal period in two patients with semantic dementia. Semantic assessment revealed a parallel decline in verbal and nonverbal aspects of conceptual knowledge, reflecting a gradual degradation of a single amodal semantic system. As expected, when the patients' semantic impairment was mild, they presented with profound anomia but relatively preserved object use. Over time, performance on all semantic tasks including object use declined. High item-by-item consistency across these tasks was observed in all testing sessions. The impact of dynamic semantic representations was revealed by a striking clinical finding. Although unable to name many of the objects in isolation, their performance was significantly facilitated if they were asked to name while they demonstrated the use of each object. These results are discussed in the context of contemporary models of semantic memory. PMID- 21038219 TI - Comments on Nickels and Howard (2004) "dissociating effects of number of phonemes, number of syllables, and syllable complexity on word production in aphasia: It's the number of phonemes that counts". PMID- 21038220 TI - Correct responses, error analyses, and theories of word production: A response to Martin. AB - Nickels and Howard (2004) argued that effects of word length on correct responses of a case series of nine aphasic individuals could be accounted for entirely in terms of number of phonemes, and suggested that effects of complexity on correct responses may have been overstated in the literature. In a commentary on this paper, N. Martin disputed some of Nickels and Howard's claims focusing on methodological issues concerning the differences between analysis of errors and correct productions, and the implications of this study for theoretical accounts of word production. Here we respond to those points raised. PMID- 21038221 TI - Aphasia in a user of British Sign Language: Dissociation between sign and gesture. AB - This paper reports a single case investigation of "Charles", a Deaf man with sign language aphasia following a left CVA. Anomia, or a deficit in sign retrieval, was a prominent feature of his aphasia, and this showed many of the well documented characteristics of speech anomia. For example, sign retrieval was sensitive to familiarity, it could be cued, and there were both semantic and phonological errors. Like a previous case in the literature (Corina, Poizner, Bellugi, Feinberg, Dowd, & O'Grady-Batch, 1992), Charles demonstrated a striking dissociation between sign and gesture, since his gesture production was relatively intact. This dissociation was impervious to the iconicity of signs. So, Charles' sign production showed no effect of iconicity, and gesture production was superior to sign production even when the forms of the signs and gestures were similar. The implications of these findings for models of sign and gesture production are discussed. PMID- 21038222 TI - Specific order impairment in arabic number writing: A case-study. AB - The present study examines the transitory deficit in transcoding verbal to Arabic numbers in an aphasic patient, TM. She showed a mild syntactic impairment in syntactic comprehension of verbal numbers, with preserved performance in comprehension of Arabic numbers, in access to semantic representation, as well as in reading of Arabic numbers, but she committed 75% of errors when required to write numbers in the Arabic format to dictation. In conformity to the previous literature on transcoding deficits, the majority of her errors were syntactic (60%). However, most of them were unusual "order errors" (50%) in which lexical digits (e.g., 1 to 9) were written on the left and zeros on the right of the number, which contained in the majority of the cases the correct number of digits. A similar type of errors has been reported in only one previous case study (Delazer & Denes, 1998), but not specifically studied. We discuss hypotheses concerning its origins as stemming from a syntactic disorder within existing models of transcoding (McCloskey, Caramazza, & Basili, 1985; Power & Dal Martello, 1990). We also report kinematic assessment of the patient's handwriting before and after recovery. At time of the second examination, results show that her pattern of movement fluency parallels that of healthy subjects and supports a distinction between two types of zeros within Arabic numbers, in relation to the verbal code and the rules required to produce them. This paper thus also highlights the potential usefulness of using a digitising tablet in the study of transcoding deficits. PMID- 21038223 TI - Inference deficits in women with Fragile X Syndrome: A problem in working memory. AB - Inferential processing and its component processes-working memory and set shifting-were examined in women with Fragile X Syndrome (FXS), in an attempt to understand the language phenotype of FXS and to better understand discourse processing by examining which components are affected by a biological breakdown. Participants read short passages and answered both factual and inference questions, and performance was correlated with neuropsychological measures of working memory and set-shifting. Three groups of nonretarded women were examined: (a) 12 women with FXS who carry the full mutation; (b) 22 women who carry the premutation; and (c) 16 women without the fragile X mutation. The results show that full mutation women do more poorly on both factual and inference questions. They do not appear to have a specific deficit in inferencing, however, because even though they do worse on inference questions than on factual questions, they do no worse, proportionately speaking, than the comparison groups. Analysis of the types of errors indicates that what underlies their poor performance on this discourse task is a specific deficit in working memory; the working memory deficit appears to be due specifically to FXS and not just to lower IQ. The deficits in discourse and working memory are also shown to relate to molecular measures of the syndrome. PMID- 21038224 TI - Impaired orientation discrimination and localisation following parietal damage: On the interplay between dorsal and ventral processes in visual perception. AB - We report the case of a patient with left parietal damage (MH) who is selectively impaired at both detecting and localising targets defined in terms of their orientation. Performance was relatively good in other tasks where the target was defined either by a single feature (colour) or an orientation conjunction. The results are consistent with the idea that the dorsal stream supports some aspects of basic visual perception (i.e., the discrimination and localisation of orientation-defined targets). The effect of a parietal deficit may be to stress processing via the intact ventral stream, which groups information for object recognition, making differences in orientation less salient. Consistent with this, MH performed better when localising targets at a larger display size, where elements were less likely to group into a familiar shape and where local texture based processes can come into play. In addition, MH's ability to localise a target was more impaired than his ability to detect a target, supporting the argument that orientation discrimination precedes (or operates independently of) feature localisation. PMID- 21038225 TI - Lexical-semantic retention and speech production:further evidence from normal and brain-damaged participants for a phrasal scope of planning. AB - The present study investigated the scope of planning in speech production by examining onset latencies for sentences describing moving picture displays. The experimental sentences began with either a simple or complex noun phrase, but were matched in length and content words. Results from young and old normal participants replicated previous findings of Smith and Wheeldon (1999) in showing longer onset latencies for sentences beginning with a complex noun phrase, supporting a phrasal scope of planning. Two aphasic patients were tested who, in previous studies, had shown a short-term memory deficit either in semantic retention (patient ML)or in phonological retention (patient EA). Patient ML showed a markedly greater disadvantage for the sentences beginning with a complex noun phrase whereas EAshowed an effect within normal range. The present results from the patients, together with those from previous studies, indicate that the phrasal planning is occurring at a lexical-semantic level using a capacity that is also involved in comprehension. PMID- 21038226 TI - Error analysis at the level of single moves in block design. AB - The method of error analysis has been fruitfully applied to the performance of brain-damaged patients in a number of different domains. This approach has also been used for investigating the visuo-constructional abilities of neurological patients, but only in a limited fashion. In the present work we applied error analysis to the performance of three patients, each showing a different pattern of errors, and 12 controls on a modified version of the WAIS Block Design task. Data were collected about the single moves made by the subjects to arrive at a copy of the model, and errors were classified using 14 categories. The error patterns of the three patients were found to be reliably different and so putatively suggest different processing impairments. Patient BV showed errors possibly reflecting the lack, or absence, of a plan during the reproduction attempt. Patient GP mainly showed errors reflecting impairment in the processing of metric spatial relations, while patient VQ's errors were those predicted by impaired mental rotation ability. Overall, we showed that Block Design performance can be used productively in the investigation of spatial processing by means of the single-case approach. PMID- 21038227 TI - Visual search, singleton capture, and the control of attentional set in ADHD. AB - We report the data on effects on visual search of (1) preview displays and (2) singleton targets and distractors in IQ-matched ADHD and control children. All children showed interference from singleton distractors even when targets never carried singleton values. This interference from singleton distractors increased under preview conditions, indicating that the children then had fewer resources available to control attention. There was also one selective deficit for ADHD children; they showed marked problems in responding to singleton targets following preview displays. This suggests that, in ADHD, there is either a selective delay or an impairment in switching attentional sets (from a negative set to the preview to a positive set to a singleton target). We discuss the implications for understanding both ADHD and the development of selective attention. PMID- 21038228 TI - Brain potentials reflect residual face processing in a case of prosopagnosia. AB - Here, ERPs were employed to characterise the residual face processing of FE, a patient with extensive damage to the ventral temporal-occipital cortex and a dense prosopagnosia. Alarge N170 was present in FE and he performed well in tests of face structural processing. Covert recognition of the faces of personal acquaintances was demonstrated with P300 oddball experiments. The onset latency of the P300 effect was normal, indicating fast availability of covert memory. The scalp topography of this component in FE was different from that of the P3b, presenting a centro-frontal maximum. FE also presented larger skin conductance responses to familiar than to unfamiliar faces. The amplitudes of both the single trial P300s and the SCRs triggered by familiar faces were positively correlated with the degree of person-familiarity that FE had for the poser. He performed at chance when asked to select between the face of a familiar person and that of an unfamiliar person on the basis of explicit recognition, whereas he selected more the previously known face if the forced choice was based on trustworthiness or a vague sense of familiarity. The results suggest that in FE, early face processing was relatively intact and covert recognition was fast. Neural structures involved in the processing of emotional or social cues possibly mediate the covert recognition present in FE. PMID- 21038229 TI - Neuroanatomical correlates of locative prepositions. AB - Very little research has explored which neural systems may be important for retrieving the meanings of locative prepositions (e.g., in, on, around). To begin to address this knowledge gap, we conducted a lesion study in which we tested the hypothesis that processing the meanings of locative prepositions depends on neural structures in the left inferior prefrontal cortex and left inferior parietal cortex. Seventy-eight subjects with focal, stable lesions to various parts of the telencephalon and a comparison group of 60 normal participants were studied with tasks that require production, comprehension, and semantic analysis of locative prepositions. In support of our hypothesis, we found that in subjects with impaired knowledge of locative prepositions, the highest region of lesion overlap was in the left frontal operculum and the left supramarginal gyrus, and in the white matter subjacent to these two areas. In a second study, focused on six subjects who had pervasive defects for locative preposition knowledge, we confirmed that such defects were associated specifically with damage to the posterior left frontal operculum, white matter subjacent to this region, and white matter underneath the inferior parietal operculum. These subjects did not have basic impairments in spatial processing or working memory, and they had relatively well-preserved processing of conceptual knowledge for actions and various categories of concrete entities (e.g., persons, animals, tools). All six subjects, however, had defects in naming actions, and some of them also had defective naming of some categories of concrete entities. Overall, the findings converge nicely with recent results from functional imaging approaches, and with classic studies from the aphasia-based literature, and suggest that the left inferior prefrontal and left inferior parietal regions have crucial-albeit not exclusive-roles in processing knowledge associated with locative prepositions. PMID- 21038230 TI - Inferential methods for comparing a single case with a control sample: modified t tests versus mycroft et al.'s (2002) modified anova. AB - Mycroft, Mitchell, and Kay (2002) have criticised existing inferential methods (e.g., Crawford & Howell, 1998) for comparing a single case with a control sample and propose that such comparisons be made using a modified ANOVA. It is argued that the assumptions made by Mycroft et al. are questionable and, even if they held, would not invalidate Crawford and Howell's method. Crawford and Howell's null hypothesis is that the patient is an observation from the control population whereas Mycroft et al.'s null hypothesis is that the control population and a notional population of patients have a common mean. Even if one accepts Mycroft et al.'s conceptualisation, their arguments only have force if (1) the variance of a notional population of patients was larger than that of the control population, and (2) patients with impaired performance were balanced exactly by patients whose performance had been enhanced relative to controls. Furthermore, the modified ANOVA would have the undesirable consequence of reducing statistical power unnecessarily and it requires users to provide some estimate of the variance of a hypothetical population. PMID- 21038231 TI - Comparing a single case to a control sample: differences in distribution versus differences in means. PMID- 21038232 TI - Emotionally mediated synaesthesia. AB - This study reports a synaesthete, GW, who experiences synaesthetic colours in response to a limited range of stimuli-namely those that have an emotional connotation. GW is significantly more consistent than a group of controls, and shows a Stroop-like congruency effect when the text colour differs from that reported for her synaesthetic photisms. The names of people who are known personally to GW are more likely to induce a synaesthetic colour than names referring to people with whom she is not personally acquainted and other categories of word (including colour names themselves). This feature resembles a number of reports in the historical literature on synaesthesia. This is explained by the fact that these stimuli are more likely to elicit an emotional response. In support of this, other words that have an emotional connotation (e.g., "love") have a tendency to elicit a synaesthetic response. The valence of the emotion (positive vs. negative) may have some role to play in determining the colour of the stimulus. It is concluded that emotion-colour synaesthesia is a genuine, if unusual, form of synaesthesia. PMID- 21038233 TI - A selective deficit in the production of exact musical intervals following right hemisphere damage. AB - In this study we focused our attention on the production of music in its vocal form. To our knowledge this is the first detailed description of a dissociation between encoding and retrieval of melodic intervals in music. We describe the case of a 55-year-old high-level amateur musician, IP, who had suffered a right hemisphere stroke. While the patient performs well in music recognition and discrimination tasks, he is selectively impaired at singing correct intervals. More precisely, IP's performance relative to the rhythm and the contour retrieval of musical patterns is preserved; his impairment is limited to precise pitch retrieval and it is highly correlated to the degree of dissonance of the intervals he is required to sing. These findings are discussed relative to previous works in neuropsychology of music with regard to both functional models and brain function localisation. An extended version of the model proposed by Peretz (1993b) is presented. PMID- 21038234 TI - Closed-class words in sentence production: Evidence from a modality-specific dissociation. AB - Classic observations in the field of the neuropsychology of language have established that brain injury can result in the specific disruption of the ability to use words from the closed class (e.g., determiners, auxiliary verbs, prepositions, etc.) while the production of words from the open class is preserved (e.g., nouns, verbs, etc.). In this study, we report the case of a French native speaker who, following a cerebral-vascular accident, presents a dissociation between open- and closed-class words. Importantly, this dissociation is only observed in the written modality of output while oral speech production is by and large normal. Furthermore, the difficulties in writing closed-class words were only observed during sentence production--in spontaneous production or in writing to dictation tasks--but not during single word production. The origin of this deficit is discussed in the context of previously proposed models of sentence production. PMID- 21038235 TI - Phonological dyslexia without phonological impairment? AB - RG, a patient with probable Alzheimer's disease, showed a severe impairment in nonword reading. RG's word reading was intact, for example, as demonstrated by her scores in standardised reading tasks, which were comparable to those of normal controls. No phonological impairment was apparent in speech production and comprehension. Moreover, RG performed well in a series of phonological tasks (e.g., production of a rhyming word, phoneme identification) on which patients with a reading deficit selective for nonwords have been reported to encounter problems. RG's data severely constrain reading models proposing that nonword reading deficits are caused by phonological deficits. However, RG's data are compatible with dual-route reading models, which do not propose a link between nonword reading deficits and phonological impairment. PMID- 21038236 TI - The representation of homophones: Evidence from anomia. AB - Current models of word production provide different accounts of the representations of homophones--words that sound the same but have different meanings (e.g., muscle/mussel; (a) walk/(to) walk). A point of disagreement concerns frequency: While some models assume that homophone processing varies as a function of the frequency of the individual homophonic forms, other models predict that the combined frequency of the homophonic forms (e.g., the frequency of muscle+mussel) determines how homophones are processed. These contrasting views were tested in a series of experiments with AW, an English-speaking brain damaged woman who showed anomia, a deficit of word phonology retrieval in speech production. AW's semantic processing was intact. In oral naming, we observed a frequency effect: AW was significantly more successful in producing high- as opposed to low-frequency words. Our results consistently demonstrated that AW's successful naming reflected the frequency of the individual homophonic forms, rather than the combined frequency of the homophonic forms. Our results provide support for models of speech production that identify the frequency of the individual homophones as the critical factor in homophone naming. PMID- 21038237 TI - Involvement of the hippocampus in implicit learning of supra-span sequences: The case of sj. AB - Learning of supra-span sequences was assessed in a densely amnesic individual (SJ) who suffers from a substantial circumscribed bilateral lesion to the hippocampus. SJ's ability to lay down information originating from repetitive memory recall episodes was assessed using Hebb's supra-span procedure. After assessment of short-term memory span, 25 sequences of span +1 items were presented to SJ for immediate serial recall (ISR), one sequence being presented repeatedly eight times. Learning was deduced by the comparison of ISR scores on the repeated versus nonrepeated sequences of span +1 items. SJ's learning capacity was examined using four different types of stimuli: digits, spatial locations (Corsi block tapping test), words, and pseudowords. Implicit learning of sensorimotor sequences was also assessed in SJ using a serial reaction time (SRT) paradigm. Findings with the supra-span ISR task revealed evidence of learning in SJ with all four types of stimuli. The learning magnitude, as well as learning rate, observed in SJ were comparable to those observed in matched control participants. SJ showed evidence of implicit learning on the SRT paradigm. We conclude that the hippocampus is not required to learn certain types of recurrent information, and that the supra-span ISR task can be considered as an implicit-based learning paradigm. These findings have significant implications for our conceptualisation of implicit learning, and for understanding of the role of the hippocampus in learning. PMID- 21038238 TI - An exaggerated effect for proper nouns in a case of superior written over spoken word production. AB - We describe a brain-damaged subject, RR, who manifests superior written over spoken naming of concrete entities from a wide range of conceptual domains. His spoken naming difficulties are due primarily to an impairment of lexical phonological processing, which implies that his successful written naming does not depend on prior access to the sound structures of words. His performance therefore provides further support for the "orthographic autonomy hypothesis," which maintains that written word production is not obligatorily mediated by phonological knowledge. The case of RR is especially interesting, however, because for him the dissociation between impaired spoken naming and relatively preserved written naming is significantly greater for two categories of unique concrete entities that are lexicalised as proper nouns-specifically, famous faces and famous landmarks-than for five categories of nonunique (i.e., basic level) concrete entities that are lexicalised as common nouns-specifically, animals, fruits/vegetables, tools/utensils, musical instruments, and vehicles. Furthermore, RR's predominant error types in the oral modality are different for the two types of stimuli: omissions for unique entities vs. semantic errors for nonunique entities. We consider two alternative explanations for RR's extreme difficulty in producing the spoken forms of proper nouns: (1) a disconnection between the meanings of proper nouns and the corresponding word nodes in the phonological output lexicon; or (2) damage to the word nodes themselves. We argue that RR's combined behavioural and lesion data do not clearly adjudicate between the two explanations, but that they favour the first explanation over the second. PMID- 21038239 TI - A comparison of lexical-gustatory and grapheme-colour synaesthesia. AB - This study compares two different profiles of synaesthesia. One group (N = 7) experiences synaesthetic colour and the other (N = 7) experiences taste. Both groups are significantly more consistent over time than control subjects asked to generate analogous associations. For the colour synaesthetes, almost every word elicits a colour photism and there are systematic relationships between the colours generated by words and those generated by graphemes within the word (hence "grapheme-colour" synaesthesia). For the taste synaesthetes, by contrast, some words elicit no synaesthesia at all, and in those words that do, there is no relationship between the taste attributed to the word and the taste attributed to component graphemes. Word frequency and lexicality (word vs. nonword) appear to be critical in determining the presence of synaesthesia in this group (hence "lexical-gustatory" synaesthesia). Moreover, there are strong phonological links (e.g., cinema tastes of "cinnamon rolls") suggesting that the synaesthetic associations have been influenced by vocabulary knowledge from the semantic category of food. It is argued that different cognitive mechanisms are responsible for the synaesthesia in each group, which may reflect, at least in part, the different geographical locations of the affected perceptual centres in the brain. PMID- 21038240 TI - Separating input and output phonology: semantic, phonological, and orthographic effects in short-term memory impairment. AB - Recent research with both normal and brain-damaged people shows that linguistic representations are important sources of information in short-term memory tasks. This paper addresses the role of semantic and phonological information in the performance of two individuals with severely impaired short-term memory span (HB and MMG). The performance of these individuals is investigated on span tasks manipulating, for example, lexicality, imageability, word length, and phonological similar as well as on their ability to perform other tasks involving the judgment and/or manipulation of phonology (e.g., rhyme judgments, phonological lexical decision, segmentation tasks, and minimal pairs). The subjects' performance across these tasks provides strong evidence for separate but linked language and short-term memory systems, with lexical and semantic representations contributing to immediate serial recall. There is also evidence for separate phonological and semantic short-term stores (buffers), each of which are supported by the corresponding representations in the language system. Furthermore, the results support separate phonological stores at input and output. Finally a cautionary note is cast regarding the significant role of orthography in many tasks previously assumed to reflect manipulation of phonology. PMID- 21038241 TI - Animals recover but plant life knowledge is still impaired 10 years after herpetic encephalitis: the long-term follow-up of a patient. AB - In this study we report the long-term follow-up of EA, a patient originally affected by a disproportionate semantic impairment of biological categories due to herpetic encephalitis. After 10 years, EA still presented a biological categories semantic impairment, but his deficit had become minimal for animals while it remained considerably severe for fruit and vegetables, without any evolution from the original level of impairment. The eventual discrepancy between the two subsets of biological categories was statistically significant at word picture matching and verbal semantic probes (and could not be explained by nuisance variables), but not significant at picture naming due to an associated lexical impairment that, besides plant life items, also affected animals and artefact stimuli. Our recovery data corroborate the notion that biological categories should be further fractionated, and we comment on the suitability of different accounts of category specificity to accommodate such findings. We discuss our case against the background of other cases reported in the literature and the current models of organisation of the semantic system, bringing to light some interesting consistencies concerning patients whose semantic impairment disproportionately affects the categories of fruit and vegetables. PMID- 21038242 TI - A model-driven treatment of a Cantonese-speaking dyslexic patient with impairment to the semantic and nonsemantic pathways. AB - This paper describes a case study evaluating the efficacy of a reading therapy on a Cantonese brain-injured patient, CSH, with hypothesised deficits to the semantic and nonsemantic reading routes. The treatment emphasised the re establishment of phonetic radical-to-syllable correspondences in regular and partially regular phonetic compounds, and encouraged the patient to make use of the semantic information associated with the signific radical to assist her in arriving at the target pronunciation. By the end of the therapy, CSH read all the treatment items flawlessly and improved significantly on reading generalisation probes, while no observable change was found in the irregular phonetic compound control probes. Specific treatment effect was evidenced by the synchrony between the introduction of training and the marked progress seen at various treatment stages, and greater improvement on treatment than on generalisation probes. In addition, CSH demonstrated an increase in regularisation errors coupled with a decrease in "no responses." PMID- 21038243 TI - Visual extinction of similar and dissimilar stimuli: Evidence for level-dependent attentional competition. AB - Repetition blindness (RB) is the failure to report a visual stimulus presented shortly after a first occurrence of the same stimulus (Kanwisher, 1987). A similar phenomenon is that visual extinction, the failure to identify a contralesional stimulus presented simultaneously with an ipsilesional stimulus, increases with increasing similarity between the contralesional and ipsilesional stimulus (Baylis, Driver, & Rafal, 1993). We report a patient who, after a right parietal stroke, presented increased extinction for letters in repeated (e.g., A + A) than in unrepeated (e.g., T + U) displays. Increased extinction due to RB was observed in all experimental conditions probing item identification and varied between 5.4% and 40.6% across conditions. RB was unaffected by temporal modulation of the display, but was significantly reduced when stimuli grouped by a surrounding contour. Identification of contralesional repeated and unrepeated letters could be enhanced by auditory cues presented prior to the visual display. These results suggest that perceptual processing of extinguished stimuli that are similar to the stimulus presented on the preserved side is relatively unimpaired, but that the patient fails to ascribe to the stimulus a separate identity, supporting the distinction between type recognition and token individuation (Kanwisher, 1987). The extinction patterns for similar and dissimilar stimuli indicate that competition for attentional selection does not only occur at low (perceptual) levels, but also at higher processing levels, suggesting the presence of attentional competition on different levels of analysis. PMID- 21038244 TI - Interactivity and continuity in normal and aphasic language production. AB - Current research in cognitive modelling has assumed that the interactive nature of processing during language production has been supported by fits to the behaviour of brain-damaged patients. In this paper, several previously proposed theories of word production, all based on the interactive spreading-activation theory of Dell (1986), are evaluated in the context of picture naming. Using a new corpus of data from 50 Italian aphasic patients, we find that patient patterns do not seem to demand an interactive theory. We also explore the corollary ideas of continuity between normal and random performance, and global damage in aphasia, and we find that they are incompatible with our data. We argue that it is very difficult for a modelling study to yield strong constraints on cognitive theory. Although valuable, such efforts currently do not contribute evidence for interactive processing in language production. PMID- 21038245 TI - Is oral spelling recognition dependent on reading or spelling systems? dissociative evidence from two single case studies. AB - Recognition of orally spelt words is an unusual task, not commonly encountered in everyday life, but it can be surprising well preserved in patients with brain damage. There is, however, considerable debate over the cognitive abilities that are required to successfully perform this task. The main controversy has centred on whether oral spelling recognition is parasitic on the processes normally involved in spelling aloud or in reading. We describe a patient (FL) who showed a similar pattern of performance on reading and oral spelling recognition and was better at both tasks relative to spelling. We describe a second patient (FK) who was good at reading and reasonable at spelling but poor at reverse spelling. The patient data are not consistent with either of the following hypotheses: that oral spelling recognition is dependent either on a reading system that is functionally separate from a spelling system, or on a spelling system that is functionally separate from reading. We propose that the findings can, however, be accommodated by a model in which spelling and reading are not functionally independent systems, but share important cognitive components such as a graphemic buffer. PMID- 21038246 TI - A semantic contribution to nonword recall? Evidence for intact phonological processes in semantic dementia. AB - Patients with semantic dementia make numerous phonological errors in their immediate serial recall of words that they understand poorly. Previous studies have argued that these errors result from a reduction in the normal contribution made by semantics to the coherence of items in the phonological system. It is possible, however, that the errors might reflect additional subtle phonological deficits. Six patients with semantic dementia were tested on a variety of phonological processing and short-term memory tasks, in order to explore these possibilities. For the most part, the patients showed normal performance in phonological awareness and discrimination tasks and normal effects of phonological similarity and word length in immediate serial recall. The more severely impaired patients, however, showed some weakness on tests of nonword repetition and recall. Every patient showed better recall of words that were still relatively well understood, compared with words that were more semantically degraded. This difference extended to nonwords that were phonologically similar to the known and degraded words, suggesting that the patients' semantic deficits could account for their impairments in nonword recall. The recall advantage for semantically known over degraded items also extended to a nonverbal delayed picture copying task, suggesting that the patients' immediate serial recall impairments were underpinned by a central semantic deficit, and not by a separable lexical deficit. PMID- 21038247 TI - Treatment of irregular word spelling in developmental surface dysgraphia. AB - An increasing number of cognitive neuropsychological treatment studies of acquired dysgraphia have been published in recent years, but to our knowledge there are no corresponding studies of developmental dysgraphia. This paper reports a cognitive neuropsychological treatment programme designed for a child with developmental surface dysgraphia. The treatment aim was to improve functioning of the orthographic output lexicon, and so treatment methods targeted irregular word spelling. Treatment methods were based on previous successful treatments employed in cases of adult acquired surface dysgraphia (Behrmann, 1987; De Partz, Seron, & Van der Linden, 1992; Weekes & Coltheart, 1996). Results showed a significant treatment effect for both spelling and reading of irregular words that was largely stable over time and that generalised partially to spelling of untreated irregular words. Homophone words were not treated but some aspects of homophone reading and spelling also improved, though homophone confusion errors remained. Comparison of treatment effectiveness with and without mnemonics suggested that the mnemonic cue itself was not necessary to achieve treatment success for irregular word spelling. Analyses revealed that untreated irregular words whose spellings became correct as a result of treatment generalisation were those whose original misspellings were closest to being correct prior to treatment. Results also provided preliminary evidence that the mechanism underlying treatment generalisation involved improved access to orthographic representations, resulting in an increased tendency to employ orthography for spelling attempts and reduced reliance on phoneme to grapheme conversion. PMID- 21038248 TI - The multiple functions of sensory-motor representations: an introduction. PMID- 21038249 TI - Functional ontologies for cognition: The systematic definition of structure and function. AB - Cognitive scientists have traditionally specified the functional components of cognitive skills on the basis of behavioural studies of normal and neurologically impaired subjects. The results of functional imaging studies are challenging these classical models because there is a high degree of overlap among the neural systems activated by tasks that share no cognitive components. This suggests that a given neuronal structure can perform multiple functions that depend on the areas with which it interacts. However, there will be a limited range of functions that an area can perform given that its anatomical (intrinsic and extrinsic) connectivity is fixed. Assigning labels that encompass the operations that each area performs should enable a task to be re-described in terms of the functions of the areas activated. In other words, function should predict the structure and conversely structure should predict function. These systematic descriptions are referred to as ontologies. We argue that a systematic ontology for cognition would facilitate the integration of cognitive and anatomical models and organise the cognitive components of diverse tasks into a single framework. These points are illustrated with cognitive and anatomical models of reading and object recognition. PMID- 21038250 TI - Frontal latching networks: a possible neural basis for infinite recursion. AB - Understanding the neural basis of higher cognitive functions, such as those involved in language, requires a shift from mere localisation to an analysis of network operation. A recent proposal points at infinite recursion as the core of several higher functions, and thus challenges cortical network theorists to describe network behaviour that could subserve infinite recursion. I propose here that a capacity for infinite recursion may be associated with the natural adaptive dynamics of large semantic associative networks, once their connectivity becomes sufficiently extensive to support structured transition probabilities between global network states. The crucial development endowing a semantic system with a nonrandom dynamics would thus be an increase in connectivity, perhaps to be identified with the dramatic increase in spine numbers recently observed in the basal dendrites of pyramidal cells in Old World monkey and particularly in human frontal cortex. PMID- 21038251 TI - Context-dependent interactions of left posterior inferior frontal gyrus in a local visual search task unrelated to language. AB - The Embedded Figures Task (EFT) involves search for a target hidden in a complex geometric pattern. Even though the EFT is designed to probe local visual search functions, not language-related processes, neuropsychological studies have demonstrated a strong association between aphasia and impairment on this task. A potential explanation for this relationship was offered by a recent functional MRI study (Manjaly et al., 2003), which demonstrated that a part of the left posterior inferior frontal gyrus (pIFG), overlapping with Broca's region, is crucially involved in the execution of the EFT. This result suggested that pIFG, an area strongly associated with language-related functions, is also part of a network subserving cognitive functions unrelated to language. In this study, we tested this conjecture by analysing the data of Manjaly et al. for context dependent functional interactions of the pIFG during execution of the EFT. The results showed that during EFT, compared to a similar visual matching task with minimal local search components, pIFG changed its interactions with areas commonly involved in visuospatial processing: Increased contributions to neural activity in left posterior parietal cortex, cerebellar vermis, and extrastriate areas bilaterally, as well as decreased contributions to bilateral temporo parietal cortex, posterior cingulate cortex, and left dorsal premotor cortex were found. These findings demonstrate that left pIFG can be involved in nonlanguage processes. More generally, however, they provide a concrete example of the notion that there is no general one-to-one mapping between cognitive functions and the activations of individual areas. Instead, it is the spatiotemporal pattern of functional interactions between areas that is linked to a particular cognitive context. PMID- 21038252 TI - The right parietal cortex and time perception: back to Critchley and the Zeitraffer phenomenon. AB - We investigated the involvement of the posterior parietal cortex in time perception by temporarily disrupting normal functioning in this region, in subjects making prospective judgements of time or pitch. Disruption of the right posterior parietal cortex significantly slowed reaction times when making time, but not pitch, judgements. Similar interference with the left parietal cortex and control stimulation over the vertex did not significantly change performance on either pitch or time tasks. The results show that the information processing necessary for temporal judgements involves the parietal cortex, probably to optimise spatiotemporal accuracy in voluntary action. The results are in agreement with a recent neuroimaging study and are discussed with regard to a psychological model of temporal processing and a recent proposal that time is part of a parietal cortex system for encoding magnitude information relevant for action. PMID- 21038253 TI - Out of sight but not out of mind: the neurophysiology of iconic memory in the superior temporal sulcus. AB - Iconic memory, the short-lasting visual memory of a briefly flashed stimulus, is an important component of most models of visual perception. Here we investigate what physiological mechanisms underlie this capacity by showing rapid serial visual presentation (RSVP) sequences with and without interstimulus gaps to human observers and macaque monkeys. For gaps of up to 93 ms between consecutive images, human observers and neurones in the temporal cortex of macaque monkeys were found to continue processing a stimulus as if it was still present on the screen. The continued firing of neurones in temporal cortex may therefore underlie iconic memory. Based on these findings, a neurophysiological vision of iconic memory is presented. PMID- 21038254 TI - Mental images and the Brain. AB - One theory of visual mental imagery posits that early visual cortex is also used to support representations during imagery. This claim is important because it bears on the "imagery debate": Early visual cortex supports depictive representations during perception, not descriptive ones. Thus, if such cortex also plays a functional role in imagery, this is strong evidence that imagery does not rely exclusively on the same sorts of representations that underlie language. The present article first outlines the nature of a processing system in which such a dual use of early visual cortex (in perception and in imagery) makes sense. Following this, literature bearing on the claim that early visual cortex is used in visual mental imagery is reviewed, and key issues are discussed. PMID- 21038255 TI - The role of the primary motor cortex in mental rotation: a TMS study. AB - Mental rotation (MR) is sustained by a network of brain regions, including parietal, pre-motor and primary motor (M1) cortices. However it is still not clear whether M1 is recruited only when individuals mentally rotate hands or whether it is also enhanced by MR of non-body parts. Here we report two experiments in which the involvement of M1 in MR of hands and letters was tested using TMS. In Experiments 1a and 1b participants were asked to judge whether two line drawings, depicting either hands or letters, were the same or mirror images of each other (N = 112). Subjects were presented with pairs of stimuli with the same orientation (baseline condition) in half of the trials, while in the other half the stimulus in the right visual field was rotated (rotation condition). They performed the same-different task in three experimental situations: TMS of the primary motor hand area delivered at 400 ms after stimulus onset, sham TMS, and no-TMS. We stimulated the left M1 in Experiment 1a, and the right in Experiment 1b. Results showed that in Experiment 1a participants were slower after TMS when they performed MR of hands but not of letters. In Experiment 1b we failed to find an effect of TMS on MR of hands and letters. While in Experiment 1 the stimulus to be rotated was always presented in the right visual field, in Experiment 2 it was presented either in the left or in the right visual field. Results showed that only when TMS was delivered to the left M1, participants' ability to mentally rotate right and left hands slowed down. Taken together, these findings suggest that the left but not the right M1 plays a critical role in MR of hands. PMID- 21038256 TI - Processing of visual semantic information to concrete words: temporal dynamics and neural mechanisms indicated by event-related brain potentials( ). AB - Event-related brain potentials were used to study the retrieval of visual semantic information to concrete words, and to investigate possible structural overlap between visual object working memory and concreteness effects in word processing. Subjects performed an object working memory task that involved 5 s retention of simple 4-angled polygons (load 1), complex 10-angled polygons (load 2), and a no-load baseline condition. During the polygon retention interval subjects were presented with a lexical decision task to auditory presented concrete (imageable) and abstract (nonimageable) words, and pseudowords. ERP results are consistent with the use of object working memory for the visualisation of concrete words. Our data indicate a two-step processing model of visual semantics in which visual descriptive information of concrete words is first encoded in semantic memory (indicated by an anterior N400 and posterior occipital positivity), and is subsequently visualised via the network for object working memory (reflected by a left frontal positive slow wave and a bilateral occipital slow wave negativity). Results are discussed in the light of contemporary models of semantic memory. PMID- 21038257 TI - Experimenting with the acting self. AB - Recent neuroscientific research has developed the concept of the embodied agent as a scientifically viable approach to the psychological concept of the self. Both the awareness of one's own actions and awareness of one's own body are necessary conditions for the experience of selfhood. The relative contributions of efferent and afferent information in self-awareness are yet to be fully understood. We review experimental evidence that highlights the phenomenological and functional differences between the "acting self" and the "sensory self." These differences may underlie the ubiquitous modulation of perception in voluntary action. We focus on three main research fields: somatosensory perception, time-awareness, and self-recognition. A series of experiments, designed so as to dissociate afferent from efferent information, are reviewed. As a whole the results suggest that intentional action functions as a general context for awareness, modulating the perception of one's own body. The "acting self," owner of the efferent information, modulates the phenomenal experience of the "sensory self" because of the intrinsically agentic nature of voluntary movement. Finally, it is suggested that this sense of agency is efferent-driven, originating from pre-action processes. PMID- 21038258 TI - The role played by tool-use and tool-length on the Plastic Elongation of peri hand space: a single case study. AB - Consistent with neurophysiological findings showing expansion of the visual RF of visuo-tactile neurons along the rake used by monkeys to retrieve out-of-hand reach objects (Iriki, Tanaka, & Iwamura, 1996), we have previously shown that also in humans the use of a tool can increase the spatial extent of the representation of peri-hand space, as revealed by an increase in the severity of cross-modal extinction after tool use (Farne & Ladavas, 2000). Here we further explored the characteristics of such a transient elongation of the multisensory peri-hand space representation in a patient with visual-tactile extinction. By investigating the variation of cross-modal extinction following different types of tool-related experience, we found that passive exposure to the tool is not sufficient per se to induce peri-hand space extension, which instead requires that the tool is used. This increase of peri-hand extension might be related to the physical length of the tool. PMID- 21038259 TI - Goal-directed imitation in patients with Ideomotor Apraxia. AB - The present study compared imitation performance in patients with ideomotor apraxia (IMA), eight right hemispheric-damaged patients, and eight control participants without neurological damage in three experiments. Experiment 1 confirmed in the Goldenberg test that IMA patients were particularly impaired in hand gestures and combined finger and hand gestures, but not in the imitation of finger gestures, compared to the other two groups. Experiment 2, however, demonstrated that finger selection is not per se preserved in imitative behaviour in patients with IMA. Experiment 3 confirmed this finding in an experiment under visual control. Together, the results add evidence to the idea that imitation should be viewed from a goal-directed rather than a body-mapping perspective, and that highest priority is given to more distal aspects of imitation as reaching for the correct object, rather than the means used to achieve the goal of a modelled action. PMID- 21038260 TI - Far from action-blind: Representation of others' actions in individuals with Autism. AB - It has been suggested that theory of mind may rely on several precursors including gaze processing, joint attention, the ability to distinguish between actions of oneself and others, and the ability to represent goal-directed actions. Some of these processes have been shown to be impaired in individuals with autism, who experience difficulties in theory of mind. However, little is known about action representation in autism. Using two variants of a spatial compatibility reaction time (RT) task, we addressed the question of whether high functioning individuals with autism have difficulties in controlling their own actions and in representing those of others. Participants with autism showed automatic response activation and had no difficulties with response inhibition. When two action alternatives were distributed among pairs of participants, participants with autism represented a co-actor's task, showing the same pattern of results as the matched control group. We discuss the possibility that in high functioning individuals with autism, the system matching observed actions onto representations of one's own actions is intact, whereas difficulties in higher level processing of social information persist. PMID- 21038261 TI - The Brain's concepts: the role of the Sensory-motor system in conceptual knowledge. AB - Concepts are the elementary units of reason and linguistic meaning. They are conventional and relatively stable. As such, they must somehow be the result of neural activity in the brain. The questions are: Where? and How? A common philosophical position is that all concepts-even concepts about action and perception-are symbolic and abstract, and therefore must be implemented outside the brain's sensory-motor system. We will argue against this position using (1) neuroscientific evidence; (2) results from neural computation; and (3) results about the nature of concepts from cognitive linguistics. We will propose that the sensory-motor system has the right kind of structure to characterise both sensory motor and more abstract concepts. Central to this picture are the neural theory of language and the theory of cogs, according to which, brain structures in the sensory-motor regions are exploited to characterise the so-called "abstract" concepts that constitute the meanings of grammatical constructions and general inference patterns. PMID- 21038262 TI - The orchestration of the sensory-motor systems: Clues from Neuropsychology. AB - Research over the last several decades has led to clear and empirically tractable proposals about the representation of conceptual knowledge in the brain. Here we argue that there are already sufficient data from neuropsychology to strongly constrain extant hypotheses about the representation of conceptual knowledge. One constraint imposed by these neuropsychological data is that recognition of actions and understanding of objects do not necessarily depend on the ability to produce object-associated actions. This conclusion compels a reconsideration of the role played by motor planning and/or execution processes in action and object recognition and understanding. PMID- 21038263 TI - Evidence for impaired visuoperceptual organisation in developmental dyslexics and its relation to temporal processes. AB - An analysis of normal and dyslexic readers' reaction-time (RT) performance in a standard visualdetection task (Experiment A) and in temporally primed visual detection (Experiment B) reveals a tendency for significantly longer search and detection RTs for dyslexic relative to the performance of normal readers. Consistent with previous studies, the RTs of normal readers and fast dyslexic responders exhibited target-specific priming effects. In contrast, in addition to increased but statistically insignificant target priming, a set of slower dyslexic responders showed strong negative priming on target-absent trials. In spite of the longer detection latencies produced by these dyslexic participants, no evidence was found to suggest that negative priming occurred as a general function of increasing difficulty in task performance (Experiment C). The enhanced positive and the negative priming effects are both interpreted in the context of the possible deployment of attentional mechanisms to the priming stimulus. The extent to which this strategy is characteristic of dyslexic performance as a whole may relate to the degree to which the dyslexic responder concerned experiences some general temporal processing impairment: Attentional deployment in this instance serving to compensate a lack of the requisite temporal resolution required for coding the spatiotemporal structure of the prime. PMID- 21038264 TI - Within-object and between-object coding deficits in drawing production. AB - We examine how perceptual grouping influenced drawing errors in two patients with constructional apraxia. The patients copied simple geometric stimuli, formed from right-angled elements of variable size arranged as the corners of a square. Grouping was systematically manipulated by altering the closure between these local parts. The patients also made perceptual judgments about similar figures. Despite relatively intact perceptual discrimination of the stimuli, both patients produced errors in drawings relative to controls. When local elements were arranged as a square, patient ECR produced some shape errors but showed a marked tendency to complete across gaps between elements. In contrast, patient RA made no such completion errors but placed the elements so that they were poorly spatially located. When grouping was reduced, ECR completed fewer gaps and RA showed improved spatial localization. These symptoms suggest impairments of perceptuomotor representations of object relations. ECR's deficit appeared to be in between-object coding-she often failed to separate the individual elements when they formed a strong perceptual whole. In contrast, RA's deficit appeared to be in within-object coding-spatial localization of elements was impaired when they shared strong grouping cues. His performance only improved when the strength of the grouping between the elements was reduced. These findings demonstrate the coding of different forms of spatial relation in the perceptual-motor domain. PMID- 21038265 TI - Naming a giraffe but not an animal: Base-level but not superordinate naming in a patient with impaired semantics. AB - We report data on patient FK, who presented with a marked deficit in accessing semantic knowledge about objects when tested across a range of input and output modalities. FK also showed a high degree of item-specific consistency in object identification, over and above effects due to object familiarity. We show that, despite being better at naming some objects than others, FK was equally poor at discriminating the superordinate categories of the stimuli. Also, he tended to be better at matching nameable items to a base-level label than to a superordinate level label. We discuss the implications of the data for models of semantic memory. PMID- 21038266 TI - Tracelink: A model of consolidation and amnesia. AB - A connectionist model is presented, the TraceLink model, that implements an autonomous "off-line" consolidation process. The model consists of three subsystems: (1) a trace system (neocortex), (2) a link system (hippocampus and adjacent regions), and (3) a modulatory system (basal forebrain and other areas). The model is able to account for many of the characteristics of anterograde and retrograde amnesia, including Ribot gradients, transient global amnesia, patterns of shrinkage of retrograde amnesia, and correlations between anterograde and retrograde amnesia or the absence thereof (e.g., in isolated retrograde amnesia). In addition, it produces normal forgetting curves and can exhibit permastore. It also offers an explanation for the advantages of learning under high arousal for long-term retention. PMID- 21038267 TI - Parallel processing blocked by letter similarity in letter by letter dyslexia: A replication. AB - An investigation of the joint effects of orthographic neighbourhood size (N size) and of letter confusability in three letter-by-letter (LBL) dyslexics is reported. All three patients showed a facilitatory effect of increased N size with low letter-confusability words, but no N size effect with high confusability words. This exactly replicates previous observations by Arguin, Fiset, and Bub (2002) in another LBL dyslexic. A facilitatory N size effect requires parallel letter processing and the word recognition performance of normal readers is unaffected by letter confusability. The present findings therefore signal that the residual capacity for parallel letter processing in LBL dyslexia is blocked by letter similarity. This implies a deficit of letter encoding or identification, which appears to be a general feature of LBL dyslexia since it is exhibited by all of the four patients so tested. PMID- 21038268 TI - A nonlinear model of word length effects in apraxia of speech. AB - Previous studies of length effects in normal and disordered spoken language production were based on a linear view of words as strings of phonemes or syllables. This approach was limited by an inherent interaction of numbers of phonemes and syllables with syllable complexity. The present investigation was therefore based on a metrical tree model of word form representations, which was implemented by a nonlinear regression model predicting accurate word production in apraxia of speech. One hundred data samples from 20 apraxic speakers were used to estimate the probabilties of accurate production for a data corpus of 72 carefully controlled words and nonwords of varying lengths. The nonlinear regression model explained almost 80% of the variance in these data. The shape of the model indicated a preserved cohesion of phonetic representations at the rime and the foot level in apraxia of speech. PMID- 21038269 TI - Dissociable distal and proximal motor components: Evidence from perseverative errors in three apraxic patients. AB - In the present study we analysed the perseverative behaviour of three apraxic patients (FG, CEO, and VCR) while they were pantomiming the use of common objects and during their real use. The three patients were found to perseverate from one trial to later ones aspects of a given action in the pantomiming and in real use tasks. In particular, our main result was a striking double dissociation between patients in perseverating hand and arm movements. Patients FG and CEO made more perseveration errors involving the hand than VCR did, whereas the perseverative errors made by VCR involving the arm were more numerous than those made by FG and CEO. The patients differed also in other aspects of the perseverations, e.g., their median lags. Results are used to exend previous theories developed in the linguistic domain to that of actions. PMID- 21038270 TI - Global processing of compound lettersin a patient with Balint's syndrome( ). AB - We report data on the ability of a patient with Balint's syndrome (GK) to process global information from compound letters. As with other patients with Balint's syndrome, GK was impaired at respond-ing to large, global letters. In Experiment 1 we show that this was due to local capture rather than the absolute size of the stimuli. Also, despite his impairment with global letters, GK showed global inter ference on local judgements, indicating that some implicit processing took place at the global level. Interestingly, the inability to perceive large global letters was overcome when GK identified a solid, large prime letter prior to the onset of the compound figure (Experiment 2). This priming effect was temporary, and decreased as the interval between the prime and the compound letter increased (Experiment 3). When the prime was an English letter, the effect was maintained even when GK only had to identify the prime's colour, provided a colour identification block of trials followed rather than preceded a block of trials where prime shapes had to be identified (Experiment 4). In contrast, there was no priming when GK had to identify the colour of English letter primes in a trial block following a block where the task was to identify the colour of Hebrew letter primes (Experiment 5). Overall the data indicate that local capture in Balint's syndrome can be overcome by actively priming a wide attentional window. The results can be interpreted in terms of an interaction between spatial attention and grouping processes that subserves the perception of global compound letters. PMID- 21038271 TI - "Deep" language disorders in nonfluent progressive Aphasia: an evaluation of the "summation" account of semantic errors across language production tasks. AB - This study focuses on the pattern of impairments seen in a new case KT, diagnosed with nonfluent progressive aphasia (NFPA), a degenerative disorder of language production. A systematic examination of KT's performance on a wide range of language production tasks (i.e., repetition, reading, spelling, spoken and written naming) determined that both written naming and repetition were better preserved than reading, spelling-to-dictation, and spoken naming. Closer examination of error performance in both reading aloud and written production revealed evidence of "deep dyslexia" and "deep dysgraphia" that has not been documented in previous cases of NFPA, and as such the present case represents the first detailed case study of this pattern of impairment in the context of progressive aphasia. An evaluation and discussion of such deep language impairment disorders in the context of other cases of NFPA has been undertaken with reference to the summation hypothesis proposed by Hillis and Caramazza (1991, 1995). It is suggested that as a principle that holds across all language production tasks, this account can encompass patterns of deep disorders thus far reported in NFPA, although other theoretical hypotheses cannot be excluded. PMID- 21038272 TI - A failure of high level verbal response selection in progressive dynamic aphasia. AB - Different theoretical interpretations have been offered in order to account for a specific language impairment termed dynamic aphasia. We report a patient (CH) who presented with a dynamic aphasia in the context of nonfluent progressive aphasia. CH had the hallmark of reduced spontaneous speech in the context of preserved naming, reading, and single word repetition and comprehension. Articulatory and grammatical difficulties were also present. CH had a very severe verbal generation impairment despite being able to describe pictorial scenes and action sequences well. In the experimental investigations CH was severely impaired in word, phrase, and sentence generation tasks when many competing responses were activated by a stimulus. By contrast, he could generate verbal responses satisfactorily when a dominant response was activated by a stimulus. For the first time, we demonstrated that the verbal generation impairment was specific to the production of language. Strikingly, our patient was unimpaired on a number of nonverbal generation tasks (e.g., design fluency, gesture fluency, and motor movement generation). MRI revealed focal left frontal atrophy that predominantly affected Brodmann's Areas 44 and 45. Our findings are discussed with reference to alternative accounts of dynamic aphasia and models of speech production. We interpret our patient's impairment as being underpinned by an inability to select between competing verbal response options. This interpretation converges with evidence from the neuroimaging literature, which implicates the left inferior frontal gyrus in the selection of a response among competing information. We conclude that the left posterior inferior frontal gyrus is involved in the generation of verbal output, and specifically in the selection between competing verbal responses. PMID- 21038273 TI - Semantic impairment with and without surface dyslexia: Implications for models of reading. AB - The two best-developed computational models of reading aloud, the DRC model of Coltheart and colleagues and the connectionist attractor model of Plaut and colleagues, offer very different views about the degree to which semantic knowledge is involved in lexical processing, and hence make differing predictions about how semantic impairment (as seen, for example, in semantic dementia) will impact on lexical processing in clinical cases. Two cases meeting the criteria for semantic dementia, PC and EM, were given a battery of tests comprising comprehension tasks, a reading task, and a visual word recognition (lexical decision) task. All tasks used the same target words allowing cross-test and cross-patient comparisons. Both cases showed significant impairment of semantic memory, and word comprehension was found to be related to the word frequency of the target words. PC demonstrated poor reading of irregular words, with a surface dyslexic pattern of reading aloud, and he performed poorly on the visual lexical decision task. His ability to read irregular words was related to their frequency and to his ability to comprehend them. In contrast, his visual lexical decision performance was not reliably influenced by his comprehension of the same words or by their frequency. EM demonstrated essentially perfect reading aloud of irregular words and essentially perfect visual lexical decision, despite her severe semantic impairment. The pattern of performance shown by EM is consistent with the DRC model of reading, but inconsistent with the connectionist attractor model and with the view, associated with that model, that orthographic and phonological processes cannot remain intact when semantic representations are degraded. PMID- 21038274 TI - Aphasia in a bilingual user of British signlanguage and english: Effects of cross linguistic cues( ). AB - This paper is a single case investigation of "Maureen," a Deaf woman who was bilingual in British Sign Language (BSL) and English, and who had aphasia following a left-hemisphere CVA. Input Investigations revealed that comprehension of British Sign Language was severely impaired. The presence of semantic errors, and comparable difficulties in English, suggested that the problem arose, at least in part, from a central semantic deficit. This was also supported by the results of a BSL lexical judgement task, showing that she could differentiate real BSL signs from minimally related nonsigns. Maureen was completely unable to sign, but produced occasional English spoken words, particularly as echolalic translations of BSL signs. This observation was investigated in assessments of cued English naming. These showed that Maureen could be cued to produce English spoken nouns (but not verbs) by the provision of the corresponding BSL sign. In contrast, gesture cues had no effect. This cueing effect with signs is informative about the nature of the bilingual language system, and suggests that Maureen may be able to exploit direct (nonsemantic) links between her BSL and English lexicons. PMID- 21038275 TI - Action naming with impaired semantics: Neuropsychological evidencecontrasting naming and reading for objects and verbs. AB - We contrast naming from pictures, and reading words, for objects and verbs (actions relating to the objects) in a patient with a large, posterior left hemisphere lesion. We present evidence for spared picture naming for verbs relative to objects, whilst the opposite pattern of sparing occurred in reading. Objects were also spared relative to verbs in tasks requiring that written words be matched to either pictures or auditory words, in the presence of semantically related or unrelated distractors. We conclude that verb semantics were more impaired than semantic knowledge for objects, and that the better semantic knowledge for object names supported word reading. With pictures, however, action verb retrieval was maintained through a nonsemantic route from vision to action, or though preserved right-hemisphere "action semantics." PMID- 21038276 TI - Dissociations in numerical abilities revealed by progressive cognitive decline in a patient with semantic dementia. AB - This study describes a 3-year follow-up investigation of the deterioration of number abilities in a semantic dementia patient (IH). A few studies have previously reported the decline of number knowledge in patients with degenerative disorders, although almost never in semantic dementia (Diesfeldt, 1993; Girelli, Luzzatti, Annoni, & Vecchi, 1999; Grafman, Kempen, Rosenberg, Salazar, & Boller, 1989). These studies described the change of the patients' performance mainly in terms of increased errors in number tasks. On the other hand, dissociations between different types of number abilities, or different arithmetical operations, have been reported in patients with focal lesions. In the present investigation, the cognitive basis of number processing was revealed throughout the patient's cognitive decline. Two major results emerged from a longitudinal study: First, the patient's conceptual knowledge of arithmetic was well preserved despite severe impairment of nonarithmetic conceptual knowledge. Second, the patient's progressive decline revealed patterns of dissociations between different number abilities. These were between (1) multiplication and other arithmetical operations, which particularly emerged in the use of algorithms; (2) impaired knowledge of number facts and procedures on one hand, and conceptual knowledge of arithmetic on the other; and (3) different types of transcoding skills. The implications of these dissociations for the cognitive architecture of number processing are discussed. PMID- 21038277 TI - Bimanual coordination and perceptual grouping in a patient with motor neglect. AB - Motor neglect refers to the underutilisation of a limb contralateral to a brain lesion in the absence of primary motor and sensory deficits. The related problem of motor extinction refers to a contralesional motor deficit that worsens or only becomes apparent when bilateral actions are required. We present a single case (MM) of a patient with motor neglect who also demonstrates a form of motor extinction that is influenced by visual grouping between stimuli. The comparisons of unimanual and bimanual reach to grasp movements towards one or two objects in Experiment 1 showed that MM made relatively normal unimanual contralesional movements but impaired contralesional movements under bimanual action conditions. Experiment 2 demonstrated that motor extinction was improved by asking MM to make bimanual movements towards a single object. In Experiment 3, the effects of object coding on bimanual movement were replicated across conditions that varied the distance between end points for the movements. MM did not show overt visual extinction. We suggest that MM demonstrates a late-acting attentional bias that is expressed in terms of competitive motor activity. Normally, the contralesional limb "loses" the competition for action, but this can be modulated by visual grouping between targets. PMID- 21038278 TI - Effects of syllabic complexity in predicting accuracy of repetition and direction of errors in patients with articulatory and phonological difficulties. AB - The purpose of this paper is to demonstrate the existence of a strong and significant effect of complexity in aphasia independent from other variables including length. Complexity was found to be a strong and significant predictor of accurate repetition in a group of 13 Italian aphasic patients when it was entered in a regression equation either simultaneously or after a large number of other variables. Significant effects were found both when complexity was measured in terms of number of complex onsets (as in a recent paper by Nickels & Howard, 2004) and when it was measured in a more comprehensive way. Significant complexity effects were also found with matched lists contrasting simple and complex words and in analyses of errors. Effects of complexity, however, were restricted to patients with articulatory difficulties. Reasons for this association and for the lack of significant results in Nickels and Howard (2004) are discussed. PMID- 21038279 TI - Gradients of semantic relatedness and their contrasting explanations in refractory access and storage semantic impairments. AB - We report a study that directly compares the comprehension skills of patients with refractory access and static storage semantic deficits. It has been reported previously, in the context of matching to sample tasks, that the performance of both types of patients may be affected by the semantic relatedness of competing responses. However, it has been hypothesised that such effects of semantic distance may reflect different processes (Warrington & Cipolotti, 1996). More specifically, semantic relatedness effects following refractory access deficits may be explained in terms of the effect of refractoriness on representations that share semantic space, whilst relatedness effects consequent to storage deficits may reflect the preferential sparing of superordinate rather than item-specific information. In this series of experiments, we compare and contrast refractory access and storage patients on word-picture matching tasks that manipulate the semantic relatedness of items within a response array. In particular, the interaction of semantic distance and item frequency is explored. We also provide evidence of gradients of semantic relatedness in our refractory assess patient, and consider what information such patients can provide about extremely fine grain conceptual organisation. PMID- 21038280 TI - Can perceptual expertise accountfor the own-race bias in face recognition? A split-brain study. AB - The own-race bias (ORB) in facial recognition is characterised by increased accuracy in recognition of individuals from one's own racial group, relative to individuals from other racial groups. Here we report data from a split-brain patient indicating that the ORB may be tied to functions lateralised in the right cerebral hemisphere. Patient JW (a Caucasian) performed a delayed match-to-sample task for faces that varied both the race of the facial memoranda-Caucasian or Japanese-and the cerebral hemisphere performing the task. While JW's left hemisphere showed no effect of race on facial recognition, his right hemisphere demonstrated a significant performance advantage for Caucasian faces. These findings are discussed in relation to stimulus familiarity and the development of perceptual expertise. PMID- 21038281 TI - Processing of semantic radicals in writing Chinese characters: Data from a Chinese dysgraphic patient. AB - This paper describes a case study of a Chinese brain-injured patient with mild dyslexia and more severe dysgraphia. The distributions of his reading and writing errors across tasks are consistent with previous reports. Semantic errors predominated in naming tasks in both modalities, while the preponderance of LARC or phonologically similar errors in reading and phonologically plausible errors in writing-to-dictation was found. Furthermore, his writing errors showed that the semantic radical could be replaced, omitted, or added, whereas only substitutions or deletions of the phonetic radical were observed. The finding that had not been reported before was the existence of a semantic relationship between the substituting or inserted semantic radicals and their target word in many non-character responses. This was taken as evidence for models of the mental lexicon where orthographic units of different sizes are arranged at the same level and semantic radicals are directly connected with semantic features. PMID- 21038282 TI - Spatial working memory specific activity in dorsal prefrontal cortex? Disparate answers from fMRI beta-weight and timecourse analysis. AB - Visual spatial processing and object processing rely on dorsal and ventral cortical pathways, respectively. Whether this functional segregation exists in the prefrontal cortex is currently a source of debate. Using functional MRI (fMRI), there has been some evidence that the superior frontal sulcus (within dorsal prefrontal cortex) is specialised for spatial working memory, while ventral prefrontal cortex is associated with object working memory. Employing beta-weight analysis, Postle, Berger, Taich, and D'Esposito (2000) challenged these results, finding no differential activity associated with spatial working memory versus two-dimensional saccades in the superior frontal sulcus. In the present reanalysis of Postle et al.'s data, both beta-weight analysis and event related timecourse analysis were utilised. Beta-weight analysis results replicated Postle et al.; however, timecourse analysis revealed greater activity associated with spatial working memory versus two-dimensional saccades in the superior frontal sulcus. Thus, identical fMRI data analysed via distinct methods yielded results with different theoretical conclusions. PMID- 21038283 TI - Analysis of fMRI data from tasks containing temporal dependencies: An evaluation of Slotnick (2005). PMID- 21038284 TI - Valid fMRI timecourse analysis with tasks containing temporal dependencies. PMID- 21038285 TI - On the role of phonological short-term memory in sentence processing: ERP single case evidence on modality-specific effects. AB - The present study explored a possible interaction between distinct language processes and components of phonological short-term memory (pSTM) in a patient with a pSTM profile. Event-related brain potentials (ERPs) were recorded while HG and age-matched controls engaged in auditory and visual sentence correctness tasks. Stimulus onset asynchrony (SOA) was varied in the visual modality. Controls showed an early anterior negativity followed by a P600 for syntactic violations and an N400 for semantic violations in the auditory and the short visual SOA condition. In the long visual SOA condition only a P600 and an N400 were observed. Across all tasks, HG displayed a comparable early anterior negativity and N400 pattern to controls. However, the P600 was replaced by a centro-parietal negativity (500-800 ms) that was followed by a very late positivity (900-1300 ms) in the visual modality, indicating that late syntactic processes are sensitive to SOA manipulation. This result implies that the cortical regions lesioned in HG may be part of a neural network that engages the pSTM system during "temporally variable" late syntactic processing in the visual modality. The combined results indicate that the pSTM system differentially impacts semantic and late syntactic processes. PMID- 21038286 TI - The simulation of action disorganisation in complex activities of daily living. AB - Action selection in everyday goal-directed tasks of moderate complexity is known to be subject to breakdown following extensive frontal brain injury. A model of action selection in such tasks is presented and used to explore three hypotheses concerning the origins of action disorganisation: that it is a consequence of reduced top-down excitation within a hierarchical action schema network coupled with increased bottom-up triggering of schemas from environmental sources, that it is a more general disturbance of schema activation modelled by excessive noise in the schema network, and that it results from a general disturbance of the triggering of schemas by object representations. Results suggest that the action disorganisation syndrome is best accounted for by a general disturbance to schema activation, while altering the balance between top-down and bottom-up activation provides an account of a related disorder-utilisation behaviour. It is further suggested that ideational apraxia (which may result from lesions to left temporoparietal areas and which has similar behavioural consequences to action disorganisation syndrome on tasks of moderate complexity) is a consequence of a generalised disturbance of the triggering of schemas by object representations. Several predictions regarding differences between action disorganisation syndrome and ideational apraxia that follow from this interpretation are detailed. PMID- 21038287 TI - Right ventral frontal hypometabolism and abnormal sense of self in a case of disproportionate retrograde amnesia. AB - We report the case of a 42-year-old man (patient CL) who developed a particular profile of amnesia with two dates of onset. At the first onset, the patient suffered a mild/lmoderate injury that accounts for an initial anterograde and mild retrograde memory impairment. At the second onset, 8 months later, he suffered a sudden and persistent loss of personal identity and severe retrograde amnesia. We report an extensive neuropsychological investigation of his memory systems carried out 18 months after the second onset. Results indicated mild executive dysfunction (primary memory), intact procedural skills and perceptual representational system. In accordance with Kopelman's methodological recommendations, we have reliably compared post- and pre-onset semantic and episodic memory using strict matched procedures. We found that post-onset, though not pre-onset semantic (autobiographical and nonautobiographical) memory was entirely preserved. Post-onset episodic autobiographical memory was not intact, however, although it was clearly less affected compared with the total absence of the pre-onset memory. Moreover, a novel and high standard investigation of the subjective states of consciousness, which accompanied retrieval of autobiographical memories via the Remember/lKnow (R/lK) paradigm with a long time interval from the present, demonstrated a deterioration of R responses compared to matched controls. Interestingly, this result showed deficient autonoetic consciousness and suggested an underlying accelerated forgetting rate for post onset autobiographical episodic memories. Last, a [18F] fluorodeoxyglucose resting PET study revealed a significant right-sided ventral frontal lobe hypometabolism in the absence of overt structural lesions. The involvement of this region is consistent with CL's autobiographical retrograde amnesia and his inability to re-experience information concerning the self across time. In our particular case, characterised by two dates of onset, the attribution of causality is thoroughly examined in terms of CL's organic and psychogenic aspects. PMID- 21038288 TI - Unitary attention in callosal agenesis. AB - The interhemispheric organisation of two specific components of attention was investigated in three patients affected by partial or complete agenesis of the corpus callosum. A visuospatial component of attention was explored using a visual search paradigm in which target and distractors were displayed either unilaterally within a single visual hemifield, or bilaterally across both visual hemifields in light of prior work indicating that split-brain patients were twice as fast to scan bilateral displays compared to unilateral displays. A central component of attention was explored using a psychological refractory period (PRP) paradigm in which two visual stimuli were presented laterally at various stimulus onset asynchronies (SOAs), with each stimulus associated with a different speeded two-alternative choice task. The stimulus-response compatibility in the second task was systematically manipulated in this paradigm, in light of prior work indicating that split-brain patients exhibited a close-to-normal PRP effect (i.e., slowing of the second response as SOA is decreased), with, however, abnormally decreasing effects of the manipulation of the response mapping on the second task speed as SOA was decreased. The present results showed that, although generally slower than normals in carrying out the two tasks, the performance of each of the three acallosal patients was formally equivalent to the performance of a matched control group of normal individuals. In the visual search task, the search rate of the acallosal patients was the same for unilateral and bilateral displays. Furthermore, in the PRP task, there was more mutual interference between the lateralised tasks for the acallosal patients than that evidenced in the performance of the matched control group. It is concluded that the visuospatial component and the central component of attention in agenesis of the corpus callosum are interhemispherically integrated systems. PMID- 21038289 TI - Sequence and space: The critical role of a backward spatial span in the working memory deficit of visuospatial learning disabled children. AB - The clinical use of backward spatial short-term memory tasks, and in particular of the Corsi backward task, it has increased and it has generated a series of theoretical hypotheses. For example, it has been argued that (in its comparison with the forward version) it has the same implications as the backward digit span and/or it requires the use of amodal central executive components of working memory. This research tested the hypotheses that the backward spatial span does not involve the controlled use of the same type of sequential spatial processing involved in the forward version, that its impairment is modality specific, and that children with specific visuospatial learning disabilities (VSLD) have lower performance in backward than in forward Corsi Blocks test, compared to a control group. In Study 1, participants were administered a verbal span test (Digit Span test) and a visuospatial span test (Corsi Blocks task) both in the forward and backward versions, while in Study 2 only the Corsi test was administered. The comparison between the forward and backward span versions showed that both visuospatial learning disabled children (VSLD) and controls presented with the Digit Span had a lower performance with the backward version. However, for the Corsi task, this difference was present only for VSLD children. In fact, results revealed a significant impairment in the backward version of the Corsi test in the VSLD group, but not in the forward version, and in the Digit Span tasks. Results suggest that the Corsi backward task is not the spatial analogue of the Digit backward task and that it involves specific spatial processes. PMID- 21038290 TI - Non-random associations of graphemes to colours in synaesthetic and non synaesthetic populations. AB - This study shows that biases exist in the associations of letters with colours across individuals both with and without grapheme-colour synaesthesia. A group of grapheme-colour synaesthetes were significantly more consistent over time in their choice of colours than a group of controls. Despite this difference, there were remarkable inter-subject agreements, both within and across participant groups (e.g., a tends to be red, b tends to be blue, c tends to be yellow). This suggests that grapheme-colour synaesthesia, whilst only exhibited by certain individuals, stems in part from mechanisms that are common to us all. In addition to shared processes, each population has its own distinct profile. Synaesthetes tend to associate higher frequency graphemes with higher frequency colour terms. For control participants, choices are influenced by order of elicitation, and by exemplar typicality from the semantic class of colours. PMID- 21038291 TI - Differentiation of colonic polyps by confocal laser endomicroscopy. AB - BACKGROUND AND STUDY AIM: The real-time identification and removal of adenomas is a cost-effective strategy to improve the prognosis of colorectal cancer. Confocal laser endomicroscopy (CLE) could provide real-time histological-level observation. We aimed to evaluate the efficacy of CLE diagnosis using a simple classification system that differentiates adenomas from non-neoplastic polyps with intravenous fluorescein staining alone. PATIENTS AND METHODS: An endoscope integrated confocal laser microscopy system was used in this study. CLE images of 35 colonic polyps, including 15 hyperplastic polyps and 20 adenomas confirmed by histology, were first evaluated to develop criteria for diagnosis of neoplastic and non-neoplastic polyps. The diagnostic criteria included goblet cell depletion, villous architecture, and microvascular alterations. We then performed a prospective study of colonic polyps found during CLE and classified them according to the established criteria. A total of 115 patients with 115 colonic polyps were included. The real-time CLE diagnosis was compared with that from histology. The stored CLE images were evaluated later by a blinded observer. RESULTS: The sensitivity, specificity, positive predictive value, and negative predictive value of real-time CLE in identifying colonic adenomas were 93.9 % (95 % confidence interval [CI] 85.4 - 97.6), 95.9 % (95 % CI 86.2 - 98.9), 96.9 % (95 % CI 89 - 99), and 92.2 % (95 % CI 81 - 97), respectively, compared with histological results. Interobserver agreement between real-time and post-CLE still-image evaluation was excellent (kappa = 0.929). Goblet cell depletion alone had a sensitivity of 84.9 % (95 % CI 73 - 92) and a specificity of 87.8 % (95 % CI 75 - 95), as well as excellent interobserver agreement (kappa = 0.824). CONCLUSIONS: Endoscope integrated CLE with fluorescein staining may reliably assist in the real-time identification of colonic adenomas. Among three diagnostic categories, goblet cell depletion can be used to distinguish adenomas and hyperplastic polyps. PMID- 21038292 TI - When to stop the search for an elusive source of gastrointestinal bleeding. AB - BACKGROUND AND STUDY AIMS: This analysis investigates the clinical parameters that should drive decisions about when to continue or stop the search for an elusive source of gastrointestinal bleeding. PATIENTS AND METHODS: The number of endoscopies necessary to find a source of bleeding was estimated using the geometric distribution. A threshold analysis was used to develop a stop rule for the search for a site of bleeding. Bayes' formula served to estimate changes in the probability of achieving a diagnosis associated with a series of consecutive endoscopic tests. RESULTS: With decreasing probability of diagnostic success associated with an individual endoscopic procedure, such as P = 50%, 33%, or 25%, the mean (standard deviation [SD]) number of procedures needed to find the source of bleeding increases to 2 (1.41), 3 (2.45), or 4 (3.46), respectively. The threshold analysis suggests that work-up should be discontinued if the expected rise in diagnostic probability does not exceed the ratio of work-up cost to bleeding cost, that is, Delta P < work-up cost/bleeding cost. For instance, a 10 fold higher cost of bleeding than endoscopy would justify continued work-up if it can improve diagnostic probability by 10%. Bayesian analysis shows that after three negative tests the diagnostic probability drops below such a threshold. CONCLUSIONS: The analysis suggests the following basic rules. The search for a site of gastrointestinal bleeding will take on average 2 procedures with a range of 1 - 4. The search should be continued as long as the diagnostic probability is expected to rise by more than 10 %, which is unlikely after three consecutive negative tests. PMID- 21038293 TI - A grading scale to evaluate colon cleansing for the PillCam COLON capsule: a reliability study. AB - BACKGROUND AND STUDY AIMS: The PillCam COLON capsule (Given Imaging, Ltd., Yoqneam, Israel) is an emerging technology for colon visualization. The aim of this prospective study was to assess the interobserver agreement of a new grading scale to evaluate colon cleansing for capsule use. PATIENTS AND METHODS: Healthy volunteers underwent colon preparation and ingested a PillCam COLON capsule. A RAPID 5 (Given Imaging, Ltd.) video was generated and de-identified, and the colon was divided into five segments. Two cleanliness grading scales were compared: a 2-point scale (adequate and inadequate) and a 4-point grading scale (poor, fair, good, and excellent). For assessment of interobserver variability, two experienced gastroenterologists independently rated the cleansing level for each colon segment and for the entire video. Investigators participated in a calibration session prior to reading the study videos. RESULTS: A total of 40 individuals (aged 40 - 74 years) completed the study. A total of 196 colon video segments were evaluated. Per segment, kappa values for the 2-point and 4-point scales were 0.754 and 0.619, respectively, representing good interobserver agreement. For the overall grade of the 40 videos, kappa values for the 2-point and 4-point scales were 0.647 and 0.44, respectively. CONCLUSIONS: This new cleanliness grading scale showed good interobserver agreement and may be used with the PillCam COLON capsule to assess preparation quality. PMID- 21038294 TI - Process of healing of mucosal defects in the esophagus after endoscopic mucosal resection: histological evaluation in a dog model. AB - BACKGROUND AND STUDY AIMS: Resection of a large amount of the esophageal mucosa often causes esophageal ulcer and postoperative stricture. The aim of this study was to evaluate the process of healing of defects in the esophageal mucosa after endoscopic mucosal resection (EMR). MATERIALS AND METHODS: Cap-assisted EMR was performed in the thoracic esophagus of six beagle dogs to prepare mucosal defects with a diameter ranging from 15 to 18 mm. The process of mucosal healing was assessed histologically immediately after EMR, and on postoperative day (POD) 2, 4, 7, 14, and 28. RESULTS: Immediately after EMR, a thin layer of the submucosa remained in the mucosal defect, and no damage to the muscularis propria was evident. Ulcer formation and inflammatory cell invasion were observed in the remaining submucosa on POD 2 and 4. Angiogenesis and collagen fiber hyperplasia were observed after POD 7. Complete epithelialization of the ulcer was observed on POD 28. In the muscularis propria, further destruction and atrophy were evident after POD 7. Fibrosis of the muscularis propria was observed on POD 28. CONCLUSION: In the esophageal wall after epithelial loss resulting from EMR, atrophy and fibrosis of the muscularis propria remain even after epithelialization. PMID- 21038295 TI - [NDM-1-producing bacteria--danger due to "super germs"?]. PMID- 21038296 TI - Evaluation of antimicrobial activity of endophytic fungi from Camptotheca acuminata (Nyssaceae). AB - Agricultural research of plant-derived endophytic fungi has grown in recent decades. We isolated 26 endophytic fungi from the leaves, stems and fruits of "the tree of life", Camptotheca acuminata, and tested them for antimicrobial activities based on growth inhibition measurements in a modified agar diffusion method. Fermentation broths from most of the isolates exhibited antifungal activity and 50% exhibited antibacterial activity; some of them also exhibited strong broad-spectrum antimicrobial activity. The strongest antimicrobial activity was exhibited by strains XSY10 and XSY15 against Rhizoctonia solani and Fusarium oxysporum f. sp. vasinfectum, with 75% and 67% inhibition, respectively. Strain XSJ01 gave strong activity against pathogenic bacteria, with inhibition zones more than 20 mm in diameter. The isolates were identified by molecular methods as belonging to nine taxa: Nigrospora, Diaporthe, Alternaria, Colletotrichum, Pestalotiopsis, Sordariomycete, Guignardiai, Penicillium, and Zythia. Based on these results, we conclude that the endophytic fungi of C. acuminata are promising sources of novel bioactive compounds. PMID- 21038297 TI - Genotoxicity and mutagenicity of Rosmarinus officinalis (Labiatae) essential oil in mammalian cells in vivo. AB - Rosmarinus officinalis (rosemary) oil is widely used by the cosmetic, food, and pharmaceutical industries as a fragrance component of soaps, creams, lotions, and perfumes. Although it is popular, potential harmful side-effects of the oil have been described. We investigated the genotoxic and mutagenic potential of essential oil of R. officinalis in rodents, using comet, micronucleus and chromosome aberration assays. The animals were treated by gavage with one of three dosages of rosemary oil (300, 1000 or 2000 mg/kg). Liver and peripheral blood cells were collected from Swiss mice 24 h after treatment for the comet assay (genotoxicity endpoint), along with bone marrow cells for the micronucleus test (mutagenicity endpoint). Bone marrow cells were collected from Wistar rats 24 h after oil treatment for the micronucleus and chromosome aberration assays. Based on the comet assay, all three doses of rosemary oil induced significant increases in DNA damage in the mouse cells. There was a significant increase in micronucleated cells and chromosome aberrations only at the two higher doses. We conclude that rosemary essential oil provokes genotoxic and mutagenic effects when administered orally. PMID- 21038298 TI - Genetic diversity in wild species of passion fruit (Passiflora trintae) based on molecular markers. AB - In spite of the importance of and the considerable variability observed in Passiflora (Passifloraceae), little is known about the genetic diversity of most of the species of this genus. We evaluated the genetic diversity by RAPD markers in 18 genotypes of Passiflora trintae. The 15 primers generated 112 markers, 84% of which were polymorphic. The genetic distance estimated by the complement of the Dice index (average dissimilarity = 0.30) and genotype grouping based on the UPGMA algorithm showed low variability among genotypes. More attention should be given to the study and conservation of the biodiversity of this economically important genus. PMID- 21038299 TI - Lack of association of genetic polymorphisms of angiotensin-converting enzyme gene I/D and glutathione-S-transferase enzyme T1 and M1 with retinopathy of prematures. AB - One of the most frequently observed causes of blindness in infancy is the pathogenesis known as retinopathy of prematurity (ROP). Angiotensin-converting enzyme (ACE) is a vital enzyme in the renin-angiotensin-aldosterone system; it is involved in the development of cardiovascular system diseases linked to I/D polymorphism of the ACE gene. Glutathione-S-transferase enzyme (GST) is one of the most important regulating components of the antioxidant system; there are indications that certain polymorphisms of GST genes (GSTT1, GSTM1), especially the null genotypes, increase the tendency for oxidative stress diseases. We investigated a possible correlation between ACE gene I/D and GSTT1 and GSTM1 gene polymorphisms in 56 prematures suffering from ROP and a control group composed of 48 prematures without ROP in a hospital in Turkey. PCR was used to detect the ACE I/D, GSTT1 and GSTM1 gene polymorphisms. Genotype was determined based on bands formed on agarose gel electrophoresis. We found no significant differences in genotype frequency of the ACE I/D, GSTT1 and GSTM1 genes between normal subjects and patients with ROP. Our results do not support an association of ACE I/D, GSTT1 and GSTM1 gene polymorphisms with risk for ROP. PMID- 21038300 TI - Saphenous vein transposition for arteriovenous fistula creation. PMID- 21038301 TI - Explantation of totally implantable venous access ports of the forearm: reasons for removal and observed complications. AB - PURPOSE: To evaluate indications for, and complications during, explantation of interventionally placed totally implantable venous access ports (TIVAPs) of the forearm. BACKGROUND: Many studies analyze the explantation procedure of pectorally placed TIVAPs. The literature on TIVAPs in the forearm is limited, and the explantation procedure of these devices in the forearm has not been sufficiently evaluated yet. METHODS: We retrospectively reviewed the archives of our institute between May 2006 and May 2009 and identified 850 TIVAPs that had been implanted in the forearm. Of these TIVAPs, 145/850 (80 in women, 65 in men; mean age 52 years, range 15-82 years) were explanted during this period either by interventionists (n=109) or by general surgeons (n=36). RESULTS: Mean catheter survival was 322 days. Reasons for explantation were end of therapy (63.4%), infection (29.0%), thrombosis (3.4%), occlusion (1.4%), or dislocation (1.4%) of the TIVAP. Primary technical success rate was 97.2% for the surgical as well as for the interventional procedure. The overall success rate was 100%. The reasons for retrieval failure by interventionists (3/108) as well as by surgeons (1/36) were post-thrombotic adhesions of the port catheter to the blood vessel wall. CONCLUSIONS: Removal of TIVAPs of the forearm shows a high technical success rate and a low complication rate. End of therapy is the most common indication for explantation. There is a low risk of interventional removal failure with a resulting need for open surgery to remove the device. PMID- 21038302 TI - Central venous catheters in neonates: from simple monolumen to port catheter. AB - The use of central venous catheters (CVCs) represents an important step in the management of the surgical, onco-hematology and critically ill patients. CVCs in neonates, like in adult patients, are mainly used to infuse hyperosmolar solutions, to take blood samples and for hemodynamic monitoring. The need for CVCs is higher in neonates than in adults. Poor peripheral access and the high demand for IV access and blood samples are already valuable indications for a CVC. PMID- 21038303 TI - Mechanical lock of the lumen: researching towards new approaches for permanent vascular access. AB - PURPOSE: Theoretical proposals for two new vascular access devices (a central venous catheter (CVC) and a peripheral vascular access system, PVAS) are presented in this article. METHODS: The new CVC concept is based on a mechanical obturator used for CVC locking. Compared to conventional locks, it should, theoretically, allow the reduction of bacterial contamination, biofilm and thrombotic formation. A new tunneling technique, based on a "rigid tunnel sheath" providing a more stable connection, as compared to a traditional CVC cuff, and an increasingly protected exit site, allows CVC changing changeover to take place through the sheath; therefore, avoiding surgical intervention. PVAS, based on the same mechanical lock concept, is structured from four components: obturator, soft graft, rigid tunnel sheath and foldable sheath. The total graft length is about 80 mm, its inner extremity being uncovered to allow a gentle curve reaching the native vessel. The outer extremity and bifurcation are reinforced by a titanium rigid sheath together with a Dacron cuff. The obturator is protected, and several technical solutions have been considered to guarantee sterility: the "accordion sheath", the "foldable sheath", and the "balloon obturator system". RESULTS: The major advantage of PVAS on CVC is the implant on the peripheral vessel which allows the saving of central veins and possibly avoiding life-threatening complications. As compared with an arterial-venous fistula or an arterial-venous graft, PVAS's main advantage should be the possibility of implanting even in "desperate" cases, so avoiding fistula needle positioning. It could be indicated for pediatric or psychiatric patients, for home-based or frequent dialysis schedules. Lower hemodynamic stress should therefore reduce vessel damage, such as aneurism, pseudo-aneurism, intimal hyperplasia and cardiac overload. CONCLUSIONS: The development of prototypes is in progress to verify both device usefulness and safety in clinical practice. PMID- 21038304 TI - High radial artery origin mistaken for inflow artery obstruction in radiocephalic arteriovenous fistula. PMID- 21038305 TI - Endothelial function predicts positive arterial-venous fistula remodeling in subjects with stage IV and V chronic kidney disease. AB - PURPOSE: The maturation of an arteriovenous fistula (AVF) requires remodeling of the arterial inflow and the venous outflow limbs to sustain flows sufficient to support hemodialysis. However, factors influencing remodeling of AVF are poorly understood. We hypothesized that AVF remodeling was an endothelium-dependent process. METHODS: This is a prospective cohort study of patients (n=25) undergoing autologous AVF formation. Brachial artery vasoreactivity studies were performed pre-operatively to assess endothelium-dependent, flow-mediated vasodilation (FMD). High-resolution ultrasound was used to assess venous and arterial diameters intraoperatively, and at 3 months. RESULTS: The mean age was 64.5 +/- 13.6 yrs. Twelve patients (48%) had diabetes. The mean FMD for the entire cohort was (mean +/- SEM) 5.82 +/- 0.9%, (range) 0-17.3%. The vein increased in size 3.19 +/- .28 to 6.11 +/- .41 mm, 108.4 +/- 17.9%, p=.0001, while the artery increased from 3.29 +/- .14 to 4.48 +/- .30 mm, 20.47 +/- 10.8%, p=.013. There was a significant positive correlation between the degree of arterial and venous remodeling, r=.52, p=.023. Brachial artery FMD most strongly correlated with the magnitude of arterial remodeling, r=.47, p=.038. Patients with diabetes failed to undergo venous remodeling to the same extent as did those without diabetes, 59.2 +/- 24.4% vs. 141.5 +/- 25.4%, p=.04. CONCLUSION: Impairment of endothelial function is associated with decreased arterial remodeling and final venous lumen diameter attained at 3 months. Further investigation is needed to determine whether modulation of endothelial function in this cohort can improve AVF maturation. PMID- 21038306 TI - Malnutrition, infection and arteriovenous fistula failure: is there a link? AB - INTRODUCTION: The histology of neointimal hyperplasia, the primary cause of arteriovenous fistula (AVF) stenosis, resembles the histology of atherosclerosis. We evaluated classic atherogenic risk factors such as hypertension, smoking, diabetes, cholesterol, and evaluated the role of expanded risk factors such as: cytomegalovirus (CMV), Helicobacter pylori (H. pylori), Chlamydia pneumoniae (C. pneumoniae), infection, and malnutrition, as possible causes of AVF failure in hemodialysis (HD) patients. METHODS: AVF of 91 HD patients were monitored by on line blood flow measurement (Qac); levels of albumin, fibrinogen, C-reactive protein and plasma cholesterol were recorded. Nutrition was evaluated via the Malnutrition Inflammation Score and the normalized protein intake (nPCR). Seropositivity to CMV, C. pneumoniae and H. Pylori were assessed. RESULTS: Twenty one patients had at least one episode of vascular access thrombosis; 17 patients had stenotic lesions. Analysis of survival tables revealed that patients who had high IgG CMV antibody levels had a higher probability of AVF failure than patients with lower CMV antibody levels. The difference in the empirical survival functions was statistically significant when we stratified by CMV antibody levels, unlike H. pylori or C. pneumoniae. In a logistic regression model, CMV, increased cholesterol, and decreases in nPCR and Qac significantly increased the risk of AVF failure. CONCLUSION: Our study suggests that CMV infection, total plasma cholesterol, decreased Qac, and nPCR are important risk factors of AVF failure in HD patients. PMID- 21038307 TI - 3D Spectral domain optical coherence tomography findings in choroidal tumors. AB - PURPOSE: To report the optical coherence tomographic features differentiating choroidal nevus from choroidal melanoma by 3D spectral-domain optical coherence tomography (SD-OCT) and OCT characteristics of other choroidal tumors. METHODS: A total of 67 consecutive eyes with choroidal tumors including choroidal nevus (25 eyes), indeterminate choroidal melanocytic lesion (11 eyes), malignant melanoma (23 eyes), metastasis (4 eyes), hemangioma (2 eyes), and osteoma (2 eyes) were imaged with 3D SD-OCT (OCT-1000; Topcon Inc., Paramus, NJ). The images were analyzed for the presence or absence of SD-OCT findings such as retinal pigment epithelium (RPE)/choriocapillaris reflectivity, RPE irregularity, drusen, sub-RPE fluid, RPE thickness, subretinal deposit, subretinal fluid, intraretinal edema, retinal thickness, and photoreceptor inner and outer segment junction (IS/OS). Visualization of the choroidal tumor and its intrinsic reflectivity OCT features were also assessed. RESULTS: When compared with nevus, subretinal deposit, subretinal fluid, and intraretinal edema were detected significantly more frequently in the eyes with malignant melanoma (p<0.001). SD-OCT visualization of the tumor was limited only to the anterior aspect. Choroidal nevus, indeterminate lesions, melanoma, and metastatic lesions had variable intrinsic reflectivity pattern. Intrinsic features of hemangioma included choroidal hollowness whereas osteoma demonstrated high intrinsic reflectivity. CONCLUSIONS: SD-OCT provides useful information to observe and document the retinal and RPE changes secondary to choroidal tumors that help differentiate choroidal nevus from malignant melanoma. Improvements in the current OCT systems are needed to better characterize intrinsic features of choroidal tumors. PMID- 21038308 TI - Intravitreal injection of bevacizumab induces inflammatory alterations in a uveitis experimental model. AB - PURPOSE: Bevacizumab is currently used as an intravitreal agent in the treatment of inflammatory-associated eye diseases. The aim of the current study is to explore the effects of the intravitreal injection of bevacizumab on aqueous humour cytokines and chemokines in an experimental uveitis model. METHODS: Endotoxin-induced uveitis was induced in rats by footpad injections. Bevacizumab was administered by intravitreal injection (75 ug in 3-uL samples) and different chemokine and cytokine proteins were quantified in aqueous humor. RESULTS: Intravitreal administration of bevacizumab led to a several-fold increase of RANTES, MCP-1, and IFN-gamma concentrations in aqueous humor of endotoxin-treated rats. CONCLUSIONS: Given the exacerbating effect of bevacizumab on inflammation agents and considering the increasing use of bevacizumab as an off-label intravitreal agent, care should be taken if an underlying inflammatory disease is present. PMID- 21038309 TI - An ultrasound biomicroscopy study of filtering blebs after deep sclerectomy with a new acrylic implant. AB - PURPOSE: To identify the clinical and anatomic characteristics of filtering blebs after nonpenetrating deep sclerectomy (NPDS) using ultrasound biomicroscopy, and to evaluate its influence on intraocular pressure (IOP) control. METHODS: We conducted a prospective interventional case series in 18 eyes of 18 patients who had undergone nonpenetrating deep sclerectomy with Esnoper(r) implant. A complete ophthalmic examination and ultrasound biomicroscopy (UBM) exploration were performed at 1, 3, and 6 months postoperatively. RESULTS: Intraocular pressure significantly decreased from a mean of 23.5 mmHg (SD 3.5) preoperatively to a mean of 13.1 mmHg (SD 7.6), 13.2 mmHg (SD 4.3), and 13.3 mmHg (SD 3) at 1, 3, and 6 months postoperatively, respectively. At 6 months, lower IOP levels significantly correlated with hyporeflective blebs (r=-0.82, p=0.000), with the presence of hyporeflective suprachoroidal space (r=-0.67, p=0.003) and with the presence of hyporeflective area around the scleral lake (r=-0.55, p=0.02). The presence of these 3 filtration signs together correlated with lower IOP levels compared with the presence of only 1 or 2 (p=0.000, p=0.004, p=0.0005) at 1, 3, and 6 months postoperatively, respectively. A thinner trabeculo-descemetic membrane (TDM) was significantly correlated with lower postoperative IOP value at the first postoperative month (r=0.45, p=0.05). Intraocular pressure mean values and UBM characteristics were not significantly different between eyes with single NPDS and eyes following combined NPDS-phacoemulsification. At 6 months, eyes without goniopuncture had lower IOP values (p=0.02), higher bleb (p=0.015), and thinner TDM (p=0.01) than those needing goniopuncture. CONCLUSIONS: Ultrasound biomicroscopy is a useful method to evaluate outflow mechanisms after NPDS and their correlation with postoperative IOP control. PMID- 21038310 TI - Nerve fiber layer and macular thinning measured with different imaging methods during the course of acute optic neuritis. AB - PURPOSE: To compare retinal nerve fiber layer thickness (RNFLT) and inner macula thickness changes measured with Fourier-domain optical coherence tomography (FD OCT) and scanning laser polarimetry during the course of acute optic neuritis (ON). METHODS: Nine eyes of 7 consecutive patients with multiple sclerosis (MS) were prospectively imaged from the onset of ON for 6 to 12 months. Nine healthy eyes were imaged for 12 to 19 months. RESULTS: Retinal nerve fiber layer thickness measured with FD-OCT initially increased in all eyes with diffuse optic disc edema. Inner macula thickness and polarimetric RNFLT decreased already in the acute phase, in all eyes. All parameters stabilized at 2 to 5 months. The relative structural loss was different with the different methods. Poor image quality with polarimetry occurred in 2 eyes in the acute phase of ON. In the control eyes all parameters were stable. CONCLUSIONS: Change of RNFLT and macular thickness during the course of acute ON in MS strongly depends on the method used for the measurement. Inner macula thickness, measured with FD-OCT, was especially useful for the follow-up, since it was not influenced by initial disc edema and had consistently high image quality. PMID- 21038321 TI - Aroma WaterLOGSY: a fast and sensitive screening tool for drug discovery. AB - One-dimensional NMR spectroscopy has proven to be a powerful technique for screening compound libraries in drug discovery. We report a novel water ligand observed gradient spectroscopy (WaterLOGSY) pulse sequence, named Aroma WaterLOGSY, that selectively detects aromatic WaterLOGSY signals from compounds or ligands. In the Aroma WaterLOGSY, water magnetization is untouched after water excitation and utilizes the whole period of the remaining pulse sequence to relax back to the +z direction. Due to the phase cycling design, the water magnetization is allowed to relax for the period of two full scans before it gets inverted again. Therefore, the recycle delay can be significantly shortened. Within similar experimental time, Aroma WaterLOGSY shows approximately two times higher sensitivity than the standard scheme. This method also allows the use of non-deuterated reagents, thereby accelerating experimental set-up time for ligand binding studies. PMID- 21038322 TI - Grey matter deficit in long-term recovered anorexia nervosa patients. AB - OBJECTIVE: Cerebral grey matter (GM) reduction has repeatedly been shown in anorexia nervosa (AN). Evidence concerning completeness of GM restitution in recovered patients is contradictory. METHODS: Five long-term recovered patients with AN were compared to symptomatic subjects and healthy controls using voxel based morphometry. Whole brain GM, white matter and cerebrospinal fluid fractions were studied. Additionally, voxels that had shown significant GM reductions in symptomatic patients were investigated. RESULTS: Recovered subjects had been severely affected when symptomatic (mean body mass index: 12.1 kg/m2) and were in remission for a very long time period (>5 years). Whole brain tissue fractions did not differ from controls. Regional analysis showed persistent GM volume reduction, in particular of the precuneus. CONCLUSIONS: This study further supports the assumption that GM volume restitution is incomplete in subjects, who had previously been severely affected by anorexia nervosa. The meaning of GM reduction in long-term recovered AN patients, that is, its pathophysiological relevance, however, remains unclear. Furthermore, the precise aetiology of GM reduction remains an open question. PMID- 21038324 TI - Magnetic, luminescent Eu-doped Mg-Al layered double hydroxide and its intercalation for ibuprofen. AB - A magnetic, luminescent Eu-doped Mg-Al layered double hydroxide with ibuprofen (IBU) intercalated in the gallery has been successfully prepared by a simple coprecipitation method. The physicochemical properties of the samples were well characterized by powder XRD, TEM, FTIR, TGA, inductively coupled plasma MS (ICP MS), vibrating sample magnetometry (VSM), and fluorospectrophotometry. The results revealed that Fe(3)O(4) nanoparticles are coated on the surface of layered double hydroxides and the obtained (Mg(2)Al(0.95)Eu(0.05))(Fe)-(IBU) sample exhibits both superparamagnetic and luminescent properties, with a saturation magnetization value of 1.86 emu g(-1) and a strong emission band at 610 nm, respectively. Additionally, it was found that the ibuprofen loading amount is about 31 % (w/w), and the intercalated ibuprofen possesses sustained release behavior when the magnetic, luminescent composite is immersed in simulated body fluid (SBF). PMID- 21038325 TI - Dynamic octopus amphiphiles as powerful activators of DNA transporters: differential fragrance sensing and beyond. AB - We report the design, synthesis and evaluation of dynamic "octopus" amphiphiles with emphasis on their efficiency as activators in synthetic membrane-based sensing systems. Previously, we found that the in situ treatment of charged hydrazides with hydrophobic aldehydes or ketones gives amphiphilic counterion activators of polyion transporters in lipid bilayers, and that their efficiency increases with the number of their hydrophobic tails. Herein, we expand this series to amphiphiles with one cationic head (guanidinium or ammonium) and four exchangeable hydrophobic tails. These results, with the highest number of tails reported to date, confirm that dynamic octopus amphiphiles provide access to maximal activity and selectivity. Odorants, such as muscone, carvone, or anisaldehyde are used to outline their usefulness in differential sensing systems that operate based on counterion-activated DNA transporters in fluorogenic vesicles. The enhanced ability of octopus amphiphiles to enable the discrimination of enantiomers as well as that of otherwise intractable ortho, meta, and para isomers and short cyclo-/alkyl tails is demonstrated. These findings identify dynamic octopus amphiphiles as being promising for application to differential sensing, "fragrant" cellular uptake, and slow release. PMID- 21038326 TI - A photoelectrochemical immunosensor based on Au-doped TiO2 nanotube arrays for the detection of alpha-synuclein. AB - alpha-Synuclein (alpha-SYN) is a very important neuronal protein that is associated with Parkinson's disease. In this paper, we utilized Au-doped TiO(2) nanotube arrays to design a photoelectrochemical immunosensor for the detection of alpha-SYN. The highly ordered TiO(2) nanotubes were fabricated by using an electrochemical anodization technique on pure Ti foil. After that, a photoelectrochemical deposition method was exploited to modify the resulting nanotubes with Au nanoparticles, which have been demonstrated to facilitate the improvement of photocurrent responses. Moreover, the Au-doped TiO(2) nanotubes formed effective antibody immobilization arrays and immobilized primary antibodies (Ab(1)) with high stability and bioactivity to bind target alpha-SYN. The enhanced sensitivity was obtained by using {Ab(2)-Au-GOx} bioconjugates, which featured secondary antibody (Ab(2)) and glucose oxidase (GOx) labels linked to Au nanoparticles for signal amplification. The GOx enzyme immobilized on the prepared immunosensor could catalyze glucose in the detection solution to produce H(2)O(2), which acted as a sacrificial electron donor to scavenge the photogenerated holes in the valence band of TiO(2) nanotubes upon irradiation of the other side of the Ti foil and led to a prompt photocurrent. The photocurrents were proportional to the alpha-SYN concentrations, and the linear range of the developed immunosensor was from 50 pg mL(-1) to 100 ng mL(-1) with a detection limit of 34 pg mL(-1). The proposed method showed high sensitivity, stability, reproducibility, and could become a promising technique for protein detection. PMID- 21038328 TI - Near-infrared cell-permeable Hg2+-selective ratiometric fluorescent chemodosimeters and fast indicator paper for MeHg+ based on tricarbocyanines. AB - Three tricarbocyanine dyes (IR-897, IR-877, and IR-925) with different thiourea substituents that function as dosimeter units through specific Hg(2+)-induced desulfurization have been demonstrated in a fast indicator paper for Hg(2+) and MeHg(+) ions. In comparison with available Hg(2+)-selective chemodosimeters, IR 897 and IR-877 show several advantages, such as convenient synthesis, very long wavelengths falling in the near-infrared (NIR) region (650-900 nm) with high molar extinction coefficients, a ratiometric response, and quite low disturbance with Ag(+) and Cu(2+) ions. They exhibit large redshifts, which result in a clear color change from deep blue to pea green that can be easily monitored by the naked eye for a convenient indicator paper. In emission spectra, they display a characteristic turn-off mode at 780 nm and turn-on mode at 830 nm with titration of Hg(2+) ions. Remarkably, the signal/noise (S/N) ratio with other thiophilic metal ions (Ag(+) and Cu(2+)) is greatly enhanced with ratiometric measurement of two channels: excitation spectra mode (I(810 nm)/I(670 nm), monitored at 830 nm) and emission spectra mode (I(830 nm)/I(780 nm), isosbestic absorption point at 730 nm as excitation). The distinct response is dependent upon the electron donating effect of the thiourea substituents; that is, the stronger the electron donating capability of the thiourea substituents, the faster the Hg(2+)-promoted cyclization. Additionally, experiments with living SW1116 cells show that these three tricarbocyanine dyes with low toxicity can exhibit special characteristics that are favorable for visualizing intracellular Hg(2+) and MeHg(+) ions in biological systems, including excellent membrane permeability, minimal interfering absorption and fluorescence from biological samples, low scattering, and deep penetration into tissues. PMID- 21038329 TI - Substituted diketopyrrolopyrroles as input energy units in soluble donor-acceptor dyads. PMID- 21038330 TI - Diastereoselective reductive aldol reaction of enones to ketones catalyzed by halogenotin hydride. PMID- 21038331 TI - Characterization, synthesis and self-aggregation of (-)-alternarlactam: a new fungal cytotoxin with cyclopentenone and isoquinolinone scaffolds. AB - (-)-Alternarlactam [(-)-1], a new promising cytotoxin against two human cancer cell lines, was isolated from an endophyte culture and synthesized (along with (+)-1) from readily available starting materials. The absolute configuration, chirality-activity relevance and self-aggregation of (-)-1 were assigned by a combination of synthetic, spectroscopic and computational approaches. The full characterization of the new fungal cytotoxin may provide valuable information in the discovery of new antitumor agents. PMID- 21038332 TI - Efficient access to new chemical space through flow--construction of druglike macrocycles through copper-surface-catalyzed azide-alkyne cycloaddition reactions. AB - A series of 12- to 22-membered macrocycles, with druglike functionality and properties, have been generated by using a simple and efficient copper-catalyzed azide-acetylene cycloaddition reaction, conducted in flow in high-temperature copper tubing, under environmentally friendly conditions. The triazole-containing macrocycles have been generated in up to 90 % yield in a 5 min reaction, without resorting to the high-dilution conditions typical of macrocyclization reactions. This approach represents a very efficient method for constructing this important class of molecules, in terms of yield, concentration, and environmental considerations. PMID- 21038333 TI - Reactivity of C1 surface species formed in methane activation on Zn-modified H ZSM-5 zeolite. AB - Solid-state (13)C magic angle spinning (MAS) NMR spectroscopy investigations identified zinc methyl species, formate species, and methoxy species as C(1) surface species formed in methane activation on the zeolite Zn/H-ZSM-5 catalyst at T<=573 K. These C(1) surface species, which are possible intermediates in further transformations of methane, were prepared separately by adsorption of (13)C-enriched methane, carbon monoxide, and methanol onto zinc-containing catalysts, respectively. Successful isolation of each surface species allowed convenient investigations into their chemical nature on the working catalyst by solid-state (13)C MAS NMR spectroscopy. The reactivity of zinc methyl species with diverse probe molecules (i.e., water, methanol, hydrochloride, oxygen, or carbon dioxide) is correlated with that of organozinc compounds in organometallic chemistry. Moreover, surface formate and surface methoxy species possess distinct reactivity towards water, hydrochloride, ammonia, or hydrogen as probe molecules. To explain these and other observations, we propose that the C(1) surface species interconvert on zeolite Zn/H-ZSM-5. As implied by the reactivity information, potential applications of methane co-conversion on zinc-containing zeolites might, therefore, be possible by further transformation of these C(1) surface species with rationally designed co-reactants (i.e., probe molecules) under optimized reaction conditions. PMID- 21038334 TI - Asymmetric organocatalytic formal aza-Michael addition of ammonia to nitroalkenes. PMID- 21038335 TI - Colloidal assembly: the road from particles to colloidal molecules and crystals. AB - Colloidal particles may be considered as building blocks for materials, just like atoms are the bricks of molecules, macromolecules, and crystals. Periodic arrays of colloids (colloidal crystals) have attracted much interest over the last two decades, largely because of their unique photonic properties. The archetype opal structures are based on close-packed arrays of spheres of submicrometer diameter. Interest in structuring materials at this length scale, but with more complex features and ideally by self-assembly processes, has led to much progress in controlling features of both building blocks and assemblies. The necessary ingredients include colloids, colloidal clusters, and colloidal "molecules" which have special shapes and the ability to bind directionally, the control over short range and long-range interactions, and the capability to place and orientate these bricks. This Review highlights recent experimental and theoretical progress in the assembly of colloids larger than 50 nm. PMID- 21038336 TI - Quinidine thiourea-catalyzed aldol reaction of unactivated ketones: highly enantioselective synthesis of 3-alkyl-3-hydroxyindolin-2-ones. PMID- 21038337 TI - Packed-bed reactors for continuous-flow C-N cross-coupling. PMID- 21038338 TI - Experiments on the temperature dependence of heterogeneous nucleation on nanometer-sized NaCl and Ag particles. AB - Experimental investigations on the activation of NaCl and Ag aerosol particles by heterogeneous nucleation of n-propanol vapor at well-defined vapor saturation ratios are presented. Particular emphasis is placed on the temperature dependence of this process from -11 to +14 degrees C. Aerosols are generated in a tube furnace and electrostatically classified at mean geometric mobility equivalent diameters between 3.6 and 11 nm. Activation probabilities are measured by means of expansion chamber experiments, and onset n-propanol saturation ratios are subsequently determined. The experiments with Ag particles do not produce any unexpected results. The results for NaCl particles, however, show a temperature trend of the onset saturation ratios that is opposite to that predicted by classical nucleation theory. This stresses the important role that surface properties play in heterogeneous nucleation processes. By tentatively assuming a temperature-dependent contact angle, we are able to theoretically reproduce this reversed temperature trend. In addition, the shrinkage of NaCl condensation particles is investigated for varying amounts of n-propanol vapor, and contact angle measurements are performed at temperatures ranging from -7 to +30 degrees C. PMID- 21038339 TI - Spinel LiCo0(.)7Mn1(.)3O4 nanowire clusters as electrode materials. PMID- 21038340 TI - Probing small-molecule binding to cytochrome P450 2D6 and 2C9: An in silico protocol for generating toxicity alerts. AB - Drug metabolism, toxicity, and their interaction profiles are major issues in the drug-discovery and lead-optimization processes. The cytochromes P450 (CYPs) 2D6 and 2C9 are enzymes involved in the oxidative metabolism of a majority of marketed drugs. Therefore, the prediction of the binding affinity towards CYP2D6 and CYP2C9 would be beneficial for identifying cytochrome-mediated adverse effects triggered by drugs or chemicals (e.g., toxic reactions, drug-drug, and food-drug interactions). By identifying the binding mode by using pharmacophore prealignment, automated flexible docking, and by quantifying the binding affinity by multidimensional QSAR (mQSAR), we validated a model family of 56 compounds (46 training, 10 test) and 85 compounds (68 training, 17 test) for CYP2D6 and CYP2C9, respectively. The correlation with the experimental data (cross-validated r2=0.811 for CYP2D6 and 0.687 for CYP2C9) suggests that our approach is suited for predicting the binding affinity of compounds towards CYP2D6 and CYP2C9. The models were challenged by Y-scrambling and by testing an external dataset of binding compounds (15 compounds for CYP2D6 and 40 for CYP2C9). To assess the probability of false-positive predictions, datasets of nonbinders (64 compounds for CYP2D6 and 56 for CYP2C9) were tested by using the same protocol. The two validated mQSAR models were subsequently added to the VirtualToxLab (VTL, http://www.virtualtoxlab.org). PMID- 21038344 TI - Revisiting ancient mtDNA equid sequences from Pompeii. PMID- 21038349 TI - Effect of sterilization on non-woven polyethylene terephthalate fiber structures for vascular grafts. AB - Non-woven polyethylene terephthalate (PET) fibers produced via melt blowing and compounded into a 6 mm diameter 3D tubular scaffold were developed with artery matching mechanical properties. This work compares the effects of ethylene oxide (EtO) and low temperature plasma (LTP) sterilization on PET surface chemistry and biocompatibility. As seen through X-ray photoelectron spectroscopy (XPS) analysis, LTP sterilization led to an increase in overall oxygen content and the creation of new hydroxyl groups. EtO sterilization induced alkylation of the PET polymer. The in vitro cytotoxicity showed similar fibroblastic viability on LTP- and EtO-treated PET fibers. However, TNF-alpha release levels, indicative of macrophage activation, were significantly higher when macrophages were incubated on EtO-treated PET fibers. Subcutaneous mice implantation revealed an inflammatory response with foreign body reaction to PET grafts independent of the sterilization procedure. PMID- 21038350 TI - Polyelectrolyte multilayer nanofilms used as thin materials for cell mechano sensitivity studies. AB - Three types of multilayer films made from poly(L-lysine)/hyaluronan, chitosan/hyaluronan, and poly(allylamine hydrochloride)/poly(L-glutamic acid), were used to investigate the interplay between film mechano-chemical properties and cell adhesion. We showed that C2C12 myoblast adhesion and proliferation depended on the extent of film cross-linking for all films whatever their internal chemistry. Cell spreading areas were found to correlate with the film's stiffness and to be distributed over a unique curve. Immuno-staining of the cytoskeletal components revealed the formation of F-actin stress fibers and vinculin plaques only on stiff films. Finally, we compared our results with previous studies performed on polyacrylamide and PDMS gels, two recognized materials for mechano-sensitivity studies. We found that the effect of substrate stiffness on cell spreading is material-dependent. PMID- 21038351 TI - Affinity 2009. PMID- 21038352 TI - Amino acids-nucleotides biomolecular recognition: from biological occurrence to affinity chromatography. AB - In this review, the protein-DNA interactions are discussed considering different perspectives, and the biological occurrence of this interaction is explained at atomic level. The evaluation of the amino acid-nucleotide recognition has been investigated analysing datasets for predicting the association preferences and the geometry that favours the interaction. Based on this knowledge, an affinity chromatographic method was developed also exploiting this biological favoured contact. In fact, the implementation of this technique brings the possibility to apply the concept of molecular interactions to the development of new purification methodologies. In addition, the integration of the information recovered by all the different perspectives can bring new insights about some biological mechanisms, though not totally clarified. PMID- 21038353 TI - A new affinity approach to isolate Escherichia coli 6S RNA with histidine chromatography. AB - 6S RNA is an abundant non-coding RNA in Escherichia coli (E. coli), but its function has not been discovered until recently. The first advance on 6S RNA function was the demonstration of its ability to bind the sigma(70)-holoenzyme form of RNA polymerase, inhibiting its activity and consequently the transcription process. The growing interest in the investigation of non-coding small RNAs (sRNA) calls for the development of new methods for isolation and purification of RNA. This work presents an optimized RNA extraction procedure and describes a new affinity chromatography method using a histidine support to specifically purify 6S RNA from other E. coli sRNA species. The RNA extraction procedure was optimized, and a high yield was obtained in the separation of sRNA and ribosomal RNA (rRNA) from total RNA (RNAt). This improved method takes advantage of its simplicity and significant cost reduction, since some complex operations have been eliminated. A purification strategy was also developed to separate 6S RNA from an sRNA mixture. Pure RNA can be advantageously obtained using the histidine-affinity chromatography method, aiming at its application to structural or functional studies. PMID- 21038354 TI - Characterization of a dockerin-based affinity tag: application for purification of a broad variety of target proteins. AB - Cellulose, a major component of plant matter, is degraded by a cell surface multiprotein complex called the cellulosome produced by several anaerobic bacteria. This complex coordinates the assembly of different glycoside hydrolases, via a high-affinity Ca(2+)-dependent interaction between the enzyme borne dockerin and the scaffoldin-borne cohesin modules. In this study, we characterized a new protein affinity tag, DeltaDoc, a truncated version (48 residues) of the Clostridium thermocellum Cel48S dockerin. The truncated dockerin tag has a binding affinity (K(A)) of 7.7 * 10(8)M(-1), calculated by a competitive enzyme-linked assay system. In order to examine whether the tag can be used for general application in affinity chromatography, it was fused to a range of target proteins, including Aequorea victoria green fluorescent protein (GFP), C. thermocellum beta-glucosidase, Escherichia coli thioesterase/protease I (TEP1), and the antibody-binding ZZ-domain from Staphylococcus aureus protein A. The results of this study significantly extend initial studies performed using the Geobacillus stearothermophilus xylanase T-6 as a model system. In addition, the enzymatic activity of a C. thermocellum beta-glucosidase, purified using this approach, was tested and found to be similar to that of a beta-glucosidase preparation (without the DeltaDoc tag) purified using the standard His-tag. The truncated dockerin derivative functioned as an effective affinity tag through specific interaction with a cognate cohesin, and highly purified target proteins were obtained in a single step directly from crude cell extracts. The relatively inexpensive beaded cellulose-based affinity column was reusable and maintained high capacity after each cycle. This study demonstrates that deletion into the first Ca(2+)-binding loop of the dockerin module results in an efficient and robust affinity tag that can be generally applied for protein purification. PMID- 21038355 TI - Solid-phase preparation of protein complexes. AB - Protein-protein conjugation is usually achieved by solution phase methods requiring concentrated protein solution and post-synthetic purification steps. In this report we describe a novel continuous-flow solid-phase approach enabling the assembly of protein complexes minimizing the amount of material needed and allowing the repeated use of the same solid phase. The method exploits an immunoaffinity matrix as solid support; the matrix reversibly binds the first of the complex components while the other components are sequentially introduced, thus allowing the complex to grow while immobilized. The tethering technique employed relies on the use of the very mild synthetic conditions and fast association rates allowed by the avidin-biotin system. At the end of the assembly, the immobilized complexes can be removed from the solid support and recovered by lowering the pH of the medium. Under the conditions used for the sequential complexation and recovery, the solid phase was not damaged or irreversibly modified and could be reused without loss of binding capacity. The method was specifically designed to prepare protein complexes to be used in immunometric methods of analysis, where the immunoreactivity of each component needs to be preserved. The approach was successfully exploited for the preparation of two different immunoaffinity reagents with immunoreactivity mimicking native squamous cell carcinoma antigen-immunoglobulin M (SCCA-IgM) and alphafetoprotein-immunoglobulin M (AFP-IgM) immune complexes, which were characterized by dedicated sandwich enzyme-linked immunosorbent assay (ELISA) and immunoblot. Besides the specific application described in the paper, the method is sufficiently general to be used for the preparation of a broad range of protein assemblies. PMID- 21038356 TI - Binding of CDR-derived peptides is mechanistically different from that of high affinity parental antibodies. AB - We present data that reveal crucial differences between the binding mode of anti gastrin17 (G17, pyroEGPWLEEEEEAYGWMDF-NH(2)) monoclonal antibodies (mAbs) and their CDR-derived synthetic binders (SBs) with G17. The mAbs recognize the N terminal sequence of G17 (pyroEGPWL) with nanomolar affinity and high sequence selectivity. Molecular simulations suggest that G17 recognition is based primarily on a multitude of weak antibody-ligand interactions (H-bonding, van der Waals, etc.) inside a structurally well-defined cleft-like binding pocket. Relatively small structural changes (e.g. G-2 to A for G17) have a drastic impact on affinity, which is characteristic for antibody-like binding. In contrast, SBs recognize various sequences, including G17-unrelated targets with affinities of 1:1 complexes estimated in the 0.1-1.0 mM range. In most cases however, the G17/SB complex stoichiometries are not well-defined, giving rise to multimer aggregate formation with high apparent complex stabilities. Mutational studies on both G17 and SBs reveal the importance of positively charged (K/R) and aromatic residues (W/Y/F) for G17/SB complex formation. We propose that the synthetic binders use combinations of electrostatic, hydrophobic, and/or cation-pi interactions in a variety of ways due to their intrinsic flexibility. This may also be the reason for their relatively low target specificity. We speculate that our findings are of general relevance, in showing that high-affinity mAbs do not necessarily provide the optimal basis for functional mimics design. PMID- 21038357 TI - Capture of human monoclonal antibodies from a clarified cell culture supernatant by phenyl boronate chromatography. AB - In this work, we investigated the feasibility of using phenyl boronate (PB) chromatography for the direct capture of monoclonal antibodies from a CHO cell supernatant. Preliminary results, using pure protein solutions have shown that PB media can bind to human antibodies, not only at strong alkaline conditions but also at acidic pH values. In fact, antibodies have been found to bind in the pH range 5.5-8.5. On the other hand, insulin and human serum albumin did not bind at alkaline pH but at lower pH, which reflects the importance of non-specific interactions with the matrix. Different binding and eluting buffers were evaluated for the capture of immunoglobulin G (IgG) from a CHO cell supernatant and the most promising results were obtained using 20 mM 4-(2-hydroxyethyl)-1 piperazineethanesulfonic acid at pH 8.5 as binding buffer and 1.5 M Tris-HCl as eluting buffer. Using a step elution, all IgG was recovered in the elution pool with a maximum purification factor of 56. A gradient elution allowed a further increase of the final purity, yet achieving a slightly lower yield. IgG recovery was around 85% and the purification factor was 76. The highest purity was obtained when the pH of the cell supernatant feed was previously adjusted to 8.5. Starting from an initial protein purity of 1.1% and high-performance liquid chromatography (HPLC) purity of 2.2%, after PB adsorption, a final protein purity of 85% and a HPLC purity of 88% was achieved. PMID- 21038358 TI - Force spectroscopy of barnase-barstar single molecule interaction. AB - Results of the single molecule force spectroscopy study of specific interactions between ribonuclease barnase and its inhibitor barstar are presented. Experimental data obtained for the force loading rate ranging 2-70 nN/s are well approximated by a single straight line, from which the dissociation barrier of the width of 0.12 nm and height of 0.75-0.85 * 10(-19)J can be inferred. The measured value of specific interaction does not depend on the NaCl concentration. This apparently contradicts the well-known dependence of the binding energy of this pair on the salt concentration, but such a "contradiction" is explained by the insensitivity of the force spectroscopy data to the relatively long-range electrostatic interaction. The latter essentially contributes to the value of barnase-barstar binding energy revealed by biochemical measurements, and it is exactly this electrostatic interaction which is influenced by the salt concentration. PMID- 21038359 TI - AFM functional imaging on vascular endothelial cells. AB - Vascular endothelial (VE)-cadherin is predominantly responsible for the mechanical linkage between endothelial cells, where VE-cadherin molecules are clustered and linked through their cytoplasmic domain to the actin-based cytoskeleton. Clustering and linkage of VE-cadherin to actin filaments is a dynamic process and changes according to the functional state of the cells. Here nano-mapping of VE-cadherin was performed using simultaneous topography and recognition imaging (TREC) technique onto microvascular endothelial cells from mouse myocardium (MyEnd). The recognition maps revealed prominent 'dark' spots (domains or clusters) with the sizes from 10 to 250 nm. These spots arose from a decrease of oscillation amplitude during specific binding between VE-cadherin cis dimers. They were assigned to characteristic structures of the topography images. After treatment with nocodazole so as to depolymerize microtubules, VE-cadherin domains with a typical ellipsoidal form were still found to be collocalized with cytoskeletal filaments supporting the hypothesis that VE-cadherin is linked to actin filaments. Compared to other conventional techniques such as immunochemistry or single molecule optical microscopy, TREC represents an alternative method to quickly obtain the local distribution of receptors on cell surface with an unprecedented lateral resolution of several nanometers. PMID- 21038360 TI - Extension of the selection of protein chromatography and the rate model to affinity chromatography. AB - The rational selection of optimal protein purification sequences, as well as mathematical models that simulate and allow optimization of chromatographic protein purification processes have been developed for purification procedures such as ion-exchange, hydrophobic interaction and gel filtration chromatography. This paper investigates the extension of such analysis to affinity chromatography both in the selection of chromatographic processes and in the use of the rate model for mathematical modelling and simulation. Two affinity systems were used: Blue Sepharose and Protein A. The extension of the theory developed previously for ion-exchange and HIC chromatography to affinity separations is analyzed in this paper. For the selection of operations two algorithms are used. In the first, the value of eta, which corresponds to the efficiency (resolution) of the actual chromatography and, Sigma, which determines the amount of a particular contaminant eliminated after each separation step, which determines the purity, have to be determined. It was found that the value of both these parameters is not generic for affinity separations but will depend on the type of affinity system used and will have to be determined on a case by case basis. With Blue Sepharose a salt gradient was used and with Protein A, a pH gradient. Parameters were determined with individual proteins and simulations of the protein mixtures were done. This approach allows investigation of chromatographic protein purification in a holistic manner that includes ion-exchange, HIC, gel filtration and affinity separations for the first time. PMID- 21038362 TI - Desorption of nitramine and nitroaromatic explosive residues from soils detonated under controlled conditions. AB - Potentially toxic nitroaromatic and nitramine compounds are introduced onto soils during detonation of explosives. The present study was conducted to investigate the desorption and transformation of explosive compounds loaded onto three soils through controlled detonation. The soils were proximally detonated with Composition B, a commonly used military explosive containing 2,4,6 trinitrotoluene (TNT), hexahydro-1,3,5-trinitro-1,3,5-triazine (RDX), and octahydro 1,3,5,7-tetranitro-1,3,5,7-tetrazocine (HMX). Gas-exchangeable surface areas were measured from pristine and detonated soils. Aqueous batches of detonated soils were prepared by mixing each soil with ultrapure water. Samples were collected for 141 d and concentrations of Composition B compounds and TNT transformation products 2-amino-4,6-dinitrotoluene (2ADNT), 4-amino-2,6 dinitrotoluene (4ADNT), and 1,3,5-trinitrobenzene (1,3,5-TNB) were measured. The RDX, HMX, and TNT concentrations in detonated soil batches exhibited first-order physical desorption for the first, roughly, 10 d and then reached steady state apparent equilibrium within 40 d. An aqueous batch containing powdered Composition B in water was sampled over time to quantify TNT, RDX, and HMX dissolution from undetonated Composition B particles. The TNT, RDX, and HMX concentrations in aqueous batches of pure Composition B reached equilibrium within 6, 11, and 20 d, respectively. Detonated soils exhibited lower gas exchangeable surface areas than their pristine counterparts. This is likely due to an explosive residue coating on detonated soil surfaces, shock-induced compaction, sintering, and/or partial fusion of soil particles under the intense heat associated with detonation. Our results suggest that explosive compounds loaded to soils through detonation take longer to reach equilibrium concentrations in aqueous batches than soils loaded with explosive residues through aqueous addition. This is likely due to the heterogeneous interactions between explosive residues and soil particle surfaces. PMID- 21038363 TI - Competitive stress can make the herbicide Roundup(r) more deadly to larval amphibians. AB - Toxicity assessments on nontarget organisms have largely been addressed using short-term, single-species laboratory experiments. Although extremely helpful, these experiments inherently lack many pervasive ecological stressors found in nature. Though a substantial challenge, incorporating these ecological stressors in contaminant studies would shed light on potential synergistic effects. For the world's leading herbicide, glyphosate, we know little about how natural stressors affect the toxicity to nontarget organisms. To explore how the natural stress of competition might interact with a glyphosate-based herbicide, we used outdoor mesocosms containing three tadpole species that were exposed to a factorial combination of three glyphosate concentrations (0, 1, 2, or 3 mg acid equivalent (a.e.)/L of the commercial formulation Roundup Original MAX(r)) and three tadpole densities (low, medium, or high). We found that increased tadpole density caused declines in tadpole growth, but also made the herbicide significantly more lethal to one species. Whereas the median lethal concentration (LC50) values were similar across all densities for gray treefrogs (Hyla versicolor; 1.7-2.3 mg a.e./L) and green frogs (Rana clamitans; 2.2-2.6 mg a.e./L), the LC50 values for bullfrogs (R. catesbeiana) were 2.1 to 2.2 mg a.e./L at low and medium densities, but declined to 1.6 mg a.e./L at high densities. The large decrease in amphibian survival with increased herbicide concentration was associated with increases in periphyton abundance. We also found evidence that temperature stratification lead to herbicide stratification in the water column, confirming the results of a previous study and raising important questions about exposure risk in natural systems. PMID- 21038364 TI - Analysis of deprotonated acids with silicon nanoparticle-assisted laser desorption/ ionization mass spectrometry. AB - Chemically modified silicon nanoparticles were applied for the laser desorption/negative ionization of small acids. A series of substituted sulfonic acids and fatty acids was studied. Compared to desorption ionization on porous silicon (DIOS) and other matrix-less laser desorption/ionization techniques, silicon nanoparticle-assisted laser desorption/ionization (SPALDI) mass spectrometry allows for the analysis of acids in the negative ion mode without the observation of multimers or cation adducts. Using SPALDI, detection limits of many acids reached levels down to 50 pmol/ul. SPALDI of fatty acids with unmodified silicon nanoparticles was compared to SPALDI using the fluoroalkyl silylated silicon powder, with the unmodified particles showing better sensitivity for fatty acids, but with more low-mass background due to impurities and surfactants in the untreated silicon powder. The fatty acids exhibited a size dependent response in both SPALDI and unmodified SPALDI, showing a signal intensity increase with the chain length of the fatty acids (C12-C18), leveling off at chain lengths of C18-C22. The size effect may be due to the crystallization of long chain fatty acids on the silicon. This hypothesis was further explored and supported by SPALDI of several, similar sized, unsaturated fatty acids with various crystallinities. Fatty acids in milk lipids and tick nymph samples were directly detected and their concentration ratios were determined by SPALDI mass spectrometry without complicated and time-consuming purification and esterification required in the traditional analysis of fatty acids by gas chromatography (GC). These results suggest that SPALDI mass spectrometry has the potential application in fast screening for small acids in crude samples with minimal sample preparation. PMID- 21038366 TI - A basis for long-term midurethral tape complications. PMID- 21038368 TI - Urodynamics: the need for "Preferred Providers". PMID- 21038373 TI - Sesamoid and accessory bones of the hand--an epidemiologic survey in a Mediterranean population. AB - The prevalence and distribution of sesamoid and accessory bones in the hands is quite variable between different populations and ethnic groups. However, there are no published data on their distribution in Mediterranean populations. Studies on the validity of radiographic assessment for the presence of these bones are also lacking. A retrospective review of 442 radiographs of adult patients was performed in order to assess the incidence of sesamoid and accessory bones in the hands of a Mediterranean population. Additionally, two independent observers reviewed 174 radiographs and used the Kappa parameter in order to assess the validity of radiographic interpretation of radiographs for the presence of those bones. There was an incidence of 99.5% sesamoid bones (n = 440) in the 1st metacarpophalangeal (MCP) joint, 42.3% in the 2nd MCP joint (n = 187), and 41.1% (n = 182) in the 5th MCP joint. The incidence in the 1st interphalangeal joints was 26.2% (n = 116). The incidence of sesamoid bones in the 1st, 2nd, and 5th metacarpophalangeal joints in a Mediterranean population was found to be similar to that of Arab and Caucasian populations, whereas their prevalence in the 1st interphalageal joint coincided more with Arab populations. Interobserver reliability of the interpretation of radiographs for the presence of sesamoid bones in the hand was good (Kappa > 0.68) in all locations, except for the 1st MCP joint. Further research is warranted to further elucidate the genetic and/or environmental reasons for the differences between those populations. PMID- 21038374 TI - A new validated ultra performance liquid chromatographic method for determination of acyclovir. AB - Recently, ultra performance liquid chromatography (UPLC) has proven to be one of the most promising developments in the area of fast chromatographic separations, as it's been true to the objective of reducing analysis time and maintaining good efficiency. The present report describes development and validation of a new, rapid, and sensitive UPLC method with UV detection to quantify acyclovir in bulk sample using a Waters Acquity HSS T-3 (100 * 2.1 mm, 1.8 um) column maintained at a temperature of 50 degrees C with a gradient elution consisting of 1.0% triethyl amine in water and acetonitrile at a flow rate of 0.4 mL/min and detected at 254 nm. Method was found to be selective, linear, accurate, and precise as per ICH guidelines. Detection and quantitation limits of drug were 3 and 8 ng/mL, respectively. PMID- 21038378 TI - The 6-minute walk test in Duchenne/Becker muscular dystrophy: longitudinal observations. AB - In this study we used the 6-minute walk distance (6MWD) to characterize ambulation over time in Duchenne/Becker muscular dystrophy (DBMD). The 6MWD was assessed in 18 boys with DBMD and 22 healthy boys, ages 4-12 years, over mean [range] intervals of 58 [39-87] and 69 [52-113] weeks, respectively. Height and weight increased similarly in both groups. At 52 weeks, 6MWD decreased in 12 of 18 (67%) DBMD subjects (overall mean [range]: 357 [125-481] to 300 [0-510] meters; Delta -57 meters, -15.9%), but increased in 14 of 22 (64%) healthy subjects (overall mean [range]: 623 [479-754] to 636 [547-717] meters; Delta +13 meters, +2.1%). Two DBMD subjects lost ambulation. Changes in 6MWD depended on stride length and age; improvements usually occurred by 7-8 years of age; older DBMD subjects worsened, whereas older healthy subjects were stable. The 6MWD changes at 1 year confirm the validity of this endpoint and emphasize that preserving ambulation must remain a major goal of DBMD therapy. PMID- 21038380 TI - Cobalt toxicity after total hip replacement: a neglected adverse effect? PMID- 21038381 TI - 12(th) International Conference on Circular Dichroism and 5(th) Interdisciplinary Symposium on Biological Chirality. PMID- 21038382 TI - Stereoselection in the binding of Ln DOTA to gamma-cyclodextrin: A near infrared circular dichroism study. AB - The formation of an inclusion complex between gamma-cyclodextrin and Ln DOTA has been reported in the literature. All Ln DOTA complexes in solution give rise to a network of equilibria between different stereoisomers, which are pairs of enantiomers. By means of near infrared circular dichroism of the Yb derivative, we demonstrate that upon the formation of the host-guest complex, there is a complete stereoselection and that only the Lambda(deltadeltadeltadelta) binds. PMID- 21038383 TI - Absolute configuration assignment of (3-phenyloxirane-2,2 diyl)bis(phenylmethanone) via density functional calculations of optical rotation and vibrational circular dichroism. AB - Density Functional Theory (DFT) calculations of optical rotation (OR) and vibrational circular dichroism (VCD) have been used to assign the absolute configuration (AC) of a recently prepared (3-phenyloxirane-2,2 diyl)bis(phenylmethanone), 3, by asymmetric epoxidation of the corresponding 2 arylidene-1,3-diketone. The experimental OR at 589.3 nm and the VCD spectrum of the (+)- and (-)-enantiomer of 3 have been measured. The conformationally averaged OR value and VCD spectrum of (R)-3 were calculated at B3LYP/6 311G(2d,2p) level of theory. Both approaches provide the same absolute configuration of the stereogenic carbon, i.e. the AC of (+)-3 is (R)-3, thus affording a confident assignment. Only two conformational isomers of 3 have been predicted to be populated at ambient temperature. Their presence is directly observed in the VCD spectrum. PMID- 21038384 TI - Capillary circular dichroism. AB - Circular dichroism (CD) has become an increasingly important tool in the study of biological molecules as it enables structural information to be obtained nondestructively on solution-phase samples. However, sample requirements for CD are often seen as being too high with protein backbone measurements in standard cuvettes typically requiring ~100-300 MUL of 0.1 mg/ml protein. To address this issue, we have designed a new form of CD sample holder, which reduces the sample requirements of the technique by two orders of magnitude, with a sample requirement of less than 3 MUl. This sample saving has been achieved through the use of extruded quartz capillaries, the sample being held within the internal diameter of the quartz capillary through capillary action. The extruded quartz capillaries exhibit remarkably little birefringence, although still transmitting high energy UV circularly polarized light. The optics associated with capillaries were investigated. A configuration has been adopted with the light beam of the spectrophotometer being focused in front of the front face of the capillary using a biconvex lens and advantage being taken of the additional focusing effect of the capillary itself. The focusing is vital to the low wavelength performance of the cell, where we have acquired reliable data down to 180 nm using a Jasco J-815 spectrophotometer. The system performance was validated with Na[Co(EDDS)].H(2)O (EDDS = N,N-ethylenediaminedisuccinic acid), concanavalin A, lysozyme, and progesterone. PMID- 21038385 TI - Evaluation of instrumental errors built in circular dichroism spectrometers. AB - Because of the increased use of circular dichroism (CD) spectroscopy as a routine technique by nonspecialists to determine the conformational/configurational properties of biomolecules, we have decided to present here some criteria to accurately check the ordinate scale calibration of a CD spectrometer particularly in the critical low-wavelength UV region, to understand, and correct, where possible, the potential limitations coming from the hardware. We also analyze some wavelength calibration methods, and some standards for the CD-scale calibration, and we discuss the critical characteristics of current instrumentation affecting measurements. The example of the bovine catalase CD spectrum is considered. PMID- 21038386 TI - Measuring circular dichroism in a capillary cell using the b23 synchrotron radiation CD beamline at diamond light source. AB - Synchrotron radiation circular dichroism (SRCD) is a well-established method in structural biology. The first UV-VIS beamline dedicated to circular dichroism at Diamond Light Source, a third generation synchrotron facility in South Oxfordshire, has recently become operational and it is now available for the user community. Herein we present an important application of SRCD: the CD measurement of protein solutions in fused silica rectangular capillary cells. This was achieved without the use of any lens between the photoelastic modulator and the photomultiplier tube detectors by exploiting the high photon flux of the collimated beam that can be as little as half a millimeter squared. Measures to minimize or eliminate vacuum-UV protein denaturation effects are discussed. The CD spectra measured in capillaries is a proof of principle to address CD measurements in microdevice systems using the new B23 SRCD beamline. PMID- 21038387 TI - Affinity and selectivity of C2- and C5-substituted "chiral-box" PNA in solution and on microarrays. AB - Two peptide nucleic acids (PNAs) containing three adjacent modified chiral monomers (chiral box) were synthesized. The chiral monomers contained either a C2 or a C5-modified backbone, synthesized starting from D- and L-arginine, respectively (2D- and 5L-PNA). The C2-modified chiral PNA was synthesized using a submonomeric strategy to avoid epimerization during solid-phase synthesis, whereas for the C5-derivative, the monomers were first obtained and then used in solid-phase synthesis. The melting temperature of these PNA duplexes formed with the full-match or with single-mismatch DNA were measured both by UV and by CD spectroscopy and compared with the unmodified PNA. The 5L-chiral-box-PNA showed the highest T(m) with full-match DNA, whereas the 2D-chiral-box-PNA showed the highest sequence selectivity. The PNA were spotted on microarray slides and then hybridized with Cy5-labeled full match and mismatched oligonucleotides. The results obtained showed a signal intensity in the order achiral >2D-chiral box >5L-chiral box, whereas the full-match/mismatch selectivity was higher for the 2D chiral box PNA. PMID- 21038388 TI - A concise summary of experimental facts about the Soai reaction. AB - The Soai reaction amplifies small enantiomeric excesses in a spectacular manner. Being known for 20 years, it has drawn the attention of many scientists in different fields as it is to date the only chemical reaction offering the chance to study the phenomenon of asymmetric autocatalysis in conjunction with high amplification of enantiomeric excess (ee). This mini-review comprises an introduction to the discovery of asymmetric autocatalysis with amplification of ee and a concise summary of published experimental results showing which starting materials and reaction parameters play an important role in this reaction and which influences are understood. It is addressed especially to scientists entering the field of the Soai reaction to get a quick overview of important aspects. PMID- 21038389 TI - Factors affecting supramolecular exciton intensity. AB - The effect of nonchromophoric additives on supramolecular exciton intensity was tested. Fatty acids and 1-dodecanol decreased exciton intensity of the tightly packed aggregate of 6'R-capsanthol-3'-on, whereas all esters studied increased exciton intensity. These results apparently confirm the validity of the treatment of Harada for supramolecular systems too. PMID- 21038390 TI - Circular dichroism eigenspectra of polyproline II and beta-strand conformers of trialanine in water: Singular value decomposition analysis. AB - Despite that a number of experimental and theoretical investigations have been carried out to determine the structure of trialanine in water, the reported populations of polyproline II (PPII) and beta-strand conformers vary and were found to be dependent on which spectroscopic method was used. Such discrepancies are due to limitations of different spectroscopic methods used. Here, the temperature- and pH-dependent circular dichroism (CD) and NMR experiments have been carried out to develop a self-consistent singular value decomposition procedure. The temperature-dependent CD spectra indicate the presence of two conformers, but due to the two peptide bonds in a trialanine, one should take into consideration of four different conformers to fully interpret the NMR results. From the pH-dependent NMR coupling constant measurements, the conformation of zwitterionic trialanine is little different from that of cationic one. The strong pH dependency of CD spectrum is likely due to charge transfer transitions between carboxylate and nearby peptide groups or internal field effects not to pH-dependent conformational change. To simultaneously analyze the temperature-dependent CD and NMR data, a self-consistent procedure was used to newly determine the reference NMR coupling constants required to estimate one of the peptide dihedral angles. From the estimated enthalpy and entropy changes associated with the transition from enthalpically favorable PPII conformer to entropically favorable beta-strand conformer, the relative populations of the four possible conformers of trialanine were determined and compared with the previous experimental findings. We anticipate that the present experimental results and interpretation procedure would be of use in determining the solution structures of small oligopeptides in the future. PMID- 21038391 TI - Two-photon absorption circular-linear dichroism on axial enantiomers. AB - We report on the experimental-theoretical analysis of degenerated two-photon absorption circular-linear dichroism (2PA-CLD) in (S)-(-)-1,1'-bi(2-naphthol) and (R)-(+)-1,1'-bi(2-naphthol) dissolved in THF. 2PA-CLD reveals similarities and differences between optical polarization states for the different enantiomers. These results unveil the potential applications of 2PA-CLD for the study and identification of chiral molecules with active and pivotal role in biological systems and the systematic design of chiral photonic structures. PMID- 21038392 TI - A significant role for high-energy transitions in the ultraviolet circular dichroism spectra of polypeptides and proteins. AB - The npi* and pipi* transitions of polypeptides mix significantly with very high energy transitions in the poly(Pro)II conformation, as evidenced by the strongly nonconservative CD spectrum in the 170-250 nm region. Because of this, the exciton model, the standard quantum mechanical model for predicting absorption and CD spectra of polypeptides, gives poor results for the poly(Pro) II (P(II)) conformation, although it works well for the alpha-helix, beta-sheet, and beta turns. The exciton theory has been extended to include the effects of mixing of discrete peptide transitions near 200 nm with the large number of uncharacterized transitions in the deep ultraviolet. These latter transitions dominate the polarizability, and their mixing with the discrete transitions can be described via bond and lone-pair polarizability tensors, derivable by ab initio methods. This extended exciton method gives a good description of the CD spectrum of (Ala)(n) oligomers in the P(II) conformation. For this conformation, the polarizability contributions lead to a strong negative band near 200 nm that dominates the calculated and observed CD spectrum. The model does not give a good description of the CD of (Pro)(n) oligomers, probably because of conformational heterogeneity or nonadditive contributions of the Pro side chains. The model improves the calculated CD spectra of alpha-helical (Ala)(n) oligomers. Although the high-energy transitions make only a small net contribution to the CD of the alpha-helix in the 200 nm region, they enhance the negative exciton band at ~205 nm and largely cancel the negative exciton band near 175 nm, substantially improving agreement with experiment. PMID- 21038393 TI - Biphenyl dioxolanes as circular dichroism probes for the assignment of absolute configuration to aliphatic diols: Extending the scope to anti 1,n-diols and cyclic syn 1,2-diols. AB - We describe herein the use of a flexible biphenyl moiety as efficient chirality probe in the assignment of the absolute configuration (AC) of aliphatic, non chromophoric diols. The diols are transformed in the corresponding biphenyl dioxolanes in which the biphenyl system has either a P or M torsion depending on the chirality of the diol. As the correlation between biphenyl torsion and diol AC has been established and the sense of torsion is revealed by the sign of the biphenyl A band at 250 nm in the CD spectrum of the dioxolane, then the diols AC can be assigned simply looking at the CD spectra of these derivatives. This approach proved to be general, straightforward, and reliable for anti 1,2- 1,3-, and 1,4-diols bearing both one and two stereogenic centers and for cyclic syn 1,2 diols. PMID- 21038394 TI - Electronic and vibrational signatures of peptide helical structures: A tribute to Anton Mario Tamburro. AB - Our efforts on the synthesis of peptides with well-characterized secondary structures, combined with detailed spectroscopic investigations, most of them performed in collaboration with internationally recognized experts, have allowed us to publish the electronic (electronic circular dichroism) and vibrational (FTIR absorption, vibrational circular dichroism, Raman, and Raman optical activity) signatures of the poorly studied peptide 3(10)-helix (and the related beta-bend ribbon spiral conformation as well) in comparison with those already known for the classical alpha-helix. PMID- 21038395 TI - The binding of flavopiridol to blood serum albumin. AB - Flavopiridol is a potent cyclin-dependant kinase (CDK) inhibitor and is in clinical trials for anticancer treatment. A limiting factor in its drug development has been the high dosage required in human clinical trials. The high dosage is suggested to be necessary because of significant flavopiridol binding to human blood serum. Albumin is the major protein component of blood serum and has been suggested as a likely high affinity binding target. We characterized the binding of human serum albumin to flavopiridol using circular dichroism (hereafter CD). Flavopiridol bound to human serum albumin has a diagnostic CD binding peak at 284 nm. The diagnostic CD binding peak was unobservable for flavopiridol with bovine serum albumin, using the same experimental conditions. However, under higher albumin concentrations a small CD signal is observed confirming, flavopiridol binds to bovine serum albumin as well. PMID- 21038396 TI - Disulfide chromophore and its optical activity. AB - The compounds I-IV derived from alpha-D-cyclodextrin moiety by bridging and/or interconnecting with various patterns of disulfide bonds were chosen as models for the spectroscopic study of conformation of the disulfide bridge. The energy gap between the disulfide and cyclodextrin's electronic transitions allows us to investigate absorption and electronic circular dichroism spectra without disturbing spectral overlaps with amides or aromatic amino acids in peptides or proteins. Raman optical activity (ROA) spectra were measured and the bands due to S-S and C-S stretching motion identified. Comparison with the quantum mechanical calculations of simple models indicates that sense of disulfide twist follows sign of the measured S-S ROA band. PMID- 21038397 TI - Investigating by circular dichroism some amyloidogenic elastin-derived polypeptides. AB - Tamburro and coworkers have demonstrated that some elastin-derived polypeptide sequences are able to give rise, in vitro, to amyloid-like fibers. The biological relevance of this finding could be explained by the recent detection of some amyloidogenic material found in arteries of old patients affected by atherosclerosis and demonstrated to be elastin derived. In this context, the comprehension of the mechanism responsible for the amyloid-like fibrillogenesis of elastin-derived sequences is of crucial importance for the design of drugs that could inhibit the amyloidogenic process. To gain further insights into the elastin amyloidogenic process, we studied the polypeptide sequences encoded by Exon 7 and Exon 32 of the human tropoelastin gene, and we demonstrated that only Exon 32 is able to aggregate in amyloid-like fibers. Vis-UV Thioflavin T circular dichroism (CD) spectroscopy rapidly and unambiguously detected the amyloidogenic propensity of the polypeptides. To gain additional insights into the aggregation mechanism of elastin-derived amyloidogenic peptides, we carried out the kinetics of EX32 amyloid-like aggregates by using ThT dye. CD spectroscopy was also used for investigating the secondary structure of the polypeptides, thus giving useful insights into the conformations involved in amyloid-like fiber formation. Furthermore, complementary techniques such as fluorescence spectroscopy, spectral shift, and binding Congo red UV assays as well as atomic force microscopy were also used to confirm the amyloidogenic behavior of the studied polypeptides. PMID- 21038398 TI - Induced vibrational circular dichroism and polymorphism of syndiotactic polystyrene. AB - The intense circular dichroism (CD) phenomena, as induced in amorphous samples of syndiotactic polystyrene (s-PS) by cocrystallization with nonracemic volatile guest molecules (carvone and limonene), have been investigated by Vibrational Circular Dichroism (VCD) measurements and X-ray diffraction characterizations. Moreover, the stability of these CD phenomena after thermal and solvent treatments, leading to different polymorphic crystalline phases of s-PS, has been studied. The CD phenomena remain stable not only after guest extraction but also after thermal annealing procedures leading to the helical gamma phase or to the transplanar alpha phase. The CD phenomena are instead reduced for the solvent treatments involving at least partial dissolution and crystallization that lead to the helical epsilon phases and even lost for thermal treatments involving melting and crystallization that lead to the beta phase. The reported results indicate that the intense CD phenomena observed for s-PS films are due to a supramolecular chirality associated with the native cocrystal morphology. PMID- 21038399 TI - Toward a generalization of the Clough-Lutz-Jirgensons effect: Chiral organic acids with alkyl, hydroxyl, and halogen substituents. AB - The optical rotation of natural amino acids becomes more positive when the medium is changed from approximately neutral to strongly acidic (Clough-Lutz-Jirgensons (CLJ) effect). In this work, it is shown by time-dependent density functional computations that the effect can be generalized to other alpha-substituted chiral carboxylic acids. The physical origin of the generalized CLJ effect is similar to that in amino acids, linking the absolute configuration directly to the sign of CLJ. For conformationally flexible molecules with small magnitudes of the optical rotation, the presence of a CLJ effect might aid the assignment of absolute configurations based on comparing experimental data with computed chiroptical responses. PMID- 21038400 TI - Applications of the Cartesian coordinate tensor transfer technique in the simulations of vibrational circular dichroism spectra of oligonucleotides. AB - The application of the Cartesian coordinate tensor transfer (CCT) technique for simulations of the IR absorption and vibrational circular dichroism (VCD) spectra of relatively large nucleic acid fragments is demonstrated on several case studies. The approach is based on direct ab initio calculations of atomic tensors, determining molecular properties, for relatively small fragments, and subsequent transfer of these tensors to the larger systems in Cartesian coordinates. This procedure enables precise computations of vibrational spectra for large biomolecular systems, currently with up to several thousands of atoms. The versatile ability of the CCT methods is emphasized on the examples of VCD and IR absorption spectra calculations for B- and Z-forms of DNA, single-, double-, and triple-stranded RNA helices and DNA structures with different base content and sequences. The development and recent improvements of the methodology are followed, including utilization of the constrained normal mode optimization (NMO) strategy and combined quantum mechanics and molecular dynamics simulations. Advantages, drawbacks, and recommendations for future improvements of the CCT method as applied to nucleic acid spectra calculations are discussed. PMID- 21038401 TI - Theoretical studies of potential-dependent and competing mechanisms of the electrocatalytic oxygen reduction reaction on Pt(111). PMID- 21038402 TI - Successive deposition of silver on silver nanoplates: lateral versus vertical growth. PMID- 21038404 TI - Chiral metallacrown ethers for asymmetric hydrogenation: alkali-metal ion mediated enhancement of enantioselectivity. PMID- 21038407 TI - Working with a gold-plated standard. PMID- 21038408 TI - Is radioembolization ready for the barcelona clinic liver cancer staging system? PMID- 21038409 TI - Frequent multiple hepatitis C virus infections among injection drug users in a prison setting. AB - Recent data indicate that multiple hepatitis C virus (HCV) infections (mixed infection, superinfection, and reinfection) are common among injection drug users (IDUs). In this study, we identified and characterized multiple HCV infection episodes among HCV-seronegative IDU prison inmates (n = 488) enrolled in the Hepatitis C Incidence and Transmission Study cohort. Incident HCV infection with detectable HCV RNA was identified in 87 subjects, 48 of whom completed additional follow-up to screen for reinfection or superinfection. All HCV RNA-detectable samples were tested for multiple infection through a series of specifically designed nested reverse-transcription polymerase chain reaction (nRT-PCR) with sequencing and HCV RNA level measurement. Sequencing revealed that 22 of 87 (25.3%) subjects were infected by two or more viruses. Nine (10.3%) subjects were designated as prevalent cases of incident mixed infection, because two distinct HCV strains were detected at the first viremic time point. Fifteen further cases of multiple HCV infection (superinfection or reinfection) were identified, two of which also showed baseline incident mixed infections. The incidence of new HCV infection (superinfection and reinfection) during follow-up was 40/100 person years (95% confidence interval, 33-44/100 person-years). Spontaneous clearance of viruses from one subtype and persistence of the other subtype after mixed infection was observed in eight subjects. In these subjects, the virus with higher HCV RNA levels superseded the other. CONCLUSION: This study comprehensively analyzed frequent multiple HCV infections in a high-risk cohort and provides further insight into infection dynamics and immunity after exposure to variant viral strains. The data presented suggest that HCV RNA levels play an important role in viral competition. PMID- 21038410 TI - Randomized controlled trial of pegylated interferon-alfa 2a and ribavirin in treatment-naive chronic hepatitis C genotype 6. AB - Hepatitis C virus (HCV) genotype is an important criteria in determining duration of therapy and predictor of sustained virologic response (SVR) to pegylated interferon (PEG IFN) and ribavirin (RBV) therapy. Optimal duration of therapy for patients with HCV genotype 6 is not known. We conducted a multicenter, open-label randomized controlled trial of patients with HCV genotype 6 at five gastroenterology clinics in the western U.S. Patients were stratified by viral load and histologic stage and assigned to receive PEG IFN-alpha2a 180 MUg subcutaneously weekly and weight-based oral RBV 800 to 1,200 mg daily for 24 or 48 weeks. Primary outcome measurement was SVR rate by intention-to-treat analysis. From February 2005 to October 2007 a total of 60 patients (age 51 +/- 10 years, 47% male, log HCVRNA 6.3 +/- 1.1 IU/mL) were enrolled: 27 patients to 24 weeks and 33 patients to 48 weeks of therapy. In the 24-week and 48-week groups, 96% and 97% achieved early virologic response (P = 0.90); 89% versus 94% achieved end of therapy virologic response (P = 0.48). SVR was achieved in 70% versus 79% of patients assigned to 24 weeks versus 48 weeks (P = 0.45). Rapid virologic response (RVR) was a significant predictor of SVR in the 48-week group and trending towards significance in the 24-week group: 82% and 83% of those with RVR achieved SVR versus 33% and 29% for the 24-week and 48-week groups, respectively (P = 0.07 and P = 0.02). CONCLUSION: There was no significant difference in SVR rates in patients with HCV genotype 6 treated with PEG IFN alpha2a and RBV for 24 versus 48 weeks. PMID- 21038411 TI - Coffee reduces liver damage in a rat model of steatohepatitis: the underlying mechanisms and the role of polyphenols and melanoidins. AB - Epidemiological data associate coffee consumption with a lower prevalence of chronic liver disease and a reduced risk of elevated liver enzyme levels (gamma glutamyl transpeptidase and alanine aminotransferase), advanced liver disease and its complications, and hepatocellular carcinoma. Knowledge of the mechanisms underlying these effects and the coffee components responsible for these properties is still lacking. In this study, 1.5 mL/day of decaffeinated coffee or its polyphenols or melanoidins (corresponding to approximately 2 cups of filtered coffee or 6 cups of espresso coffee for a 70-kg person) were added for 8 weeks to the drinking water of rats who were being fed a high-fat, high-calorie solid diet (HFD) for the previous 4 weeks. At week 12, HFD + water rats showed a clinical picture typical of advanced nonalcoholic steatohepatitis compared with control rats (normal diet + water). In comparison, HFD + coffee rats showed: (1) reduced hepatic fat and collagen, as well as reduced serum alanine aminotransferase and triglycerides; (2) a two-fold reduced/oxidized glutathione ratio in both serum and liver; (3) reduced serum malondialdehyde (lipid peroxidation) and increased ferric reducing antioxidant power (reducing activity); (4) reduced expression of tumor necrosis factor alpha (TNF-alpha), tissue transglutaminase, and transforming growth factor beta and increased expression of adiponectin receptor and peroxisome proliferator-activated receptor alpha in liver tissue; and (5) reduced hepatic concentrations of proinflammatory TNF-alpha and interferon-gamma and increased anti-inflammatory interleukin-4 and interleukin-10. CONCLUSION: Our data demonstrate that coffee consumption protects the liver from damage caused by a high-fat diet. This effect was mediated by a reduction in hepatic fat accumulation (through increased fatty acid beta-oxidation); systemic and liver oxidative stress (through the glutathione system); liver inflammation (through modulation of genes); and expression and concentrations of proteins and cytokines related to inflammation. PMID- 21038412 TI - A novel GSK-3 beta-C/EBP alpha-miR-122-insulin-like growth factor 1 receptor regulatory circuitry in human hepatocellular carcinoma. AB - miR-122 is a highly abundant, hepatocyte-specific microRNA. The biomedical significance and regulatory mechanisms of miR-122 remain obscure. We explored the role of miR-122 in tumorigenesis in the context of gene regulatory network. The miR-122 promoter and its transactivator were identified by way of luciferase reporter system, electrophoretic mobility shift, and chromatin immunoprecipitation assays. The miR-122 regulatory circuitry and its implication in hepatocarcinogenesis were identified using livers of different development stages, human hepatocellular carcinoma (HCC) tissues and cell lines, and aflatoxin B1 (AFB1)-transformed cells. We characterized the -5.3 to -4.8 kb region upstream of miR-122 precursor as miR-122 promoter. Further investigation revealed that deletion of predicted CCAAT/enhancer-binding protein alpha (C/EBPalpha) binding sites C/EBPalpha knockdown significantly reduced miR-122 promoter activity and endogenous miR-122 expression; and C/EBPalpha directly interacted with the miR-122 promoter in vitro and in vivo. These data suggest that C/EBPalpha is a transactivator for miR-122 transcription. We further demonstrated that miR-122 suppressed insulin-like growth factor 1 receptor (IGF 1R) translation and sustained glycogen synthase kinase-3 beta (GSK-3beta) activity. The activated GSK-3beta not only repressed cell proliferation, but also activated C/EBPalpha, which maintained miR-122 levels and thereby enforced IGF-1R suppression. Interestingly, down-regulation of miR-122 and C/EBPalpha, and up regulation of IGF-1R were frequently observed in HCC tissues, and decreased miR 122 levels were associated with worse survival of HCC patients. Moreover, AFB1 exposure resulted in decreased activity in GSK-3beta, C/EBPalpha, and miR-122 and increased levels of IGF-1R, whereas restoration of miR-122 suppressed the tumorigenicity of HCC and AFB1-transformed cells. CONCLUSION: We have identified a novel GSK-3beta-C/EBPalpha-miR-122-IGF-1R regulatory circuitry whose dysfunction may contribute to the development of HCC. Our findings provide new insight into miR-122's function and the mechanisms of hepatocarcinogenesis. PMID- 21038413 TI - Radioembolization with yttrium-90 glass microspheres in hepatocellular carcinoma: European experience on safety and long-term survival. AB - Radioembolization has been demonstrated to allow locoregional therapy of patients with hepatocellular carcinoma not eligible for transarterial chemoembolization or other local therapies. The aim of this study was to validate evidence of the safety and efficacy of this treatment in a European sample of patients with advanced hepatocellular carcinoma (HCC). Therefore, 108 consecutive patients with advanced HCC and liver cirrhosis were included. Yttrium-90 (Y-90) microspheres were administered in a lobar fashion over the right or left branch of the hepatic artery. The response to treatment was evaluated by computed tomography (CT) imaging applying Response Evaluation Criteria in Solid Tumors (RECIST) and World Health Organization (WHO) criteria with recent European Association for the Study of the Liver / National Cancer Institute (EASL/NCI) amendments. Time to progression (TTP) and overall survival were estimated by the Kaplan-Meier method. In all, 159 treatment sessions were performed ranging between one to three treatments per patient. The mean radiation dose per treatment was 120 (+/- 18) Gy. According to EASL criteria, complete responses were determined in 3% of patients, partial responses in 37%, stable disease 53%, and primary progression in 6% of patients. TTP was 10.0 months, whereas the median overall survival was 16.4 months. No lung or visceral toxicity was observed. The most frequently observed adverse events was a transient fatigue-syndrome. CONCLUSION: Radioembolization with Y-90 glass microspheres for patients with advanced HCC is a safe and effective treatment which can be utilized even in patients with compromised liver function. Because TTP and survival appear to be comparable to systemic therapy in selected patients with advanced HCC, randomized controlled trials in combination with systemic therapy are warranted. PMID- 21038414 TI - Conjugation is essential for the anticholestatic effect of NorUrsodeoxycholic acid in taurolithocholic acid-induced cholestasis in rat liver. AB - NorUDCA (24-norursodeoxycholic acid), the C23-homolog of ursodeoxycholic acid (UDCA), showed remarkable therapeutic effects in cholestatic Mdr2 (Abcb4) (multidrug resistance protein 2/ATP-binding cassette b4) knockout mice with sclerosing/fibrosing cholangitis. In contrast to UDCA, norUDCA is inefficiently conjugated in human and rodent liver, and conjugation has been discussed as a key step for the anticholestatic action of UDCA in cholestasis. We compared the choleretic, anticholestatic, and antiapoptotic properties of unconjugated and taurine-conjugated UDCA (C24) and norUDCA (C23) in isolated perfused rat liver (IPRL) and in natrium/taurocholate cotransporting polypeptide (Ntcp)-transfected human hepatoma (HepG2) cells. Taurolithocholic acid (TLCA) was used to induce a predominantly hepatocellular cholestasis in IPRL. Bile flow was determined gravimetrically; bile acids determined by gas chromatography and liquid chromatography/tandem mass spectrometry; the Mrp2 model substrate, 2,4 dinitrophenyl-S-glutathione (GS-DNP) was determined spectrophotometrically; and apoptosis was determined immunocytochemically. The choleretic effect of C23-bile acids was comparable to their C24-homologs in IPRL. In contrast, TnorUDCA, but not norUDCA antagonized the cholestatic effect of TLCA. Bile flow (percent of controls) was 8% with TLCA-induced cholestasis, and unchanged by coinfusion of norUDCA (14%). However, it was increased by TnorUDCA (83%), UDCA (73%) and TUDCA (136%). Secretion of GS-DNP was markedly reduced by TLCA (5%), unimproved by norUDCA (4%) or UDCA (17%), but was improved modestly by TnorUDCA (26%) or TUDCA (58%). No apoptosis was observed in IPRL exposed to low micromolar TLCA, but equivalent antiapoptotic effects of TUDCA and TnorUDCA were observed in Ntcp HepG2 cells exposed to TLCA. CONCLUSION: Conjugation is essential for the anticholestatic effect of norUDCA in a model of hepatocellular cholestasis. Combined therapy with UDCA and norUDCA may be superior to UDCA or norUDCA monotherapy in biliary disorders in which hepatocyte as well as cholangiocyte dysfunction contribute to disease progression. PMID- 21038415 TI - The fractalkine receptor CX3CR1 protects against liver fibrosis by controlling differentiation and survival of infiltrating hepatic monocytes. AB - Chemokines modulate inflammatory responses that are prerequisites for organ fibrosis upon liver injury. Monocyte-derived hepatic macrophages are critical for the development, maintenance, and resolution of hepatic fibrosis. The specific role of monocyte-associated chemokine (C-X3-C motif) receptor 1 (CX3CR1) and its cognate ligand fractalkine [chemokine (C-X3-C motif) ligand 1)] in liver inflammation and fibrosis is currently unknown. We examined 169 patients with chronic liver diseases and 84 healthy controls; we found that CX3CL1 is significantly up-regulated in the circulation upon disease progression, whereas CX3CR1 is down-regulated intrahepatically in patients with advanced liver fibrosis or cirrhosis. To analyze the functional relevance of this pathway, two models of experimental liver fibrosis were applied to wild-type (WT) and CX3CR1 deficient mice. Fractalkine expression was induced upon liver injury in mice, primarily in hepatocytes and hepatic stellate cells. CX3CR1(-/-) animals developed greater hepatic fibrosis than WT animals with carbon tetrachloride induced and bile duct ligation-induced fibrosis. CX3CR1(-/-) mice displayed significantly increased numbers of monocyte-derived macrophages within the injured liver. Chimeric animals that underwent bone marrow transplantation revealed that CX3CR1 restricts hepatic fibrosis progression and monocyte accumulation through mechanisms exerted by infiltrating immune cells. In the absence of CX3CR1, intrahepatic monocytes develop preferentially into proinflammatory tumor necrosis factor-producing and inducible nitric oxide synthase-producing macrophages. CX3CR1 represents an essential survival signal for hepatic monocyte-derived macrophages by activating antiapoptotic bcl2 expression. Monocytes/macrophages lacking CX3CR1 undergo increased cell death after liver injury, which then perpetuates inflammation, promotes prolonged inflammatory monocyte infiltration into the liver, and results in enhanced liver fibrosis. CONCLUSION: CX3CR1 limits liver fibrosis in vivo by controlling the differentiation and survival of intrahepatic monocytes. The opposing regulation of CX3CR1 and fractalkine in patients suggests that pharmacological augmentation of this pathway may represent a possible therapeutic antifibrotic strategy. PMID- 21038416 TI - Polymerase gamma gene POLG determines the risk of sodium valproate-induced liver toxicity. AB - Sodium valproate (VPA) is widely used throughout the world to treat epilepsy, migraine, chronic headache, bipolar disorder, and as adjuvant chemotherapy. VPA toxicity is an uncommon but potentially fatal cause of idiosyncratic liver injury. Rare mutations in POLG, which codes for the mitochondrial DNA polymerase gamma (polgamma), cause Alpers-Huttenlocher syndrome (AHS). AHS is a neurometabolic disorder associated with an increased risk of developing fatal VPA hepatotoxicity. We therefore set out to determine whether common genetic variants in POLG explain why some otherwise healthy individuals develop VPA hepatotoxicity. We carried out a prospective study of subjects enrolled in the Drug Induced Liver Injury Network (DILIN) from 2004 to 2008 through five US centers. POLG was sequenced and the functional consequences of VPA and novel POLG variants were evaluated in primary human cell lines and the yeast model system Saccharomyces cerevisiae. Heterozygous genetic variation in POLG was strongly associated with VPA-induced liver toxicity (odds ratio = 23.6, 95% confidence interval [CI] = 8.4-65.8, P = 5.1 * 10-7). This was principally due to the p.Q1236H substitution which compromised polgamma function in yeast. Therapeutic doses of VPA inhibited human cellular proliferation and high doses caused nonapoptotic cell death, which was not mediated through mitochondrial DNA depletion, mutation, or a defect of fatty acid metabolism. CONCLUSION: These findings implicate impaired liver regeneration in VPA toxicity and show that prospective genetic testing of POLG will identify individuals at high risk of this potentially fatal consequence of treatment. PMID- 21038417 TI - The transcription factors signal transducer and activator of transcription 5A (STAT5A) and STAT5B negatively regulate cell proliferation through the activation of cyclin-dependent kinase inhibitor 2b (Cdkn2b) and Cdkn1a expression. AB - Although the cytokine-inducible transcription factor signal transducer and activator of transcription 5 (STAT5) promotes proliferation of a wide range of cell types, there are cell-specific and context-specific cases in which loss of STAT5 results in enhanced cell proliferation. Here, we report that loss of STAT5 from mouse embryonic fibroblasts (MEFs) leads to enhanced proliferation, which was linked to reduced levels of the cell cycle inhibitors p15(INK4B) and p21(CIP1). We further demonstrate that growth hormone, through the transcription factor STAT5, enhances expression of the Cdkn2b (cyclin-dependent kinase inhibitor 2B) gene and that STAT5A binds to interferon-gamma-activated sequence sites within the promoter. We recently demonstrated that ablation of STAT5 from liver results in hepatocellular carcinoma upon CCl4 treatment. We now establish that STAT5, like in MEFs, activates expression of the Cdkn2b gene in liver tissue. Loss of STAT5 led to diminished p15(INK4B) and increased hepatocyte proliferation. CONCLUSION: This study for the first time demonstrates that cytokines, through STAT5, induce the expression of a key cell cycle inhibitor. These experiments therefore shed mechanistic light on the context-specific role of STAT5 as tumor suppressor. PMID- 21038418 TI - Evolution of inflammation in nonalcoholic fatty liver disease: the multiple parallel hits hypothesis. AB - Whereas in most cases a fatty liver remains free of inflammation, 10%-20% of patients who have fatty liver develop inflammation and fibrosis (nonalcoholic steatohepatitis [NASH]). Inflammation may precede steatosis in certain instances. Therefore, NASH could reflect a disease where inflammation is followed by steatosis. In contrast, NASH subsequent to simple steatosis may be the consequence of a failure of antilipotoxic protection. In both situations, many parallel hits derived from the gut and/or the adipose tissue may promote liver inflammation. Endoplasmic reticulum stress and related signaling networks, (adipo)cytokines, and innate immunity are emerging as central pathways that regulate key features of NASH. PMID- 21038419 TI - Early transjugular intrahepatic portosystemic shunt in patients with cirrhosis and variceal bleeding. PMID- 21038420 TI - Defining the quality characteristics of endoscopy for acute variceal hemorrhage in cirrhosis. PMID- 21038421 TI - Nonalcoholic fatty liver disease: is it all in the genes? PMID- 21038423 TI - Observed and calculated interleukin-28B genotype frequencies in hepatitis C virus infection. PMID- 21038425 TI - Time trends in hospitalization and discharge status for cirrhosis and portal hypertension in the United States. PMID- 21038427 TI - Tp53 codon-72 polymorphisms identify different radiation sensitivities to g2 chromosome breakage in human lymphoblast cells. AB - Both the G2 chromosomal radiosensitivity assay and allelic differences in TP53 codon-72 have been associated with cancer predisposition. The relationship between the two endpoints was determined in 56 human EBV-transformed lymphoblastoid cell lines. Although there were overlapping distributions of sensitivity for the different genotypes, cell lines that were homozygous for the proline coding allele were more likely to be resistant to chromatid break formation than those containing two arginine coding alleles, whereas cell lines expressing both the proline and arginine codon were either resistant like proline proline lines or sensitive like arginine-arginine lines. The results support an important role of the TP53 codon-72 polymorphism in modifying G2-chromosome radiosensitivity. Distinguishing the effect of TP53 codon-72 variations from other modifiers of G2-chromosome radiosensitivity might aid in identifying new markers of cancer risk. PMID- 21038429 TI - Endocrine potency of wastewater: contents of endocrine disrupting chemicals and effects measured by in vivo and in vitro assays. AB - Industrial and municipal effluents are important sources of endocrine disrupting compounds (EDCs) discharged into the aquatic environment. This study investigated the endocrine potency of wastewater and the cleaning efficiency of two typical urban Danish sewage treatment plants (STPs), using chemical analysis and a battery of bioassays. Influent samples, collected at the first STP grate, and effluent samples, collected after the sewage treatment, were extracted using solid phase extraction. Extracts were analyzed for the content of a range of industrial chemicals with endocrine disrupting properties: phthalate metabolites, parabens, industrial phenols, ultraviolet screens, and natural and synthetic steroid estrogens. The endocrine disrupting bioactivity and toxicity of the extracts were analyzed in cell culture assay for the potency to affect the function of the estrogen, androgen, aryl hydrocarbon, and thyroid receptors as well as the steroid hormone synthesis. The early-life stage (ELS) development was tested in a marine copepod. The concentrations of all analyzed chemicals were reduced in effluents compared with influents, and for some to below the detection limit. Influent as well as effluent samples from both STPs were found to interact with all four receptors and to interfere with the steroid hormone synthesis showing the presence of measured EDCs. Both influent samples and one of the effluent samples inhibited the development of the copepod Acartia tonsa. In conclusion, the presence of EDCs was reduced in the STPs but not eliminated, as verified by the applied bioassays that all responded to the extracts of effluent samples. Our data suggest that the wastewater treatment processes are not efficient enough to prevent contamination of environmental surface waters. PMID- 21038430 TI - Comparing effects of low levels of herbicides on greenhouse- and field-grown potatoes (Solanum tuberosum L.), soybeans (Glycine max L.), and peas (Pisum sativum L.). AB - Although laboratory toxicology tests are generally easy to perform, cost effective, and readily interpreted, they have been questioned for their environmental relevance. In contrast, field tests are considered realistic while producing results that are difficult to interpret and expensive to obtain. Toxicology tests were conducted on potatoes, peas, and soybeans grown in a native soil in pots in the greenhouse and were compared to plants grown outside under natural environmental conditions to determine toxicological differences between environments, whether different plant developmental stages were more sensitive to herbicides, and whether these species were good candidates for plant reproductive tests. The reproductive and vegetative endpoints of the greenhouse plants and field-grown plants were also compared. The herbicides bromoxynil, glyphosate, MCPA ([4-chloro-2-methylphenoxy] acetic acid), and sulfometuron-methyl were applied at below field application rates to potato plants at two developmental stages. Peas and soybeans were exposed to sulfometuron-methyl at similar rates at three developmental stages. The effective herbicide concentrations producing a 25% reduction in a given measure differed between experimental conditions but were generally within a single order of magnitude within a species, even though there were differences in plant morphology. This study demonstrated that potatoes, peas, and soybeans grown in pots in a greenhouse produce phytotoxicity results similar to those grown outside in pots; that reproductive endpoints in many cases were more sensitive than vegetative ones; and that potato and pea plants are reasonable candidates for asexual and sexual reproductive phytotoxicity tests, respectively. Plants grown in pots in a greenhouse and outside varied little in toxicity. However, extrapolating those toxicity results to native plant communities in the field is basically unknown and in need of research. PMID- 21038431 TI - Simultaneous determination of mercury methylation and demethylation capacities of various sulfate-reducing bacteria using species-specific isotopic tracers. AB - The use of species-specific isotopic tracers for inorganic and methyl mercury has allowed the simultaneous determination of the methylation and demethylation potentials of pure culture of isolated sulfate-reducing (SR) bacterial strains using low Hg species concentration levels (7 ug/L (199)Hg(II), 1 ug/L Me(201)Hg). A major advantage of the method reported here is that it can be used to follow simultaneously both the degradation of the species added but also the formation of their degradation products and thus the determination during the same incubation of the specific methylation/demethylation yields and rate constants. Methylation/demethylation capacities and extents have been found to differ between the tested strains and the tested conditions. The methylating/demethylating capacities of bacteria appear to be strain specific. All the methylating strains were found to demethylate methylmercury (MeHg). The active mechanism responsible for Hg methylation appears directly dependent on the bacterial activity but is not dependent on the metabolism used by the tested bacteria (sulfate reduction, fermentation, or nitrate respiration). The results provide confirmation that SR strains contribute to MeHg demethylation under anoxic conditions, leading to Hg(II) as the end product, consistent with the oxidative degradation pathway. Kinetic experiments have allowed specific transformation rate constants to be addressed for the two reversible processes and the reactivity of each isotopic tracer to be compared. The differential reactivity highlighted the different steps involved in the two apparent processes (i.e., uptake plus internal transformation of mercury species). Methylation appears as the slowest process, mainly controlled by the assimilation of Hg(II), whereas demethylation is faster and not dependent on the MeHg concentration. PMID- 21038432 TI - Environmental contaminant effects on juvenile striped bass in the San Francisco Estuary, California, USA. AB - The decline of pelagic organisms in the San Francisco Estuary (SFE) (California, USA) is attributed to several factors, including water diversions, invasive species, and exposure to environmental toxicants. The present study evaluated the effects of environmental contaminants on liver vitellogenin, metallothionein, 7 ethoxyresorufin-O-deethylase (EROD), and benzyloxyresorufin O-deethylase (BROD) activity in juvenile striped bass (Morone saxitilis) in the SFE. Analysis of juvenile striped bass liver extracts revealed site-specific elevations of vitellogenin, metallothionein, and EROD biomarkers across the estuary. Although some striped bass in the estuary showed EROD activity similar to unhandled hatchery controls, several sites in the estuary showed significantly higher EROD activity that was in the range of beta-naphthoflavone (BNF)-injected, positive controls. Overall, EROD activity averaged 283% higher in estuary fish than in hatchery controls. Chemical analyses of extracts from semipermeable membrane devices (SPMDs) deployed in the estuary for one month showed elevated polyaromatic hydrocarbon (PAH) levels. Semipermeable membrane devices extract injections-induced metallothionein and BROD in striped bass livers. These data show that environmental exposures are impacting EROD and other biomarkers in the SFE striped bass population. Previous studies in our laboratory have associated poor larval development with maternal transfer of environmental contaminants. Further studies are needed to monitor contaminant exposures by the use of biomarkers and to integrate them into a more effective pelagic species recovery plan in the SFE. PMID- 21038433 TI - Using meiofauna to assess pollutants in freshwater sediments: a microcosm study with cadmium. AB - The direct and indirect effects of Cd on benthic communities were assessed in a freshwater microcosm study over a period of seven months (218 d). Cadmium was regarded as a model substance to evaluate the usefulness of small-scale laboratory microcosm with microscopic fauna. In particular, effects on the meiofauna community, an ecologically important but rather neglected benthic component, were investigated. In addition, some microfaunal parameters (protozoan abundance and microbial activity) were determined. The sediment was spiked with nominal Cd concentrations of 10, 100, and 1,000 mg/kg dry weight. Because of the strong binding of Cd to sediment particles, measured Cd pore-water concentrations never exceeded 129.5 +/- 40.7 ug/L. At 1,000 mg/kg dry weight, the abundances of the two dominant meiofauna taxa, nematodes and oligochaetes, were significantly reduced throughout the present study. Regarding nematodes, species of bacterivorous taxa (Daptonema, Eumonhystera) decreased, whereas species of predacious and omnivorous taxa (Mononchus, Dorylaimus, and Ironus) increased in dominance in microcosms of the highest Cd concentration. Transient effects on microfauna were observed, especially in the first half of the present study, with a reduction in microbial activity and protozoan abundance. However, in microcosms receiving the highest Cd concentration, the abundance of the flagellate Euglena mutabilis increased significantly toward the end of the present study. The results of the present study support the use of small-scale microcosms with natural meiofauna communities as a suitable tool to assess the impact of pollutants in freshwater sediments. PMID- 21038434 TI - Plant organelle proteomics: collaborating for optimal cell function. AB - Organelle proteomics describes the study of proteins present in organelle at a particular instance during the whole period of their life cycle in a cell. Organelles are specialized membrane bound structures within a cell that function by interacting with cytosolic and luminal soluble proteins making the protein composition of each organelle dynamic. Depending on organism, the total number of organelles within a cell varies, indicating their evolution with respect to protein number and function. For example, one of the striking differences between plant and animal cells is the plastids in plants. Organelles have their own proteins, and few organelles like mitochondria and chloroplast have their own genome to synthesize proteins for specific function and also require nuclear encoded proteins. Enormous work has been performed on animal organelle proteomics. However, plant organelle proteomics has seen limited work mainly due to: (i) inter-plant and inter-tissue complexity, (ii) difficulties in isolation of subcellular compartments, and (iii) their enrichment and purity. Despite these concerns, the field of organelle proteomics is growing in plants, such as Arabidopsis, rice and maize. The available data are beginning to help better understand organelles and their distinct and/or overlapping functions in different plant tissues, organs or cell types, and more importantly, how protein components of organelles behave during development and with surrounding environments. Studies on organelles have provided a few good reviews, but none of them are comprehensive. Here, we present a comprehensive review on plant organelle proteomics starting from the significance of organelle in cells, to organelle isolation, to protein identification and to biology and beyond. To put together such a systematic, in-depth review and to translate acquired knowledge in a proper and adequate form, we join minds to provide discussion and viewpoints on the collaborative nature of organelles in cell, their proper function and evolution. PMID- 21038435 TI - Screening complex effluents for estrogenic activity with the T47D-KBluc cell bioassay: assay optimization and comparison with in vivo responses in fish. AB - Wastewater treatment plant (WWTP) effluents can contain estrogenic chemicals, which potentially disrupt fish reproduction and development. The current study focused on the use of an estrogen-responsive in vitro cell bioassay (T47D-KBluc), to quantify total estrogenicity of WWTP effluents. We tested a novel sample preparation method for the T47D-KBluc assay, using powdered media prepared with direct effluent. Results of the T47D-KBluc assay were compared with the induction of estrogen receptor-regulated gene transcription in male fathead minnows (Pimephales promelas) exposed to the same effluents. Effluent samples for the paired studies were collected over the course of three months. According to the T47D-KBluc assay, the effluent estrogenicity ranged from 1.13 to 2.00 ng 17beta estradiol (E2) equivalents/L. Corresponding in vivo studies exposing male fathead minnows to 0, 10, 50, and 100% effluent dilutions demonstrated that exposure to 100% effluent significantly increased hepatic vitellogenin (VTG) and estrogen receptor alpha subunit transcripts relative to controls. The induction was also significant in males exposed to 250 ng E2/L or 100 ng E2/L. The in vitro and in vivo results support the conclusion that the effluent contains significant estrogenic activity, but there was a discrepancy between in vitro- and in vivo based E2 equivalent estimates. Our results suggest that the direct effluent preparation method for the T47D-KBluc assay is a reasonable approach to estimate the estrogenicity of wastewater effluent. PMID- 21038436 TI - Role of pocket flexibility in the modulation of estrogen receptor alpha by key residue arginine 394. AB - Estradiol derivatives, with similar structures as estradiol (E2) or estradiol metabolites, have been recognized to have detrimental health effects on wildlife and humans. However, data at the molecular level about interactions of these compounds with biological targets are still lacking. Herein, a flexible docking approach was used to characterize the molecular interaction of nine estradiol derivatives with estrogen receptor alpha (ERalpha) in the ligand-binding domain. All ligands were docked in the buried hydrophobic cavity of the steroid hormone pocket. In addition, the plasticity of an active site was also identified by reversing amino acid arginine 394 for better ligand-receptor binding affinity. Finally, bioassays based on genetically modified yeast strains were used to validate the quality of molecular simulation because of their rapidity and high sensitivity. The experimental findings about logarithm values of the median effective concentration (EC50) value had a linear correlation with computational binding affinity from molecular docking, which described a pattern of interaction between estradiol derivatives and ER. The estrogenic activity of all compounds, although more or less lower than E2, was proved to possess high severe environmental risks. Considering the sidechain flexibility in the ligand binding pocket, 17alpha-ethylestradiol-3-cyclopentylether was reported to correlate highly significantly with known induced fit conformational changes based upon proof-of-principle calculations on human ERalpha with the preservation of a strong salt bridge between glutamic acid 353 and arginine 394. PMID- 21038437 TI - Development of an enzyme-linked immunosorbent assay for quantifying vitellogenin in Pacific salmon and assessment of field exposure to environmental estrogens. AB - A competitive enzyme-linked immunosorbent assay was developed to quantitate vitellogenin (VTG) in plasma and serum of coho (Oncorhynchus kisutch) and chinook (O. tshawytscha) salmon. The working range of the assay was 9 to 313 ng/ml (80 20% binding), with 50% binding at 54 ng/ml. The intra-assay and interassay variations at approximately 50% binding were 8.1% (n = 9) and 9.0% (n = 9), respectively. Dilution curves of plasma or serum from coho and chinook females and estrogen-treated males were parallel to the purified coho VTG standard curve. Male plasma samples could be assayed at a minimum dilution of 1:40 (chinook) or 1:75 (coho) without assay interference because of high sample concentration, whereas minimum acceptable dilutions of male serum samples were 1:200 (chinook) or 1:600 (coho). Identification of proper techniques for preserving VTG integrity in plasma and serum samples showed that VTG from both species was robust; both sample types required no protease inhibitor despite subjection to two freeze-thaw cycles. To test its applicability, this assay was used to measure VTG in out migrating juvenile chinook that were collected from urban and nonurban areas in Puget Sound, Washington, USA. Results showed a small but significant plasma VTG elevation at two urban sites, suggesting that these juveniles may be exposed to environmental estrogens at an early life stage. Also, wild fish tended to have higher plasma VTG levels than hatchery fish collected in the field. Elevation of mean VTG levels was similar to that previously reported in male English sole from the same area, where both males and females exhibited alterations in timing of spawning. PMID- 21038438 TI - The effect of pH on the uptake and toxicity of the bivalent weak base chloroquine tested on Salix viminalis and Daphnia magna. AB - The uptake and accumulation of most electrolytes will change with pH because of the different speciation states of these compounds at various pH. Non-ionized compounds will partition into fatty and organic phases (such as cell membranes) more readily than the corresponding charged compounds, and therefore a higher toxicity can be expected. The current study examines the pH-dependent toxicity and bioaccumulation of the bivalent weak base chloroquine (pK(a): 10.47 and 6.33, log K(OW) 4.67) tested on Salix viminalis (basket willow) and Daphnia magna (water flea). The transpiration rates of hydroponically grown willow cuttings were used to determine the toxicity of chloroquine at pH levels of 6, 7, 8, and 9. Root concentration factors were calculated from solution measurements. Results showed more than 10-fold higher toxicity and four to seven times higher root concentration factor at pH 9 than at pH 6. The toxicity of chloroquine was tested on Daphnia magna using the standard Organisation for Economic Co-operation and Development acute toxicity test modified to accommodate testing at pH levels of 7, 8, and 9. Increasing toxicity was seen at higher pH. The results of the current study confirm that the toxicity of weak bases with intermediate pK(a) values is higher at high pH levels. PMID- 21038439 TI - Phytochelatin induction by selenate in Chlorella vulgaris, and regulation of effect by sulfate levels. AB - Phytochelatins (PCs) are short metal detoxification peptides made from the sulfur rich molecule glutathione. The production of PCs by algae caused by Se exposure has never been studied, although many algae accumulate Se, forming Se-rich proteins and peptides, and higher plants have demonstrated PC production when treated with Se; therefore, a goal of the current study was to examine whether Se induces PC production in algae. Furthermore, selenate is thought to compete with sulfate in the S assimilation pathway, and sulfate therefore may have a protective effect against the toxic effects of high doses of Se in algae. Hence, the interaction of selenate and sulfate was investigated with respect to the induction of PCs. Chlorella vulgaris was cultured in media with either low (31.2 uM) or high (312 uM) concentrations of sulfate. These cultures were exposed to selenate in doses of 7, 35, and 70 nM for 48 h. In a separate treatment, Cd (890 nM) was added as a positive PC-inducing control, and one no-metal negative control was used. Total Se and Se speciation were determined, and glutathione, phytochelatin-2, and phytochelatin-3 were quantified in each of cell digests, cell medium, and cell lysates. We found that PCs and their precursor glutathione were induced by selenate as well as by a Cd control. The high concentration of sulfate was able to counter selenate-induced production of PCs and glutathione. These data support two possible mechanisms: a negative feedback system in the S assimilation pathway that affects PC production when sulfate is abundant, and competition for uptake at the ion transport level between selenate and sulfate. PMID- 21038440 TI - Parameter uncertainty in modeling bioaccumulation factors of fish. AB - We quantified the uncertainty due to biota-related parameters in estimated bioaccumulation factors (BAFs) of persistent organic pollutants for fish through Monte Carlo simulations. For this purpose, the bioaccumulation model OMEGA (Optimal Modeling for EcotoxicoloGical Applications) was parameterized based on data from the existing literature, analysis of allometric data, and maximum likelihood estimation. Lipid contents, fractions of food assimilated, the allometric rate exponent, normalized food intakes, respiration and growth dilution rates, and partial mass transfer resistances in water and lipid layers were included as uncertain parameters. The uncertainty in partial resistances was particularly important in the estimation of the rate constants for chemical intake from water by fish. Uncertainties in the fractions of food assimilated and partial water layer resistances from and to food were particularly important in the estimation of the rate constants of chemical intake from food. The uncertainty in the model outcomes for the bioaccumulation factors for fish was a factor of 10 (ratio of 95th and fifth percentile estimates), which was mainly caused by the uncertainty in the lipid fraction. For chemicals with a K(OW) of 10(3) to 10(6), the uncertainty in the lipid contents of fish accounted for more than 50% of the uncertainty in the estimated bioaccumulation factor. For chemicals with a high K(OW) (10(7) and higher), the fractions of food assimilated and partial resistances also contributed to uncertainty in the estimated bioaccumulation factor (up to 60%). A case study showed that uncertainty in estimated BAF for nonpersistent substances can be dominated by uncertainty in the rate constants for metabolic transformation. PMID- 21038444 TI - bmp2b and bmp4 are dispensable for zebrafish tooth development. AB - Bone morphogenetic protein (Bmp) signaling has been shown to play important roles in tooth development at virtually all stages from initiation to hard tissue formation. The specific ligands involved in these processes have not been directly tested by loss-of-function experiments, however. We used morpholino antisense oligonucleotides and mutant analysis in the zebrafish to reduce or eliminate the function of bmp2b and bmp4, two ligands known to be expressed in zebrafish teeth and whose mammalian orthologs are thought to play important roles in tooth development. Surprisingly, we found that elimination of function of these two genes singly and in combination did not prevent the formation of mature, attached teeth. The mostly likely explanation for this result is functional redundancy with other Bmp ligands, which may differ between the zebrafish and the mouse. PMID- 21038445 TI - Cooperation of nectin-1 and nectin-3 is required for normal ameloblast function and crown shape development in mouse teeth. AB - Nectins are immunoglobulin-like cell adhesion proteins and their interactions recruit various cell-cell junctions. Mutations in human NECTIN-1 cause an ectodermal dysplasia syndrome, but Nectin-1 null mice have only slight defects in teeth, suggesting compensation by other nectin(s). We observed overlapping expression of nectin-3 with nectin-1 and enamel abnormality in the nectin-3 mutant. We, therefore, generated nectin-1;nectin-3 compound mutants. However, all teeth developed and no significant dental abnormalities were observed before birth. At postnatal day 10, the upper molars of compound mutants exhibited conical crown shape and retarded enamel maturation. Nectin-1 was expressed in ameloblasts whereas nectin-3 was expressed in neighboring stratum intermedium cells at this stage. The immunohistochemical localization and electron microscopical observations indicated that the desmosomal junctions between stratum intermedium and ameloblasts were significantly reduced. These results suggest that heterophilic interaction between nectin-1 and nectin-3 recruits desmosomal junctions, and that these are required for proper enamel formation. PMID- 21038446 TI - Mature hair follicles generated from dissociated cells: a universal mechanism of folliculoneogenesis. AB - The hair follicle is considered to be a model system for studying organogenesis. In our initial study using mouse cells (Zheng et al., 2005) we found that new hair follicle formation always starts from an epithelial platform: the epidermal cells aggregate, the aggregates encyst, and from the periphery of the cysts, centrifugally, hair buds, pegs, and follicles form. In this report, we extend our initial study to four distantly related mammals: opossum, rat, dog and human. We find that in these four species, plus mouse, the most trichogenic cells are found in the earliest stages of hair follicle development and that the cellular mechanism of new hair follicle formation starting from dissociated cells is largely the same. These studies suggest that there is essentially one way by which dissociated mammalian skin cells form a new hair follicle in vivo and that this mechanism has been highly conserved. PMID- 21038447 TI - Developmental expression of Bdnf, Ntf4/5, and TrkB in the mouse peripheral taste system. AB - Brain-derived neurotrophic factor (BDNF), neurotrophin-4 (NT4), and their TrkB receptor regulate taste system development. To determine where and when gustatory neurons come in contact with these important factors, temporospatial expression patterns of Bdnf, Ntf4/5, and TrkB in the peripheral taste system were examined using RT-PCR. In the lingual epithelium, Ntf4/5 mRNA expression was higher than that of Bdnf at embryonic day 12.5 (E12.5), and the expression of both factors decreased afterwards. However, Ntf4/5 expression decreased at an earlier age than Bdnf. Bdnf and Ntf4/5 are expressed in equal amounts at E12.5 in geniculate ganglion, but Bdnf expression increased from E14.5 to birth, whereas Ntf4/5 expression decreased. These findings indicate that NT4 functions at early embryonic stages and is derived from different sources than Bdnf. TrkB expression in the geniculate ganglion is robust throughout development and is not a limiting factor for neurotrophin function in this system. PMID- 21038448 TI - Zebrafish notch signalling pathway mutants exhibit trunk vessel patterning anomalies that are secondary to somite misregulation. AB - The Notch signalling pathway mutants, after-eight (aei), beamter (bea), and deadly-seven (des) have previously been used to study somitogenesis and neurogenesis. Notch signalling has also been shown to have roles in vascular development. However, vascular development in each of these three Notch mutants has not been described, and so their potential usefulness for further understanding the role of Notch signalling in angiogenesis is unknown. Here we demonstrate each of the mutants also exhibit vascular defects in inter-somitic vessel (ISV) positioning and patterning. Ectopic filopodia were also observed on the ISVs of the mutants. Ectopic filopodia are not due to loss of dll4. Somite expression of known vascular guidance cues, efnb2, sema3a2, and plexinD1 are disrupted, suggesting that the ISV vascular phenotype is due to disruption of these cues. PMID- 21038449 TI - Comparative expression pattern analysis of the highly conserved chemokines SDF1 and CXCL14 during amniote embryonic development. AB - Chemokines are secreted proteins with essential roles in leukocyte trafficking and cell migration during embryogenesis. CXCL14 displays a degree of evolutionary conservation unmatched by any other chemokine except for SDF1(CXCL12). However, its role during embryogenesis has not been studied. Here we describe the expression pattern of mouse and chicken CXCL14 during embryogenesis and compare it with that of SDF1. CXCL14 is widely expressed in embryonic ectoderm and shows a restricted and dynamic expression pattern in paraxial mesoderm, mesonephros, neural tube, and limbs. During limb development, CXCL14 marks a unique connective tissue subset that surrounds developing tendons. Comparison of CXCL14 and SDF1 reveals mostly non-overlapping or complementary expression patterns, suggesting an interactive regulation of developmental processes by these two chemokines. Our study identifies CXCL14 as a novel marker of tendon connective tissue and provides a conceptual framework for the coordinated action of two highly conserved chemokines in embryonic development. PMID- 21038451 TI - Efficient access to nonhydrolyzable initiator tRNA based on the synthesis of 3' azido-3'-deoxyadenosine RNA. PMID- 21038452 TI - A versatile catalyst for reductive amination by transfer hydrogenation. PMID- 21038455 TI - Stationary phases - news and views. PMID- 21038453 TI - Total synthesis of the marine antibiotic pestalone and its surprisingly facile conversion into pestalalactone and pestalachloride A. PMID- 21038456 TI - Some new selective stationary phases for RP-HPLC. AB - At the present time, more complex analyses of apolar compounds with similar chemical structures or of polar compounds, especially basic ones, having diverse properties require more selective stationary phases having better stabilities. This paper describes several new stationary phases with directed selectivities that were prepared by immobilizing two different phenyl group-containing siloxanes and a trifluoropropyl-containing siloxane onto chromatographic silica and, in the case of the fluorinated siloxane, onto zirconized silica, using thermal treatment or microware radiation. The chromatographic properties and stabilities of these new phases were determined and several applications were evaluated. The phenyl-containing phases showed excellent characteristics related to the separation of several different types of aromatic compounds while the fluorinated phases, which present a more polar character, revealed selectivity for the separation of positional isomers as well as for a mixture of basic pharmaceuticals. Stability tests indicate that immobilization of the polysiloxanes increases column lifetimes by making the stationary phases less susceptible to dissolution, while the phases immobilized with microwave radiation were somewhat more stable than those immobilized by thermal treatments. PMID- 21038457 TI - Tris(hydroxymethyl)aminomethane-functionalized silica particles and their application for hydrophilic interaction chromatography. AB - A new method is presented for synthesizing a highly hydrophilic silica-based material for use in hydrophilic interaction chromatography. Porous silica particles used as a starting substrate were modified with 3-bromopropyl trichlorosilane and grafted with glycidyl methacrylate by controlled ("living") atom transfer radical polymerization in order to introduce an oxirane-carrying reactive tentacle layer on the silica surface. The grafted material was thereafter subject to an oxirane ring opening reaction with tris(hydroxy methyl)aminomethane in dimethylformamide to yield a polymer-bound equivalent of the well known and highly hydrophilic "TRIS" buffering substance. Chemical characterization was done by diffuse reflectance FT-IR, X-ray photoelectron spectroscopy, elemental analysis, and (1)H NMR. Porosity and surface area examination was done with Brunauer-Emmett-Teller. Chromatographic application of the material was evaluated by separations of nucleic bases, small organic acids, and common nucleotides under mixed hydrophilic interaction chromatography and weak anion exchange conditions. PMID- 21038458 TI - Reversed-phase HPLC of peptides: Assessing column and solvent selectivity on standard, polar-embedded and polar endcapped columns. AB - We desired to evaluate the chromatographic selectivity for peptides of silica based RP high-performance liquid chromatography stationary phases with various modifications (polar embedding and polar endcapping on C(18) columns; ether linked phenyl column with polar endcapping) compared with n-alkyl (C(18), C(8)) and aromatic phenylhexyl columns. Thus, we have designed and synthesized two series of synthetic peptide standards with the sequence Gly-Gly-Leu-Gly-Gly-Ala Leu-Gly-X-Leu-Lys-Lys-amide, where the N-terminal either contains a free alpha amino group (AmC series) or is N(alpha)-acetylated (AcC series) and where position X is substituted by Gly, Ala, Val, Ile, Phe or Tyr. These represent series of peptides with single substitutions of n-alkyl (GlyMeasurements of water wave shapes with stereogrammetry methods require the identification of key features from a minimum of two viewpoints to determine surface topography. A technique that produces a matrix of laser beams from a single source through the use of a holographic lenslet array is described. The beams were used to produce a matrix of highlights on the water wave surface.
Stereogrammetry techniques were then employed to calculate the surface shape from the matrix of imposed highlight features. A laboratory demonstration of the technique is presented, and a discussion of its extension to field conditions is given. A split-screen viewing system was devised to permit the highlights from different angles to be viewed simultaneously with a single camera.
PMID- 21052205 TI - Quantitative rainbow schlieren deflectometry. AB - In the rainbow schlieren apparatus, a continuously graded rainbow filter is placed in the back focal plane of the decollimating lens. Refractive-index gradients in the test section thus appear as gradations in huerather than irradiance. Asimple system is described wherein a conventional color CCD array and video digitizer are used to quantify accurately the color attributes of the resulting image, and hence the associated ray deflections. The present system provides a sensitivity comparable with that of conventional interferometry, while being simpler to implement and less sensitive to mechanical misalignment. PMID- 21052206 TI - Temperature-compensated fiber specklegram strain sensing with an adaptive joint transform correlator. AB - A temperature-compensated fiber specklegram strain sensor with an adaptive joint transform correlator (JTC) is presented. By exploiting the dual-channel correlation of the fiber specklegram JTC, we can measure the temperature compensated strain. Experimental results have shown that the strain sensitivity can be as high as 0.1 ustrain/1 degrees C. PMID- 21052207 TI - Photon migration in the presence of a single defect: a perturbation analysis. AB - We present an analytical perturbation analysis for studying the sensitivity of diffusive photon flux to the addition of a small spherical defect object in multiple-scattering media such as human tissues. As a first simple application of our perturbation method, we derive analytically the photon migration path distributions and the shapes of the so-called banana regions in which the photon migration paths are concentrated. We then derive analytically the sensitivity of detected photon flux densities to the inclusion of small spherical defects in the multiple-scattering medium for both single-source and two-source configurations, at both steady-state (dc) and frequency-modulation conditions, and compare the results with Monte Carlo simulations. PMID- 21052208 TI - Nonlinear techniques in optical pattern recognition: introduction by the feature editors. AB - This feature of Applied Optics is dedicated to research in optical pattern recognition techniques that utilize nonlinear devices and algorithms. Nonlinearities are employed in a variety of ways in optical pattern recognition and play an important role in both hardware implementation and software development for optical pattern-recognition systems. PMID- 21052209 TI - Performance of an optimum receiver designed for pattern recognition with nonoverlapping target and scene noise. AB - The design of an optimum receiver for pattern recognition is based on multiple alternative hypothesis testing with unknown parameters for detecting and locating a noisy target or a noise-free target in scene noise that is spatially nonoverlapping with this target. The optimum receiver designed for a noise-free target has the interesting property of detecting, without error, a noise-free target that has unknown illumination by using operations that are independent of the scene-noise statistics. We investigate the performance of the optimum receiver designed for nonoverlapping target and scene noise in terms of rotation and scale sensitivity of the input targets and discrimination against similar objects. Because it is not possible in practical systems to have a completely noise-free target, we examine how the performance of the optimum receiver designed for a noise-free target is affected when there is some overlapping noise on the target. The application of the optimum receiver to binary character recognition is described. Computer simulation results are provided. PMID- 21052210 TI - Nonlinear optical hit-miss transform for detection. AB - Morphological processing involves nonlinear low-level image-processing operations that can be realized on optical processors. Amodified version of the hit-miss morphological transform is described for object detection. Simulation results and optical laboratory realizations are presented. Some of the simple filters required can be realized as ternary-phase-amplitude optical filters. PMID- 21052211 TI - Application of serial- and parallel-projection methods to correlation-filter design. AB - We describe generalized projection procedures for the design of arbitrary filter functions for correlators. More specifically, serial and parallel implementations of projection-based algorithms are employed. The novelty of this procedure lies in its generality and its ability to handle wide varieties of constraints by the same procedure. The procedure is demonstrated by the design of filters for the 4 f linear correlator, the phase-extraction correlator, and variants thereof. The filters are subject to a variety of constraints, including rotation-invariant pattern recognition and class discrimination. Examples are given to show the versatility, flexibility, and applicability of the design process to a variety of pattern-recognition tasks. Satisfactory results are also obtained because of the combination with the special nonlinear correlators proposed for pattern recognition. PMID- 21052212 TI - Optical correlation of images with signal-dependent noise using constrained modulation filter devices. AB - Images with signal-dependent noise present challenges beyond those of images with additive white or colored signal-independent noise in terms of designing the optimal 4-f correlation filter that maximizes correlation-peak signal-to-noise ratio, or combinations of correlation-peak metrics. Determining the proper design becomes more difficult when the filter is to be implemented on a constrained modulation spatial light modulator device. The design issues involved for updatable optical filters for images with signal-dependent film-grain noise and speckle noise are examined. It is shown that although design of the optimal linear filter in the Fourier domain is impossible for images with signal dependent noise, proper nonlinear preprocessing of the images allows the application of previously developed design rules for optimal filters to be implemented on constrained-modulation devices. Thus the nonlinear preprocessing becomes necessary for correlation in optical systems with current spatial light modulator technlogy. These results are illustrated with computer simulations of images with signal-dependent noise correlated with binary-phase-only filters and ternary-phase-amplitude filters. PMID- 21052213 TI - Computation of arbitrarily constrained synthetic discriminant functions. AB - An algorithm for computing correlation filters based on synthetic discriminant functions that can be displayed on current spatial light modulators is presented. The procedure is nondivergent, computationally feasible, and capable of producing multiple solutions, thus overcoming some of the pitfalls of previous methods. PMID- 21052214 TI - Basic properties of nonlinear global filtering techniques and optimal discriminant solutions. AB - The basic properties of nonlinear global filtering techniques are analyzed. A nonlinear processor for pattern recognition that is optimum in terms of discrimination and that is tolerant of variations of the object to be recognized is presented. We compare this processor with power-law and nonlinear joint transform correlators. PMID- 21052215 TI - Optical correlators with (-k)th-law nonlinearity: optimal and suboptimal solutions. AB - A computer simulation of nonlinear correlators with (-k)th-law nonlinearity has been implemented. The nonlinearity is applied to the input-image power spectrum, with either a matched filter or a phase-only filter representing the reference object. Optimal correlators (with an exactly known power spectrum of the background-image component) and suboptimal correlators have been studied in order to establish potential limits and achievable figures for the correlator's discrimination capability in a target location in a cluttered background. For the suboptimal correlators, different values of the nonlinearity index k have been investigated for two methods of the image's power-spectrum estimation and for different degrees on the limitation of the nonlinearity's dynamic range. The results show that the nonlinear correlators promise significant improvement in the correlator's discrimination capability and provide important information for evaluating the practical aspects of the correlator's design. PMID- 21052216 TI - Fourier-plane windowing in the binary joint transform correlator for multiple target detection. AB - With recent advances in state-of-the-art spatial light modulators, the optical joint transform correlator (JTC) and the binary joint transform correlator (BJTC) are becoming practical signal-processing tools. The performance of these devices is limited by the difficulty of separating the cross correlation between the reference and the targets in the scene from signals resulting from cross correlations between objects in the target scene. One technique that reduces this problem is to use a sliding window in the Fourier plane as a convolution mask filter to set an adaptive binarization threshold. This suppresses the autocorrelation response and reduces the dynamic range of the Fourier-plane signal. This results in correlation performance improvement by a factor of 2 to 4. A mathematical model is developed to describe the windowing process for both the JTC and BJTC for the case in which the scene contains multiple targets and background clutter. The derivation of the windowing process is general and includes any spatial high-pass or bandpass filtering in the Fourier plane. The results are supported with experimental data. PMID- 21052217 TI - Nonlinear filtering in object and Fourier space in a joint transform optical correlator: comparison and experimental realization. AB - The use of different kinds of nonlinear filtering in a joint transform correlator are studied and compared. The study is divided into two parts, one corresponding to object space and the second to the Fourier domain of the joint power spectrum. In the first part, phase and inverse filters are computed; their inverse Fourier transforms are also computed, thereby becoming the reference in the object space. In the Fourier space, the binarization of the power spectrum is realized and compared with a new procedure for removing the spatial envelope. All cases are simulated and experimentally implemented by a compact joint transform correlator. PMID- 21052218 TI - Optical implementation of neural networks for face recognition by the use of nonlinear joint transform correlators. AB - We describe a nonlinear joint transform correlator-based two-layer neural network that uses a supervised learning algorithm for real-time face recognition. The system is trained with a sequence of facial images and is able to classify an input face image in real time. Computer simulations and optical experimental results are presented. The processor can be manufactured into a compact low-cost optoelectronic system. The use of the nonlinear joint transform correlator provides good noise robustness and good image discrimination. PMID- 21052219 TI - Photon-limited image classification with a feedforward neural network. AB - An application of neural networks to the classification of photon-limited images is reported. A three-level feedforward network architecture is employed in which the input units of the network correspond to the pixels of a two-dimensional image. The network is trained in a minicomputer by the use of the backpropagation technique. The statistics of the network components are analyzed, resulting in a method by which the probability of correct classification of a given input image can be calculated. Photon-limited images of printed characters are obtained with a photon-counting camera and are classified. The experimental results are in excellent agreement with theoretical predictions. PMID- 21052220 TI - Nearly optimal correlations for shift-invariant associative memories. AB - The optical implementation of the Hopfield algorithm in shift-invariant holographic associative memories is based on the use of correlators with matched filters. However, it is well known that such correlators have poor discrimination. We propose nearly optimal correlation designs for associative memories based on correlation filters that have maximum discrimination ability. These new designs avoid large cross-correlation-peak terms caused by a mismatch between partial input and the fully stored information in the filter. These solutions rely on whitened spectra of the stored and the recalled information.Computer simulations are made of eight different combinations. PMID- 21052221 TI - Nonlinear techniques in optical synthetic aperture radar image generation and target recognition. AB - One of the most successful optical signal-processing applications to date has been the use of optical processors to convert synthetic aperture radar (SAR) data into images of the radar reflectivity of the ground. We have demonstrated real time input to a high-space-bandwidth optical SAR imagegeneration system by using a dynamic organic holographic recording medium and SAR phase-history data. Real time speckle reduction in optically processed SAR imagery has been accomplished by the use of multilook averaging to achieve nonlinear modulus-squared accumulation of subaperture images. We designed and assembled an all-optical system that accomplished real-time target recognition in SAR imagery. This system employed a simple square-law nonlinearity in the form of an optically addressed spatial light modulator at the SAR image plane to remove the effects of speckle phase profiles returned from complex SAR targets. The detection stage enabled the creation of an optical SAR automatic target recognition system as a nonlinear cascade of an optical SAR image generator and an optical correlator. PMID- 21052222 TI - Optical implementation of a wavelet transform by the use of dynamic holographic recording in a photorefractive material. AB - An optical system that employs holographic recording in a photorefractive material is proposed and experimentally demonstrated for the implementation of a wavelet transform of two-dimensional mages. A scaling operation, to derive the family of wavelet filters from a mother wavelet filter, is performed by the use of an optical feedback loop. The selection of a desired wavelet filter from the family and the correlation for a wavelet transformation are made by the use of a holographic recording in a photorefractive material. The principle of operation of the system relies on the frequency detuning introduced inside the loop and the subsequent variation in the holographic grating diffraction. Experimental results on wavelet-filter selection and wavelet transformation are presented. This nonlinear optical wavelet-transform system is advantageous for pattern recognition applications. PMID- 21052223 TI - Theory and optical implementation of the geometrical approach of multiple circular harmonic filters. AB - The circular harmonic filter contains only one component of the image. Its discrimination capability has been questionable. The geometrical approach of multiple circular harmonic filters uses relative locations of the correlation peaks as the rotation-, shift-, and intensity-invariant features for pattern recognition. Each feature depends on the entire image. This approach has a good discrimination capability. Optical real-time implementation of the on-axis continuous phase-only circular harmonic filters by the use of a commercial liquid crystal television is shown. A harmonic analysis shows that the phase-mostly filter can tolerate coupled amplitude modulation at the acceptable expense of the output signal-to-noise ratio. An optical experiment of the geometrical approach of multiple circular harmonic filters for a multiple-image input is described. The cross-correlation peaks between the individual filters and the clutter are eliminated, because they are not in good locations. PMID- 21052224 TI - Fringe visibility improvement using an asynchronous image-subtracting optically addressed spatial light modulator. AB - We demonstrate the application of an asynchronous image-subtraction optically addressed spatial light modulator to particle image velocimetry fringe processing. The device comprises an amorphous silicon p-i-n-i-p photosensor and a ferroelelectric liquid-crystal light-modulating layer. The images to be subtracted are encoded on two separate wavelengths. The operation of the device is described, and characterization shows a frame rate of 100 Hz, a resolution of 3 line pairs/mm, and a write-light sensitivity of ~1 mW/cm(2) at a wavelength of 514 nm. The device is read by the use of light with a 633-nm wavelength whereas the subtraction light is at a wavelength of 670 nm. Using this device to subtract a nonuniform pedestal from the optically computed power spectral density function (the Young's fringe pattern), we find we can improve the signal-to-clutter ratio of peaks in the image-transmittance autocorrelation function of particle image velocimetry transparencies. The device also permits processing of very low visibility fringe patterns, generated from doubly exposed images, in which one image has half the transmittance of the other. These could not be processed with a nonsubtracting, binary, liquid-crystal optically addressed spatial light modulator. PMID- 21052225 TI - Image-contrast manipulation by the use of photorefractive ring multiresonators. AB - The nonlinear oscillations of photorefractive ring resonators that contain a microlens array are shown topermit controllable optical image-contrast compression and expansion. PMID- 21052226 TI - Binary phase zone-plate arrays for laser-beam spatial-intensity distribution conversion. AB - We report on the theory and development of a diffractive element composed of a binary phase zone-plate array. This component conditions the intensity distribution in the focal plane of a conventional refractive lens to generate efficiently (82%) a flattop intensity envelope on target. Analysis of the design indicates that manufacturing tolerances are not critical. Experimental performances on target from x-ray emission and shock-breakout measurements are also presented. PMID- 21052227 TI - Method for simulating atmospheric turbulence phase effects for multiple time slices and anisoplanatic conditions. AB - Simulating the effects of atmospheric turbulence on optical imaging systems is an important aspect of understanding the performance of these systems. Simulations are particularly important for understanding the statistics of some adaptive optics system performance measures, such as the mean and variance of the compensated optical transfer function, and for understanding the statistics of estimators used to reconstruct intensity distributions from turbulence-corrupted image measurements. Current methods of simulating the performance of these systems typically make use of random phase screens placed in the system pupil. Methods exist for making random draws of phase screens that have the correct spatial statistics. However, simulating temporal effects and anisoplanatism requires one or more phase screens at different distances from the aperture, possibly moving with different velocities. We describe and demonstrate a method for creating random draws of phase screens with the correct space-time statistics for a bitrary turbulence and wind-velocity profiles, which can be placed in the telescope pupil in simulations. Results are provided for both the von Karman and the Kolmogorov turbulence spectra. We also show how to simulate anisoplanatic effects with this technique. PMID- 21052228 TI - Generalized methodology for modeling and simulating optical interconnection networks using diffraction analysis. AB - Research in the field of free-space optical interconnection networks has reached a point where simulators and other design tools are desirable for reducing development costs and for improving design time. Previously proposed methodologies have only been applicable to simple systems. Our goal was to develop a simulation methodology capable of evaluating the performance characteristics for a variety of different free-space networks under a range of different configurations and operating states. The proposed methodology operates by first establishing the optical signal powers at various locations in the network. These powers are developed through the simulation by diffraction analysis of the light propagation through the network. After this evaluation, characteristics such as bit-error rate, signal-to-noise ratio, and system bandwidth are calculated. Further, the simultaneous evaluation of this process for a set of component misalignments provides a measure of the alignment tolerance of a design. We discuss this simulation process in detail as well as provide models for different optical interconnection network components. PMID- 21052229 TI - Spatial frequency notching by source modification. AB - A partially coherent image-enhancement system is introduced for notching unwanted spatial frequency components. The technique offers two advantages over a more conventional coherent spatial-filtering approach. First, the method utilizes a fixed pupil mask and a dynamic source and eliminates the need for a high-optical quality spatial light modulator. Second, the redundancy that is introduced by the source provides greatly improved immunity to the effects of optical setup noise (dust, scratches, and other imperfections on optical surfaces). Numerical simulations and a laboratory experiment support the analysis. PMID- 21052230 TI - Fresnel's rings in reconstruction of scattering media holograms. AB - The theoretical description of the field reconstructed from an in-line hologram of dispersed media is presented. The intensity distribution in the focal plane of a lens placed behind a hologram is analyzed. It is shown that two overlapped patterns are observing in this plane. The first pattern is entirely in accordance with the small-angle spectrum of radiation scattered by the ensemble of particles, and its view does not depend on the distances between the particles, the hologram, and the lens. The second pattern is made of Fresnel's rings and depends on the distance between the particles and the hologram. A statistical interpretation of the distribution of intensity in the focal plane of a lens is proposed. Experimental results and discussion are presented. PMID- 21052231 TI - Optical fingerprint recognition using a waveguide hologram. AB - We demonstrate the fabrication of a waveguide hologram and describe how a plane wave output beam can be generated for the illumination of a finger for fingerprint image capture. Also, when using a diverging beam in the substrate as a reference wave, one obtains a set of gratings written in the hologram. The reflected light from the finger is spatially filtered by this hologram, providing bandpassed information back through the waveguide when it is viewed through the plate. PMID- 21052232 TI - Nonstationary amplification of the holographic recording in doped BSO crystals: a base for photorefractive incoherent-to-coherent optical conversion. AB - An effect of nonstationary amplification of the holographic recording in pure and transition-metal doped crystals of the sillenite type (Bi(12)SiO(20), or BSO), irradiated in advance with light from the red or near-infrared spectrum, is investigated. This amplification can serve as a base for incoherent-tocoherent optical conversion. It is found that BSO crystals doped with iron and cobalt have a highersensitivity and much wider spatial-frequency range, where the effect of the amplification can be observed,compared with nondoped crystals. PMID- 21052233 TI - Reconstruction of complex signals using minimum Renyi information. AB - An information divergence, such as Shannon mutual information, measures the distance between two probability-density functions (or images). A wide class of such measures, called alpha divergences, with desirable properties such as convexity over all space, was defined by Amari. Renyi's information Dalpha is an alpha divergence. Because of its convexity property, the minimum of Dalpha is easily attained. Minimization accomplishes minimum distance (maximum resemblance) between an unknown image and a known reference image. Such a biasing effect permits complex images, such as occur in inverse syntheticaperture- radar imaging, to be well reconstructed. The algorithm permits complex amplitudes to replace the probabilities in the Renyi form. The bias image may be constructed as a smooth version of the linear, Fourier reconstruction of the data. Examples on simulated complex image data with and without noise indicate that the Renyi reconstruction approach permits superresolution in low-noise cases and higher fidelity than ordinary, linear reconstructions in higher-noise cases. PMID- 21052234 TI - Multilevel phase- and amplitude-encoded modified-filter synthetic-discriminant function filters. AB - The performance of the modified-filter synthetic-discriminant-function (MfSDF) filter with multilevel phase and amplitude (MLAP) constraints is investigated with various in-plane rotated images from an in-class Bradley armored personnel carrier vehicle and an out-of-class Abram MI tank; this is of interest because of the commercial availability of liquid-crystal televisions, which are able to encode the gray-level amplitude and/or the discrete multilevel phase information. The evaluation is performed to explain better the image-distortion range that can be covered effectively by MLAP/MfSDF filters. The results show that the MLAP/MfSDF filter offers much-improved correlator system performance with a greater allowable image-distortion range while maintaining 100% discrimination between in-class and out-ofclass images; furthermore, it shows an improved ability to accommodate the input image noise when compared with the MfSDF filter with a binary phase-only constraint. Thus the MLAP/MfSDF can be implemented effectively by a hybrid optical/digital correlator system to track a vehicle or a tank dynamically as it moves along a random trajectory across the input field. PMID- 21052235 TI - Three-dimensional optical memory with a photorefractive crystal. AB - We propose a three-dimensional optical-memory device in which refractive dot data are recorded directly into a photorefractive crystal. To record a single bit of datum, one focuses a laser beam with an objective lens onto a specific spot in a crystal, thereby changing its refractive index locally as a result of photorefraction. To record in three dimensions, one keeps the objective lens stationary while the crystal is translated. The beam-spot intensity is modulated with a beam shutter according to the logic state of the data point. The recorded data points are read with a phase-contrast microscope objective lens. We present experimental results of three-dimensional recording and reading with a LiNbO(3) crystal. The distribution of the refractive index formed by a focused beam is also analyzed with the charge-transport model. PMID- 21052236 TI - Fractional Fourier transform used for a lens-design problem. AB - The fractional Fourier transform has been used in optics so far for wave propagation and for signal processing. Now we show that this new transform can also be helpful for lens design, especially for specifying a lens cascade. PMID- 21052237 TI - Compact optical temporal processors. AB - Optical signal processing can be done with time-lens devices. A temporal processor based on chirp-z transformers is suggested. This configuration is more compact than a conventional 4-f temporal processor. On the basis of implementation aspects of such a temporal processor, we did a performance analysis. This analysis leads to the conclusion that an ultrafast optical temporal processor can be implemented. PMID- 21052238 TI - Stretch, time lenses, and incoherent time imaging. AB - The stretch, or time-lens, concept is developed further. Alternative formulations that lead to invariant systems are described, as well as methods for synthesizing the time equivalent of spatially and temporally incoherent systems. PMID- 21052239 TI - Neural network implementation using self-lensing media. AB - An all-optical implementation of a feed-forward artificial neural network is presented that uses self-lensing materials in which the index of refraction is irradiance dependent. Many of these types of material have ultrafast response times and permit both weighted connections and nonlinear neuron processing to be implemented with only thin material layers separated by free space. Both neuron processing and weighted interconnections emerge directly from the physical optics of the device. One creates virtual neurons and their connections simply by applying patterns of irradiance to thin layers of the nonlinear media. This is a result of a variation of the refractive-index profile of the self-lensing nonlinear media in response to the applied irradiance. An optical-backpropagation training method for this network is presented. The optical backpropagation is a training method that can be implemented potentially within the same optical device as the forward calculations, although several issues crucial to this po sibility remain to be addressed. Such a network was numerically simulated and trained to solve many benchmark classification problems, and some of these results are presented. To demonstrate the feasibility of building such a network, we also describe experimental work in the construction of an optical network trained to perform a logic XNOR function. This network, as a proof of concept, uses a relatively slow thermal nonlinear material with ~1-s response time. PMID- 21052240 TI - Weight and neuron output resolution requirements in optoelectronic neural networks. AB - We demonstrate that the resolution requirements of the optoelectronic devices used in the communication links of an analog multiperceptron neural network, trained with the standard backpropagation algorithm, can be simultaneously reduced to 8 bits (receiver) and 4 bits (transmitter), respectively, without any significant effect on the network's learning or generalization performances. In addition, we also show that a simple modification to the sigmoidal function, used within each neuron architecture, permits the resolution requirements of the optoelectronic receiver to be further reduced to 4 bits without any additional effect on network performance other than a reduction in learning rate. Both of these limited device resolution performances, however, can be achieved only provided that the weight-storage and the weight-updating procedures are maintained at 14 bits or greater. PMID- 21052241 TI - Recording of 6000 holograms by use of spectral hole burning. AB - Experiments verifying a new method of storing spectral hole-burning holograms, which yields reduced cross talk as compared with standard spectral hole-burning holograms, have been conducted. Results demonstrating the reduced width of this type of hologram in both frequency and the applied electric-field dimension are presented. Analytic solutions for the spectral width and diffraction efficiency of these holograms are presented. Using this exposure technique, we have recorded 6000 holograms in a single spectral hole-burning sample. PMID- 21052242 TI - Rectangular characteristic gratings for waveguide input and output coupling. AB - Normal-incidence planar-optical waveguide-imbedded phase gratings of finite aperture width and length are analyzed with Svidzinskii's (Sov. J. Quantum Electron. 10, 1103 (1980)] two-dimensional Braggdiffraction theory. Svidzinskii's characteristic-grating equations are adapted for the rectangulargrating case, and an overlap integral is used to extend the theory to account for the mode structure of the waveguide. The combined theory is used to optimize the throughput of a system composed of an input grating coupler, a waveguide, and an output grating coupler for both the highly multimode (thickwaveguide) and the few mode (thin-waveguide) cases. PMID- 21052243 TI - Interpretation of reflection and transmission spectra for thin films: transmission. AB - The optical behavior of a thin film, that is, peak positions and intensities, is discussed for transmission under a thin-film approximation. The infrared transmission spectra of thin films, both standing films and those on dielectric substrates, are simulated for s and p polarization at various angles of incidence. For spectral simulation, the matrix method is used in conjunction with noise-free complex refractive indices based on the dispersion theory. The peak positions in the simulated spectra are compared with transverse optic and longitudinal optic frequencies based on the macroscopic theory. The simulated peak intensities for the standing films are compared with the prediction based on the thin-film approximation. Furthermore, it is found from the spectral simulation for thin films on dielectric substrates that the peak intensity for a thin film may depend on the thickness and refractive index of the substrate. PMID- 21052244 TI - Fundamental performance comparison of a Hartmann and a shearing interferometer wave-front sensor. AB - The performance of ground-based optical imaging systems is severely degraded from the diffraction limit by the random effects of the atmosphere. Adaptive-optics techniques have been used to compensate for atmospheric-turbulence effects. A critical component in the adaptive-optics system is the wave-front sensor. At present, two types of sensors are common: the Hartmann-Shack wave-front sensor and the shearing interferometer wave-front sensor. In this paper we make a direct performance comparison of these two sensors. The performance calculations are restricted to common configurations of these two sensors and the fundamental limits imposed by shot noise and atmospheric effects. These two effects encompass the effects of extended reference beacons and sensor subaperture spacings larger than the Fried parameter r(0). Our results indicate comparable performance for good seeing conditions and small beacons. However, for poor seeing conditions and extended beacons, the Hartmann sensor has lower error levels than the shearing interferometer. PMID- 21052245 TI - 1.2-um transitions in erbium-doped fibers: the possibility of quasi-distributed temperature sensors. AB - We propose the principle of a high-dynamic, quasi-distributed temperature sensor based on the behavior of the 1.13- and the 1.24-um emission lines in erbium-doped silica fibers. The ratio of fluorescent intensity of these lines presents a temperature dynamic of more than 11 dB between room temperature and 600 degrees C. As the lower level of these transitions is not the fundamental, the emission lines are absorption free, and no dependence of the intensity ratio of the two lines has been observed, with power and wavelength pump variations permitting the realization of self-calibrated quasi-distributed sensors. PMID- 21052246 TI - Performance analyses of an infrared single-mode all-fiber-optic Fourier-transform spectrometer. AB - Stretching one of a pair of fiber arms can be done to realize optical phase modulation for an IR single-mode all-fiber-optic Fourier-transform spectrometer (FTS). But this operation will inevitably limit the physical performance of a FTS. We study these limits theoretically and experimentally. The optical path difference (OPD) will be dispersive. At the first-order approximation, this OPD dispersion will result in a shift in the recovered spectra. The spectral resolution and the sampling distance will also be dispersive. Linear birefringence introduced when a curved fiber is stretched will affect the final spectra. This effect can be eliminated by real-time compensation and (or) by system design. Errors encountered uniquely in the all-fiber-optic FTS in the optical phase domain, such as the fiber-parameter errors, nonlinearity of the piezoelectric cylinder, and their effects on the spectra are analyzed, from which we deduce the requirements for calibration. Finally, calibration methods for optical phase modulation are discussed. PMID- 21052247 TI - Vector modes of lasers with radially birefringent elements. AB - A general theory of steady-state diffractive vector modes for lasers with polarizing optical elements is reviewed. The problems inherent in including radially birefringent media such as solid-state rods are emphasized. A trade-off between ease of implementation, ease of interpretation, and cost of computation arises from the choices of representations of the field. In the scalar theory for axisymmetric resonators, the polar representation with expansion of the field in azimuthal Fourier series yields separation into an integral equation for each azimuthal index. A theoretical investigation of this approach for the vector case is presented. For a simple resonator composed of two mirrors and a bifocal lens, separation into coupled pairs of integral equations is obtained. Numerical solutions that use fast Hankel transforms from scalar theory are straightforward. Complications arise when other optical elements are introduced into the resonator. Methods for handling the general case are discussed. PMID- 21052248 TI - Representation of birefringent filters by directly composed 4 * 4 matrices. AB - The interaction of an obliquely incident plane wave with an arbitrary birefringent plane structure can be described exactly by a so-called 4 * 4 layer matrix. However, its formation is rather complex, and therefore a simplified method to set up this matrix is proposed. It is deduced from the boundary conditions for the tangential components of the E and the H fields at the interfaces of the birefringent layer and the phase shifts of the four waves within the layer between both interfaces. The refractive indices and the directions of polarization of the four waves are calculated from the well-known dispersion relation in the principal coordinate system and a coordinate transformation. Transmission curves of Lyot filters made of uniaxial and biaxial materials are calculated in the cases of plane waves of finite or infinite lateral extension that are incident under Brewster's angle upon the filter positioned outside or within a laser resonator. The special examples given for a quartz filter and a YAlO(3) filter are characterized by a high selectivity within a broad bandwidth if Fabry-Perot effects can be neglected. Transmission curves of a quartz filter were verified by measurements. PMID- 21052249 TI - Investigation of unstable resonators with a variable-reflectivity mirror based on a radial birefringent filter for high-average-power solid-state lasers. AB - We investigate a Gaussian-type unstable resonator. The Gaussian mirror comprises a two-element radial birefringent element used within a ring-mirror configuration. It is shown that this resonator compensates undesirable thermally induced birefringence of the active element, which is typical for high-average power flash-lamp-pumped solid-state lasers. We prove that this resonator is workable and suggest some possibilities for its practical use. Polarization and geometric analyses are also included. PMID- 21052250 TI - Interferometer for optical coupling and mode selection in a multichannel laser array. AB - A theoretical consideration is presented of the optical coupling and selection of laser array modes by the use of a developed Lummer-Gehrcke interferometer as a resonator reflector. Control of the mirror reflection of the proposed interferometer permits laser power to be redistributed through channels on the outlet resonator mirror; in particular, it makes it possible to lead power out of the resonator by a single beam. In this way it is possible to diminish the sidelobes in the far-field radiation profile of multichannel lasers and to raise the efficiency of the optical coupling of the laser array with waveguides and fibers. This method may be used for the redistribution of laser power on the outlet mirror in striped lasers as well. PMID- 21052251 TI - Geometry-induced fluorescence resonances in small lossy capillaries. AB - We report the first experimental demonstration of cavity-induced resonances that occur without total internal reflection. We obtained these resonances by observing the fluorescence spectrum from the molecules enclosed within a small, lossy capillary of the type used for capillary zone electrophoresis. Even with weak reflections from the capillary inner walls, enough feedback exists to superimpose resonances on the otherwise smooth fluorescence spectrum. These resonances, commonly called morphologydependent resonances or whispering-gallery modes, have typically been observed only in cavities in which total internal reflection is present. PMID- 21052252 TI - Extraordinary-mode refractive-index change produced by the linear electro-optic effect in LiNbO(3) and reverse-poled LiNbO(3). AB - To examine aspects of an integrated photonic electric-field sensor, we calculate electro-optically induced refractive-index change in regular and reverse-poled LiNbO(3). Specifically, for y-propagating extraordinary modes, we determine how index change depends on electric-field magnitude and direction. To accomplish this, changes in index-ellipsoid shape and orientation are found by the use of a numerical eigenvalue procedure to diagonalize the impermeability tensor; then, refractive index is calculated by the use of a vector reference-frame transformation and a small perturbation approximation. A general formula is inferred from calculations for specific field directions. Electro-optic coefficients for reversepoled LiNbO(3) are obtained by application of a tensor reference-frame transformation to those of LiNbO(3). The index-calculation procedure has utility beyond the problem that is considered. PMID- 21052253 TI - Polarization control of a Q-switched, diode-pumped Nd:YAG laser. AB - We describe control of the polarization state of a diode-pumped Nd:YAG laser that is Q switched with an acousto-optic modulator (AOM). One of two orthogonal linear polarization states can be made dominant, depending on the amount of loss introduced by the AOM. Heterodyne beating indicates that the two polarization states are of slightly different frequencies. PMID- 21052254 TI - Plasma treatment for restoration of dielectric multilayer mirrors in short wavelength free-electron lasers. AB - Dielectric multilayer mirrors, degraded through irradiation by high-energy undulator radiation, were successfully restored by surface treatment with RF induced O(2) plasma. The mirror loss, which had been increased up to ~1000 parts in 10(6) (ppm) through the mirror degradation, was drastically reduced to ~100 ppm during the treatment. Such a mirror-restoration technique has been desired especially in short-wavelength free-electron lasers (FEL's), because the laser gain is so small that even a mirror loss as small as ~1000 ppm interferes with the FEL oscillation. The mirror degradation is most likely caused by the deposition and doping of carbon atoms onto the dielectric surface. The surface analysis by the x-ray photoelectron spectroscopy revealed that the plasma treatment effectively removed the carbon contamination covering the mirror surfaces without serious surface damage by high-energy particles from the plasma. PMID- 21052256 TI - Development of an intracavity-summed multiple-wavelength Nd:YAG laser for a rugged, solid-state sodium lidar system. AB - A single-cavity solid-state laser that is resonant with sodium D(2) absorption is reported. Simultaneous 1.06- and 1.32-um emission from a Q-switched Nd:YAG laser is summed with an intracavity type II KTP crystal. A single-intracavity etalon is sufficient to provide a time-averaged linewidth of 1.7 GHz at 589 nm. PMID- 21052255 TI - Compact, magneto-optic Q-switched, neodymium-doped bismuth germinate crystal (Nd:BGO) laser pumped by a laser diode. AB - The magneto-optic Q-switched operation of a neodymium-doped bismuth germinate crystal (Nd:BGO) laser that is end pumped by a cw 500-mW laser diode is reported. The crystal is a new host for Nd lasers. Here it acts as a magneto-optic modulator as well as a laser medium. A pulse energy of 2 uJ with a FWHM of 100 ns has been obtained. The device operates at a repetition rate of 1 kHz, and the fluctuation of the shot-to-shot intensity is less than +/-1%. PMID- 21052257 TI - Trident: a versatile high-power Nd:glass laser facility for inertial confinement fusion experiments. AB -The Trident Nd:glass laser system operates as an experimental facility supporting the national Inertial Confinement Fusion program at Los Alamos. The laser has two identical main beam lines with 14-cm-disk final amplifiers. The beams are frequency doubled, expanded to 19.2 cm, and focused on target with a variety of focusing optics. A third beam with 10-cm disk final amplifiers is also frequency doubled and used as a target-shooting or diagnostic beam simultaneously with the other two beams.
The facility provides a flexible combination of energy, pulse-shaping capabilities, and diagnostic tools for laser-target interaction experiments.
PMID- 21052258 TI - Spectroscopic analysis of Tm(3+) in PbO-Bi(2)O(3)-Ga(2)O(3) glass. AB - Tm(3+)-doped heavy metal oxide glasses in the PbO-Bi(2)O(3)-Ga(2)O(3) system were prepared, and their emission characteristics were examined. Three emission bands at the infrared wavelength region were observed, at 1.46, 1.79, and 2.36 um, which are associated with the (3)H(4) ? (3)F(4), (3)F(4) ? (3)H(6), and (3)H(4) ? (3)H(5), transitions, respectively. Measured fluorescence lifetimes for the first two transitions were 0.160 and 1.035 ms, respectively. Oscillator strengths and intensity parameters suggest that the ionicity of Tm-Obonds in the glass is higher when compared with those in other oxide glass hosts. Higher radiative transition probabilities of the three infrared emissions than those calculated for other glasses appear to provide potentials for future laser applications. PMID- 21052259 TI - Diode-pumped, room-temperature Tm:LuAG laser. AB - A diode-pumped, room-temperature Tm:LuAG laser demonstrated a total optical-to optical efficiency of 0.073 and an optical-to-optical differential efficiency as high as 0.236. Laser performance and operating wavelength were investigated as a function of the Tm concentration. The highest laser output energy was achieved with a Tm concentration of 0.04 and at a wavelength of 2.0238 um. PMID- 21052260 TI - High-efficiency, argon-laser-pumped Nd:YLF laser system. AB - An Ar-ion laser was used to pump a Nd:YLF laser, in both sigma and pi polarizations, in a longitudinal scheme. In spite of the small absorption coefficient at the pump (~0.25 cm (-1)), a careful laser design can circumvent this problem, and efficiencies as high as those attained with semiconductor pumping schemes are reported. The laser fundamental parameters were experimentally determined. A double-pass net gain as high as 10(3) was measured, and an output power of 1 W was obtained with a pumping power of 6 W. PMID- 21052261 TI - Intracavity frequency doubling and Q switching in diode-laser-pumped Nd:YVO(4) lasers. AB - A highly efficient and compact Nd:YVO(4) laser is proposed. In cw operation, a single-longitudinal-mode output of 95 mW and a multilongitudinal-mode output of 435 mW have been observed at 1.06 um with a 1-W diode laser. Using a KTP crystal in the short laser cavity, a green output of 105 mW was generated. A Q-switched pulse with a peak power of 230 W and a pulse width of 8 ns was obtained with the intracavity KTP crystal, which was used as both an electro-optic Q switch and a frequency doubler. PMID- 21052262 TI - Modal properties of an external diode-laser-array cavity with diffractive mode selecting mirrors. AB - Coupled mode theory is used to describe the behavior of an external laser cavity consisting of a diode laser array and a diffractive mode-selecting mirror. The mirror is designed to establish a uniform-amplitude, uniform-phase fundamental mode. Coupled mode theory is then used to study the behavior of higher-order modes. We show that the maximum discrimination against higher-order modes occurs when the round-trip cavity length satisfies certain Talbot relations. In addition, this high modal discrimination can be maintained for arrays with large numbers of lasers without incurring significant loss in the fundamental mode. PMID- 21052263 TI - Influence of optical fibers on the spectrum of transmitted light-emitting-diode radiation. AB - Propagation of Ga(x)In(1-x)As(y)P (1-y) light-emitting-diode radiation through an optical fiber was found to affect its spectral curve very strongly, and the origin of this effect was analyzed. Various kinds of fibers were found to induce interferencelike modulations superimposed on the smooth spontaneous spectral curve of the diodes. We were able to show that when a monomode polarization preserving fiber is used, the modulations are mostly due to intermodal interference. PMID- 21052264 TI - External-cavity diode lasers with different devices and collimating optics. AB - Comparative operating characteristics of external-cavity diode lasers (ECDL's) with either a channel substrate planar device or a multi-quantum-well (MQW) device are presented. These include the output beam profile, which is significantly altered depending on the collimating lens used (either multielement or graded index), power versus injection-current characteristics, and the optical frequency and the rf spectra. The coherence lengths of the different laser diode collimating-lens combinations in the ECDL are measured, and a new method for calculating the coupling coefficient and the coupled values of the internal quantum efficiency and the internal lumped loss is demonstrated for the MQW device. PMID- 21052265 TI - Intrinsic optical bistability in layered crystals. AB - The parametric excitation of the low-frequency overtone in GaSe crystal by a biharmonic optical field is shown to lead to bistability of both the crystal refractive index and the optical field generated in the four-wave-mixing process. The effect appears to be much stronger in layered crystals than in crystals of higher symmetry. PMID- 21052266 TI - Analysis of beam propagation in thick nonlinear media. AB - We present a theoretical analysis of beam propagation in thick nonlinear media by using the Gaussian decomposition method and considering a thick medium as a stack of thin media. Simple analytic solutions of Z-scan characteristics and optical limiting with thick nonlinear media are obtained. Comparisons of these results with those obtained by use of a distributed-lens model and Gaussian-Laguerre mode decomposition are made. Good agreement is obtained with a distributed-lens model. PMID- 21052267 TI - Generation of multifrequency laser emission quasi equally spaced throughout the entire visible region. AB - A circularly polarized, monochromatic laser beam is focused into a Raman cell, which contains hydrogen to generate rotational stimulated Raman emission. After linear polarization, this two-color (separated by 587 cm(-1)) laser beam is focused several times into a second Raman cell that is filled with hydrogen to generate a multifrequency laser emission. Many rotational and vibrational lines are generated efficiently by this multipass effect. Eighteen colors that are quasi equally spaced with a rather flat intensity distribution are generated throughout the entire visible region. The present multifrequency laser emission may be advantageously used for illumination in a higher-grade display, such as a laser light show. PMID- 21052268 TI - Short-pulse range-gated optical imaging in turbid water. AB - Using a very short laser pulse and camera gate in a range-gated optical imaging system, we have demonstrated an improvement in imaging in turbid water. A 0.5-ns FWHM laser pulse at 532 nm and a camera gate time ranging down to 120 ps were used to record images of 0.635-cm-bar-resolution targets at 6.5 attenuation lengths through 4.572 m of turbid water. With a four-channel version of this camera, coupled to a CCD camera, we can observe a quasi-three-dimensional image in real time. PMID- 21052269 TI - Theoretical and experimental investigations of loss behavior in the infrared in quartz hollow waveguides with rough inner surfaces. AB - Transmission losses in quartz hollow waveguides with rough inner surfaces have been measured, and an anomalous loss decrease has been observed just beyond the resonance wavelength at the infrared. Detailed analyses have been conducted to check the applicability of available theories in the prediction of additional loss increases or decreases in wide infrared-wavelength regions. A new theory based on a thin-film-coating model has also been presented for the first time, to our knowledge, to describe the additional loss behavior. PMID- 21052270 TI - Nonlinear totally reflecting prism coupler: thermomechanic effects and intensity dependent refractive index of thin films. AB - Starting with an accurate linear electromagnetic theory of a totally reflecting prism coupled to a dielectric waveguide, we implement a numerical technique to take into account optogeometric perturbations in stratified media. We calculate both the reflected fields in intensity on the prism base (near field) and in infinity (far field) for an incident Gaussian beam. The study of the variations of the intensity in the reflected beam (near and far fields) versus light power shows thermoinduced dilation of the prism and an intensity-dependent refractive index of thin films composed of tantalium pentoxyde and titanium dioxide. PMID- 21052271 TI - Slot-electrode optical modulator using KTiOPO(4). AB - A slot-electrode optical modulator is introduced and demonstrated with KTP at 532 nm. A switching voltage of 45 V, risetime of 3.5 ns, and power capability of more than 7 Ware measured. DC modulation without application of DC voltage is discussed. Further optimization can reduce the switching voltage to 18 V. PMID- 21052272 TI - Stray magnetic-field response of linear birefringent optical current sensors. AB - It is well known that the line integral, describing Faraday rotation in an optical medium, reduces to zero at low frequencies for a closed path that does not encircle a current source. If the closed optical path possesses linear birefringence in addition to Faraday rotation, the cumulative effects on the state of polarization result in a response to externally located current-carrying conductors. This effect can induce a measurable error of the order of 0.3% during certain steady-state operating conditions. PMID- 21052273 TI - Interferometric antenna response for gravitational-wave detection. AB - The interferometric antenna response to gravitational-wave excitation is studied with the Fermi Normal Coordinate (FNC) reference system, with the limit that the gravitational wavelength must be much larger than the interferometric arm length. An optical configuration of the antenna, quite similar to the one generally considered in the long-baseline antenna projects, has been studied, i.e., Fabry Perot optical cavities in the interferometer arms and reflectors at the input and the output of the interferometer for the purpose of recycling both the laser power and the output signals. An exact computation of the antenna response is given in a form that is also suitable to provide directly the responses for simplier optical configurations without the power or the signal recycling. Furthermore the response of the antenna for the narrow-band detection mode is also calculated. The results obtained in the FNC gauge at rest with the antenna are consistent with the ones given in the literature that were computed in the transverse traceless gauge at rest with the gravitational radiation. PMID- 21052274 TI - Modeling the performance of an integrating-cavity absorption meter: theory and calculations for a spherical cavity. AB - A mathematical model for photon behavior within a spherical integrating-cavity absorption meter (ICAM) that does not depend on the assumption of a homogeneous energy density within the cavity has been developed. Explicit expressions for the proportion of emitted or reflected photons that survive a single transit across the cavity, the average number of collisions with the wall per photon, and the average path length per photon, are derived for an absorbing nonscattering medium. Monte Carlo modeling shows that operation of the ICAM is essentially unaffected by scattering, in agreement with the experimental observations of Fry et al. [Appl. Opt. 31, 2055 (1992)]. Calculations for the performance of the absorption meter as a function of the cavity diameter, the absorption coefficient of the medium, and the reflectivity of the cavity are presented. PMID- 21052275 TI - Paint removal using lasers. AB - Experiments to investigate the potential for practical laser graffiti-removal systems are reported. A universal engineering curve for the time needed for removal of paint from nonconductive substrates that was valid over a range of 10(7) in intensity was measured with a variety of lasers. Comparable times were measured for conductive substrates, when pulses shorter than the thermal conduction times were used. Analysis suggests that Q-switched Nd:YAG lasers may be the most efficient means for removing graffiti and other unwanted paint. An 1 m(2) area of paint 14 um thick can be removed in approximately 10 min with a 50 Hz laser system of 15-W average power. PMID- 21052276 TI - Aerosol-profile measurements in the lower troposphere with four-wavelength bistatic argon-ion lidar. AB - The scattering properties of aerosols over a tropical urban station, Pune, India, (18 degrees 32' N, 73 degrees 51' E, 559 m above mean sea level), are studied with a bistatic, multiwavelength, continuous-wave, argon-ion lidar. The scattered intensity profiles (up to 1000 m above ground level) measured at four wavelengths (0.4765, 0.4880, 0.4965, and 0.5145 um) of the laser during November 1987-March 1990 revealed certain spectral dependence, in conformity with the Mie theory of aerosol particles. Methods for retrieving the bulk as well as the height variation of aerosol-size distribution from the inversion of angular distribution of scattered-light-intensity measurements from a constant altitude and scattered intensity verticalprofile measurements at different wavelengths are explained. Results obtained from these approaches are presented and compared with results reported by other investigators. The deviations in the results are discussed in relation to the assumptions involved and the terrain-atmospheric conditions at the experimental station. It is found that the aerosol-size-distribution parameter is altitude dependent beside its dependence on refractive index and wavelength of incident radiation. The results of the study suggest that the information content from bistatic, multiwavelength laser scattering measurements is useful for inferring aerosol-size distribution. PMID- 21052277 TI - Spectroradiometer with wedge interference filters (SWIF): measurements of the spectral optical depths at Mauna Loa Observatory. AB - A spectroradiometer with wedge interference filters (SWIF) (the filters were produced by Carl Zeiss, Jena, Germany) and a CCD matrix (which was of Russian production) that functions as the sensor has been designed and built for use in ground-based optical sensing of the atmosphere and the Earth's surface in the spectral range of 0.35-1.15 um. Absolute calibration of this instrument was performed through a series of observations of direct solar radiation at Mauna Loa Observatory (MLO) in Hawaii in May and June 1993. Spectral optical depth (SOD) measurements that were made during these field experiments provided detailed spectral information about both aerosol extinction (scattering plus absorption) and molecular absorption in the atmosphere above the site at MLO. The aerosol-SOD measurements were compared with narrow-band radiometer measurements at wavelengths of 380, 500, and 778 nm The SWIF and narrow-band radiometer measurements are in agreement to within the experimental error. At a wavelength of 500 nm, the aerosol SOD was found to be approximately 0.045. Adescription of the SWIF instrument, its absolute calibration, and the determination of atmospheric SOD's at MLO are presented. PMID- 21052278 TI - Signal linearity, gain stability, and gating in photomultipliers: application to differential absorption lidars. AB - We present the results of a study that identifies a photomultiplier tube (PMT), divider networks, and gating circuitry for use in the current detection mode, in which the specific objectives were to hold variations in both signal gain over a 25-us gate period and signal linearity up to 20 mA to less than +/-0.1%. The study, aimed at optimizing the performance in a nadir-looking airborne UV differential absorption lidar, is sufficiently general to apply to other critical gated or pulsed PMT applications in which performance at the 0.1% level is required. Signal-induced gain increases peculiar to pulsed or gated signals from PMT's with BeCu dynodes that can have values between 1 and 10% over 25 us were reduced to less than 0.1% by the use of a 2-in. (5.08-cm)diameter PMT (EMI 9214) with CsSb dynodes. Compliance with the linearity requirement was achieved for gated signals up to 8 mA at a current gain of ~10(7) with the EMI 9214 PMT controlled by a resistive divider network with an inverted taper, in which the linearity data showed no tendency toward overlinearity caused by either space charge effects or induced divider-network voltage changes. PMID- 21052279 TI - Monte Carlo simulation of the atmospheric point-spread function with an application to correction for the adjacency effect. AB - Monte Carlo techniques are used to simulate atmospheric point-spread functions (PSF's) that are appropriate for the viewing geometries typical of the Airborne Visible-Infrared Imaging Spectrometer (AVIRIS). A model sensor is located at an altitude of 20 km and views a Lambertian surface through a horizontally homogeneous and vertically stratified atmosphere. Simulations show the effects on the PSF of variation of the aerosol phase function, the aerosol optical thickness, the sensor viewing angle, and the wavelength. An algorithm that uses the PSF to correct high-contrast images for adjacency effects is developed and applied to an AVIRIS image of Big Pine Key in the Florida Keys. A method to approximate the atmospheric PSF's without the need to resort to a Monte Carlo simulation is described. Correction of the AVIRIS image through the use of the approximated PSF is consistent with a previous correction. Error analysis is difficult and scene dependent; however, the correction algorithm is shown to be capable of indicating regions of high-contrast images in which conventional estimates of surface-leaving radiance are likely to be unreliable due to adjacency effects. PMID- 21052280 TI - Improvement of differential optical absorption spectroscopy with a multichannel scanning technique. AB - Differential optical absorption spectroscopy (DOAS) of atmospheric trace gases requires the detection of optical densities below 0.1%. Photodiode arrays are used more and more as detectors for DOAS because they allow one to record larger spectral intervals simultaneously. This type of optical multichannel analyzer (OMA), however, shows sensitivity differences among the individual photodiodes (pixels), which are of the order of 1%. To correct for this a sensitivity reference spectrum is usually recorded separately from the trace-gas measurements. Because of atmospheric turbulence the illumination of the detector while an atmospheric absorption spectrum is being recorded is different from the conditions during the reference measurement. As a result the sensitivity patterns do not exactly match, and the corrected spectra still show a residual structure that is due to the sensitivity difference. This effect usually limits the detection of optical densities to approximately 3 * 10(-4). A new method for the removal of the sensitivity pattern is presented in this paper: Scanning the spectrometer by small wavelength increments after each readout of the OMA allows one to separate the OMA-fixed pattern and the wavelength-fixed structures (absorption lines). The properties of the new method and its applicability are demonstrated with simulated spectra. Finally, first atmospheric measurements with a laser long-path instrument demonstrate a detection limit of 3 * 10(-5) of a DOAS experiment. PMID- 21052281 TI - Simple relationships for the Angstrom parameter of disperse systems. AB - Simple relationships for calculating the Angstrom parameter a of any disperse system are obtained (a) for a polycomponent system, through values of ai of individual components, and (b) for the simplest disperse systems, consisting of large, small, and soft particles. It is shown that the parameter a of a monodisperse particle system is sensitive to the exact structure of the spectral variability optical thickness of the system curve tau(lambda) and that when calculating alpha, one should not use the van de Hulst approximate formula for tau(lambda) when ripples and another important details are not accounted for. The error connected with the use of the van de Hulst formula when one is estimating a depends on the value of optical hardness of the particle. It is small when particles are soft, and it becomes noticeable as particles get harder. PMID- 21052282 TI - Aerosol features retrieved from solar aureole data: a simulation study concerning a turbid atmosphere. AB - The characteristics of the solar aureole were evaluated for several cases of a turbid atmosphere in the 3 degrees <=theta<=30 degrees interval of scattering angles; for each case, the features of the aerosol were retrieved from the simulated aureole data. Computations were carried out with a recently set up radiative transfer code that uses the approximated delta-M method, corrected further for the 1st and 2nd scattering orders. Results showed that the software tested can work out both the direct and the inverse aureole problems with great accuracy and efficiency in several different situations, so it can reliably be used for handling experimental data measured in the field with an aureolemeter. Furthermore, the input parameters of ground albedo, complex refractive index, aerosol radius interval, and measurement angles were varied within a set of values to examine the sensitivity of the retrieval to improperly assumed values of these parameters and to evaluate the most suitable way of determining their correct values. Only data concerning diffuse radiation were elaborated. Results showed that (1) the scanned scattering angles have to be extended up to 40 degrees ; (2) the most suitable radius interval for aerosols appears to be from 0.05 to 15 um; (3) ground albedo A should be independently determined within 15%; and (4) as to the complex refractive index m~, the real part should be given within 3.5%, and the imaginary part within from 10% to 50%, according to its value. Finally, a procedure through which it is possible to derive A and m~ by extending the information content of the aureole data is discussed. Improved calibration procedures are also proposed. PMID- 21052283 TI - Comparison of Sun photometer calibration by use of the Langley technique and the standard lamp. AB - Asix-channel Sun photometer has been calibrated by means of two different methods: Langley plots and standard irradiance lamps. A 4-month calibration campaign was carried out at a high mountain site, Jungfraujoch (3580 m above sea level), in the Swiss Alps. Calibration constants V(0)(lambda) determined on clear and stable days by means of a refined Langley-plot technique scatter by less than 0.25% (rms) for wavelengths outside of strong gaseous absorption bands. Inside the 0.94-um water-vapor absorption band, the V(0)(lambda) values retrieved by means of modified Langley plots scatter by 1.0% (rms). Repeated calibrations of the Sun photometer by means of irradiance standard lamps were performed at the World Radiation Center in Davos. The comparison of both methods ranges from perfect agreement to a deviation of 4.9% for the different channels. A discussion of the errors introduced by both methods shows that the Langley-plot calibration, when performed under very clear atmospheric conditions, is superior. However, by means of the standard-lamp calibrations a temporal degradation of the instrument's response up to 4.6% per year was found, implying that a single calibration campaign as done here is not sufficient. Thus we recommend the use of a combination of both methods for maintaining an accurate calibration. PMID- 21052284 TI - Rotational Raman scattering (Ring effect) in satellite backscatter ultraviolet measurements. AB - A detailed radiative transfer calculation has been carried out to estimate the effects of rotational Raman scattering (RRS) on satellite measurements of backscattered ultraviolet radiation. Raman-scattered light is shifted in frequency from the incident light, which causes filling in of solar Fraunhofer lines in the observed backscattered spectrum (also known as the Ring effect). The magnitude of the rotational Raman scattering filling in is a function of wavelength, solar zenith angle, surface reflectance, surface pressure, and instrument spectral resolution. The filling in predicted by our model is found to be in agreement with observations from the Shuttle Solar Backscatter Ultraviolet Radiometer and the Nimbus-7 Solar Backscatter Ultraviolet Radiometer. PMID- 21052285 TI - Simultaneous daytime measurements of the atmospheric coherence diameter r(0) with three different methods. AB - The most common parameter used in characterizing atmospheric turbulence (seeing) is the atmospheric coherence diameter, or r(0). r(0) can be measured in many ways. Three such techniques that are useful when one is making daytime seeing measurements by observing the Sun are described. Results from an experiment in which r(0) was measured with all three methods are presented. PMID- 21052286 TI - Digital imaging technique for optical emission spectroscopy of a hydrogen arcjet plume. AB - A digital imaging technique has been developed for optical emission spectroscopy measurements of a 1.6-kW hydrogen arcjet plume. Emissions from the Balmer alpha and beta transitions of excited atomic hydrogen were measured with a computer controlled red-green-blue color CCD detector with and without line-centered bandpass interference filters. A method for extending the effective dynamic range of the detector was developed, whereby images obtained with a wide range of exposure times are combined to form a single composite nonsaturated map of the plume emission structure. The line-of-sight measurements were deconvoluted to obtain the true radial intensity distribution with an inverse Abel transformation. Analysis of the inverted measurements indicates that the upper levels of the Balmer alpha and beta transitions are not thermalized with the electrons in the plasma. The local thermodynamic equilibrium assumption fails for this plasma, and the electron temperature is not equivalent to the apparent excitation temperature obtained when a Boltzmann energy distribution is assumed for the atomic hydrogen excited states. PMID- 21052287 TI - New calibration method for the determination of the absolute density of CH radicals through laser-induced fluorescence. AB - Laser-induced fluorescence (LIF) was applied at the B-X transition of the CH radical to measure the absolute densities of CH radicals in an electron-cyclotron resonance methane plasma. The absolute experimental uncertainty is only approximately 30% as a result of a new calibration procedure. The experimental setup was calibrated through the comparison of the LIF signal of N(2)(+) with that of CH. The absolute N(2)(+) density was derived from the spatially resolved N(2)(+) LIF signal and the line-averaged electron density as measured with microwave interferometry. PMID- 21052288 TI - Effect of an electrostatic field on the optical properties of a cloud of dielectric particles. AB - The optical properties of a cloud of anisotropic dielectric particles when the orientational distribution is made nonrandom by interaction with an electrostatic field are studied. Since the interaction energy is determined by the polarizability of the particles, a general expression for the polarizability of nonspherical particles is worked out. In particular, we investigated the response to the electrostatic field of two different dispersions whose component particles are built as clusters of four identical spheres. Although in one cloud the clusters were shaped as linear chains, and in the other cloud the clusters were shaped as squares, the optical properties of both dispersions as a function of the static field are rather similar. There are, however, noticeable ranges of size within which the optical response of the two kinds of particles is substantially different. PMID- 21052289 TI - Comparison of the Gauss-Seidel spherical polarized radiative transfer code with other radiative transfer codes. AB - Calculations that use the Gauss-Seidel method are presented of the diffusely scattered light in a spherical atmosphere with polarization fully included. Comparisons are made between this method and the Monte Carlo calculations of other researchers for spherical geometry in a pure Rayleigh atmosphere. Comparisons with plane-parallel atmospheres are also presented. Single-scatter intensity comparisons with spherical geometry show excellent agreement. When all orders of scattering are included, comparisons of polarization parameters I, Q and U as well as the plane of polarization show good agreement when allowances are made for the statistical variability inherent in the Monte Carlo method. PMID- 21052290 TI - Electromagnetic scattering by an aggregate of spheres. AB - We present a comprehensive solution to the classical problem of electromagnetic scattering by aggregates of an arbitrary number of arbitrarily configured spheres that are isotropic and homogeneous but may be of different size and composition. The profile of incident electromagnetic waves is arbitrary. The analysis is based on the framework of the Mie theory for a single sphere and the existing addition theorems for spherical vector wave functions. The classic Mie theory is generalized. Applying the extended Mie theory to all the spherical constituents in an aggregate simultaneously leads to a set of coupled linear equations in the unknown interactive coefficients. We propose an asymptotic iteration technique to solve for these coefficients. The total scattered field of the entire ensemble is constructed with the interactive scattering coefficients by the use of the translational addition theorem a second time. Rigorous analytical expressions are derived for the cross sections in a general case and for all the elements of the amplitude-scattering matrix in a special case of a plane-incident wave propagating along the z axis. As an illustration, we present some of our preliminary numerical results and compare them with previously published laboratory scattering measurements. PMID- 21052291 TI - Scattering of light by bispheres with touching and separated components. AB - We use the T-matrix method as described by Mishchenko and Mackowski [Opt. Lett. 19, 1604 (1994)] to compute light scattering by bispheres in fixed and random orientations extensively. For all our computations the index of refraction is fixed at a value 1.5 + 0.005i, which is close to the refractive index of mineral tropospheric aerosols and was used in previous extensive studies of light scattering by spheroids and Chebyshev particles. For monodisperse bispheres with touching components in a fixed orientation, electromagnetic interactions between the constituent spheres result in a considerably more complicated interference structure in the scattering patterns than that for single monodisperse spheres. However, this increased structure is largely washed out by orientational averaging and results in scattering patterns for randomly oriented bispheres that are close to those for single spheres with size equal to the size of the bisphere components. Unlike other nonspherical particles such as cubes and spheroids, randomly oriented bispheres do not exhibit pronounced enhancement of side scattering and reduction of backscattering and positive polarization at side scattering angles. Thus the dominant feature of light scattering by randomly oriented bispheres is the single scattering from the component spheres, whereas the effects of cooperative scattering and concavity of the bisphere shape play a minor role. The only distinct manifestations of nonsphericity and cooperative scattering effects for randomly oriented bispheres are the departure of the ratio F(22)/F(11) of the elements of the scattering matrix from unity, the inequality of the ratios F(33)/F(11) and F(44)/F(11), and nonzero linear and circular backscattering depolarization ratios. Our computations for randomly oriented bispheres with separated wavelengthsized components show that the component spheres become essentially independent scatterers at as small a distance between their centers as 4 times their radii. PMID- 21052292 TI - Development of an algorithm for corneal reshaping with a scanning laser beam. AB - The corneal-ablation rate, the beam-intensity distribution, and the initial and the desired corneal topographies are used to calculate a spatial distribution map of laser pulses. The optimal values of the parameters are determined with a computer model, for a system that produces 213-nm radiation with a Gaussian beam intensity distribution and a peak radiant exposure of 400 mJ/cm(2). The model shows that with a beam diameter of 0.5 mm, an overlap of 80%, and a 5-mm treatment zone, the roughness is less than 6% of the central ablation depth, the refractive error after correction is less than 0.1 D for corrections of myopia of 1, 3, and 6 D and less than 0.4 D for a correction of myopia of 10 D, and the number of pulses per diopter of correction is 2500 when the beam-intensity distribution is Gaussian and 580 when it is flat. PMID- 21052293 TI - Measurements of the optical properties of tissue in conjunction with photodynamic therapy. AB - A simple optical dosimeter was used to measure the light intensity in rat liver and muscle in vivo with fibers positioned at different depths to investigate whether the light penetration changed during photodynamic therapy (PDT). The results were then correlated with measurements of the three optical-interaction coefficients u(s), u(a) and g for wavelengths in the range 500-800 nm for PDT treated and nontreated rat liver and muscle tissue in vitro. Adistinct increase in the absorption coefficient was seen immediately after treatment, in agreement with the decreasing light intensity observed during the treatment, as measured with the optical dosimeter. The collimated transmittance was measured with a narrow-beam setup, and an optical integrating sphere was used to measure the diffuse reflectance and total transmittance of the samples. The corresponding optical properties were obtained by spline interpolation of Monte Carlo-simulated data. To ensure that the measured values were correct, we performed calibration easurements with suspensions of polystyrene microspheres and ink. PMID- 21052294 TI - Patents. AB - 5,317,655; 5,354,987; 5,359,193; 5,360,970; 5,369,511; 5,371,358; 5,371,361; 5,371,570; 5,371,586; 5,373,396. PMID- 21052295 TI - Prism for in-line beam expansion in one dimension. AB - A four-sided prism is described that expands or compresses a beam in one dimension without altering the direction of the beam or displacing its centerline. PMID- 21052296 TI - Measurements of the photocurrent enhancement of reverse-biased silicon photodiodes in the 0.05-1.5-keV photon-energy range. AB - The measured photocurrents from two different p-n-junction silicon photodiodes at 170-A (73-eV) and at 8.34-A (1480-eV) light are presented. One is a standard extreme-UV photodiode fabricated on low resistivity silicon (70-100 Omega cm), and the other is fabricated on high-resistivity silicon (> 2 * 10(4) Omega cm). The photocurrents from the diode on high-resistivity silicon are at least an order of magnitude greater than the photocurrents from the diode on low resistivity silicon when a reverse bias of 40 V is applied to each. This photocurrent enhancement is 15.4 +/- 4 at 8.34 A and 12.5 +/- 2 at 170 A. PMID- 21052297 TI - Vacuum-ultraviolet quantum efficiency of a thinned, backside-illuminated charge coupled device. AB - The UV quantum efficiency of a Scientific Imaging Technologies (SITe, Beaverton, Ore., formerly Tektronix) 1024 pixel by 1024 pixel thinned and backside illuminated charge-coupled device has been measured in the wavelength band from 1164 to 5200 A. The 24-um-pixel device, which does not have an antireflective coating, has an average quantum efficiency of more than 40% in the band from 1164 to 4000 A and a read noise of 15 e(-)/pixel. PMID- 21052298 TI - Modal analysis of transport processes in SPRITE detectors. AB - Carrier transport in signal-processing-in-the-element (SPRITE) detectors is an important phenomenon because it determines properties such as the responsivity and the modulation transfer function (MTF). The previous literature has presented approximate solutions to the transport problem that neglect boundary effects, which have long been thought to play a major role in SPRITE behavior. We present a new solution to the problem through the use of modal analysis. This method intrinsically includes boundary conditions and thus is more complete than the previous analysis. Furthermore we use this solution to derive expressions for the MTF. The effects of the boundary conditions on the MTF are studied to determine their optimum values. PMID- 21052299 TI - Large-area avalanche photodiodes for the detection of soft x rays. AB - The charge-collection efficiency of beveled-edge-type silicon avalanche photodiodes has been determined for soft x rays in the 50-300-eV range. An efficiency of greater than 80% is measured for energies below the Si L absorption edge. The measured efficiency is well described by a model that accounts for absorption in an oxide overlayer and recombination at the front surface of the diode. The avalanche photodiodes are shown to be significantly more sensitive compared with other detectors for pulsed sources such as a laser-produced plasma source. These results are also very encouraging for soft-xray/ extreme-UV applications involving synchrotron radiation. PMID- 21052300 TI - Gradient-index contact lens. AB - A gradient-index (GRIN) contact lens (CL) is proposed to decrease spherical aberration and to increase the diopter. A plastic radial GRIN rod was successfully obtained by using the vapor-phase diffusion copolymerization technique. The resulting index distribution of the GRIN rod was almost parabolic against the distance from the center axis, and the Deltan value was -0.030. The GRIN CL was prepared by grinding and polishing the rod. It is theoretically and experimentally concluded that using the radial GRIN material can significantly improve the optical properties of CL's. PMID- 21052301 TI - Frequency and phase swept holograms in spectral hole-burning materials. AB - A new hologram type in spectral hole-burning systems is presented. During exposure, the frequency of narrow-band laser light is swept over a spectral range that corresponds to a few homogeneous linewidths of the spectrally selective recording material. Simultaneously the phase of the hologram is adjusted as a function of frequency-the phase sweep function. Because of the phase reconstructing properties of holography, this recording technique programs the sample as a spectral amplitude and phase filter. We call this hologram type frequency and phase swept (FPS) holograms. Their properties and applications are summarized, and a straightforward theory is presented that describes all the diffraction phenomena observed to date. Thin FPS holograms show strongly asymmetric diffraction into conjugated diffraction orders, which is an unusual behavior for thin transmission holograms. Investigations demonstrate the advantages of FPS holograms with respect to conventional cw recording techniques in freq ncymultiplexed data storage. By choosing appropriate phase sweep functions, various features of holographic data storage can be optimized. Examples for cross-talk reduction, highest diffraction efficiency, and maximal readout stability are demonstrated. The properties of these FPS hologram types are deduced from theoretical considerations and confirmed by experiments. PMID- 21052302 TI - First-generation holographic, grazing-incidence gratings for use in converging, extreme-ultraviolet light beams. AB - We present two holographic recording solutions that produce gratings suitable for use at grazing incidence in the extreme ultraviolet. The rulings are formed when the interference pattern of two spherical wave fronts is recorded on a planar substrate. Each grating is designed to minimize or eliminate the dominant aberration terms in order to maximize the spectral and spatial resolution of the system. In the first design, the dominant astigmatism term in a power-series expansion of the light path function is eliminated; in the second design, the dominant comatic terms are minimized. Each grating is placed directly in a converging light beam at grazing incidence to provide high system efficiency in the extreme ultraviolet. The aberration control afforded by both recording solutions is excellent, providing detector-limited spatial and spectral resolution over much of the usable bandpass. Furthermore, the aberration control is maintained over a wide range of beam speeds and off-axis angles, thereby outperforming conventional varied line-space gratings for use in the extreme ultraviolet. We discuss the methodology used to develop the recording solutions, model and compare the performance of the gratings, and discuss possible space based applications for these gratings. PMID- 21052303 TI - Three-dimensional display system based on a holographic screen and microcomputer driven galvanometers. AB - A system based on a 65 cm * 35 cm holographic screen is described as capable of the display of three-dimensional figures with continuous horizontal parallax. This display is accomplished through the use of a microcomputer and three galvanometric mirrors. PMID- 21052304 TI - Artistic effect and application of moiree patterns in security holograms. AB - Using a special holographic technique, moire patterns can be recorded with a horizontal parallax, thus producing a fascinating artistic effect. With special characteristics, holographic moire patterns can be used as secret codes in security holograms for different security purposes. Aremarkable anticounterfeit effect has been achieved by using this kind of security hologram to protect famous high-quality products. The technique used for recording moire patterns is described, and sample holograms are shown. PMID- 21052305 TI - Spatial and spectral response of a Fabry-Perot interferometer illuminated by a Gaussian beam. AB - A generalized study has been done of the transmission characteristics of a Fabry Perot interferometer (FPI) illuminated by a Gaussian light beam impinging on it at normal and non-normal incidence. The theoretical approach is based on a plane wave, angular-spectrum representation of both the incident Gaussian beam and the transmitted beam. Expressions are obtained for the FPI instrumental function and for the spatial distribution of the transmitted beam. Numerical results are presented for the FPI maximum transmission, effective finesse, and spectral displacement of the interference maximum. PMID- 21052306 TI - Hybrid optical-digital method for local-displacement analysis by use of a phase space representation. AB - A method for evaluating the local deformation or displacement of an object in speckle metrology is described. The local displacements of the object in one direction are digitally coded in a one-dimensional specklegram. By optically performing the local spectrum of this pattern, one simultaneously achieves information about the local displacement and its spatial position. The good performance of this technique is demonstrated with computer-generated test signals. PMID- 21052307 TI - Dispersion-free, multiple-beam interferometer. AB - A two-mirror Fabry-Perot interferometer is described haivng a dependence of transmission T~ (omega) on frequency that is very different from the dependence T~ (l) on the distance l between the mirrors. This feature is due to resonant dielectric mirrors in which the reflection phase and amplitude depend sharply on omega. The function T~ (omega) can have several extrema ?T~ /?omega = 0. At these points the interferometer becomes insensitive to a frequency change, whereas the dependence on l remains. Interferometer parameters are defined and some examples are considered. The dispersion-free interferometer can be used for measuring very small mechanical displacements with a light source with poor frequency stability. The applications to gravitational wave detectors and sensitive seismometers can be suggested if the small distance between the mirrors is acceptable. PMID- 21052308 TI - Derivation of algorithms for phase-shifting interferometry using the concept of a data-sampling window. AB - I propose a systematic way to derive efficient, error-compensating algorithms for phase-shifting interferometry by integer approximation of well-known data sampling windows. The theoretical basi of the approach is the observation that many of the common sources of phase-estimation error can be related to the frequency-domain characteristics of the sampling window. Improving these characteristics can therefore improve the overall performance of the algorithm. Analysis of a seven-frame example algorithm demonstrates an exceptionally good resistance to first- and second-order distortions in the phase shift and a much reduced sensitivity to low-frequency mechanical vibration. PMID- 21052309 TI - Effect of numerical aperture on interference fringe spacing. AB - The effect of numerical aperture on the fringe spacing in interferometry is analyzed by the use of wave optics. The results are compared with published experimental results, and the influence of apodization of the wave front is discussed. The effects of central obscuration and surface tilt are also considered. PMID- 21052310 TI - Low-coherence interferometric sensor system utilizing an integrated optics configuration. AB - The implementation of a twin Mach-Zehnder reference interferometer in an integrated optics substrate is described. From measurements of the fringe visibilities, an identification of the fringe order is attempted as a way to provide an absolute sensor for any parameter capable of modifying the difference in path length between two interfering optical paths. PMID- 21052311 TI - Two-wavelength laser-diode interferometer with fractional fringe techniques. AB - A two-wavelength interferometer with a fractional fringe technique (the method of coincidence) has been constructed by using dual frequency-ramped laser diodes. The respective wavelengths of two optical phases were measured by the heterodyne technique. The detected two phases are employed with real-time electronic processing to produce two signals that correspond to the integer and the fractional fringe numbers at a single wavelength. These summed signals can yield a synthetic phase having a single-wavelength resolution. The upper limits for the measurement accuracy are theoretically analyzed. PMID- 21052312 TI - Surface-relief phase structures generated by light-initiated polymerization. AB - A method for fabricating refractive micro-optical elements by structuring poly(methyl methacrylate) layers is presented. With this flexible and simple method a surface growth of several micrometers can be achieved. For smooth surface reliefs a nearly linear response of the phase growth to the UV intensity can be achieved over a range of 8pi. The edge steepness depends on the maximum height and can reach values as high as 2pi above 4 um. We describe the fabrication process, establish a mathematical model of the surface growth, and also present as experimental results some components fabricated by this method. PMID- 21052313 TI - Algorithm for the determination of intrinsic optical constants of metal films: application to aluminum. AB - Optical and electron-energy-loss data for evaporated-aluminum films have been critically analyzed and used in an iterative, self-consistent algorithm that represents a combination of the Kramers-Kronig analysis and the semiquantum-model application. The novel values of the intrinsic optical functions of aluminum have been determined in a wide spectral range from 200 um (6.2 meV) to 0.12 nm (10 keV). These functions are in accordance with recent calculations by Lee and Chang [Phys. Rev. B 49, 2362 (1994)], with dc conductivity measurements, and are in good agreement with both peak positions and line widths obtained from electron energy-loss experiments. The results are examined for internal consistency by inertial and f-sum rules. PMID- 21052314 TI - Optical element converting linear polarization into circular-tangential polarization. AB - A new optical element capable of converting linear polarization into circular tangential polarization (i.e., the electric vector is along the tangential direction to a circle) is proposed and demonstrated. The conversion characteristics of the fabricated element are evaluated, and the magnitude of aberration produced by the element is shown to be insignificant. PMID- 21052315 TI - Localized multi-alternating-current modulation Kerr measurement of nonuniform three-dimensional electric fields. AB - One of the electric-field measurement methods without intrusiveness uses the Kerr electro-optic effect. This method can be widely applied to gas, liquid, and solid materials, which are transparent and electrically birefringent. However, this method has not been adequately developed as yet for practical measurement of nonuniform three-dimensional electric fields. We describe a Kerr electro-optic measurement method for nonuniform three-dimensional dc and ac electric fields that employs localized multi-ac modulation, taking into account the effect of the observing (laser-beam) direction on the apparent electric field. PMID- 21052316 TI - Effects of substrate birefringence and tilt on the irradiance and phase patterns of the return beam in magneto-optical disk data storage. AB - Substrate birefringence in a magneto-optical disk system is shown to have a predictable effect on the return beam. The irradiance and phase patterns of the return beam at the exit pupil of the objective lens are calculated and experimentally verified for the cases of no substrate birefringence, birefringence aligned with the incident polarization, and birefringence aligned at 45 degrees to the incident polarization. The irradiance at the exit pupil is also calculated (and experimentally verified) for a grooved substrate for various amounts of substrate tilt. PMID- 21052317 TI - Statistics of the normalized Stokes parameters for a Gaussian stochastic plane wave field. AB -The statistics of the normalized Stokes parameters for a stochastic plane wave field that is Gaussian distributed is examined. The resulting probability density functions and lower-order moments generalized those obtained by previous investigators. Results of some numerical calculations are discussed.
As an application of this analysis, we consider multiple scattering of light by a spatially random medium, composed of uncorrelated spherical pointlike particles, where the description of partially polarized light in terms of normalized Stokes parameters may be useful.
PMID- 21052318 TI - Technique for mapping the spectral uniformity of luminescent semiconducting material. AB - A technique to map the spectral uniformity of luminescent semiconducting materials at room temperature is described. This technique is based on spatially resolved and polarization-resolved measurements of the photoluminescence and requires a polarizing beam splitter with a splitting ratio that has a linear dependence on wavelength. Measurements on a quantum-well sample that was patterned by intermixing with a focused ion beam are used to demonstrate the technique. With a spectral resolution of better than 1 nm and a spatial resolution of ?1 um, as well as the ability to map concurrently the strain field through the measurement of the degree of polarization of the photoluminescence and the photoluminescence yield, this technique provides a simple, nondestructive method of assessing luminescent materials. PMID- 21052319 TI - Analytic solutions for the optical and radiative properties of nonaccepted light radiation of V-trough concentrators. AB - Knowledge of optical and radiative properties is often essential for the design and evaluation of V-trough solar energy collectors. Using the concept of reflection modes, we derived a set of functions associated with each mode; this allowed us to calculate the optical and radiative properties for rejected light radiation. These expressions, together with those for accepted light radiation published previously, were used to calculate the optical efficiency for beam radiation and the exchange factors (diffuse radiation) between aperture and absorber (accepted light) and between aperture and aperture (rejected light). Numerical results of these factors were obtained for various combinations of concentration ratio and vertex angle. Results are compared between a case in which the reflectivity is constant and one in which the reflectivity varies with incidence angle; the difference does not exceed 1% for a reflectivity of 0.8. Considering the reflectivity as a constant allows us to obtain analytic solutions for the exchange factors, expressed as a sum of trigonometric functions. PMID- 21052320 TI - Emissivity measurements of reflective surfaces at near-millimeter wavelengths. AB - We have developed an instrument for directly measuring the emissivity of reflective surfaces at near-millimeter wavelengths. The thermal emission of a test sample is compared with that of a reference surface, allowing the emissivity of the sample to be determined without heating. The emissivity of the reference surface is determined by one's heating the reference surface and measuring the increase in emission. The instrument has an absolute accuracy of Deltaepsilon = 5 * 10(-4) and can reproducibly measure a difference in emissivity as small as Deltaepsilon = 10(-4) between flat reflective samples. We have used the instrument to measure the emissivity of metal films evaporated on glass and carbon fiber-reinforced plastic composite surfaces. We measure an emissivity of (2.15 +/- 0.4) * 10(-3) for gold evaporated on glass and (2.65 +/- 0.5) * 10(-3) for aluminum evaporated on carbon fiber-reinforced plastic composite. PMID- 21052321 TI - Computed-tomography imaging spectrometer: experimental calibration and reconstruction results. AB - A temporally and spatially nonscanning imaging spectrometer is described in terms of computedtomography concepts, specifically the central-slice theorem. A sequence of three transmission sinusoidalphase gratings rotated in 60 degrees increments achieves dispersion in multiple directions and into multiple orders. The dispersed images of the system's field stop are interpreted as two dimensional projections of a three-dimensional (x, y, lambda) object cube. Because of the size of the finite focal-plane array, this imaging spectrometer is an example of a limited-view-angle tomographic system. The imaging spectrometer's point spread function is measured experimentally as a function of wavelength and position in the field of view. Reconstruction of the object cube is then achieved through the maximum-likelihood, expectation-maximization algorithm under the assumption of a Poisson likelihood law. Experimental results indicate that the instrument performs well in the case of broadband and narrow-band emitters. PMID- 21052322 TI - Autocollimator for spectroscopy of broad resonances with pulsed lasers. AB - For the application of autocollimation spectroscopy [Z. Phys. D 18, 249-255 (1991)] a pulsed dye laser that is emerging from a focus (diameter, 1 mm; divergence, 30 mrad) has to be reflected back in itself with high precision. The difference Deltatheta between the mean angles of the counterpropagating laser beams has to be less than 1 * 10(-6) rad. Using a paraxial approximation, we show that a cat's eye fulfills the needs best. An adjustment procedure together with additional calibration equipment (CCD arrays and quadrant diodes) for the device is presented. Accounting for the uncertainties of the adjustment and using ray tracing, we show that Deltatheta <= 5 * 10(-7) rad can be achieved. PMID- 21052323 TI - Starting designs for the computer optimization of optical coatings. AB - Several generic starting designs are used for the computer optimization of multilayer optical coatings. The first is a stack of many thin layers. Another, which is applicable to the needle-layer optimization method, is at least one thick layer. Examples include the following coatings: antireflection, beam divider, enhanced metallic reflector, dark mirror, and total internal reflection with prescribed differential phase shift. PMID- 21052324 TI - Production of spectrally narrow soft-x-ray radiation through the use of broadband laser-produced plasma sources and multilayer-coated reflecting optics. AB - We describe a special filter design that produces spectrally narrow soft-x-ray radiation by using a broadband laser-produced plasma source and multilayer-coated reflecting optics. Calculations for the design were carried out at several laser produced plasma-source temperatures and various multilayermirror combinations with and without a soft-x-ray filter. We determined that the best arrangement for a laser-produced plasma source consists of two multilayer mirrors and one soft-x ray filter for each temperature investigated. PMID- 21052325 TI - Characterization of the Advanced Satellite for Cosmology and Astrophysics x-ray telescope: preflight calibration and ray tracing. AB - The x-ray properties of multinested thin-foil mirror x-ray telescopes (XRT's) on board ASCA, the Advanced Satellite for Cosmology and Astrophysics, were fully evaluated with an x-ray pencil beam.Scanning over the telescope aperture of 35 cm in diameter with an x-ray pencil beam, we found the effective area of a set of XRT's to be 325, 200, and 113 cm(2) at energies of 1.5, 4.5, and 8.0 keV, respectively. We derive the point-spread functions (PSF's) of the XRT's by measuring the image profile at the focal plane with an x-ray CCD. The PSF is found to exhibit a sharp core concentrated within 30 arcsec and a broad wing extended to 3 arcmin in half-power diameter. We also evaluate the contribution of stray light, which is caused by the single reflection of x rays by primary or secondary mirrors and by the backside reflection of the mirrors. To obtain the characteristics of the XRT in the energy region of 0.5-10.0 keV, incorporated with the measurements at discrete energies, we develop a ray-tracing method with the telescope design parameter, the PSF, and optical constants. In particular, we obtain the optical constants around the gold-atom M shell (Au-M) absorption-edge energies by measuring the reflectivity of our mirror sample, with monochromatized x-rays in the energy range of 2.0-3.5 keV from synchrotron radiation. Taking into account the PSF's and optical constants, we find that our ray-tracing program can reproduce all these XRT performances. PMID- 21052326 TI - Scanning heterodyne confocal differential phase and intensity microscope. AB - The construction and results obtained with a scanning heterodyne differential microscope capable of simultaneously imaging in differential phase and differential intensity modes are described. Interfering the two signal beams with a common reference beam (indirect interference) permits an optimum differential phase and intensity performance to be obtained simultaneously. The considerations that ensure satisfactory performance are discussed. Results that demonstrate the ability to alter electronically the imaging mode and the optical transfer function within each imaging mode are presented. This permits the system performance to be matched to the requirements of each sample. PMID- 21052327 TI - Probe-sample interactions in reflection near-field scanning optical microscopy. AB - Reflection near-field scanning optical microscopy with an asymmetric detector orientation is demonstrated. The effects of the probe-sample interactions are studied for different polarizations, detector orientations, and sample reflectivity. It is shown that the orientation of the detector can introduce shadowing in the images, which is opposite from the naive interpretation and which is dependent on the optical properties of the sample. Near-field optical images of metallic test patterns in reflection are shown that exhibit a lateral resolution of 40 nm. PMID- 21052328 TI - Fringe-scanning method using a general function for shadow moire. AB -We describe a new high-resolution three-dimensional measurement method for shadow moire. The method is based on the principle of using shadow moire to produce moire fringes and a fringe-scanning technique. In this method, a general function, instead of an arctangent function, is used for detecting the shape of an object. One can subsequently analyze the general function using numerical analysis with a digital computer. Two systems for static and dynamic measurements are proposed.
Experimental results show that measurement accuracies in static and dynamic measurement systems are obtainable to greater than 1/50 and 1/40 fringes, respectively.
PMID- 21052329 TI - Light diffraction from rough gratings. AB - Two holographic diffraction gratings with very similar parameters, designed for maximum performance inTM polarization, are investigated, their diffraction efficiency measured, an electron microscopic picture of their surface observed, and numerical simulation of light diffraction done with the surface roughness taken into account. It is demonstrated numerically that a small-scale roughness imposed on the grating surface could increase significantly both scattering and absorption from the surface, this influence being greater in the TM case. A very good coincidence between the numerical and experimental data is obtained in TE polarization, whereas in TM polarization only a qualitative agreement exists. PMID- 21052330 TI - Far-ultraviolet reflectance measurements and optical constants of unoxidized aluminum films. AB - The far-UV reflectance of thin unoxidized aluminum films prepared and maintained in ultra-highvacuum conditions was measured versus the angle of incidence, and the complex refractive index was obtained from those measurements on several wavelengths from 82.6 to 113.5 nm. Measurements were made on two perpendicular planes of incidence to deal with the unknown of the polarization state of the radiation beam. The surface roughness was characterized by atomic force microscopy. The refractive index is obtained for the first time, to our knowledge, from direct optical measurements in this spectral range. Current results match well the former values in the literature that were calculated through the Kramers-Kronig analysis by using in the above interval reflectances estimated from electron-energy-loss spectra and from optical measurements on surfaces of unstated roughness. PMID- 21052331 TI - Optic systems with spherical, cylindrical, and toric surfaces. AB - A simple analytical method for tracing rays in an optical system that is made up of spherical, cylindrical, and toric surfaces with an arbitrary rotation of its meridian plane with respect to the reference system is described. An analytical procedure is also given for obtaining the spot diagram on an arbitrarily oriented section, as well as for relating the diagram obtained for the plane of this section as a plane z = 0. Finally, as an application of this procedure, several graphic representations of the spot diagrams in the planes perpendicular or nonperpendicular to the axis are presented. PMID- 21052332 TI - Beam-fanning novelty filter with enhanced dynamic phase resolution. AB - We demonstrate a novel method to enhance the phase resolution of a barium titanate beam-fanning novelty filter by means of an external phase shift in one part of the signal wave. The new technique is described theoretically on the basis of the coupled-wave theory. Experimental results are presented to demonstrate the behavior and the advantages of the novel device for the evaluation of spatial and temporal phase changes in incident signal waves. PMID- 21052333 TI - Optical radiation hazards analysis of ultraviolet headlamps. AB - The potential hazards to the eye and skin associated with the use of UV-emitting automotive headlamps are considered. Wide-scale use of high-beam, near-UV headlamps to permit viewing of fluorescence in clothes and fluorescent road markers at great distances can increase automotive safety; however, the potential hazards from exposure of persons to UV radiation must be evaluated. From the study we conclude that such headlamps can be safely designed to preclude human exposure to potentially hazardous levels of UV radiation. PMID- 21052334 TI - Required optical characteristics of materials for phase-shifting masks. AB - The reflectivity and transmission of a multiple-layer substrate are simulated to predict the optimum choice of materials for the fabrication of phase-shifting masks for optical lithography. Two types of materials are described: a transparent shifter layer with a refractive index closely matching that of quartz, and a partially transparent layer (5-15% transmission) inducing a 180 degrees phase shift of light compared with air. A possible refractive index n and extinction coefficient k are defined, for both layers of the partially transparent material. The fabrication tolerances are calculated in terms of refractive index, extinction coefficient, and thickness accuracy. One of the major technological challenges for both material types is to control the thickness to +/-2%, which is required to satisfy the phase-shifting mask specifications for deep UV lithography (+/-0.5% transmission control and +/-4 degrees phase control). These criteria were calculated by the simulation of the phase and transmission errors, thereby inducing a +/-10% linewidth variation of the resist patterns on the wafers. PMID- 21052335 TI - Effect of surface plasmon excitations on the irradiance pattern of the return beam in optical disk data storage. AB - The excitation of surface plasmons at a dielectric-metal interface is responsible for dips in the zeroth order diffraction efficiency of a metal grating at certain angles of incidence. The dips appear as dark bands in the returning irradiance pattern in an optical disk system and are seen only when there is a component of incident polarization that lies perpendicular to the tracks. The location of these bands is derived from theoretical considerations and is shown to depend on the track pitch and the materials involved, but not on the groove depth or width. The band locations are confirmed by zeroth order diffraction efficiency measurements as a function of incident angle. A possible negative effect of these bands on an optical disk system is the introduction of additional fluctuations and noise into the focusing and push-pull tracking signals. PMID- 21052336 TI - Modulation transfer function evaluation of linear solid-state x-ray-sensitive detectors using edge techniques. AB - The exact determination of the modulation transfer function (MTF) of a physical system is a persistent problem. We present a practical method of measuring numerically the MTF of linear solid-state x-ray-sensitive detectors. The method is based on the use of edge techniques and allows us to obtain the MTF of a linear detector from its edge-spread function (ESF). ESF measurement techniques are discussed in detail, and calculation of the corresponding MTF's are shown. PMID- 21052337 TI - Partially coherent image formation with x-ray microscopes. AB - Image formation with partially coherent radiation is evaluated with the Hopkins formula and then applied to x-ray microscopy. Image characteristics expected from instruments with circular and annular pupils in partially coherent conditions are considered for two-point objects and a knife-edge object. The theoretically expected values for image characteristics that are easy accessible by an experiment, such as the width of a knife edge, are given for various x-ray microscopes. PMID- 21052338 TI - Phase-retrieval analysis of pre- and post-repair Hubble Space Telescope images. AB - Phase-retrieval measurements of point-spread functions from the pre- and post repair Hubble Space Telescope are presented. The primary goal was to determine the aberrations present in the second wide-field and planetary camera (WFPC2) to align and validate its corrective optics. With both parametric model-fitting techniques and iterative (Gerchberg-Saxton) methods, accurate measurements have been obtained of the WFPC2 and Hubble Space Telescope optics, including improved maps of the zonal errors in the mirrors. Additional phase-retrieval results were obtained for the aberrated, prerepair cameras and the corrected faint-object camera. The information has been used to improve models produced by point-spread function simulation programs. On the basis of the measurements a conic constant for the primary mirror of kappa = -1.0144 has been derived. PMID- 21052339 TI - Write-once optical disk system measuring 300 mm using high-density, pit-edge recording. AB - To meet the requirement for a second-generation digital optical disk with a larger capacity and a higher speed, the new 300-mm, write-once optical disk system described here uses pit-edge recording and the modified-constant-angular velocity method [Maeda et al., Trans. IEICE E74, 951 (1991)]. New techniques that can use these methods together and are suitable for interchangeability-data composition and independent detection of the leading and trailing edges-have resulted in the most reliable data-storage system ever produced, we believe. The concept of this system, the characteristics of the new techniques, and the optimization of system performance are described. PMID- 21052340 TI - Phase contrast using photorefractive LiNbO(3):Fe crystals. AB - We theoretically and experimentally study phase contrast using a photorefractive LiNbO(3):Fe crystal sheet and realize the high-performance phase contrast operation using C-cut LiNbO(3):Fe crystal sheets in which the photovoltaic effect plays an important role. We estimate the maximum photovoltaic field in LiNbO(3):Fe using the phase contrast method. PMID- 21052341 TI - Angle measurement based on the internal-reflection effect and the use of right angle prisms. AB - A new development in angle measurement based on the internal-reflection effect (AMIRE) is described in which a pair of right-angle prisms is used to replace the previously used elongated critical-angle prisms, resulting in lower costs and a more compact size. Excellent linearity is achieved through careful alignment of the right-angle prisms. The measurement sensitivity and range can be selected through the use of light sources with different polarization states. Experiments with a prototype sensor demonstrated a measurement range of 1.6 degrees , a resolution of 0.04 arcsec, and a nonlinearity error of +/-0.1%. Both analytical and experimental results are presented. PMID- 21052342 TI - Room-temperature tests of an optical transducer for resonant gravitational wave detectors. AB - A two-oscillator transducer incorporating a laser-illuminated Fabry-Perot cavity with a finesse of 77,500 and a power dissipation of 1.2 uW was tested at room temperature. The energy of the last resonator with a mass of 1.25 g was measured to be k(B)T within 8%, and no back action from the sensor could be detected. The lowest value of the noise measured away from resonance was 1.0 * 10(-15)m/?Hz, and the electronic noise was 3.2 * 10(-17) m/?Hz. That transducer is designed for a 2400-kg gravitational wave antenna operating at cryogenic temperatures. At 4.2 K and for mechanical quality factors of 3 * 10(6), the measured thermal and electronic noise levels would translate into a sensitivity in h equal to 7.0 * 10 (-19) and 1.5 * 10(-19), respectively. PMID- 21052343 TI - Moldable optical element: a new tool to obtain the infrared attenuated-total reflection spectrum of a rough surface. AB - The air gap between an attenuated-total-reflection (ATR) element (prism) and a sample surface reduces the intensity of each recorded spectrum from that sample and distorts the IR-band contours. Recently, the air-gap problem was solved with a moldable ATR element made of IR-transparent chalcogenide glass. When heated to the softening temperature (60-90 degrees C) and then cooled to room temperature together with a pressed specimen, such an element provides perfect contact with the surface of a rough sample. Some advantages of this new technique are demonstrated. PMID- 21052344 TI - Elastic transducers incorporating finite-length optical paths. AB - Frequently, when designing a structure to incorporate integrated sensors, one sacrifices the stiffness of the system to improve sensitivity. However, the use of interferometric displacement sensors that tessellate throughout the volume of a structure has the potential to allow the precision and range of the component measurement to scale with the geometry of the device rather than the maximum strain in the structure. The design of stiff structures that measure all six resultant-load components is described. In addition, an advanced torsion sensor and a linear acceleration transducer are also discussed. Finally, invariant paths are presented that allow the in situ integrity of a structural volume to be monitored with a single pair of displacement sensors. PMID- 21052345 TI - Bragg intragrating structural sensing. AB - When a fiber-optic intracore Bragg grating is subject to an appreciable strain gradient, its reflective spectrum will not only be shifted but also be distorted because of the chirp of the grating. We employed the J-matrix formalism to calculate the influence of different strain gradients on the reflective spectra of Bragg gratings and have undertaken experiments to test these calculations. The results of these experiments have confirmed that intracore Bragg gratings can be used to evaluate strain gradients and can be thought of as quasi-distributed strain sensors. This adds a new dimension to structural sensing, permitting measurements in any situation where strain gradients exist. It also provides a warning of any sensor/host debonding. PMID- 21052346 TI - Vesicle sizing by static light scattering: a Fourier cosine transform approach. AB - A Fourier cosine transform method, based on the Rayleigh-Gans-Debye thin-shell approximation, was developed to retrieve vesicle size distribution directly from the angular dependence of scattered light intensity. Its feasibility for real vesicles was partially tested on scattering data generated by the exact Mie solutions for isotropic vesicles. The noise tolerance of the method in recovering unimodal and biomodal distributions was studied with the simulated data. Applicability of this approach to vesicles with weak anisotropy was examined using Mie theory for anisotropic hollow spheres. Aprimitive theory about the first four moments of the radius distribution about the origin, excluding the mean radius, was obtained as an alternative to the direct retrieval of size distributions. PMID- 21052347 TI - Stable-marriages algorithm for preprocessing phase maps with discontinuity sources. AB -A new algorithm is proposed for solving the problems associated with discontinuity sources in phase maps. It is based on the stable-marriages algorithm and is implemented as a recursive procedure.
With this technique, discontinuity sources of opposite sign are connected by a set of cut lines that fulfills a stability criterion and possesses the minimum cut length of the stable sets. The algorithm is fast and easy to implement and has proved efficient, as experimental results show.
PMID- 21052348 TI - Stabilized nonlinear regression for interferogram analysis. AB - A simple but accurate regression method for reducing the conventional single frame interferograms that primarily arise in flow and heat-transfer measurements is proposed and tested. Phase extraction from the nonlinear interferogram intensity model becomes an ill-posed nonuniqueness problem. Unlike previous regression techniques, the method is based on iterative independent estimation of the individual terms appearing in the model to resolve this problem. Testing demonstrates stable convergence under a wide range of fringe numbers and noise levels. In comparison with the Fourier transform method the regression method provides enhanced accuracy, especially for cases involving few fringes, opaque boundaries, and phase discontinuities. It also allows direct gradient calculation. These features are very attractive for flow measurements despite its slow processing time. PMID- 21052349 TI - Single-element diffractive optical system for real-time processing of synthetic aperture radar data. AB - I present an optical system for the polar formatting of data in a spotlight-mode synthetic aperture radar. This system is implemented with only one diffractive optical element (DOE). Previously such a DOE could not be produced because the phase of the required transmission function of the DOE does not obey the continuity condition, which is a prerequisite for the conventional implementation of such optical transforms. Here I show how a DOE can be produced to perform the complete polar-formatting transform by incorporating branch-point phase singularities in the transmission function of the DOE. The computation of the transmission function is shown, and numerically computed diffraction patterns obtained from this DOE are also shown. PMID- 21052350 TI - Design of an optical content-addressable parallel processor for expert systems. AB - The slow execution speed of current rule-based systems (RBS's) has restricted their application areas. To improve the speed of RBS's, researchers have proposed various electronic multiprocessor systems as well as optical systems. However, the electronic systems still suffer in performance from the large amount of required time-consuming pattern-matching and comparison operations at the core of RBS's. And optical systems do not fully exploit the available parallelism in RBS's. We propose an optical content-addressable parallel processor for expert systems. The processor executes the three basic RBS operations, match, select, and act, in a highly parallel fashion. Additionally, it extracts and exploits all possible parallelism in a RBS. Distinctive features of the proposed system include the following: (1) two-dimensional representation of data (knowledge) and control information to exploit the parallelism of optics in the three RBS units; (2) capability of processing general-domain knowledge expressed in terms of variables, numbers, symbols, and comparison operators such as greater than and less than; (3) the parallel optical match unit, which performs the two dimensional optical pattern matching and comparison operations; (4) a novel conflict-resolution algorithm to resolve conflicts in a single step within the optical select unit. The three units and the general-knowledge representation scheme are designed to make the optical content-addressable parallel processor for expert systems suitable for any high-speed general-purpose RBS. PMID- 21052351 TI - Optical error-correction coding encoder and decoder: design considerations. AB - Novel all-optical parallel implementations of encoder and decoder systems for error detection and correction with linear codes are proposed. The key components are optical vector-matrix multipliers and optical lookup tables. Evaluation is made of the overall system throughput and the hardware requirement with a case of a simple (7, 4) Hamming error-detection coding for a two-dimensional picture of 1000 * 1000 pixels with near-future ferroelectric liquid-crystal devices, which results in a best throughput of approximately 5.6 * 10(10) pixels/s and a size of 1 cm * 1 cm with a combination of time-and space-division techniques. PMID- 21052352 TI - Optical triple-in digital logic using nonlinear optical four-wave mixing. AB - A new programmable optical processor is proposed for implementing triple-in combinatorial digital logic that uses four-wave mixing. Binary-coded decimal-to octal decoding is experimentally demonstrated by use of a photorefractive BaTiO(3) crystal. The result confirms the feasibility of the proposed system. PMID- 21052353 TI - Perfect-shuffle interconnected bitonic sorter: optoelectronic design. AB - The algorithmic, electronic, and optical aspects of the implementation of a perfect-shuffle interconnected bitonic sorter are analyzed. The performance metrics such as the bit output data rate and the power consumption of the system are quantified. The sorting module is designed to demonstrate the parallel nonlocal interconnection of smart-pixel arrays and the use of optical-image control masks in a functioning information processor. PMID- 21052354 TI - Acousto-optic cyclostationary signal processing. AB - Cyclostationary signal-processing techniques implemented by means of acousto optics are considered. Cyclic-processing methods are reviewed and motivated, such as the cyclic correlation and the cyclic spectrum. It is shown that the cyclic correlation can be computed at cycle frequencies of interest by use of one dimensional time-integrating correlators in additive or multiplicative configurations. Detection of cycle frequencies is briefly considered, and a one dimensional acousto-optic spectrum-analysis approach is described that is effective for amplitude-modulated signals. The problem of computing the two dimensional cyclic correlation for all cycle frequencies and lags is then considered. This is accomplished by means of an acousto-optic triple-product processor configured in a manner similar to that used for ambiguity-function generation. The cyclic spectrum can be obtained in a postprocessing step by Fourier transformation of the cyclic correlation in one dimension. Higher-order extensions of the cyclic correlation are also discussed, and it is shown how a two-dimensional slice of the three-dimensional cyclic triple correlation can be computed by use of an acousto-optic four-product processor. PMID- 21052355 TI - Unwrapping noisy phase maps by use of a minimum-cost-matching algorithm. AB - An algorithm for unwrapping noisy phase maps by means of branch cuts has been proposed recently. These cuts join discontinuity sources that mark the beginning or end of a 2pi phase discontinuity. After the placement of branch cuts, the unwrapped phase map is unique and independent of the unwrapping route. We show how a minimum-cost-matching graph-theory method can be used to find the set of cuts that has the global minimum of total cut length, in time approximately proportional to the square of the number of sources. The method enables one to unwrap unfiltered speckle-interferometry phase maps at higher source densities (0.1 sources pixel(-1)) than any previous branch-cut placement algorithm. PMID- 21052356 TI - Multilayer associative memory and its hybrid optical implementation. AB - We propose a multilayer associative memory with a winner-take-all operation on the inner product between an input and stored exemplars. The winner-take-all operation is performed by a unit-step operation with an adaptive-threshold strategy. We show that the multilayer-associative-memory unit-step operation with an adaptive-threshold strategy has a high noise immunity and a large storage capacity, and it is also capable of extending to a gray-level associative memory with a phase-representation technique. A hybrid optical implementation with a proof-of-concept experiment is also provided. PMID- 21052357 TI - Astigmatic phase correction for the magneto-optic spatial light modulator. AB - We report a simple low-cost technique for evaluating the phase distortion in a magneto-optic spatial light modulator. We find that the dominant distortion is caused by astigmatism and is easily compensated by encoding of the complex conjugate pattern onto the device. Two experimental results are shown. First, the focused spot size from a Fresnel lens is sharpened when the aberrations are corrected. Second, we show that the pattern that generates a first-order Bessel function nondiffracting beam does not work unless the aberrations are corrected. PMID- 21052358 TI - Restoration of images from the scanning-tunneling microscope. AB - During the acquisition of an image from any probe microscope instrument, various noise sources cause distortion in the observed image. It is often the case that impulsive disturbances cause bright groups of pixels to replace the actual image data in these locations. Furthermore, the images from a probe microscope show some amount of blurring caused both by the instrument function and the material properties. In almost all image-processing applications it is important to remove any impulsive distortion that may be present before deblurring can be attempted. We give a technique for detecting these impulses and reconstructing the image. This technique is superior to the standard global application of median filters for the case considered. The reconstruction is limited only to the affected regions and therefore results in a much sharper and more meaningful image. With the assumption of Gaussian blur it is then possible to propose several different deblurring methodologies. We present a novel Wiener-filter deblurring implementation and compare it to both maximum-entropy and Richardson-Lucy deblurring. PMID- 21052360 TI - Fiducial markers for increasing the versatility of optical correlation in the measurement of faults on integrated-circuit wafers. AB - A microscope-coherent optical processor is used for the measurement of the registration errors on integrated-circuit wafers. The measurements are obtained from the optical correlation of wafers with reference wafer patterns by use of matched spatial filters. Previously, the intricate pattern of the active circuit area of wafers has been used in the correlation process, and a new matched spatial filter had to be created for each different integrated circuit. Here, the results of using comparatively plain fiducial markers on a wafer for the registration-error measurement are presented, and these show that the measurements can be made independent of the design of the integrated circuit while maintaining the advantages and accuracy of the optical correlation technique. PMID- 21052359 TI - Phase calibration and applications of a liquid-crystal spatial light modulator. AB - A simple phase-characterization method for spatial light modulators is proposed. The low-cost method permits high-precision measurement and provides data for the setting of the spatial-light-modulator operating point in the phase-modulation mode. The dynamic phase response is used to perform efficient kinoform recording. In order to record the kinoform, we modify the global iterative coding to compute phase holograms. Finally, modified phase-phase correlation is introduced. The phase-phase correlator permits sharper correlation peaks, better energy transmission, and higher discrimination than an amplitude-phase correlation. Optical experimental results are presented. PMID- 21052361 TI - Polynomial expansion for shift- and one- or two-dimensional scale-invariant pattern recognition. AB - A polynomial expansion is suggested for achieving optical invariant pattern recognition. The expansion results in a real function and thus is theoretically able to be implemented under both coherent and spatially incoherent illumination. One obtains the expansion after applying the Gram-Schmidt algorithm on the Laurent's series in order to achieve orthonormality. The initial Laurent term with which we apply the Gram-Schmidt procedure is chosen according to the desired expansion order. The use of the polynomial expansion is demonstrated for shift- and one-dimensional scale-invariant pattern recognition as well as for shift-and two-dimensional scale-invariant recognition. PMID- 21052362 TI - Partial-response equalization in magneto-optical disk readout: a theoretical investigation. AB - We analyze the application of partial-response equalization and maximum likelihood sequence estimation in magneto-optical readout. Two filters are proposed, and several aspects of their performance are examined. Filter I has 8 states in its state-transition diagram and is therefore easier to implement than filter II, which has 32 states. We discuss the required signal-to-noise ratio as function of the recorded bit density for these filters. The effects of jitter and bloom on the eye patterns of the output signals are also examined by computer simulation. This analysis indicates that filter II is somewhat superior to filter I, presumably because the output of filter II is more similar to the actual readout signal. We determine the distribution of Euclidean distance between pairs of output sequences and compute upper bounds on the probability of sequence error for both filters. Using two different methods of precoding (i.e., mapping of the user data to the magnetic pattern on the disk), we also compute the probability of bit error for the user data and show that one precoding scheme is slightly better than the other. PMID- 21052363 TI - Lens-design issues affecting parallel readout of optical disks. AB - Lens designs are developed for parallel readout of data stored on optical disks. Optimizations of a single aspheric objective with standard wavelengths and numerical apertures are performed. These designs are evaluated for degree of parallelism achieved, improvement in aggregate data rate, source power requirements, and effect on head mass. We find that more than 225 channels and data rates of ~4 Gbits/s can be supported with low-cost readout optics. PMID- 21052364 TI - Methylene blue sensitized poly(methyl methacrylate) matrix: a novel holographic material. AB - Methylene blue sensitized poly(methyl methacrylate) is shown to be an efficient medium for recording three-dimensional holographic gratings. Phase and/or amplitude holograms can be written in the methylene blue sensitized films of poly(methyl methacrylate) with a conventional source of light, a He-Ne laser operating at 632.8 nm. Diffraction efficiencies of 60% were found for thick holograms. Multiple holograms were recorded in the described system, and an optical erasing of holograms was achieved. Hologram recording speed was found to increase with temperature. PMID- 21052365 TI - Computer-generated optical multiwavelet filters for hybrid image-classification systems. AB - Optical coherent Fourier correlators are applicable in real-time image analysis such as image classification. The functionality of Fourier correlators can be increased by use of multifunctional filters, which have many spatially multiplexed impulse responses. The concept of multiresolution analysis on the basis of wavelet theory offers profitable methods to design multifunctional filters for image analysis. The applicability of such filters is demonstrated by an example in which different characteristic textures of medical images are extracted. The physical implementation of multiwavelet filters is restricted by modulation-domain constraints imposed by the use of spatial-light-modulator or of diffractive-element fabrication technology. Coding methods of diffractive optics are shown to be helpful to transform the original complex-valued distributions of multiwavelet filters into light-efficient quantized phase-only distributions by preservation of the original filter functionality. The quality of the designed diffractive phase filters is documented by computer experiments. PMID- 21052366 TI - Electron-trapping materials and electron-beam-addressed electron-trapping material devices: an improved model. AB - An improved model governing the dynamics of electron-trapping materials (ETM's) under simultaneous illumination of blue and IR light is developed. The new model takes into account previously neglected effects, such as electron-trap-density saturation and dependence of electron-trapping efficiency on the existing level of trapped-electron density. Inclusion of both effects in a model is vitally important for effective use of ETM's in optoelectronic neurocomputing with pulsating neurons. Experimental verification of the new model is given. General issues of addressing ETM's with an electron beam are also studied, and a general design equation for electron-beam-addressed ETM devices is developed. In particular, two electron-beam-addressed ETM devices that are of special interest, the field-emission microcathode ETM spatial light modulator and the ETM-based image intensifier, are presented. PMID- 21052367 TI - Maximum-likelihood x-ray computed-tomography finite-beamwidth considerations. AB - The underlying model and iterative image-reconstruction algorithm, based on maximum-likelihood estimation, is extended to consider finite x-ray beam width. Simulations are presented by maximum-likelihood images compared with filtered backprojection images. The main conclusion of this study is that it is feasible to obtain a marked improvement in image clarity and reduction of artifacts: (1) There is an improvement in delineation of the boundaries of low-contrast soft tissue substructures. There is an improvement in the capability of identifying at least one of the low-contrast soft-tissue substructures. (2) The algorithm is capable of reconstructing onto a discrete array of finer resolution, again with better delineation of substructures than the filtered-backprojection algorithm. (3) Maximum-likelihood images at an atypically low photon flux level are, at the very least, comparable in image quality to filtered-backprojection images at a much higher and more typical photon flux level. These observations imply that the diagnostic capability of x-ray computed tomography may be improved to a broader range of otherwise adverse conditions. It may be capable of much better visualization of soft-tissue regions that reside near dense regions (such as bone or metal prostheses), of visualizing finer spatial detail, and of use with much lower x-ray dosages. PMID- 21052368 TI - Nonlinear coherent optical image processing using logarithmic transmittance of bacteriorhodopsin films. AB - The transmission properties of some bacteriorhodopsin-film spatial light modulators are uniquely suited to allow nonlinear optical image-processing operations to be applied to images with multiplicative noise characteristics. A logarithmic amplitude-transmission characteristic of the film permits the conversion of multiplicative noise to additive noise, which may then be linearly filtered out in the Fourier plane of the transformed image. I present experimental results demonstrating the principle and the capability for several different image and noise situations, including deterministic noise and speckle. The bacteriorhodopsin film studied here displays the logarithmic transmission response for write intensities spanning a dynamic range greater than 2 orders of magnitude. PMID- 21052369 TI - Approximate performance of the nonlinear joint transform correlator in signallike noise. AB - We present a statistical-analysis technique for a nonlinear joint transform correlator (JTC) based on two assumptions: the noise and the signal spectra are identical, and the signal energy is small relative to the noise energy. The first assumption, while admittedly convenient, is also defensible in that it is a worst case and in that image and scene noise can be similar in texture. The second is also reasonable, given that even a clearly visible signal may have small energy compared with the scene noise if it is of limited extent; in any case, the results appear moderately faithful even for the case that signal and noise energies are equal. We discover that the optimal Fourier-plane transformation is spatially variant and tends to remove the Fourier amplitudes of the input image, and indeed functions in a way very similar to the spatially variant binary JTC. We also see that the classic (or spatially invariant linear) JTC is a very inferior technique for signallike noise, that the best spatially variant binary JTC uses a threshold proportional to the noise power spectrum, and that, if a spatially invariant binary-thresholded JTC is desired, then the median Fourier plane value is an excellent choice of threshold. The performance predictions are verified by simulation and appear to be reasonable even for the highly nonlinear binary schemes. PMID- 21052370 TI - Performance of an optoelectronic neural network in the presence of noise. AB - Optoelectronic neural networks must not only be highly parallel but also fast to compete with electrical systems. Receiver noise becomes an important consideration at high data rates; so the limits set by noise to network size and speed are analyzed. A network incorporating an array of high-speed multi-quantum well modulators was constructed. It employed a general method for optical representation of bipolar values, which required only a minimal increase in network dimensions and gave the network immunity to common-mode parameter variations. Different ways of partitioning pattern-recognition problems were compared, and the accuracy of one configuration was tested with the experimental network over a range of noise levels. PMID- 21052371 TI - Thermal fixing of holographic gratings in BaTiO(3). AB - Fixing of a holographic grating in a single BaTiO(3) crystal is studied in detail by means of a thermal process. Above T = 78 degrees C, oscillations of the diffracted intensity of the sample appear, which are related to the fixing process. Different methods to perform and optimize the fixing process are described. A fixed diffraction efficiency of ~25% was obtained. Self-enhanced as well as self-depleted diffraction from the fixed photorefractive gratings was observed. PMID- 21052372 TI - Diffractive optics: an introduction to the feature issue. AB - This special feature of Applied Optics contains 20 papers on the design, applications, and fabrication of diffractive optics. The companion feature in the Journal of the Optical Society of America A (May 1995) contains papers on diffractive optics modeling. Many of these papers result from presentations at the second OSA topical meeting on diffractive optics, June 6-9, 1994, in Rochester, New York. PMID- 21052373 TI - Asymmetric beam deflection by doubly grooved binary gratings. AB - It is demonstrated theoretically and experimentally that the inversion symmetry of the diffraction pattern of a binary grating at normal incidence can be efficiently broken by the use of nonsymmetric profiles with wavelength-scale transverse features. Theoretically, binary gratings with two grooves (of unequal widths but with the same depth) within the period can deflect as much as ~80% of normally incident radiation into the minus first diffraction order when the deflection angle is 45 degrees . PMID- 21052374 TI - High-efficiency binary fan-out gratings by modulation of a high-frequency carrier grating. AB - A modulation scheme that uses pulse-position modulation of a high-frequency binary grating to increase the diffraction efficiency of the elements is presented. These elements are designed and fabricated with both one- and two dimensional signals for operation in transmission or reflection modes in the visible and the infrared regions of the spectrum. A direct electron-beam lithography fabrication process capable of realizing features of ~280 nm with a resolution of 15 nm is described in detail. Experimental results show that diffraction efficiencies of >80% are attainable. PMID- 21052375 TI - Multilayer waveguide-grating filters. AB - The properties of guided-mode resonance reflection filters constructed with multiple thin-film layers are addressed. Greatly improved filter characteristics are shown to follow by the incorporation of multiple homogeneous layers with the spatially modulated layer. Calculated results for single-layer, double-layer, and triple-layer filter structures are presented. Whereas good filter characteristics are obtainable with single layers that are half-resonance-wavelength thick, there remains a residual reflection in the sidebands unless the cover and the substrate permittivities are equal. With double-layer and triple-layer designs, extensive wavelength ranges with low sideband-reflectance values are shown to be possible without requiring equal cover and substrate permittivities. The antireflection properties of the layer stack can be understood if the modulated layer is modeled as a homogeneous layer characterized by its average relative permittivity. However, as the grating-modulation index increases, this approximation deteriorates. In particular it is found that, for a given high modulation index, the double-layer antireflection thin-film approximation fails, whereas for the same modulation in a triple-layer system it holds firmly. Multilayer designs can thus have significantly large filter passbands, as they may contain heavily modulated resonant gratings without corruption of the ideal filter characteristics. PMID- 21052376 TI - Design considerations of form birefringent microstructures. AB - Diffraction characteristics of high-spatial-frequency (HSF) gratings are evaluated for application to polarization-selective computer-generated holograms by the use of two different approaches: second-order effective-medium theory (EMT) and rigorous coupled-wave analysis (RCWA). The reflectivities and the phase differences for TE- and TM-polarized waves are investigated in terms of various input parameters, and results obtained with second-order EMT and RCWA are compared. It is shown that although the reflection characteristics can be accurately modeled with the second-order EMT, the phase difference created by form birefringence for TE- and TM-polarized waves requires the use of a more rigorous, RCWA approach. The design of HSF gratings in terms of their form birefringence and reflectivity properties is discussed in conjunction with polarization-selective computer-generated holograms. A specific design optimization example furnishes a grating profile that provides a trade-off between the largest form birefrin gence and the lowest reflectivities. PMID- 21052377 TI - Effects of process errors on the diffraction characteristics of binary dielectric gratings. AB - The effects of fabrication errors on the predicted performance of surface-relief phase gratings are analyzed with a rigorous vector diffraction technique. For binary elements, errors in the dimensions of the profile [depth, linewidth (fill factor), and grating period], as well as errors in the shape of the profile, are investigated. It is shown that the dimension errors do not have a significant effect on grating performance when the grating is designed for either maximum or minimum diffraction efficiency. A trapezoid is used to model the shape error of the profile. For the first time, design rules that significantly reduce the effects of any shape error are presented. PMID- 21052378 TI - Design methods for space-variant optical interconnections to achieve optimum power throughput. AB - Optoelectronic systems based on space-variant optics give great freedom to the system designer in terms of interconnect topologies. One feature of space-variant systems is that they can achieve a high interconnect density. However, this density is achieved by having large arrays of diffractive elements with very small apertures relative to the propagation distances involved. Thus diffraction losses from the finite apertures can significantly affect power throughput for these types of systems, regardless of the diffractive efficiencies of the optical elements involved. Therefore it is desirable that this loss be minimized. We present several space-variant optical interconnect design methods (for both one to-one and fan-out interconnects) and compare them in terms of power throughput for diffraction-limited interconnect distances. Both numerical simulations and experimental results are presented. PMID- 21052379 TI - Case for refracting rather than diffracting optics in spatially variant interconnections. AB - In applications in which free-space optical interconnections need to combine high efficiency, low cross talk, and a high degree of parallelism we suggest that refracting optics is preferable to diffracting optics. If surface-relief diffracting components are to be used in high-performance systems, they must be manufactured with very high spatial resolution. PMID- 21052380 TI - Diffractive optics applied to eyepiece design. AB - Eyepieces often limit the overall optical performance of visual instruments and, because of the wide field-of-view and high-performance requirements, they present a well-known difficult design problem. Improvement of existing eyepieces is limited with the use of conventional design variables. We have designed and fabricated a hybrid diffractive-refractive wide-field (>60 degrees ) eyepiece that offers significant improvements over existing conventional eyepieces. The hybrid eyepiece consists of only three common-crown refractive elements and weighs 70% less than an Erfle-type eyepiece, while having enhanced optical performance such as a 50% decrease in pupil spherical aberration and a 25% reduction in distortion. Experimental modulation transfer function results are in excellent agreement with the theoretical performance. PMID- 21052381 TI - Spectral properties of multiorder diffractive lenses. AB - Diffractive lenses have been traditionally designed with the first diffracted order. The spectral characteristics of diffractive lenses operating in higher diffracted orders differ significantly from the first-order case. Multiorder diffractive lenses offer a new degree of freedom in the design of broadband and multispectral optical systems that include diffractive optical elements. It is shown that blazing the surface-relief diffractive lens for higher diffraction orders enables the design of achromatic and apochromatic singlets. The wavelength dependent optical transfer function and the associated Strehl ratio are derived for multiorder diffractive lenses. Experiments that illustrate lens performance in two spectral bands are described, and the results show excellent agreement with the theoretical predictions. PMID- 21052382 TI - Harmonic diffractive lenses. AB - The harmonic diffractive lens is a diffractive imaging lens for which the optical path-length transition between adjacent facets is an integer multiple m of the design wavelength lambda(o). The total lens thickness in air is mlambda(o)/(n - 1), which is m times thicker than the so-called modulo 2pi diffractive lens. Lenses constructed in this way have hybrid properties of both refractive and diffractive lenses. Such a lens will have a diffraction-limited, common focus for a number of discrete wavelengths across the visible spectrum. A 34.75-diopter, 6 mm-diameter lens is diamond turned in aluminum and replicated in optical materials. The sag of the lens is 23 um. Modulation transfer function measurements in both monochromatic and white light verify the performance of the lens. The lens approaches the diffraction limit for 10 discrete wavelengths across the visible spectrum. PMID- 21052383 TI - Submicrometer gratings for solar energy applications. AB - Diffractive optical structures for increasing the efficiency of crystalline silicon solar cells are discussed. As a consequence of the indirect band gap, light absorption becomes very ineffective near the band edge. This can be remedied by use of optimized diffraction gratings that lead to light trapping. We present blazed gratings that increase the optically effective cell thickness by approximately a factor of 5. In addition we present a wideband antireflection structure for glass that consists of a diffraction grating with a dielectric overcoat, which leads to an average reflection of less than 0.6% in the wavelength range between 300 and 2100 nm. PMID- 21052384 TI - Arrays of anamorphic phase-matched Fresnel elements for diode-to-fiber coupling. AB - A method for designing microlens arrays that inherently takes into account application requirements and fabrication constraints is presented. Elements with numerical apertures of up to 0.5 have been designed and fabricated by laser beam writing in photoresist and replication in plastic material. In a laser-diode-to fiber array coupling experiment, an overall optical throughput of 60% was achieved. By means of anamorphic microlens arrays, correction of the laser-diode longitudinal astigmatism and circularization of the image-plane irradiance distribution are demonstrated. PMID- 21052385 TI - Investigation of computer-generated diffractive beam shapers for flattening of single-modal CO(2) laser beams. AB - A full cycle was realized of the photolithographic development and detailed testing of a diffractive optical element that transforms the diverging Gaussian beams of CO(2) lasers into a uniformly filled-in rectangle. The zone feature size of the beam shaper, the diffractive efficiency and accuracy, the focus depth, and the stability with respect to the size and the divergence of incident Gaussian beams are studied by computer modeling. Calculated flattop intensity distributions are presented in the same form of gray-level pictures and three dimensional plots as the corresponding results measured by an IR camera. PMID- 21052386 TI - Design and performance of diffractive optics for custom laser resonators. AB - Diffractive optical elements are used as end mirrors and internal phase plates in an optical resonator. A single diffractive end mirror is used to produce an arbitrary real-mode profile, and two diffractive mirrors are used to produce complex profiles. Diffractive mirror feature size and phase quantization are shown to affect the shape of the fundamental mode, the fundamental-mode loss, and the discrimination against higher-order modes. Additional transparent phase plates are shown to enhance the modal discrimination of the resonator at the cost of reduced fabrication tolerances of the diffractive optics. A 10-cm-long diffractive resonator design is shown that supports an 8.5-mm-wide fundamental mode with a theoretical second-order mode discrimination of 25% and a negligible loss to the fundamental mode. PMID- 21052387 TI - Range-finding method using diffraction gratings. AB - A model in geometric optics, along with some preliminary experimental results for a new range-finding method that exploits near-field diffraction phenomena found with plane gratings, is presented. Among the characteristics investigated is a magnification effect applicable to three-dimensional microscopy. A variety of embodiments of the method is disclosed, including an off-axis illumination model and a method of near-field focus compensation that takes advantage of the Scheimpflug condition. PMID- 21052388 TI - Efficient encoding algorithms for computer-aided design of diffractive optical elements by the use of electron-beam fabrication. AB - One of the general requirements of a computer-aided design system is the existence of efficient (in data size and running time) algorithms that are generally reliable for the broadest range of design instances. The restricted data formats of the electron-beam machines impose difficulties in developing algorithms for the design of diffractive optical elements (DOE's) and computer generated holograms (CGH's). Issues that are related to the development of CGH algorithms for e-beam fabrication of DOE's and CGH's are discussed. We define the problems the CGH algorithms need to solve, then introduce general curve drawing algorithms for the e-beam data generation of diffractive optical components. An efficient algorithm for general aspherical DOE's is proposed. Actual design and fabrication examples are also presented. PMID- 21052389 TI - Fabrication of monolithic diffractive optical elements by the use of e-beam direct write on an analog resist and a single chemically assistedion-beam-etching step. AB - We present a method to fabricate high-quality and environmentally rugged monolithic diffractive optical elements (DOE's). Analog direct-write e-beam lithography was used to produce analog resist profiles that were transferred into their substrates by the use of chemically assisted ion-beam etching (CAIBE) in one single etching step. An iterative method was used to compensate for the proximity effect caused by electron scattering in the resist and from the substrate during the e-beam exposure. Slope-dependent differential etch rates that occur during the transfer process were characterized and compensated for. Finally, the DOE was divided into regions with different period ranges, and the exposure dosages were set to achieve even and accurate etch depths in the final element. The presented fabrication method will increase manufacturability and reduce processing time, which will result in a general cost reduction per element. PMID- 21052390 TI - Interferometric fabrication of modulated submicrometer gratings in photoresist. AB - Interferometric recording is applied to the fabrication of modulated submicrometer gratings in photoresist.High diffraction efficiency requires optimized recording conditions, which are obtained by the use of an on-axis continuous surface-relief grating for the generation of the object beam. The optimized phase function is copied into the resist layer by means of a self aligned two-step recording process with an intermediate copy in a volume photopolymer hologram. As a result, we demonstrate high carrier frequency surface relief off-axis fan-out gratings for illumination in transmission with visible light. PMID- 21052391 TI - Comparison of methods for determining the bias index of a dichromated gelatin hologram. AB - Determination of the bias refractive index of a holographic emulsion before exposure and after development is an important factor in the design of holographic optical elements. Several experimental methods are discussed for determining the bias index of a volume hologram in dichromated gelatin, and the results for each technique are presented. It is shown experimentally that these measurement methods yield different results for the same hologram, and the cause of the differences is proposed to be a variation of the bias index with depth in the hologram. An index measurement technique is also presented that accounts for variation in the bias index and is shown to yield an accurate value for the bias index. PMID- 21052392 TI - V-groove gratings on silicon for infrared beam splitting. AB - Infrared beam-splitting transmission gratings that utilize anisotropically etched v-grooves on silicon wafers are proposed. With scalar diffraction theory to find the amplitudes of the different diffraction orders, a numerical search is used to find optimum designs for 1:3, 1:5, and 1:7 splitters with efficiencies greater than 70% with a standard deviation in intensity of no more than 7%. PMID- 21052393 TI - Phase-unwrapping algorithm based on an adaptive criterion. AB - A new algorithm for phase unwrapping of phase maps with noise or logical inconsistencies is proposed. It is based on the use of an adaptive threshold and the second difference of the locally unwrapped phase as a selection criterion for the pixels to be processed. PMID- 21052394 TI - Diffractive-phase-element design that implements several optical functions. AB - A scheme for the design of diffractive phase elements (DPE's) that integrates several optical functions is presented in a consistent sense based on the general theory of amplitude-phase retrieval and the Yang-Gu algorithm [Appl. Opt. 33, 209 (1994)]. We extend the original Yang-Gu algorithm to treat a system illuminated by a beam of incident light whose components are at different wavelengths, and a set of equations for determining the phase distribution of the DPE is derived. The profile of a surface-relief DPE can be designed with an iterative algorithm. Numerical simulations are carried out for the design of one-dimensional DPE's capable of both demultiplexing different wavelength components and focusing each partial wave at predetermined positions. The influence of the extension of sampling points in the DPE's from ideal geometric points to physical spots on design results is also investigated. The numerical simulation results show that the new algorithm can be used successfully to design the desired DPE's. It is therefore expected to be useful in the design of DPE's for micro-optical systems. PMID- 21052395 TI - Free-space optical interconnections with liquid-crystal microprism arrays. AB - Liquid-crystal microprism arrays are shown to be useful for providing electrically controlled alignment of optical beams and fixed various free-space optical interconnections. They can deflect closely spaced micro-optical beams individually to any position with high transmittance (95%), high deflection angle (~10 degrees ), and low voltage (<2.8 V(rms)). Various fixed optical interconnections can be made simply by changes in the voltages applied to the microprism. PMID- 21052396 TI - 256 * 256 Turnover-type free-space multichannel optical switch based on polarization control using liquid-crystal spatial light modulators. AB - Free-space multichannel optical switches using polarization control are attracting interest for future telecommunication networks and interconnection networks in computers. We describe a switching architecture, the turnover type, for such free-space multichannel optical switches. The architecture makes it possible to realize a large-scale and transparent optical switch that is also compact. A 256 * 256 multichannel optical switch based on the architecture is designed and fabricated. To the authors' knowledge, the channel number of the fabricated switch is the largest yet reported among rearrangeable optical switches. Switching operation and signal transmission at 400 Mbits/s are performed successfully with a prototype switch. PMID- 21052398 TI - Diffraction properties of a reflection photorefractive hologram: authors' reply to comments. PMID- 21052397 TI - Diffraction properties of a reflection photorefractive hologram: comments. PMID- 21052399 TI - Neural network that incorporates direct optical imaging. AB - A compact neural network architecture is described that can be trained to sense and classify an optical image directly projected onto it. The system is based on the combination of a two-dimensional amorphous silicon photoconductor array and a liquid-crystal spatial light modulator. Appropriate filtering of the incident optical image on capture is incorporated into the network training rules through a modification of the standard backpropagation training algorithm. Training of the network on two image-classification problems is described: the recognition of handprinted digits and facial recognition. The network, once trained, is capable of stand-alone operation, sensing an incident image, and outputting a final classification signal in real time. PMID- 21052400 TI - High-finesse interferometers. AB - A detailed plan for the construction and use of a nonconfocal cavity used as a high-finesse interferometer is presented. The interferometer has a free spectral range of l5 GHz, with a finesse of over 30,000. PMID- 21052401 TI - Experimental study of a model digital space optical communication system with new quantum devices. AB - We report a digital space optical communication system with new features both in the transmitting and in the receiving ends. The diode laser source is stabilized to within +/-100 kHz by locking its frequency to the transmission peak of a Faraday anomalous dispersion optical filter (FADOF). The optical filter in the receiver uses two FADOF's that are linked to eliminate the multipeak structure and achieve a single-peak bandwidth of ~1 GHz. The detection sensitivity of this system is 23 times higher than that of a system with a traditional interference filter. PMID- 21052402 TI - Neural network pattern recognition of thermal-signature spectra for chemical defense. AB - We treat infrared patterns of absorption or emission by nerve and blister agent compounds (and simulants of this chemical group) as features for the training of neural networks to detect the compounds' liquid layers on the ground or their vapor plumes during evaporation by external heating. Training of a four-layer network architecture is composed of a backward-error-propagation algorithm and a gradient-descent paradigm. We conduct testing by feed-forwarding preprocessed spectra through the network in a scaled format consistent with the structure of the training-data-set representation. The bestperformance weight matrix (spectral filter) evolved from final network training and testing with software simulation trials is electronically transferred to a set of eight artificial intelligence integrated circuits (ICs') in specific modular form (splitting of weight matrices). This form makes full use of all input-output IC nodes. This neural network computer serves an important real-time detection function when it is integrated into pre- and postprocessing data-handling units of a tactical prototype thermoluminescence sensor now under development at the Edgewood Research, Development, and Engineering Center. PMID- 21052403 TI - Vapor-detection sensitivity as a function of spectral resolution for a single Lorentzian band. AB -The objective of this effort is to provide guidance for the determination of spectral resolution for the passive remote detection of organic vapors. Target bands were modeled as Lorentzian bands. Several sensor models were used, including a detector-limited sensor model and a background-limited model.
An expression for the signal-to-noise ratio (SNR) was derived, and the SNR was computed for an SF(6) target band. The results show that substantial gains in sensitivity are possible if the conventional laboratory spectral resolution of 2 cm(-1) is reduced to 8 or even 16 cm(-1).
PMID- 21052404 TI - Instrumentation for multistep excitation of lithium atoms to Rydberg states. AB - We have developed a diode laser apparatus to excite Li from its ground 2S state, through 2P and 3S, to its Rydberg states with three cw diode lasers operating at lambda = 671 nm, 813 nm, and 630-635 nm. A He-Ne laser at lambda = 633 is sometimes used in place of the 635-nm diode laser for the last step. The output power of each of these lasers was ~1 mW. We describe our technique of locking the first two lasers on Li resonance lines by obtaining a fluorescent signal from the second decay (3S ? 2P) that is normally overpowered by a strong background of fluorescent light from the first decay (2P ? 2S). We used two balanced photodiodes to reject the strong fluorescent light without loss of collection efficiency. A rejection ratio as high as 100 has been obtained. PMID- 21052405 TI - Formulation of a dimensionless overlap fraction to account for spectrally distributed interactions in fluorescence studies. AB - An overlap term is used in fluorescence theory to account for the spectrally distributed interaction between laser radiation and molecular transitions. We present a dimensionless overlap fraction formulation. Compared with the more common dimensional overlap term [in units of inverse wave number (1/cm(-1))], this form of expression of the interaction between a laser and an absorption transition has a much more practical interpretation and simplifies the equations that describe fluorescence measurements. PMID- 21052406 TI - Mie scattering from a sonoluminescing air bubble in water. AB - A single bubble of air in water can emit pulses of blue-white light that have durations of less than 50 ps while it is oscillating in an acoustic standing wave. The emission is called sonoluminescence. A knowledge of the bubble diameter throughout the cycle, and in particular near the time of sonoluminescence emission, can provide important information about the phenomenon. A new Mie scattering technique is developed to determine the size of the bubble through its expansion and collapse during the acoustic cycle. The technique does not rely on an independent means of calibration or on accurate measurements of the scattered intensity. PMID- 21052407 TI - Transverse modes in laser cavities terminating in reflective multipass interferometers. AB - The effect of reflective multipass interferometers on the transverse modes of laser cavities equipped with these devices is investigated. We demonstrate, both theoretically and experimentally in a pulsed CO(2) laser, how information on the characteristics of these modes can be directly derived by simply describing these cavities in terms of suitable equivalent geometric parameters. We also discuss and explain the transverse-mode selective properties of these multimirror cavities. PMID- 21052409 TI - Design of beam-shaping optics. AB - Several options for beam-shaping optics are presented, among them a novel single element solution with toroidal surfaces in which the far-field angular spread of the outgoing beam is the average of the incoming divergences. The beam-shaping elements are judged with respect to their positioning and fabrication tolerances. PMID- 21052408 TI - Numerical modeling of short-pulse excimer lasers with negative branch unstable cavities. AB - A one-dimensional code for the numerical simulation of negative branch unstable resonators with an intracavity aperture that are applied to high-gain, short pulse XeCl lasers is described. The model predicts near- and far-field performance of the output laser beams. The intracavity aperture size is shown as an important parameter for control of the output beam energy and divergence. A comparison with experimental measurements is presented. PMID- 21052410 TI - Raman-amplification and polarization-dependence measurement in a methane Raman shifter seeded by a liquid Raman oscillator. AB - We perform Raman amplification in a high-pressure methane cell seeded by a low threshold liquid Raman oscillator. The Raman frequency of the oscillator medium, dimethyl sulfoxide (DMSO), is tuned when it is mixed with water to fit the Raman frequency of methane. The Raman gain in this configuration is not so sensitive to the methane pressure, and the conversion efficiency is high. Using this configuration, we measure the polarization dependence of the Raman amplifier. An experiment is performed for three typical polarization states. Complete gain suppression is expected in some polarization states, but there is a little gain because of the incompleteness of the polarization state. Theoretically the results are analyzed when the x and the y components of the scattered waves are coupled. PMID- 21052411 TI - Transient thermal lens in a ZnGeP(2) crystal. AB - The first observations, to the authors' knowledge, of transient thermal lensing in a ZnGeP(2) crystal achieved with 2.09-um laser excitation of 800-us, 70-mJ pulses at 30 Hz and by burst-mode Q-switched pumping are presented. The laser power transmitted through an aperture was approximated by an adiabatic model with currently accepted values for the thermal properties of ZnGeP(2) and corresponded to focal-length changes from infinity to 10 cm during each 800-us pulse. Similar results were seen when the crystal was operated as an optical parametric oscillator. This transient thermal lens severely limits ZnGeP(2) as a material for use in optical parametric oscillators for these modulated, high-power operating conditions. PMID- 21052412 TI - Direct calculation of the moments of the distribution of photon time of flight in tissue with a finite-element method. AB - Modeling of the full temporal behavior of photons propagating in diffusive materials is computationally costly. Rather than deriving intensity as a function of time to fine sampling, we may consider methods that derive a transform of this function. To derive the Fourier transform involves calculation in the (complex) frequency domain and relates to intensity-modulated experiments. We consider instead the Mellin transform and show that this relates to the moments of the original temporal distribution. A derivation of the Mellin transform given the Fourier transform that permits closed-form derivations of the temporal moments for various simple geometries is presented. For general geometries a finite element method is presented, and it is demonstrated that the computational cost to produce the nth moment is the same as producing the first n temporal samples of the original function. PMID- 21052413 TI - Optical parametric amplification of femtosecond pulses tunable from the blue to the infrared with microjoule energies. AB - A white-light continuum is used to seed a two-stage optical parametric amplifier pumped by the second harmonic of a regeneratively amplified Ti:sapphire laser system operating at 824 nm. Microjoule energies are achieved in the signal branch, which is tunable from 472 to 785 nm. Near-transform-limited sub-200-fs pulses are attainable over the vast majority of the tuning range. PMID- 21052414 TI - Planar optical waveguides coupled by means of Bragg scattering. AB - A new analytic approach to the analysis of grating-assisted couplers is proposed and used for description of the noncollinear coupling of two slab waveguides with arbitrary mode polarizations (TE and TM), propagation directions, and phase velocities. This approach is based on the boundary-perturbation theory, the method of successive approximations, and the energy-conservation law, and does not use any overlap integrals. The specific case in which the converted mode propagates parallel to a periodic array boundary (extremely asymmetric coupling) is considered by means of an original simple analytic approach that allows for the diffractional divergence of the converted wave. Applicability conditions of the results obtained are derived in both the cases of conventional and extremely asymmetrical coupling. Comparison with the previous methods is carried out for the collinear coupling. PMID- 21052415 TI - Application of the transmission line matrix method to the analysis of slab and channel optical waveguides. AB - The applicability of the three-dimensional vectorial transmission line matrix (TLM) method to the analysis of optical waveguiding structures is demonstrated. Any waveguide geometry, even incorporating anisotropic materials, can be treated by taking into account the coupling between all optical field components. The application of the TLM method to optical waveguides is shown to be both efficient and accurate. The dispersion curve for the fundamental TE-like mode of a typical rib dielectric waveguide as well as its electric-field pattern is obtained by the use of the TLM method. Numerical comparisons with the integral-formulation technique, the effective-index method, the finite-difference analysis, the spectral-index method, and the beam-propagation technique are also included. PMID- 21052416 TI - Thomson-scattering diagnostic on the Frascati tokamak upgrade. AB - The Frascati tokamak upgrade Thomson-scattering system is used for the measurement of electron-temperature and electron-density spatial profiles along the vertical diameter of the tokamak at 19 spatial points up to 10 times in a single plasma discharge, with a spatial resolution that ranges from 2 cm in the central region to 4 cm in the plasma edge. The radiation source is a Nd:YLF laser that operates at 1053 nm, with a divergence of 0.4 mrad full angle, and is capable of delivering a burst of 10 pulses with energies of 4.5 J/pulse; the interpulse time can be regulated from 20 to 100 ms. The scattered radiation is collected by two objectives: the first looks at the plasma center, and the second at the plasma edge. Bundles of optical fibers in the focal plane of the objectives carry the scattered light from the tokamak hall to a set of 19 interference-filter polychromators, whose transmission is 70%, and the rejection of the stray light at the laser wavelength is 1/10(7). The detectors are avalanche photodiodes ith a noise-equivalent power of the order of 10(-13) W/(Hz)(1/2) at 1053 nm. The spectral calibration of the polychromators is presented. The absolute calibration of the scattering system for the electron density measurement has been carried out by the use of Raman scattering on hydrogen and deuterium. Examples of the results of the temporal evolution of T(e) and n(e) spatial profiles are presented for ohmic plasma heating, lower-hybrid current drive, and a pellet-injection experiment. The electron-temperature and electron-density profiles measured through Thomson scattering are compared with the temperatures measured through the use of electron-cyclotron emission and the density profiles obtained from the interferometer data. PMID- 21052417 TI - Discrepancies between two formulations of signal-to-noise ratio for background limited detection. AB - Different investigators have published different relationships for the same problem of predicting the signal-to-noise ratio for incoherent passive detection of infrared radiation. The results of two authors are compared, and a possible basis for the differences is explained. PMID- 21052418 TI - Simulation study of the remote sensing of optical and microphysical properties of cirrus clouds from satellite IR measurements. AB - Improved ray-optics theory and Mie theory for single scattering and an adding doubling method for multiple scattering have been used to study the interaction of radiation in NASA's Visible and Infrared Spin-Scan Radiometer Atmospheric Sounder Satellite (VAS) IR channels and the microphysics of inhomogeneous cirrus clouds. The simulation study shows that crystal shape has remarkable effects on scattering and on the radiative-transfer properties of cirrus clouds in IR spectra. The sensitivity of the brightness temperature, as observed with VAS-IR channels, to the hexagonal columns and plates in cirrus clouds is noticeable. A method that permits one to infer the optical thickness, crystal shape, ice-water content,and emittance of cirrus clouds by using a multi-IR window channel with a scanning observation technique is developed. Detailed error analyses are carried out, and the characteristics of VAS-IR window channels are investigated through the examination of the effects of sea-surface reflection and variations in the temperature and water-vapor profiles on the VAS measurements. It is shown that these effects are large and need to be considered. Some uncertainties that have risen from the theoretical model are studied; they demonstrate that the Mie scattering theory should not be used to retrieve the microphysical and optical properties of cirrus clouds. A suitable cloud-microphysics model and a suitable scattering model are needed instead. PMID- 21052419 TI - Differential optical absorption spectrometer for measurement of tropospheric pollutants. AB - Our institute has recently developed a differential optical absorption spectrometry system called the gas analyzer spectrometer correlating optical absorption differences (GASCOAD), which features as a detector a linear image sensor that uses an artificial light source for long-path tropospheric-pollution monitoring. The GASCOAD, its method of eliminating interference from background sky light, and subsequent spectral analysis are reported and discussed. The spectrometer was used from 7 to 22 February 1993 in Milan, a heavily polluted metropolitan area, to measure the concentrations of SO(2), NO(2), O(3), and HNO(2) averaged over a 1.7-km horizontal light path. The findings are reported and briefly discussed. PMID- 21052420 TI - Remote sensing of crop parameters with a polarized, frequency-doubled Nd:YAG laser. AB - Polarized laser remote-sensing measurements that correlate the yield, the normalized difference vegetation index, and the leaf area index with the depolarized backscattered radiation from corn plots grown with eight different nitrogen fertilization dosages are presented. A polarized Nd:YAG laser emitting at 1064 and 532 nm is used. Depolarization increased significantly with increasing fertilization at the infrared wavelength, and there was a decrease in the depolarization at the green wavelength. The depolarization spectral difference index, defined as the absolute difference in the depolarization at the two wavelengths, is introduced as a parameter that is an indicator of the condition of the internal leaf structure. PMID- 21052421 TI - Instrument self-shading in underwater optical measurements: experimental data. AB - Self-shading error of in-water optical measurements has been experimentally estimated for upwelling radiance and irradiance measurements taken just below the water surface. Radiance and irradiance data have been collected with fiber optics that terminated with 1 degrees , 18 degrees , and 2pi optics housed in the center of a disk that simulated the size of the instrument. Analysis of measurements taken at 500, 600, and 640 nm in lake waters have shown errors ranging from a few percent up to several tens of percent as a function of the size of the radiometer, the absorption coefficient of the medium, the Sun zenith, and the atmospheric turbidity. Comparisons between experimental and theoretical errors, the latter computed according to a scheme suggested by other authors, have shown absolute differences generally lower than 5% for radiances and lower than 3% for irradiances. Analysis of radiance measurements taken with 1 degrees and 18 degrees fields of view have not shown appreciable differences in the self shading error. This finding suggests that correction schemes for self-shading error developed for narrow-field-of-view radiance measurements could also be applied to measurements taken with relatively larger fields of view. PMID- 21052422 TI - Influence of lower stratospheric aerosol variations on guidestar performance. AB - The probability distribution function for the logarithm of the mixing scatter ratio, based on long-term measurements of lower-stratospheric aerosol loading, is derived to model variations in total backscatter coefficient and extinction profiles in the visible and the near infrared (NIR). The profiles are used to estimate signal-to-noise ratio, laser-pulse energy, and wave-front measurement error with respect to backscatter strength, guidestar pulse length and altitude, and pixel noise. The results show that for a given wave-front measurement phase error (1) visible guidestars require less pulse energy for aerosol concentrations near background, where molecular backscatter dominates, and (2) for high aerosol loading following a major volcanic event, a NIR guidestar can reduce the energy requirement below that for visible sensing. PMID- 21052423 TI - Rayleigh-scattering calculations for the terrestrial atmosphere. AB - Rayleigh-scattering cross sections and volume-scattering coefficients are computed for standard air; they incorporate the variation of the depolarization factor with wavelength. Rayleigh optical depths are then calculated for the 1962 U.S. Standard Atmosphere and for five supplementary models. Analytic formulas are derived for each of the parameters listed. The new optical depths can be 1.3% lower to 3% higher at midvisible wavelengths and up to 10% higher in the UV region compared with previous calculations, in which a constant or incorrect depolarization factor was used. The dispersion of the depolarization factor is also shown to affect the Rayleigh phase function slightly, by approximately 1% in the forward, backscattered, and 90 degrees scattering-angle directions. PMID- 21052424 TI - Optimum truncation of a Gaussian beam for propagation through atmospheric turbulence. AB - The mean on-axis far-field (or focal-plane) irradiance of a Gaussian beam that is truncated by a circular aperture in the presence of atmospheric turbulence is considered. In the absence of turbulence, an accurate analytic approximation for the irradiance distribution that is valid within the main central lobe of the beam is presented. Based on this approximation, the mean on-axis far-field irradiance and the corresponding turbulence Strehl ratio for the truncated Gaussian beam are then obtained. By maximization of the on-axis irradiance, the optimum ratio of the beam diameter to the aperture diameter in the presence of turbulence is obtained, and the results for the corresponding maximum on-axis irradiance as a function of the strength of turbulence are presented. In particular, for D/r(0) > 1, where D is the aperture diameter and r(0) is Fried's coherence length, optimum truncation of a Gaussian beam and uniform illumination of a circular aperture (where the same total power isuniformly distributed over the aperture) result in the same on-axis irradiance in the presence of uncompensated turbulence. PMID- 21052425 TI - Simultaneous coherent anti-Stokes Raman scattering and two-dimensional laser Rayleigh thermometry in a contained technical swirl combustor. AB - The simultaneous application of vibrational coherent anti-Stokes Raman scattering (CARS) and the two-dimensional (2D) UV laser Rayleigh technique is reported for the investigation of a highly turbulent swirl frame inside a contained technical combustor. The CARS technique has been used to determine accurate temperature values at one point within the 2D Rayleigh-probed combustion field. These values were necessary to normalize the Rayleigh data to overcome influences of absorption effects along the detection path of the Rayleigh-scattered light through the exhaust gas volume and by the sealing window of the combustion chamber. At several different downstream positions, 500 simultaneous measurements with the point and with the 2D technique were performed to cover the whole combustion field. These data can be used for both the evaluation of 2D temperature structures in single frames and for the calculation of temperature probability density functions from the Rayleigh data at one single camera pixel over 500 frames. With this information, characterization of a highly turbulent flame is possible. PMID- 21052426 TI - Quantitative species measurements in microgravity flames with near-IR diode lasers. AB - Absolute concentrations of water vapor are measured in microgravity (u-g), nonpremixed methane, and propane jet flames with diode-laser wavelength modulation spectroscopy. These experiments are performed in the 2.2-s u-g drop facility at the NASA Lewis Research Center. Abel inversion methods are used to determine time-dependent radial profiles from eight line-of-sight projections across the flames. At all measured heights above the nozzle, water vapor spatial distributions in u-g flames are much wider than their 1-g counterparts. Radial growth of the water signal continues throughout the drop, verifying earlier suggestions that a steady state is not reached during the duration of the test, despite a quasi-steady flame shape. Large amounts of water vapor are observed at larger radii, at odds with visual (video) observations and numerical predictions. PMID- 21052427 TI - Multiple-scattering transmission and an effective average photon path length of a plane-parallel beam in a homogeneous medium. AB - A two-stream radiative transfer model is used to derive expressions for the multiple-scattered transmitted flux (including single-scattering contributions) and the total effective average photon path length on transmission of a normally incident plane-parallel beam on a homogeneous layer characterized by the optical depth, the single-scattering albedo, and the asymmetry parameter of the scatterers. The results are simple analytical expressions that are useful for modifying the Beer-Lambert transmission law for a thick scattering medium in which the multiple-scattering contribution to the transmission is not negligible. PMID- 21052428 TI - Application of the exact solution for scattering by an infinite cylinder to the estimation of scattering by a finite cylinder. AB - A new algorithm for cylindrical Bessel functions that is similar to the one for spherical Bessel functions allows us to compute scattering functions for infinitely long cylinders covering sizes ka = 2pia/lambda up to 8000 through the use of only an eight-digit single-precision machine computation. The scattering function and complex extinction coefficient of a finite cylinder that is seen near perpendicular incidence are derived from those of an infinitely long cylinder by the use of Huygens's principle. The result, which contains no arbitrary normalization factor, agrees quite well with analog microwave measurements of both extinction and scattering for such cylinders, even for an aspect ratio p = l/(2a) as low as 2. Rainbows produced by cylinders are similar to those for spherical drops but are brighter and have a lower contrast. PMID- 21052429 TI - Parametric study of the absorption cross section for a moderately conducting thin cylinder. AB - Asystem has been developed to measure the absorption cross section for a single carbon fiber at 35 GHz as a functio of length, orientation, and diameter. Typical lengths of the fibers considered ranged from 1 to 20 mm, and diameters ranged from 3 to 8 um. The results were compared with the modified integra equation calculations of Waterman and Pedersen that describe the scattering and absorption behavior for a wire of finite length and conductivity. Good agreement was found for all lengths, orientations, and diameters studied. PMID- 21052430 TI - Conical microlens arrays that flatten optical-irradiance profiles of nonuniform sources. AB - One can create conical microlens arrays on a glass plate by simply spin coating spin-on-glass film on the glass, patterning the photoresist, and then etching the glass in a HF solution. These arrays can be used to obtain more-uniform irradiance profiles from nonuniform incoherent sources. PMID- 21052431 TI - Holographic perfect shuffle permutation element for a miniaturized switching network. AB - A holographic perfect shuffle element with 80 channels for a miniaturized switching network is reported. An array of vertical-cavity, surface-emitting lasers is used as a transmitter. The whole permutation is carried out totally in glass. The 80 channels are permuted within a rectangle with a volume of 3 mm * 4 mm * 2 mm. Four planes of stacked volume holograms recorded in dichromated gelatin form this perfect shuffle element with an angular spectrum between 7 degrees and 35 degrees . Changes in the wavelength of the diode lasers to Deltalambda = +/-10 nm can be compensated with this setup. The overall efficiency per channel lies between 40% and 60%. When Fresnel reflections and absorption are taken into account, a transmission per hologram between 78% and 90% is achieved. PMID- 21052432 TI - Interferometer based on total internal reflection. AB - An interferometer is investigated in which the interacting beams undergo total internal reflection from a boundary surface with a variable relative refractive index. The high sensitivity of the change in the interference pattern with respect to small changes in the refractive index in the vicinity of the critical angle is theoretically proved and experimentally confirmed. PMID- 21052433 TI - Distance- and velocity-detection interferometer by using a frequency triangular modulated laser diode. AB - A scheme for distance and velocity signal detection is implemented. This technique is based on a laser diode with its frequency modulated by a triangular waveform and followed by time gating. PMID- 21052434 TI - Phase-shift calibration errors in interferometers with spherical Fizeau cavities. AB - Phase-demodulation algorithms in interferometry often depend on a sequence of evenly spaced reference phase shifts. These phase shifts must be accurately calibrated and can be distorted by geometric effects, especially when spherical components with high curvature are tested. Here the resulting measurement errors are quantified through mathematical analysis, and it is shown that modern phase estimation algorithms can be effective in a spherical Fizeau cavity with a numerical aperture as large as 0.95. PMID- 21052435 TI - Multiple-beam lateral shear interferometry for optical testing. AB - Lateral shear interferometry is used to obtain the lateral aberrations of a lens. The zeroth-order fringe in an interferogram obtained from a wedge-plate lateral shear interferometer, however, directly displays the lateral aberration curve of a test lens. Nevertheless, the intensity distribution, is cosinusoidal. Multiple beam interferometry results in sharpened fringes; hence the multiple-beam wedge plate shear interferometer displays the lateral aberration curve of a lens sharply, provided the shear is small. For large shear, some new artifacts appear in the interferogram, which are also explained. PMID- 21052436 TI - Characterization of the wind imaging interferometer. AB - The Wind Imaging Interferometer is a field-widened Michelson interferometer onboard the Upper Atmosphere Research Satellite. The characterization of the instrument required a pixel-by-pixel evaluation of the instrument performance. Some of the configurations, techniques, and results of the characterization are summarized. Throughput was excellent and equivalent to a total system quantum efficiency of ~10%. Localized spatial noise in response has been attributed to scattering from residual surface effects on the CCD. Instrument visibility factors greater than 90% were measured with distinct distribution patterns over the field of view that were different for the night and day apertures. The instrument phase for zero wind was determined with laboratory airglow sources. PMID- 21052437 TI - Increased sensitivity to in-plane displacements in electronic speckle pattern interferometry. AB - We describe an optical arrangement that increases the sensitivity to in-plane displacement in an electronic speckle-pattern interferometric system. This is accomplished by oblique illumination and observation along the direction of illumination. An anamorphic prism placed in front of the object is used to correct for the eccentricity in the image caused by the oblique observation. The sensitivity to in-plane displacement can be increased to a maximum of approximately lambda/2. Experimental results including phase stepping are presented. PMID- 21052438 TI - Modified double-wedge-plate shearing interferometer for collimation testing. AB - A modified configuration of the double-wedge-plate shearing interferometer for collimation testing is described. Results of the measurements to determine the setting sensitivity with this configuration are presented. PMID- 21052439 TI - Comparison of shortwave and longwave measuring thermal-imaging systems. AB - A comparison study of shortwave (3-5-um) and longwave (8-12-um) measuring thermal imaging systems has been conducted. The study was limited to systems working in indoor conditions, as is typical in many industrial and scientific applications. A theory of the influence of measurement conditions and system parameters on the accuracy of temperature measurements has been developed. On the basis of the developed formulas an analysis of the influence of signal disturbances (because of incorrectly assumed emissivity, radiation reflected by the object, radiation emitted by system optics, limited transmittance of the atmosphere, and limited temperature resolution of the system) on the accuracy of temperature measurement has been made. It has been found that the shortwave systems in typical measurement conditions offer generally better accuracy in temperature measurement than the longwave ones do. PMID- 21052440 TI - Triplet design as an intraocular lens for high myopia. AB - We present the possibility of using a triplet as an intraocular lens (IOL). The matrix method was used to calculate the power of this triplet for high myopia. The thickness of the lens, the distances between the edges of the IOL and the endothelium, the distances between the edges of the IOL and the iris, and the nfluence of axial displacement have been analyzed. We have also compared the size, the thickness, the power, the distances between the edges of the IOL and the endothelium, and the distances between the edges of the IOL and the iris to the typical concave-plane lens that is usually implanted in highly myopic eyes. As we can see, when the anterior chamber depth is normal, our triplet presents a better geometrical form than the concave-plane lens. PMID- 21052441 TI - Analytical study of the optical parameters for a multiple-path telescope. AB - A reflecting telescope arrangement is proposed in which the focal distance of the objective system is greatly enhanced without increasing the overall length of the structure. The underlying idea is to use a multiply folded optical path. This setup may be viewed as a partially periodic optical system. For small departures of the mirror confocality, analytic results in the paraxial approximation are obtained. PMID- 21052442 TI - Experimental phase changes at the mica-silver interface illustrate the experimental accuracy of the central film thickness in a symmetrical three-layer interferometer. AB - Experimentally measured phase changes of light on reflection at the mica-silver interface are reexamined and found to be in agreement with those calculated using modern optical constants. Phase changes on reflection at a dielectric-silver interface can therefore be calculated using the well-known analytical (cf. empirical) expressions and the optical constants, provided the refractive index of the dielectric is known or measured and the silver films are prepared in a similar manner. This discussion is relevant to measurements obtained from the surface forces apparatus. When the surface separation is calculated by Airy's method, we show that the phase changes on reflection at the dielectric-silver interface at the reference wavelengths are either explicitly or implicitly accounted for in all the expressions. We also show that the surface forces technique (spectrometer resolution, ~32 A mm(-1)) is inaccurate for measuring the thickness of very thin aqueous films (<10 A) and that for all practical purposes the central film thickness has to be >50 A to achieve a resolution of 1 A. PMID- 21052443 TI - Simple process for building large homogeneous adaptable retarders made from polymeric materials. AB - A process for building large, homogeneous, adaptable retarders easily and at low cost is proposed and analyzed. This method is based on the properties of high polymers to present variable birefringence as a function of applied stresses and on the possibility of freezing these stresses inside the material by a thermal process. Various geometries for the applied forces make obtaining a large range of birefringence profiles possible. In the process that we describe composed bending leads to a linear birefringence profile. The superimposition of two pieces with identical profiles with opposite directions gives homogeneous constant retardation. This retardation can be adjusted by a relative displacement between the pieces. A precision of better than 1% over large areas (more than 3 cm in diameter) for a quarter-wave value has been obtained. The correct choice of material makes many applications possible with a large range of wavelengths. PMID- 21052444 TI - Optimization of a rhomb-type quarter-wave phase retarder. AB - Apreviously reported two-reflection, undeviating-beam total internal reflection (TIR) quarter-wave phase retarder is optimized. The specifications and characteristics of the device are sensitive to the refractive index n of the rhomb material. In particular, the size of the rhomb can be reduced by a factor of 11 for the same aperture size if a glass with n = 1.70 is used instead of one with n = 1.53. Optimal conditions are in the refractive-index interval n = 1.68 1.71. Coated rhombs of this type are mentioned. PMID- 21052445 TI - Fourier-transform imaging spectrometer with a single toroidal optic. AB - The design of an imaging Fourier-transform spectrometer that uses a Sagnac interferometer and a single toroidal optic is described. PMID- 21052446 TI - Design of optical coatings for three or more separated spectral regions. AB - Amethod was described previously that permits the systematic design of optical multilayer coatings with arbitrary spectral characteristics defined for two widely separated spectral regions. It is shown here that this method, based on the use of buffer layers, can be adapted to permit the specification of performance in more than two wavelength ranges. Examples are given of coatings designed for three and four spectral regions. The limitations of the method are discussed. PMID- 21052447 TI - Third-order nonlinear optical properties of sol-gel-derived V(2)O(5), Nb(2)O(5), and Ta(2)O(5) thin films. AB - The third-order nonlinear optical properties of sol-gel-derived V(2)O(5), Nb(2)O(5), and Ta(2)O(5) thin films have been investigated by the third-harmonic generation method, and the effect of the metal-oxygen bond length on the third order nonlinear optical susceptibility chi((3)) has been examined. The chi((3)) values of V(2)O(5), Nb(2)O(5), and Ta(2)O(5) thin films were 1.1 * 10(-11), 1.3 * 10(-12), and 6.1 * 10(-13) esu, respectively, which corresponds to an increase in the average bond length I(b) of the order of V-O (I(b) = 0.183 nm), Nb-O (I(b) = 0.200 nm), and Ta-O (I(b) = 0.204 nm). The current and previous results indicate that chi((3)) of these transition metal oxides with the empty d orbitals is dominated mainly by the metal-oxygen bond length rather than the valence of the metal cation. It is predicted on the basis of Lines' model that transition metal oxides with the shortest I(b) exhibit the highest chi((3)), whereas nontransition metal oxides with the longest I(b) exhibit the highest chi((3)). PMID- 21052448 TI - Elimination of interference effects from photoinduced-transmission decay curves of thin silicon films. AB - The effects of interference on the photoinduced-absorption signals of thin absorbing films have been studied by recording the picosecond photoinduced absorption decay curves of an amorphous and a polycrystalline silicon film and applying various probe-beam wavelengths and angles of incidence. The normalized decay curves measured at close to normal incidence have been found to depend strongly on the probe-beam wavelength. By contrast the decay curves obtained at the Brewster angle of incidence have shown a satisfactory coincidence. Theoretical calculations for the photoinduced changes of the transmittance of the film have been performed. These calculations prove that at normal incidence the contributions of the photoinduced changes of the absorption coefficient Deltaalpha and of the refractive index Deltan to the change in the transmittance Delta T are comparable, whereas when the Brewster angle arrangement is employed, Delta T is proportional to Deltaalpha and the effect of the change in the refractive index is negligible. PMID- 21052449 TI - High-resolution distributed temperature sensing with the multiphoton-timing technique. AB - We report on a multiphoton-timing distributed temperature sensor (DTS) based on the concept of distributed anti-Stokes Raman thermometry. The sensor combines the advantage of very high spatial resolution (40 cm) with moderate measurement times. In 5 min it is possible to determine the temperature of as many as 4000 points along an optical fiber with an accuracy Delta T < 2 degrees C. The new feature of the DTS system is the combination of a fast single-photon avalanche diode with specially designed real-time signal-processing electronics. We discuss various parameters that affect the operation of analog and photon-timing DTS systems. Particular emphasis is put on the consequences of the nonideal behavior of sensor components and the corresponding correction procedures. PMID- 21052450 TI - Flexible mirror micromachined in silicon. AB - An electrostatically controlled flexible mirror has been fabricated on a silicon chip by means of bulk micromachining. The mirror has a 10.5 mm * 10.5 mm square aperture and consists of a 0.5-um-thick tensile-stressed silicon-nitride diaphragm coated with a 0.2-um-thick reflective aluminum layer. The reflecting surface is initially plane with a mean-square deviation of ~lambda/8 for lambda = 633 nm. The shape of the reflecting surface is controlled electrostatically by an array of integrated actuators. Good initial optical quality and the possibility of electrostatic control of the reflecting surface make the on-chip mirror useful for various electro-optical applications. PMID- 21052451 TI - Analysis of layered scattering materials by pulsed photothermal radiometry: application to photon propagation in tissue. AB - A model of pulsed photothermal radiometry (PPTR) based on optical diffusion theory is presented for a turbid, two-layer, semi-infinite medium containing a surface layer whose optical absorption and scattering properties differ from that of the underlying layer. Assuming one-dimensional geometry, we develop expressions for the depth-dependent fluence distributions and radiant-energy density profiles and for the time dependence of the PPTR signal. Experimental tests of the PPTR model in a series of layered phantoms of varying optical properties are described. The results of these tests are consistent with the model predictions. PMID- 21052452 TI - Image characteristics in applications utilizing dilute subaperture arrays. AB - When a large aperture is synthesized with an array of smaller subapertures for high-resolution imaging applications, it is important not only to arrange the subapertures to achieve minimal spatial frequency redundancy but also to choose the size of the subapertures (i.e., the dilution ratio) necessary to achieve the best possible image quality. Spurious or ghost images often occur even for nonredundant dilute subaperture arrays. We show that array configurations producing a uniform modulation transfer function will not exhibit these undesirable ghost images. A prescription that is unique and original (to the best of our knowledge) is then presented for constructing both one-dimensional and two dimensional configurations of dilute subaperture arrays that results in a uniform spatial frequency response with an arbitrarily high spatial resolution for reciprocal path-imaging applications. PMID- 21052453 TI - Two-dimensional imaging and three-dimensional reconstruction of low reflectivity surfaces by using the range-gating upconversion second-harmonic method. AB - Three-dimensional images of objects with very low reflectivity are obtained through a nonlinear upconversion gating with amplified femtosecond laser pulses. The current sensitivity of 10(-10) of the incident pulse intensity can be improved by use of better nonlinear crystals and higher-intensity gating pulses. The intensity rejection ratio between two coherent pulses with a delay of a few millimeters between them is better than 2 orders of magnitude. The depth resolution is ~15 um. The transverse resolution of 300 um is mainly limited by the two-dimensional detector that was used. PMID- 21052454 TI - Whiteness and tint formulas of the Commission Internationale de l'Eclairage: approximations in the Lab color space. AB - Linear approximations to the whiteness and tint formulas of the Commission Internationale de l'Eclairage (CIE) are presented in the CIE L*a*b* color system. PMID- 21052455 TI - Effects of curved mirrors in waveguide resonators. AB - Waveguide gas lasers (CO(2) ones especially) continue to be widely used. We have previously studied simple resonator designs with plane mirrors close to each end of the waveguide. Here we examine theoretical predictions concerning hybrid waveguide/free-space resonators with square-bore guides and curved mirrors. We show how resonator mode losses vary as functions of guide length and width, guide to-mirror distance, mirror radius of curvature, and mirror tilt. We have tested a 7-W cw rf-excited CO(2) laser with unusually good near-TEM(00) transverse-mode quality; it is one of many promising resonator geometries not covered by earlier published research. The common case 3 reflector, sometimes viewed as guaranteeing near-TEM(00) mode performance, is shown to be alarmingly sensitive to small misalignments for certain guide geometries. PMID- 21052456 TI - Design of a compliant-cylinder-type fiber-optic accelerometer: theory and experiment. AB - Experimental and theoretical research was carried out in order to establish the dependence of the performance of a compliant-cylinder-based fiber-optic accelerometer on the geometry and elastic properties of the transducer cylinders. The sensitivity and the natural frequency of the sensor were measured as a function of the ratio epsilon = (inner cylinder diameter)/(outer cylinder diameter). Two transducer materials with different elastic properties, a silicone rubber (Ecosil) and a polyetheretherketone polymer (PEEK 450G), were examined. It was found that with decreasing epsilon the sensitivity increases in the case of Ecosil and decreases in the case of PEEK. In both cases the natural frequency increases with decreasing epsilon. A simple analytical model was developed in order to explain this behavior qualitatively. The model takes into account the contributions to the effective stiffness from both the cylinder material and the fiber wrapped around the cylinder. The model is useful for the design of such types of accelerometer. PMID- 21052457 TI - Analysis of a fiber specklegram sensor by using coupled-mode theory. AB - The performance of the fiber specklegram sensor (FSS) by use of the waveguide coupled-mode theory is analyzed. The analyses are based on the microbending effect on the sensing fiber, in which we have found that the sensitivity of the FSS is affected by the core diameter and the bending geometry. Experimental confirmations of the analyses are also provided in which we have shown that experimental data are consistent with the analyses. PMID- 21052458 TI - Effects of surface scatter on the optical performance of x-ray synchrotron beam line mirrors. AB - The increased availability of advanced synchrotron radiation sources is resulting in a resurgence of activity in the field of x-ray and extreme ultraviolet imaging systems. However, scattering effects caused by residual optical fabrication errors frequently dominate geometrical design errors in the degradation of image quality at these very short wavelengths. Traditional optical design and analysis techniques (geometrical ray tracing) are therefore inadequate for predicting the performance of high-resolution synchrotron beam-line optics. A surface-scattering theory must be implemented to model the image degradation effects of residual surface irregularities over the entire range of relevant spatial frequencies. This includes small-angle scattering effects caused by mid-spatial-frequency surface errors that fall between the traditional figure and finish specifications. Performance predictions are presented parametrically to provide insight into the optical fabrication tolerances necessary to meet the requireme nts of a specific application. PMID- 21052459 TI - Coplanar refractive-diffractive doublets for optoelectronic integrated systems. AB - A coplanar refractive-diffractive doublet array employing surface-relief diffractive phase elements embedded within poly(methyl methacrylate) microlenses is introduced as an optomechanical building block for optoelectronic integrated systems. The design method, fabrication technology, and results are described. Coplanarity of the quadratic- and linear-phase elements constituting the doublet can reduce optomechanical complexity in applications to unguided optical interconnects. PMID- 21052460 TI - Homogeneous and evanescent contributions in scalar near-field diffraction. AB - The contributions of homogeneous and evanescent waves to two-dimensional near field diffraction patterns of scalar optical fields are examined in detail. The total plane-integrated intensities of the two contributions are introduced as convenient measures of their relative importance. As an example, the diffraction of a plane wave by a slit is considered. PMID- 21052461 TI - Automatic image-processing system and fast-reconstruction technique for holographic-interferometry computer tomography. AB - A fast automatic processing system for holographic interferograms for use in optical tomography is discussed. A hot air flow field above a combustor with a rectangular opening is investigated by a fast reconstruction technique (the simultaneous algebraic reconstruction technique), and the temperature distribution of a section in the air flow field is reconstructed. The reconstructed temperatures are consistent with the temperatures measured by a thermocouple. PMID- 21052462 TI - Iterative optimization of diffractive phase elements simultaneously implementing several optical functions. AB - The design of diffractive optical elements that incorporate several optical functions in a single element is discussed. The technique used involves iterative optimization. Aprevious paper is continued, in which initial results with few sampling points were reported. Here new results that involve a large number of sampling points are reported. Because the algorithm is computationally intensive with a large number of data points, the parallel implementation of the algorithm on a MASPAR machine is described. MASPAR is a single-instruction multiple-data machine with 16,384 processors. The computer simulations discussed involve simultaneous wavelength demultiplexing, focusing, and the filtering out of a particular wavelength component. It is shown that satisfactory designs of diffractive optical elements can be achieved by the assignment of only a small number of sampling points on the output plane that adequately specify what is required at each wavelength. PMID- 21052463 TI - Resolution and intensity distribution of output images reconstructed by sampled computer-generated holograms. AB - We address reconstruction abilities of computer-generated holograms that are fabricated in a sampled form and designed for spherical-wave illumination. Our approach enables one to define the limit of resolution of the object reconstruction and the light-intensity distribution in an output plane. The analysis takes into account a hologram aperture, a sampling aperture, and a curvature radius of spherical illumination. Theoretical results are confirmed by experimental verification. PMID- 21052464 TI - Constant-time parallel sorting algorithm and its optical implementation using smart pixels. AB - Sorting is a fundamental operation that has important implications in a vast number of areas. For instance, sorting is heavily utilized in applications such as database machines, in which hashing techniques are used to accelerate data processing algorithms. It is also the basis for interprocessor message routing and has strong implications in video telecommunications. However, high-speed electronic sorting networks are difficult to implement with VLSI technology because of the dense, global connectivity required. Optics eliminates this bottleneck by offering global interconnects, massive parallelism, and noninterfering communications. We present a parallel sorting algorithm and its efficient optical implementation. The algorithm sorts n data elements in few steps, independent of the number of elements to be sorted. Thus it is a constant time sorting algorithm [i.e., O(1) time]. We also estimate the system's performance to show that the proposed sorting algorithm can provide at least 2 orders of magnitude improvement in execution time over conventional electronic algorithms. PMID- 21052465 TI - Visual-area coding technique (VACT): optical parallel implementation of fuzzy logic and its visualization with the digital-halftoning process. AB - A novel technique, the visual-area coding technique (VACT), for the optical implementation of fuzzy logic with the capability of visualization of the results is presented. This technique is based on the microfont method and is considered to be an instance of digitized analog optical computing. Huge amounts of data an be processed in fuzzy logic with the VACT. In addition, real-time visualization of the processed result can be accomplished. PMID- 21052466 TI - Digital free-space optical interconnections: a comparison of transmitter technologies. AB - We investigate the performance of free-space optical interconnection systems at the technology level. Specifically, three optical transmitter technologies, lead lanthanum-zirconate-titanate and multiple-quantum-well modulators and vertical cavity surface-emitting lasers, are evaluated. System performance is measured in terms of the achievable areal data throughput and the energy required per transmitted bit. It is shown that lead-lanthanum-zirconate-titanate modulator and vertical-cavity surface-emitting laser technologies are well suited for applications in which a large fan-out per transmitter is required but the total number of transmitters is relatively small. Multiple-quantum-well modulators, however, are good candidates for applications in which many transmitters with a limited fan-out are needed. PMID- 21052467 TI - Design considerations and algorithms for partitioning optoelectronic multichip modules. AB - There is considerable interest in the development of optical interconnects for multichip modules (MCM's) to improve their performance. For effective utilization of the optical and electronic technologies, a methodology for partitioning the system is required. The key question to be answered is which technology should be used for each interconnect in a given netlist: optical or electronic. We introduce the computer-aided design approach for partitioning optoelectronic systems into optoelectronic MCM's. We first discuss the design trade-off issues in an optoelectronic system design, including speed, power dissipation, area, and diffraction limits for free-space optics. We then define a formulation for optoelectronic MCM partitioning and describe new algorithms for optimizing this partitioning based on the minimization of the power dissipation. The models for the algorithms are discussed in detail, and an example of a multistage interconnect network is given. Different results, with the number and size of chips being variable, are presented in which improvement for the system packaging has been observed when the partitioning algorithms are applied. PMID- 21052468 TI - Complex-valued Fresnel-transform sampling. AB - VanderLugt [Appl. Opt. 29, 3352 (1990)] presented sampling rates for the amplitude of Fresnel diffraction patterns. These apply to any plane in a coherent optical system. Although these sampling rates represent the amplitude of diffraction patterns accurately, they are not adequate for the retention of complete information in complex-valued Fresnel diffraction patterns. I show this by considering the ability to reconstruct the original input image through backward diffraction of the forward diffraction pattern of such an image. I then extend the VanderLugt sampling techniques such that reliable sampling of the phase of these Fresnel diffraction patterns can also be achieved. The analysis is restricted to lensless optical systems. The new sampling rates are tested with numerical computations of Fresnel diffraction patterns and rigorous scalar diffraction patterns in both forward and backward directions. PMID- 21052469 TI - Optically addressed ferroelectric memory with nondestructive readout. AB - We present a review of the emerging optically addressed ferroelectric memory with nondestructive readout as a nonvolatile memory technology, identify its high impact applications, and project on some novel device designs and architectures that will enable its realization. Based on the high-speed bidirectional polarization-dependent photoresponse, simulation of a readout circuit for a 16 kbit VLSI ferromemory chip yields read-access times of ~20 ns and read-cycle times of ~30 ns (~34 ns and ~44 ns, respectively, within a framework of a radiation-hard environment), easily surpassing those of the conventional electrical destructive readout. Extension of the simulation for a 64-kbit memory shows that the read-access and -cycle times are only marginally increased to ~21 ns and ~31 ns, respectively (~38 ns and ~48 ns, with a radiation-hard readout circuitry). Commercial realization of the optical nondestructive readout, however, would require a reduction in the incident (optical) power by roughly an order of magnitude for the readout or an enhancement in the delivered power-to size ratio of semiconductor lasers for compact implementation. We present a new two-capacitor memory-cell configuration that provides an enhanced bipolar optoelectronic response from the edges of the capacitor at incident power as low as ~ 2 mW/um(2). A novel device design based on lead zirconate titanate with the c axis parallel to the substrate is suggested to reduce the requirement of incident optical power further by orders of magnitude. PMID- 21052470 TI - Learning and recall algorithm for optical associative memory using a bistable spatial light modulator. AB - A learning and recall algorithm for optical associative memory based on the conventional correlationlearning method with three effective improvements (sparse encoding method, constant-total-activity method, and binary memory) is proposed from a viewpoint of practical implementation. It is shown that the algorithm is suitable for implementation with a bistable spatial light modulator such as a ferroelectric liquid-crystal spatial light modulator, which has high resolution and a fast response time. The results of theoretical analysis and simulations indicate that the algorithm permits an associative-memory system with a large memory capacity to be realized. An example of an optical system for executing this algorithm is proposed. To determine the performance specifications that are required for the various optical components within the system, we simulate and evaluate the effect of noise (which is caused by nonideal components) on system performance. These results show that the system is robust in the presence of predicted noise levels. PMID- 21052471 TI - Substrate-mode holograms used in optical interconnects: design issues. AB - We discuss a number of design issues that affect the performance tolerances of substrate-mode holograms used for optical interconnect systems. We examine the effects of emulsion uniformity, thickness variation, and index variation on the ability to determine the Bragg angle and the diffraction angle within the substrate accurately. The environmental stability with respect to temperature, laser irradiance, and humidity are considered. Experimental results are presented for substrate-mode holograms fabricated in spin-coated dichromated-gelatin emulsions. The coupling properties for a 1 * 2 multiplexed substrate-mode hologram with two superimposed gratings are also described. PMID- 21052472 TI - Speckle-noise reduction on kinoform reconstruction using a phase-only spatial light modulator. AB - Random-phase distributions that are statistically independent individually are used for computing kinoforms. These uncorrelated kinoforms are recorded and read out sequentially by a phase-only liquid-crystal spatial light modulator, and reconstructed images with well-developed speckles are added. The fidelity of the resultant image to an original is improved as the number of additions increases. The dependence of the speckle contrast on the initial random phase and the influence of the liquid-crystal spatial light modulator's display performance on the image quality are discussed. PMID- 21052473 TI - Graphic codes for computer holography. AB - Several aspects of graphic codes of computer-generated holograms are discussed. This is in contrast to the algorithmic studies that have dominated research in the field of computer holography in recent years. We study the graphic cells of binary cell-oriented holograms under the aspects of specificfabrication and performance problems such as the pen-width problem and zero-order scattering. Asresult, we present experiments with new or modified cell structures that avoid some of these problems. PMID- 21052474 TI - Fourier-phase method for the location of moving objects. AB - A novel method is presented that permits one to locate a moving object. According to this method, the change in location of a moving object can be detected from its Fourier spectrum. This method has the advantages of a high-precision locating mechanism and the capacity to permit one to ignore the change in the orientation and the size of the moving object. The principles are introduced, a computer simulation and experimental demonstration are given, and the practicality of this method is discussed. PMID- 21052475 TI - Localisation and characterisation of incipient brown-rot decay within spruce wood cell walls using FT-IR imaging microscopy. AB - Spruce wood that had been degraded by brown-rot fungi (Gloeophyllum trabeum or Poria placenta) exhibiting mass losses up to 16% was investigated by transmission Fourier transform infrared (FT-IR) imaging microscopy. Here the first work on the application of FT-IR imaging microscopy and multivariate image analysis of fungal degraded wood is presented and the first report on the spatial distribution of polysaccharide degradation during incipient brown-rot of wood. Brown-rot starts to become significant in the outer cell wall regions (middle lamellae, primary cell walls, and the outer layer of the secondary cell wall S1). This pattern was detected even in a sample with non-detectable mass loss. Most significant during incipient decay was the cleavage of glycosidic bonds, i.e. depolymerisation of wood polysaccharides and the degradation of pectic substances. Accordingly, intramolecular hydrogen bonding within cellulose was reduced, while the presence of phenolic groups increased. PMID- 21052476 TI - The Immunocytochemistry Is a Valuable Tool in the Diagnosis of Papillary Thyroid Cancer in FNA's Using Liquid-Based Cytology. AB - Introduction. Papillary thyroid carcinoma (PTC) is the most common malignancy of the thyroid. An accurate cytological diagnosis is based on distinctive cytological features in combination with immunocytochemistry. Methods. A number of 83 fine needle aspirations, positive for papillary thyroid cancer (44 from thyroid nodules and 39 from cervical lymph nodes), were studied using Thin Layer Cytology. A panel of the immunomarkers Cytokeratin-19, Galectin-3, HBME1, CD-44, CD-56, and E-Cadherin was performed. Results. Positive expression of CK-19 was observed in 77 cases (92.7%), of Galectin-3 in 74 cases (89.1%), of HBME1 in 65 (78.3%), and of CD-44 in 72 cases (86.7%). Loss of expression of CD-56 was observed in 80 cases (96.4%) and of E-cadherin in 78 (93.9%). Conclusions. Our data suggest that Thin Layer Cytology increases the diagnostic accuracy in papillary carcinoma and seems to be a promising technique for further investigation of thyroid lesions permitting the possibility to use archive material. Positive immunoexpression of CK-19, Galectin-3, HBME-1, and CD-44 improves the diagnostic accuracy of papillary thyroid cancer. Furthermore, loss of E-cadherin and of CD-56 expression is a feature of malignancy. PMID- 21052478 TI - Mental Health Needs of Sexual Minorities in Jamaica. AB - This study examined the prevalence of Axis I disorders and associated risk factors in a sample of sexual minority men and women in Jamaica, a country that is widely known for its high societal rejection of homosexuality. Poor relationships with family, negative or abusive experiences related to one's sexual orientation, and greater openness about one's sexual orientation were independent risk factors for Axis I disorders. Prevention of mental disorders in sexual minorities in Jamaica should focus on rebuilding family support and promoting social acceptance of sexual minorities. PMID- 21052477 TI - Photoactive Ruthenium Nitrosyls: Effects of Light and Potential Application as NO Donors. PMID- 21052480 TI - Methodological Innovations in Collecting Spending Data: The HRS Consumption and Activities Mail Survey. AB - It has traditionally been believed that collecting survey measures of total spending necessarily involved asking a large number of questions, too many for inclusion of a comprehensive spending measure in a general-purpose survey. In this paper we report on a supplemental survey to the Health and Retirement Study that took up this challenge. We discuss issues that arise designing a survey module to collect spending data with strict time constraints, describe how the implementation in the Consumption and Activities Mail Survey (CAMS) played out, and elicit anomalies that more detailed analysis of data quality revealed. We report how we addressed some of these anomalies in subsequent waves of CAMS. Other anomalies required conducting additional randomized experiments to find what explains the observed patterns. The results highlight the tension between asking about spending using a long time frame, which exacerbates recall bias, versus using a short time frame, which risks relying on an unrepresentative snapshot of a household's spending to proxy the total for the last 12 months. An important complicating factor in deciding which goods should be put into which time frames is that there is substantial heterogeneity in the frequency of spending across households even for the same category of spending. PMID- 21052479 TI - Surface Plasmon Resonance Monitoring of Cell Monolayer Integrity: Implication of Signaling Pathways Involved in Actin-Driven Morphological Remodeling. AB - Morphological changes occurring in individual cells largely influence the physiological functions of various cell layers. The control of barrier function of epithelia and endothelia is a prime example of processes highly dependent on cellular morphology and cell layer integrity. Here, we applied the surface plasmon resonance (SPR) technique to the quantification of cellular activity of an epithelial cell monolayer stimulated by angiotensin II. The analysis of the SPR signal shows reproducible concentration-dependent biphasic responses after cell activation with angiotensin II. Phase-contrast and confocal microscopy imaging was performed to link the SPR signal to molecular and global morphological remodeling. The SPR signal was observed to be in relation with the rapid cell contraction and the subsequent cell spreading observed by phase contrast microscopy. Additionally, the temporal redistribution of actin, observed by confocal microscopy after angiotensin II stimulation, was also found to be consistent with the SPR signal variation. The modulation of signaling pathways involved in actin-myosin driven cell contraction confirms the direct implication of actin structures in the SPR response. Additionally, we show that the intracellular calcium mobilization associated with angiotensin II stimulation did not produce any significant SPR signal variation. Altogether, our results demonstrate that SPR is a rapid label-free method to study cellular activity and molecular mechanisms implicated in the modulation of the integrity of a cell monolayer in relation to cytoskeleton remodeling with associated cell morphological changes. PMID- 21052481 TI - Spirituality and Subjective Religiosity among African Americans, Caribbean Blacks and Non-Hispanic Whites. AB - Patterns and correlates of self-perceptions of spirituality and subjective religiosity are examined using data from the National Survey of American Life, a nationally representative study of African Americans, Caribbean Blacks and non Hispanic Whites. Demographic and denominational correlates of patterns of subjective religiosity and spirituality (i.e., religious only, spiritual only, both religious/spiritual and neither religious/spiritual) are examined. In addition, the study of African Americans and Caribbean Blacks permits the investigation of possible ethnic variation in the meaning and conceptual significance of these constructs within the U.S. Black population. African Americans and Caribbean Blacks are more likely than Non-Hispanic Whites to indicate that they are "both religious and spiritual" and less likely to indicate that they are "spiritual only" or "neither spiritual nor religious." Demographic and denominational differences in the patterns of spirituality and subjective religiosity are also indicated. Study findings are discussed in relation to prior research in this field and noted conceptual and methodological issues deserving further study. PMID- 21052484 TI - Evaluating the impact of AND/OR search on 0-1 integer linear programming. AB - AND/OR search spaces accommodate advanced algorithmic schemes for graphical models which can exploit the structure of the model. We extend and evaluate the depth-first and best-first AND/OR search algorithms to solving 0-1 Integer Linear Programs (0-1 ILP) within this framework. We also include a class of dynamic variable ordering heuristics while exploring an AND/OR search tree for 0-1 ILPs. We demonstrate the effectiveness of these search algorithms on a variety of benchmarks, including real-world combinatorial auctions, random uncapacitated warehouse location problems and MAX-SAT instances. PMID- 21052482 TI - Laser applications and system considerations in ocular imaging. AB - We review laser applications for primarily in vivo ocular imaging techniques, describing their constraints based on biological tissue properties, safety, and the performance of the imaging system. We discuss the need for cost effective sources with practical wavelength tuning capabilities for spectral studies. Techniques to probe the pathological changes of layers beneath the highly scattering retina and diagnose the onset of various eye diseases are described. The recent development of several optical coherence tomography based systems for functional ocular imaging is reviewed, as well as linear and nonlinear ocular imaging techniques performed with ultrafast lasers, emphasizing recent source developments and methods to enhance imaging contrast. PMID- 21052483 TI - BIOLOGICAL TIMEKEEPING. PMID- 21052485 TI - SUN: Top-down saliency using natural statistics. AB - When people try to find particular objects in natural scenes they make extensive use of knowledge about how and where objects tend to appear in a scene. Although many forms of such "top-down" knowledge have been incorporated into saliency map models of visual search, surprisingly, the role of object appearance has been infrequently investigated. Here we present an appearance-based saliency model derived in a Bayesian framework. We compare our approach with both bottom-up saliency algorithms as well as the state-of-the-art Contextual Guidance model of Torralba et al. (2006) at predicting human fixations. Although both top-down approaches use very different types of information, they achieve similar performance; each substantially better than the purely bottom-up models. Our experiments reveal that a simple model of object appearance can predict human fixations quite well, even making the same mistakes as people. PMID- 21052486 TI - Automated Power Control for Mobile Laser Speckle Imaging System. AB - Recently, Laser Speckle Imaging (LSI) has been applied to measure blood perfusion in human skin. Attractive features of LSI are its temporal resolution and relatively simple instrumentation. The progressive reduction in the cost and size of camera technology now enables development of mobile LSI instrumentation. To reduce the size of LSI to a mobile platform, we are faced with new challenges in terms of reducing power consumption and heat without sacrificing detection accuracy. To address these challenges, we propose pulsed laser operation using a new automated power control (APC) circuit. By synchronizing the pulses to the laser diode driver with the camera shutter, the camera detects a similar raw speckle image as before while consuming only a small fraction of the power. Furthermore, the reduced power consumption in turn keeps the temperature of the case low, increasing the stability of the system. We validated our solution using simulations in Pspice, and we evaluated the operation of the circuit using a prototype APC board and a commercial camera. PMID- 21052487 TI - Organizational Religious Behavior among Older African Americans: Findings from the National Survey of American Life. AB - This study utilizes data from the older African American sub-sample of the National Survey of American Life (n=837) to examine the sociodemographic and denominational correlates of organizational religious involvement among older African Americans. Six measures of organizational religious participation are utilized, including two measures of time allocation for organized religious pursuits. The findings indicate significant gender, region, marital status and denominational differences in organizational religiosity. Of particular note, although older black women generally displayed higher levels of religious participation, older black men spent more hours per week in other activities at their place of worship. The findings are discussed in relation to prior work in the area of religious involvement among older adults. New directions for research on religious time allocation are outlined. PMID- 21052488 TI - Behcet's disease (Adamantiades-Behcet's disease). AB - Adamantiades-Behcet's disease (ABD) is characterized by starting with oral aphthous ulceration and developing of the systemic involvements. The pathogenesis of ABD is closely correlated with the genetic factors and the triggering factors which acquire delayed-type hypersensitivity reaction against oral streptococci mediated by IL-12 cytokine family. HLA-B51 is associated in more than 60% of the patients and its restricted CD8+ T cell response is clearly correlated with the target tissues. Bes-1 gene encoded partial S. sanguinis genome which is highly homologous with retinal protein, and 65 kD heat shock protein (Hsp-65) released from streptococci is playing an important role with human Hsp-60 in the pathogenesis of ABD. Although Hsp-65/60 has homologies with the respective T cell epitope, it stimulates peripheral blood mononuclear cells (PBMCs) from ABD patients. On the other hand, some peptides of Hsp-65 were found to reduce IL-8 and IL-12 production from PBMCs of ABD patients in active stage. PMID- 21052491 TI - Is the comet assay a sensitive procedure for detecting genotoxicity? AB - Although the Comet assay, a procedure for quantitating DNA damage in mammalian cells, is considered sensitive, it has never been ascertained that its sensitivity is higher than the sensitivity of other genotoxicity assays in mammalian cells. To determine whether the power of the Comet assay to detect a low level of genotoxic potential is superior to those of other genotoxicity assays in mammalian cells, we compared the results of Comet assay with those of micronucleus test (MN test). WTK1 human lymphoblastoid cells were exposed to methyl nitrosourea (MNU), ethyl nitrosourea (ENU), methyl methanesulfonate (MMS), ethyl methanesulfonate (EMS), bleomycin (BLM), or UVC. In Comet assay, cells were exposed to each mutagen with (Comet assay/araC) and without (Comet assay) DNA repair inhibitors (araC and hydroxyurea). Furthermore, acellular Comet assay (acellular assay) was performed to determine how single-strand breaks (SSBs) as the initial damage contributes to DNA migration and/or to micronucleus formation. The lowest genotoxic dose (LGD), which is defined as the lowest dose at which each mutagen causes a positive response on each genotoxicity assay, was used to compare the power of the Comet assay to detect a low level of genotoxic potential and that of MN test; that is, a low LGD indicates a high power. Results are summarized as follows: (1) for all mutagens studied, LGDs were MN test ? Comet assay; (2) except for BLM, LGDs were Comet assay/araC ? MN test; (3) except for UVC and MNU, LGDs were acellular assay ? Comet assay/araC ? MN test ? Comet assay. The following is suggested by the present findings: (1) LGD in the Comet assay is higher than that in MN test, which suggests that the power of the MN test to detect a low level of genotoxic potential is superior to that of the Comet assay; (2) for the studied mutagens, all assays were able to detect all mutagens correctly, which suggests that the sensitivity of the Comet assay and that of the MN test were exactly identical; (3) the power of the Comet assay to detect a low level of genotoxic potential can be elevated to a level higher than that of MN test by using DNA resynthesis inhibitors, such as araC and HU. PMID- 21052489 TI - Peroxynitrite mediates diabetes-induced endothelial dysfunction: possible role of Rho kinase activation. AB - Endothelial dysfunction is characterized by reduced bioavailability of NO due to its inactivation to form peroxynitrite or reduced expression of eNOS. Here, we examine the causal role of peroxynitrite in mediating diabetes-induced endothelial dysfunction. Diabetes was induced by STZ-injection, and rats received the peroxynitrite decomposition catalyst (FeTTPs, 15 mg/Kg/day) for 4 weeks. Vasorelaxation to acetylcholine, oxidative-stress markers, RhoA activity, and eNOS expression were determined. Diabetic coronary arteries showed significant reduction in ACh-mediated maximal relaxation compared to controls. Diabetic vessels showed also significant increases in lipid-peroxides, nitrotyrosine, and active RhoA and 50% reduction in eNOS mRNA expression. Treatment of diabetic animals with FeTTPS blocked these effects. Studies in aortic endothelial cells show that high glucose or peroxynitrite increases the active RhoA kinase levels and decreases eNOS expression and NO levels, which were reversed with blocking peroxynitrite or Rho kinase. Together, peroxynitrite can suppress eNOS expression via activation of RhoA and hence cause vascular dysfunction. PMID- 21052490 TI - Molecular characterization of glycopeptide-resistant enterococci from hospitals of the picardy region (france). AB - We studied 138 glycopeptide-resistant enterococci (GRE) strains, consisting of 131 glycopeptide-resistant Enterococcus faecium (GREfm) and 7 glycopeptide resistant Enterococcus faecalis (GREfs). The GREfm strains were resistant to penicillin, ampicillin, vancomycin, and teicoplanin, while the GREfs strains were only resistant to vancomycin and teicoplanin. The van A gene was the only glycopeptide determinant present in all GRE isolates investigated. Genes coding for Hyl and Hyl+ Esp were detected in 39 (29.8%) and 92 (70.2%) of the 131 GREfm isolates, respectively. Three of the 7 GREfs were positive for gelE+asa 1 genes, 3 for gel E gene, and 1 for asa 1 gene. The genetic relationship between the 138 GRE was analyzed by pulsed-field gel electrophoresis (PFGE) and multilocus sequence typing (MLST). GREfm isolates were clustered in a single genogroup (pulsotype A), and GREfs were clustered in six genogroups (pulsotypes B-G). Among the isolates investigated by MLST, only 18 PCR products were sequenced (12 E. faecium and 6 E. faecalis), and 9 sequence types (STs) were identified. PMID- 21052492 TI - Analysis of Codon Usage Patterns in Toxic Dinoflagellate Alexandrium tamarense through Expressed Sequence Tag Data. AB - We have analyzed synonymous codon usage in the genome of A. tamarense CCMP 1598 for protein-coding sequences from 10865 expressed sequence tags (ESTs). We reconstructed a total of 4284 unigenes, including 74 ribosomal protein and 40 plastid-related genes, from ESTs using FrameDP, an open reading frame (ORF) prediction program. Correspondence analysis of A. tamarense genes based on codon usage showed that the GC content at the third base of synonymous codons (GC3s) was strongly correlated with the first axis (r = 0.93 with P < .001). On the other hand, the second axis discriminated between presumed highly and low expressed genes, with expression levels being confirmed by the analysis of EST frequencies (r = -0.89 with P < .001). Our results suggest that mutational bias is the major factor in shaping codon usage in A. tamarense genome, but other factors, namely, translational selection, hydropathy, and aromaticity, also appear to influence the selection of codon usage in this species. PMID- 21052493 TI - Lessons from studies in middle-aged and older adults living in mediterranean islands: the role of dietary habits and nutrition services. AB - Background. Islands in the Mediterranean basin share particular habits and traditions and greater life expectancy than other European regions. In this paper, particular interest has been given to the effect of the Mediterranean diet, as well as nutritional services on CVD risk, on Mediterranean islands. Methods. Published results from observational studies were retrieved from electronic databases (Pubmed and Scopus) and summarized. Results. Prevalence of CVD risk factors is increased. Adherence to the Mediterranean diet was moderate, even among the elderly participants. Furthermore, the presence of a dietician was associated with higher adherence to the Mediterranean dietary pattern and consequently lowers CVD risk. Conclusion. Adherence to the Mediterranean diet is reduced, while the prevalence of CVD risk factors is increasing at alarming rates. Public health nutrition policy has the opportunity to improve the health and quality of life of people living in isolated insular areas of the Mediterranean basin. PMID- 21052494 TI - Retroperitoneal abscess: a rare localization of tubercular infection. AB - Incidence of tuberculosis infection has considerably increased during the past 20 years due to the HIV pandemic and continues to be one of the most prevalent and deadly infections worldwide. Extrapulmonary tuberculosis lacks specific clinical manifestation and can mimic many diseases. It can invade neighbouring tissue and form a big cyst with manifesting clinical symptoms. We describe a rare case of 31 year-old immunocompetent man affected by a retroperitoneal abscess secondary to tubercular infection. Exploratory laparotomy and histopathological examinations of tissue were required for achieving diagnosis of tuberculosis. No pulmonary or spinal involvement was identified. The patient was successfully treated with standard four-drug antitubercular therapy. PMID- 21052495 TI - Relationship between Fecal Content of Fatty Acids and Cyclooxygenase mRNA Expression and Fatty Acid Composition in Duodenal Biopsies, Serum Lipoproteins, and Dietary Fat in Colectomized Familial Adenomatous Polyposis Patients. AB - A few familial adenomatous polyposis studies have focused upon faecal sterols and bile acids but none has analysed the fecal content of fatty acids. We report here findings of an observational study on 29 colectomized familial adenomatous polyposis patients that describe the fecal content of fatty acids, and relate this to the proportions of fatty acids and levels of cyclooxygenase mRNA expression in duodenal biopsies, levels of serum lipoproteins, and diet. In the ileostomy group separately (n = 12), the fecal content of arachidonic acid was correlated negatively to the proportions of eicosapentaenoic acid and docosahexaenoic acid in duodenal biopsies. Total serum-cholesterol was negatively correlated to the fecal content of saturates and monounsaturates. The fecal palmitoleic acid/palmitic acid ratio was positively correlated to the levels of cyclooxygease-2 expression in duodenal biopsies.In the ileal-pouch-anal anastomosis group separately (n = 17), significant correlations were found between the fecal contents of oleic acid, linoleic acid, and alpha-linolenic acid, and the proportions of myristic acid, oleic acid and eicosaenoic acid in duodenal biopsies. Dietary monounsaturates were positively correlated to different fecal fatty acids. Future studies should focus on molecular mechanisms relevant to fatty acid metabolism, inflammation, and angiogenesis, in addition to nutrition. PMID- 21052496 TI - Immobilization and Characterization of a Recombinant Thermostable Lipase (Pf2001) from Pyrococcus furiosus on Supports with Different Degrees of Hydrophobicity. AB - We studied the immobilization of a recombinant thermostable lipase (Pf2001Delta60) from the hyperthermophilic archaeon Pyrococcus furiosus on supports with different degrees of hydrophobicity: butyl Sepabeads and octadecyl Sepabeads. The enzyme was strongly adsorbed in both supports. When it was adsorbed on these supports, the enzyme showed 140 and 237% hyperactivation, respectively. The assessment of storage stability showed that the octadecyl Sepabeads immobilized enzyme showed 100% of residual activity after 30 days of storage. However, the greatest stability at 70 degrees C was obtained in butyl Sepabeads immobilized enzyme, which retained 77% activity after 1 hour incubation. The maximum activity of the immobilized preparations was obtained with the pH between 6 and 7, at 70 degrees C. Thus, this study achieved a new extremophilic biocatalyst with greater stability, for use in several biotechnological processes. PMID- 21052497 TI - A transgenic mouse model for studying the role of the parathyroid hormone-related protein system in renal injury. AB - Parathyroid hormone- (PTH-) related protein (PTHrP) and its receptor, the PTH1 receptor (PTH1R), are widely expressed in the kidney, where PTHrP exerts a modulatory action on renal function. PTHrP is known to be upregulated in several experimental nephropathies such as acute renal failure (ARF), obstructive nephropathy (ON) as well as diabetic nephropathy (DN). In this paper, we will discuss the functional consequences of chronic PTHrP overexpression in the damaged kidney using a transgenic mouse strain overexpressing PTHrP in the renal proximal tubule. In both ARF and ON, PTHrP displays proinflammatory and profibrogenic actions including the induction of epithelia to mesenquima transition. Moreover, PTHrP participates in the mechanisms of renal hypertrophy as well as proteinuria in experimental DN. Angiotensin II (Ang II), a critical factor in the progression of renal injury, appears to be, at least in part, responsible for endogenous PTHrP upregulation in these pathophysiological settings. These findings provide novel insights into the well-known protective effects of Ang II antagonists in renal diseases, paving the way for new therapeutic approaches. PMID- 21052498 TI - Automatic Graph Cut Segmentation of Lesions in CT Using Mean Shift Superpixels. AB - This paper presents a new, automatic method of accurately extracting lesions from CT data. It first determines, at each voxel, a five-dimensional (5D) feature vector that contains intensity, shape index, and 3D spatial location. Then, nonparametric mean shift clustering forms superpixels from these 5D features, resulting in an oversegmentation of the image. Finally, a graph cut algorithm groups the superpixels using a novel energy formulation that incorporates shape, intensity, and spatial features. The mean shift superpixels increase the robustness of the result while reducing the computation time. We assume that the lesion is part spherical, resulting in high shape index values in a part of the lesion. From these spherical subregions, foreground and background seeds for the graph cut segmentation can be automatically obtained. The proposed method has been evaluated on a clinical CT dataset. Visual inspection on different types of lesions (lung nodules and colonic polyps), as well as a quantitative evaluation on 101 solid and 80 GGO nodules, both demonstrate the potential of the proposed method. The joint spatial-intensity-shape features provide a powerful cue for successful segmentation of lesions adjacent to structures of similar intensity but different shape, as well as lesions exhibiting partial volume effect. PMID- 21052499 TI - Use of H19 Gene Regulatory Sequences in DNA-Based Therapy for Pancreatic Cancer. AB - Pancreatic cancer is the eighth most common cause of death from cancer in the world, for which palliative treatments are not effective and frequently accompanied by severe side effects. We propose a DNA-based therapy for pancreatic cancer using a nonviral vector, expressing the diphtheria toxin A chain under the control of the H19 gene regulatory sequences. The H19 gene is an oncofetal RNA expressed during embryo development and in several types of cancer. We tested the expression of H19 gene in patients, and found that 65% of human pancreatic tumors analyzed showed moderated to strong expression of the gene. In vitro experiments showed that the vector was effective in reducing Luciferase protein activity on pancreatic carcinoma cell lines. In vivo experiment results revealed tumor growth arrest in different animal models for pancreatic cancer. Differences in tumor size between control and treated groups reached a 75% in the heterotopic model (P = .037) and 50% in the orthotopic model (P = .007). In addition, no visible metastases were found in the treated group of the orthotopic model. These results indicate that the treatment with the vector DTA-H19 might be a viable new therapeutic option for patients with unresectable pancreatic cancer. PMID- 21052500 TI - Treatment of upper respiratory tract infections in primary care: a randomized study using aromatic herbs. AB - This study is a prospective randomized double-blind controlled trial whose aim was to investigate the clinical effects of aromatic essential oils in patients with upper respiratory tract infections. The trial was conducted in six primary care clinics in northern Israel. A spray containing aromatic essential oils of five plants (Eucalyptus citriodora, Eucalyptus globulus, Mentha piperita, Origanum syriacum, and Rosmarinus officinalis) as applied 5 times a day for 3 days and compared with a placebo spray. The main outcome measure was patient assessment of the change in severity of the most debilitating symptom (sore throat, hoarseness or cough). Sixty patients participated in the study (26 in the study group and 34 in the control group). Intention-to-treat analysis showed that 20 minutes following the spray use, participants in the study group reported a greater improvement in symptom severity compared to participants in the placebo group (P = .019). There was no difference in symptom severity between the two groups after 3 days of treatment (P = .042). In conclusion, spray application of five aromatic plants reported in this study brings about significant and immediate improvement in symptoms of upper respiratory ailment. This effect is not significant after 3 days of treatment. PMID- 21052501 TI - Syphilitic coronary artery ostial stenosis resulting in acute myocardial infarction treated by percutaneous coronary intervention. AB - Cardiovascular abnormalities are well-known manifestations of tertiary syphilis infections which although not frequent, are still causes of morbidity and mortality. A less common manifestation of syphilitic aortitis is coronary artery ostial narrowing related to aortic wall thickening. We report a case of a 46-year old male admitted due to acute anterior ST elevation myocardial infarction submitted to primary percutaneous coronary intervention successfully. Coronary angiography showed a suboccluded ostial lesion of left main coronary artery. VDRL was titrated to 1/512. The patient was discharged with treatment including benzathine penicillin. Previous case reports of acute myocardial infarction in association with syphilitic coronary artery ostial stenosis have been reported, but the fact that the patient was treated by percutaneous coronary intervention is unique in this case. PMID- 21052502 TI - Cell Cycle Deregulation in Ewing's Sarcoma Pathogenesis. AB - Ewing's sarcoma is a highly aggressive pediatric tumor of bone that usually contains the characteristic chromosomal translocation t(11;22)(q24;q12). This translocation encodes the oncogenic fusion protein EWS/FLI, which acts as an aberrant transcription factor to deregulate target genes necessary for oncogenesis. One key feature of oncogenic transformation is dysregulation of cell cycle control. It is therefore likely that EWS/FLI and other cooperating mutations in Ewing's sarcoma modulate the cell cycle to facilitate tumorigenesis. This paper will summarize current published data associated with deregulation of the cell cycle in Ewing's sarcoma and highlight important questions that remain to be answered. PMID- 21052503 TI - Diesel engine exhaust initiates a sequence of pulmonary and cardiovascular effects in rats. AB - This study was designed to determine the sequence of events leading to cardiopulmonary effects following acute inhalation of diesel engine exhaust in rats. Rats were exposed for 2 h to diesel engine exhaust (1.9 mg/m(3)), and biological parameters related to antioxidant defense, inflammation, and procoagulation were examined after 4, 18, 24, 48, and 72 h. This in vivo inhalation study showed a pulmonary anti-oxidant response (an increased activity of the anti-oxidant enzymes glutathione peroxidase and superoxide dismutase and an increase in heme oxygenase-1 protein, heme oxygenase activity, and uric acid) which precedes the inflammatory response (an increase in IL-6 and TNF-alpha). In addition, increased plasma thrombogenicity and immediate anti-oxidant defense gene expression in aorta tissue shortly after the exposure might suggest direct translocation of diesel engine exhaust components to the vasculature but mediation by other pathways cannot be ruled out. This study therefore shows that different stages in oxidative stress are not only affected by dose increments but are also time dependent. PMID- 21052504 TI - Clinical outcomes of initial and repeated nasolacrimal duct office-based probing for congenital nasolacrimal duct obstruction. AB - PURPOSE: To characterize the outcomes of initial and repeated office-based probing as a primary treatment for congenital nasolacrimal duct obstruction (CNLDO) in children. METHODS: The medical records of patients who underwent nasolacrimal duct office-based probing for CNLDO between March 2004 and January 2008 were reviewed retrospectively. Nasolacrimal duct probing was performed on 244 eyes from 229 consecutive patients with CNLDO. Patients who were refractory to the first probing underwent a second probing 4 to 8 weeks later. RESULTS: Based on exclusion criteria, 244 eyes from 229 patients (117 males and 112 females), aged 6 to 71 months (mean, 12.4 +/- 8.36) were included. The success rate of the initial probing was 80% (196 of 244) for all patients, 82% (111 of 136) in the 6 to 12 month age group, 79% (64 of 81) in the 13 to 18 months age group, and 78% (21 of 27) among individuals older than 19 months (p = 0.868, Pearson chi-square test). The success rate of the second probing was 61% (25 of 41) for all patients, 74% (17 of 23) in the 6 to 12 months age group, 58% (7 of 12) in the 13 to 18 months age group, and 17% (1 of 6) among individuals older than 19 months (p = 0.043, Fisher's exact test). CONCLUSIONS: While the success rate of initial nasolacrimal duct probing is not affected by age, the rate of success rate with a second probing was significantly lower in patients older than 19 months. Based on the results, authors recommend further surgical interventions, such as silicone tube intubation or balloon dacryocystoplasty, instead of repeated office probing for patients older than 19 months, if an initial office probing has failed. PMID- 21052505 TI - Risk factors associated with the severity of thyroid-associated orbitopathy in Korean patients. AB - PURPOSE: The purpose of this study is to identify risk factors for severe thyroid associated orbitopathy (TAO) and compressive optic neuropathy in Korean patients. METHODS: This study was a retrospective comparative case series. All TAO patients who were first seen at our institution between 2005 and 2009 and who had follow up periods of at least 6 months were included. Patients were divided into mild or moderate and severe TAO groups. Cases were also segregated based on the presence or absence of optic neuropathy. Demographics, smoking status, comorbidities, thyroid hormonal status, thyroid autoantibody levels, and clinical presentations were assessed. RESULTS: A total of 99 patients (83 with mild to moderate courses and 16 with severe courses; 90 without optic neuropathy and 9 with optic neuropathy) were included in this study. On multiple logistic regression analysis, smoking status was a predictive risk factor for a severe course of TAO and the development of optic neuropathy (odds ratios = 6.57 and 10.00, respectively). Other factors such as age, gender, free T4 level, thyroid binding inhibiting immunoglobulin, and a history of diabetes were not predictive of severe TAO or optic neuropathy. CONCLUSIONS: Although various factors may influence the severity of TAO and the development of optic neuropathy, this study showed that smoking was a risk factor for severe TAO and the development of optic neuropathy. Therefore, it is important for patients with Graves' disease to refrain from smoking. Frequent and careful observation should also be performed in current smokers, as TAO patients who smoke are susceptible to a severe course and/or optic neuropathy. PMID- 21052506 TI - Surgical outcomes of transconjunctival anterior orbitotomy for intraconal orbital cavernous hemangioma. AB - PURPOSE: To describe surgical outcomes for transconjunctival anterior orbitotomy for intraconal cavernous hemangiomas. METHODS: The medical records of 9 consecutive patients with intraconal cavernous hemangiomas who underwent surgical removal by transconjunctival anterior orbitotomy were retrospectively reviewed. The conjunctiva was incised and retracted with a traction suture. For large tumors, a rectus muscle was temporarily disinserted. Tenon's capsule was separated and the tumor was removed with a cryoprobe or clamp. Surgical outcomes, positions of the tumors, methods of approach, and intra- and post-operative complications were evaluated. RESULTS: The mean follow-up period was 33 +/- 6.8 months. No bony orbitotomy was used in this technique and the cosmetic results were very satisfactory. All tumors were removed intact. In 4 patients, tumors were extirpated with the aid of a cryoprobe. No patients had residual proptosis or limitation of ocular movement. No signs of recurrence were noted in any cases at 33 months follow-up. No serious or permanent complications were observed during or after the operation. CONCLUSIONS: Transconjunctival anterior orbitotomy is an important surgical procedure in the treatment of intraconal cavernous hemangiomas. It can produce an excellent result, even if the posterior border of the tumor abuts the orbital apex. PMID- 21052507 TI - The results of evisceration with primary porous implant placement in patients with endophthalmitis. AB - PURPOSE: To assess the results and long-term prognosis of evisceration with primary porous implant placement in patients with endophthalmitis. METHODS: A retrospective study was conducted to review the files of 27 patients (29 eyes) with endophthalmitis who underwent evisceration with primary porous implant placement from January 1997 to December 2007 at St. Mary's Hospital and Kangnam St. Mary's Hospital. The mean follow-up period was 12.24 months (range, 3 to 89 months) and the mean age of the patients was 63.6 years (range, 33 to 89 years). RESULTS: During the surgical procedure, primary implant placement was successfully completed, and any postoperative infection or inflammation rapidly resolved in all 27 patients (29 eyes). One of two porous implant materials was used. Hydroxyapatite was inserted in 14 eyes and Medpor was inserted in 15 eyes. Delayed implant exposure was noted in 1 eye, which was treated by inserting a hydroxyapatite implant 18 months after the first surgery. This was well treated by a preserved scleral graft. Implant infection was noted in 1 other eye at 20 days after the first surgery. All other minor complications healed without sequelae. CONCLUSIONS: Evisceration with primary porous implant placement as the treatment for recalcitrant endophthalmitis resulted in rapid resolution of any infection and inflammation. Implant exposure and infection occurred in only 2 eyes, and these problems were well treated without long-term sequelae. Therefore, evisceration with primary porous implant placement is a treatment option for patients with endophthalmitis. PMID- 21052508 TI - Anterior segment parameters using Pentacam and prediction of corneal endothelial cell loss after cataract surgery. AB - PURPOSE: We evaluated various preoperative anterior segment parameters measured with a Pentacam rotating Scheimpflug camera and compared them with those of conventional methods. We also evaluated the effect of different parameters on corneal endothelial cells after cataract surgery. METHODS: Pentacam examination was performed in 88 eyes from 88 patients to evaluate central anterior chamber depth (ACD(pentacam)), nuclear density (Densitometry(pentacam)), anterior chamber volume (ACV), and lens thickness (LT(pentacam)). We compared values of ACD(pentacam) with those of ultrasound (ACD(sono)) and also compared Densitometry(pentacam) values with those of Lens Opacities Classification System (LOCS III) classification. We evaluated the effect of the following preoperative values measured with Pentacam on postoperative endothelial cell loss: pupil size measured both preoperatively and before capsulorrhexsis (Pupil(CCC)), amount of viscoelastics, and LT measured by ultrasound (LT(sono)). RESULTS: A SIGNIFICANT CONCORDANCE WAS FOUND BETWEEN THE TWO GRADING METHODS OF NUCLEAR OPACITY: Densitometry(pentacam) and LOCS III classification (tau(b) = 0.414, p = 0.000). We also found a positive correlation between ACD(pentacam) and ACD(sono) (r = 0.823, p = 0.000) and between ACD(pentacam) and ACV (r = 0.650, p = 0.000). There were significant differences between the results of LT(pentacam) and LT(sono). The final regression model identified Densitometry(pentacam), viscoelastics and Pupil(CCC) as independent predictors of decreased postoperative corneal endothelial cell density (CD) at postoperative day 3, and Densitometry(pentacam), viscoelastics, and ACV as independent predictors of decreased CD two months postoperatively (p<0.05). CONCLUSIONS: Good agreement was found between all results obtained with the Pentacam and conventional methods except LT. Analyzing anterior chamber parameters preoperatively using Pentacam could be helpful to predict postoperative endothelial cell loss. PMID- 21052509 TI - Clinical features of Korean patients with congenital aniridia. AB - PURPOSE: To investigate the clinical features of Korean patients with congenital aniridia. METHODS: This retrospective study focused on 60 eyes from 31 patients who were diagnosed with congenital aniridia at Kangnam St. Mary's Hospital from 1996 to 2007. Patient age, gender, visual acuity (VA), family history, and previous ocular history were recorded. The presence of keratopathy, glaucoma, cataract, foveal hypoplasia, and other ocular or systemic anomalies were evaluated for each patient. RESULTS: The proportion of sporadic cases was 29.0%. Cataract (82.5%), glaucoma (51.6%), keratopathy (71.6%), and foveal hypoplasia (81.8%) commonly accompanied aniridia. Thirty-four (60.7%) eyes had VAs less than 20/200 and 20 eyes (35.7%) had VAs between 20/200 and 20/60. In patients without a past history of ocular surgery, the mean central corneal thickness was 643.05 +/- 37.67 um and the mean endothelial cell count was 3,349.44 +/- 408.17 cells/mm(2). Ocular surface surgeries were performed in 6 eyes. The clarity of the transplanted corneal graft vanished in 5 eyes with the progression of peripheral neovascularization and subepithelial fibrosis. The mean age of cataract surgery in 8 eyes was 29.8 +/- 5.9 years. Postoperative worsening of corneal clouding and glaucomatous damage were observed in 4 eyes. Two infants had bilateral congenital glaucoma. Two children with sporadic aniridia were identified to have Wilm's tumors. CONCLUSIONS: Congenital aniridia is a progressive congenital disorder that is commonly accompanied by complications that can lead to impaired vision. Regular, careful examinations for these accompanying complications should be performed in all patients with congenital aniridia. PMID- 21052510 TI - The relationship between the visual prognoses of branch retinal artery obstruction and foveal thickness on OCT. AB - PURPOSE: To determine the correlation between the prognosis of branch retinal artery obstruction (BRAO) and the foveal thickness or outer nuclear layer (ONL) thickness on optical coherence tomography (OCT). METHODS: Twenty-one eyes (21 patients) in patients with resolved, non-complicated BRAO and a normal control of 10 eyes (10 volunteers) were used in this study. The average macular thickness, foveal thickness and ONL thickness at central fovea were measured in both the patients and the control group using spectral domain OCT. The thickness between the patient group and the control group were compared and correlation between the best corrected visual acuity (BCVA) and each thickness was determined. RESULTS: The average age of the patients was 52 +/- 5.8 years. The average macular thickness, foveal thickness and ONL thickness at the central fovea of the patients were significantly (p < 0.001, p = 0.023, p = 0.021, respectively) thinner than that of the control group. Both the foveal thickness (r(s) = 0.56, p = 0.008) and ONL thickness (r(s) = 0.86, p < 0.001) were significantly correlated with BCVA. There was no significant correlation between the average macular thickness and BCVA. CONCLUSIONS: The foveal thickness and ONL thickness at the fovea was positively correlated with the BCVA in patients with resolved BRAO. PMID- 21052511 TI - A case of chorioretinal coloboma in a patient with achondroplasia. AB - Achondroplasia is a congenital disorder resulting from a specific disturbance in endochondral bone formation. The ophthalmic features reportedly associated with achondroplasia are telecanthus, exotropia, inferior oblique overaction, angle anomalies and cone-rod dystrophy. This is first report of chorioretinal coloboma in achondroplasia. An 8-year-old female was diagnosed with a developmental delay, known as achondroplasia, seven months after birth. Upon her initial visit, visual acuity was 0.3 in both eyes. The patient had telecanthus but normal ocular motility. Findings were normal upon anterior segment examination. Fundus examination of both eyes revealed about 1,500 um sized chorioretinal coloboma inferior to the optic nerve head. Upon fluorescent angiography, there was chorioretinal coloboma without any other lesions. Afterward, there was no change in the fundus lesion, and best corrected visual acuity was 0.6 in both eyes. Chorioretinal coloboma is associated with choroidal and retinal detachment. As chorioretinal coloboma and achondroplasia are developmental disorders in the embryonic stage, early detection and regular ophthalmologic examination would be essential in patients with achondroplasia. PMID- 21052512 TI - Estrogen antagonist and development of macular hole. AB - To describe the clinical and optical coherence tomography (OCT) features of a macular hole (MH) or its precursor lesion in patients treated with systemic antiestrogen agents. We reviewed the medical history of the patient, ophthalmic examination, and both fundus and OCT findings. Three female patients receiving antiestrogen therapy sought treatment for visual disturbance. All of the patients showed foveal cystic changes with outer retinal defect upon OCT. Visual improvement was achieved through surgery for the treatment of MH in two patients. Antiestrogen therapy may result in MH or its precursor lesion, in addition to perifoveal refractile deposits. OCT examination would be helpful for early detection in such cases. PMID- 21052513 TI - Macular infarction associated with reactive arthritis. AB - A 53-year-old woman visited the Department of Rheumatology with a chief complaint of a 3-day history of fever and chills and also presented with pain occurring in both knees at the time of outpatient visit. Based on rheumatologic and hematological lab studies, ultrasonography, and a needle aspiration biopsy of the articular cavity, the patient was diagnosed with reactive arthritis. On hospitalization day 3, consultation with the Department of Ophthalmology was requested regarding decreased visual acuity lasting for 3 days. Upon ophthalmologic examination, the corrected visual acuity was 0.1 in the right eye and 0.05 in the left eye. Upon slit lamp microscopy, there were no abnormal findings in the anterior segment. Upon fundus examination, however, there were yellow-white lesions in the macular area of both eyes. Fluorescein angiography was performed to assess the macular lesions, and the findings were suggestive of macular infarction in both eyes. Due to a lack of other underlying disease, a past surgical history, and a past history of drug administration, the patient was diagnosed with macular infarction in both eyes associated with reactive arthritis. To date, there have been no other such cases reported. In a patient with reactive arthritis, we experienced a case of macular infarction in both eyes, which occurred without association with a past history of specific drug use or underlying disease. Herein, we report our case, with a review of the literature. PMID- 21052514 TI - Two cases of mirror-image eye anomalies in monozygotic twins. AB - We report two cases of mirror image anomalies in two different pairs of monozygotic twins. In case 1, the twins exhibited mirroring of strabismus and refractive errors. Twin 1 had 35 prism diopters (PD) right intermittent exotropia at distant fixation and myopic anisometropia that was spherical 2.00 diopters more myopic in the right eye. Twin 2 had 35 PD left intermittent exotropia at distant fixation and her left eye was more myopic by - spherical 1.00 diopters. In case 2, the twins were diagnosed with infantile nystagmus with upbeat jerk. Twin 1 exhibited a habitual head turn of 30 degrees to the left with dampening of her nystagmus in dextroversion. Twin 2 also exhibited abnormal head position, but in his case the habitual turn was 30 degrees to the right. We believe that this is the first report describing mirror imaged intermittent exotropia with anisometropia and infantile nystagmus with opposite abnormal head positions in pairs of monozygotic twins. PMID- 21052515 TI - A case of Pantoea endophthalmitis. AB - A previously healthy 50-year-old man was transferred to our hospital for evaluation of acute inflammation in his right eye after ocular trauma while using a grass mower. Slit lamp examination showed 1 mm-length full thickness corneal laceration without leakage, 4+ cells and inflammatory membrane in the anterior chamber, 10% hypopyon, posterior synechiae formation, and cataract change. Upon orbital computerized tomography, a metallic intraocular foreign body in the lens was identified. Vitrectomy, phacoemulsification, foreign body removal, anterior chamber irrigation, and intravitreal antibiotics injections of vancomycin and ceftazidime were performed. In a culture of humor from the anterior chamber grew Pantoea species. More procedures were performed, including intravitreal antibiotics injection of ceftazidime. Upon administering a course of intravenous ceftazidime, fortified ceftazidime and moxifloxacin eye drops, and oral prednisolone, the patient improved. PMID- 21052516 TI - Complete visual recovery after mycotic aneurysm embolization complicated by cavernous sinus thrombophlebitis. AB - A 62-year-old woman has been suffered from cavernous sinus thrombophlebitis which was confirmed by four-vessel angiography, orbit magnetic resonance imaging, and blood culture. Three weeks after recovery of cavernous sinus thrombophlebitis, right eye proptosis and complete third, fourth, and sixth cranial nerve palsies developed. Best-corrected visual acuity decreased to 20/70 in the right eye. Repeat magnetic resonance imaging demonstrated a 1.5-cm-sized mass in the right cavernous sinus, suspicious for mycotic aneurysm. Amphotericin B supplementation was begun and was followed by successful transarterial Guglielmi detachable coil embolization. Four months later, extraocular movement was normalized, and visual acuity improved to 20/25 in the right eye. PMID- 21052517 TI - Study of Soybean Oil Hydrolysis Catalyzed by Thermomyces lanuginosus Lipase and Its Application to Biodiesel Production via Hydroesterification. AB - The process of biodiesel production by the hydroesterification route that is proposed here involves a first step consisting of triacylglyceride hydrolysis catalyzed by lipase from Thermomyces lanuginosus (TL 100L) to generate free fatty acids (FFAs). This step is followed by esterification of the FFAs with alcohol, catalyzed by niobic acid in pellets or without a catalyst. The best result for the enzyme-catalyzed hydrolysis was obtained under reaction conditions of 50% (v/v) soybean oil and 2.3% (v/v) lipase (25 U/mL of reaction medium) in distilled water and at 60 degrees C; an 89% conversion rate to FFAs was obtained after 48 hours of reaction. For the esterification reaction, the best result was with an FFA/methanol molar ratio of 1:3, niobic acid catalyst at a concentration of 20% (w/w FFA), and 200 degrees C, which yielded 92% conversion of FFAs to soy methyl esters after 1 hour of reaction. This study is exceptional because both the hydrolysis and the esterification use a simple reaction medium with high substrate concentrations. PMID- 21052518 TI - Differences in Mortality among Heroin, Cocaine, and Methamphetamine Users: A Hierarchical Bayesian Approach. AB - Studies examining differences in mortality among long-term drug users have been limited. In this paper, we introduce a Bayesian framework that jointly models survival data using a Weibull proportional hazard model with frailty, and substance and alcohol data using mixed-effects models, to examine differences in mortality among heroin, cocaine, and methamphetamine users from five long-term follow-up studies. The traditional approach to analyzing combined survival data from numerous studies assumes that the studies are homogeneous, thus the estimates may be biased due to unobserved heterogeneity among studies. Our approach allows us to structurally combine the data from different studies while accounting for correlation among subjects within each study. Markov chain Monte Carlo facilitates the implementation of Bayesian analyses. Despite the complexity of the model, our approach is relatively straightforward to implement using WinBUGS. We demonstrate our joint modeling approach to the combined data and discuss the results from both approaches. PMID- 21052519 TI - Are There Gender Differences in Arrest Trajectories among Adult Drug Abuse Treatment Participants? AB - This paper examines the arrest trajectories of adult men and women, drawn from a sample of clients admitted to substance abuse treatment. Growth-mixture modeling was used to identify distinctive trajectories in arrests for men and women between ages 18 and 45. In addition, the characteristics of men and women in each of the trajectory groups were compared by gender, arrest trajectory, and the interaction of gender and arrest trajectory. Findings indicated that while the shape of the five trajectories was similar for men and women, higher percentages of men than women were in the High trajectory group (12.5% vs. 8.5%), the Moderate group (27.9% vs. 20.9%), and Slow Increase group (25.5% vs. 20.6%), with more women than men being in the Low group (34.1% vs. 27.1%). Although arrests declined as men and women aged, there did not appear to be many individuals who had terminated their criminal career by age 45. Overall, more similarities than differences were observed in the characteristics of men and women across trajectories. Additional research should examine whether the causal factors influencing arrest trajectories differ by gender. PMID- 21052520 TI - Relationship of Mental Health and Illness in Substance Abuse Patients. AB - This study examined the latent structure of a number of measures of mental health (MH) and mental illness (MI) in substance use disorder outpatients to determine whether they represent two independent dimensions, as Keyes (2005) found in a community sample. Seven aspects of MI assessed were assessed - optimism, personal meaning, spirituality/religiosity, social support, positive mood, hope, and vitality. MI was assessed with two measures of negative psychological moods/states, a measure of antisociality, and the Addiction Severity Index's recent psychiatric and family-social problem scores. Correlational and exploratory factor analyses revealed that MH and MI appear to reflect two independent, but correlated, constructs. However, optimism and social support had relatively high loadings on both factors. Antisociality and the family-social problem score failed to load significantly on the MI factor. Confirmatory factor analysis supported the existence of two obliquely related, negatively correlated dimensions. Study findings, although generally supporting the independence of MH and MI, suggest that the specific answers to this question may be influenced by the constructs and assessments used to measure them. PMID- 21052521 TI - A Combined Molecular Dynamics and Experimental Study of Doped Polypyrrole. AB - Polypyrrole (PPy) is a biocompatible, electrically conductive polymer that has great potential for battery, sensor, and neural implant applications. Its amorphous structure and insolubility, however, limit the experimental techniques available to study its structure and properties at the atomic level. Previous theoretical studies of PPy in bulk are also scarce. Using ab initio calculations, we have constructed a molecular mechanics force field of chloride-doped PPy (PPyCl) and undoped PPy. This model has been designed to integrate into the OPLS force field, and parameters are available for the Gromacs and TINKER software packages. Molecular dynamics (MD) simulations of bulk PPy and PPyCl have been performed using this force field, and the effects of chain packing and electrostatic scaling on the bulk polymer density have been investigated. The density of flotation of PPyCl films has been measured experimentally. Amorphous X ray diffraction of PPyCl was obtained and correlated with atomic structures sampled from MD simulations. The force field reported here is foundational for bridging the gap between experimental measurements and theoretical calculations for PPy based materials. PMID- 21052522 TI - Effective charge and coil-globule transition of a polyelectrolyte chain. AB - Considering the adsorption of counterions on an isolated polyelectrolyte (PE) chain and using a variational theory, phase boundaries and the critical point for the first-order coil-globule transition are calculated. The transition is induced cooperatively by counterion adsorption and chain conformations and the calculation is done self-consistently. The size of the PE chain is a single valued function of charge. The discontinuous transition of the coil size is accompanied by a discontinuous transition of the charge. Phase boundaries for the coil-globule transitions induced by both Coulomb strength (inverse temperature or dielectric constant) and ionic strength (salt) show that the PE chain collapses at a substantially lower Coulomb strength in the presence of salt. In the expanded state of the coil, an analytical formula is derived for the effective charge of the chain for conditions where the coupling between chain conformations and counterion adsorption is weak. In general, the dielectric heterogeneity of the solvent close to the polymer backbone is found to play a crucial role in the charge regularization and the chain collapse. PMID- 21052523 TI - Correlated z-values and the accuracy of large-scale statistical estimates. AB - We consider large-scale studies in which there are hundreds or thousands of correlated cases to investigate, each represented by its own normal variate, typically a z-value. A familiar example is provided by a microarray experiment comparing healthy with sick subjects' expression levels for thousands of genes. This paper concerns the accuracy of summary statistics for the collection of normal variates, such as their empirical cdf or a false discovery rate statistic. It seems like we must estimate an N by N correlation matrix, N the number of cases, but our main result shows that this is not necessary: good accuracy approximations can be based on the root mean square correlation over all N . (N - 1)/2 pairs, a quantity often easily estimated. A second result shows that z values closely follow normal distributions even under non-null conditions, supporting application of the main theorem. Practical application of the theory is illustrated for a large leukemia microarray study. PMID- 21052524 TI - Using conformationally locked nucleosides to calibrate the anomeric effect: Implications for glycosyl bond stability. AB - Steric and electronic parameters such as the anomeric effect (AE) and gauche effect play significant roles in steering the North ? South equilibrium of nucleosides in solution. Two isomeric oxa-bicyclo[3.1.0]hexane nucleosides that are conformationally locked in either the North or the South conformation of the pseudorotational cycle were designed to study the consequences of having the AE operational or not, independent of other parameters. The rigidity of the system allowed the orientation of the orbitals involved to be set in "fixed" relationships, either antiperiplanar where the AE is permanently "on", or gauche where the AE is impaired. The consequences of these two alternatives were subject to high-level calculations and measured experimentally by x-ray crystallography, hydrolytic stability of the glycosyl bond, and pKa values. PMID- 21052525 TI - Sideways glance: does dietary restriction promote longevity, though impairing fecundity? Not necessarily, if the diet has a correct nutrient balance. PMID- 21052526 TI - Challenges of molecular nutrition research 6: the nutritional phenotype database to store, share and evaluate nutritional systems biology studies. AB - The challenge of modern nutrition and health research is to identify food-based strategies promoting life-long optimal health and well-being. This research is complex because it exploits a multitude of bioactive compounds acting on an extensive network of interacting processes. Whereas nutrition research can profit enormously from the revolution in 'omics' technologies, it has discipline specific requirements for analytical and bioinformatic procedures. In addition to measurements of the parameters of interest (measures of health), extensive description of the subjects of study and foods or diets consumed is central for describing the nutritional phenotype. We propose and pursue an infrastructural activity of constructing the "Nutritional Phenotype database" (dbNP). When fully developed, dbNP will be a research and collaboration tool and a publicly available data and knowledge repository. Creation and implementation of the dbNP will maximize benefits to the research community by enabling integration and interrogation of data from multiple studies, from different research groups, different countries and different-omics levels. The dbNP is designed to facilitate storage of biologically relevant, pre-processed-omics data, as well as study descriptive and study participant phenotype data. It is also important to enable the combination of this information at different levels (e.g. to facilitate linkage of data describing participant phenotype, genotype and food intake with information on study design and-omics measurements, and to combine all of this with existing knowledge). The biological information stored in the database (i.e. genetics, transcriptomics, proteomics, biomarkers, metabolomics, functional assays, food intake and food composition) is tailored to nutrition research and embedded in an environment of standard procedures and protocols, annotations, modular data-basing, networking and integrated bioinformatics. The dbNP is an evolving enterprise, which is only sustainable if it is accepted and adopted by the wider nutrition and health research community as an open source, pre-competitive and publicly available resource where many partners both can contribute and profit from its developments. We introduce the Nutrigenomics Organisation (NuGO, http://www.nugo.org) as a membership association responsible for establishing and curating the dbNP. Within NuGO, all efforts related to dbNP (i.e. usage, coordination, integration, facilitation and maintenance) will be directed towards a sustainable and federated infrastructure. PMID- 21052527 TI - Assessment of dietary intake: NuGO symposium report. AB - Advances in genomics science and associated bioinformatics and technology mean that excellent tools are available for characterising human genotypes. At the same time, approaches for characterising individual phenotypes are developing rapidly. In contrast, there has been much less investment in novel methodology for measuring dietary exposures so that there is now a significant gap in the toolkit for those investigating how diet interacts with genotype to determine phenotype. This symposium reviewed the strengths and limitations of current tools used in assessment of dietary intake and the potential to improve these tools through, for example, the use of statistical techniques that combine information from different sources (such as modelling and calibration methods) to ameliorate measurement error and to provide validity checks. Speakers examined the use of approaches based on technologies such as mobile 'phones, digital cameras and Web based systems which offer the potential for more acceptable (for study participants) and less laborious (for researchers and participants) routes to more robust data collection. In addition, the application of omics, especially metabolomics, tools to biofluids to identify new biomarkers of intake offers great potential to provide objective measures of food consumption with the advantage that data may be collected in forms that can be integrated readily with other high throughput (nutrigenomic) technologies. PMID- 21052528 TI - Polymorphisms in the selenoprotein S and 15-kDa selenoprotein genes are associated with altered susceptibility to colorectal cancer. AB - Selenium (Se), a dietary trace metal essential for human health, is incorporated into ~25 selenoproteins including selenoprotein S (SelS) and the 15-kDa selenoprotein (Sep15) both of which have functions in the endoplasmic reticulum protein unfolding response. The aim of this study was to investigate whether genetic variants in such selenoprotein genes are associated with altered risk of colorectal cancer (CRC). A Korean population of 827 patients with CRC and 733 healthy controls was genotyped for 7 SNPs in selenoprotein genes and one SNP in the gene encoding manganese superoxide dismutase using Sequenom technology. Multivariate logistic regression analysis showed that after adjustment for lifestyle factors three SNP variants were associated with altered disease risk. There was a mean odds ratio of 2.25 [95% CI 1.13,4.48] in females homozygous TT for rs34713741 in SELS with the T variant being associated with higher risk of rectal cancer, and odds ratios of 2.47 and 2.51, respectively, for rs5845 and rs5859 in SEP15 with the minor A and T alleles being associated with increased risk of male rectal cancer. The data indicate that the minor alleles for rs5845, rs5859 and rs34713741 are associated with increased rectal cancer risk and that the effects of the three SNPs are dependent on gender. The results highlight potential links between Se, the function of two selenoproteins involved in the protein unfolding response and CRC risk. Further studies are required to investigate whether the effects of the variants on CRC risk are also modulated by dietary Se intake. PMID- 21052529 TI - Reduced fructosamine-3-kinase activity and its mRNA in human distal colorectal carcinoma. AB - Fructosamine-3-Kinase (FN3K) is an enzyme phosphorilating fructoselysine (FL) residues on glycated proteins, resulting in the production of protein-bound FL-3 phosphate. The pathological role of the non-enzymatic modification of proteins by reducing sugars has become increasingly evident in various types of disorders, including the cancer. In this study, our aim was to study FN3K enzyme activity, as well as its mRNA in human colorectal cancer (CRC). Thirty consecutive CRC patients undergoing surgery of the colon were enrolled in the study. FN3K enzymatic activity and gene expression were analyzed using a radiometric assay and quantitative RT-PCR, respectively. FN3K is a functionally active enzyme in human colon tissue, without significant differences between normal mucosa and cancer. The mean level of FN3K mRNA was significantly lower in cancer than in the corresponding normal colorectal mucosa The colorectal tumors located on the left side showed lower levels of both enzymatic activity and mRNA FN3K than tumors located in the right side of colon. This paper is the first studying FN3K enzyme activity in human CRC, showing a significant relationship between enzymatic activity, its mRNA and tumor side. PMID- 21052531 TI - The definition of dietary fiber - discussions at the Ninth Vahouny Fiber Symposium: building scientific agreement. AB - A definition for dietary fiber was adopted in June 2009 by the Codex Alimentarius Commission based on the recommendation for endorsement of the Codex Committee on Nutrition and Foods for Special Dietary Uses (CCNFSDU) in November 2008. The definition listed three categories of carbohydrate polymers that are not hydrolyzed by the endogenous enzymes in the small intestine of humans. However, the definition left the inclusion of carbohydrates with degrees of polymerization (DP) in the range of 3 and 9 to the discretion of national authorities and left the 'physiological effect(s) of benefit to health' as undefined. The ILSI Europe and ILSI North America's committees on dietary carbohydrates organized a forum at the Ninth Vahouny Fiber Symposium in 2010 to discuss these implementation issues with the objective of building scientific consensus on how to resolve them. The results of this session are encouraging and indicated that the scientific community agrees on maintaining a worldwide consensus regarding the inclusion of non-digestible carbohydrates with >=DP3 as dietary fiber and on a core, non exhaustive list of beneficial physiological effects that dietary fibers have. These results are consistent with previous worldwide agreements. PMID- 21052530 TI - Modulation of gene expression in endothelial cells by hyperlipaemic postprandial serum from healthy volunteers. AB - A single high-fat challenge induces plasmatic pro-inflammatory and pro-oxidative responses in the postprandial state, even in healthy men. This period is also associated with vascular endothelial dysfunction, which is an early event in the development of cardiovascular diseases. However, knowledge about the mechanisms involved in postprandial hyperlipaemia-induced endothelial dysfunction is sparse. An objective of our study was to characterize the behaviour and gene expression of vascular endothelial cells exposed to postprandial hyperlipaemic sera. Human umbilical vein endothelial cells (HUVECs) were cultured in media containing 10% serum from healthy men withdrawn either before or 4 h after a high-fat challenge. Endothelial cell proliferation, adhesion and migration were then assessed. The transcriptomic profiles of endothelial cells exposed to pre and postprandial sera were also compared. Exposure to postprandial hyperlipaemic sera significantly decreased HUVEC proliferation when compared to preprandial serum (P < 0.0001), while no changes in migration or endothelial/monocyte interactions were observed. The transcriptomic analysis revealed changes in the expression of 675 genes, of which 431 have a known function. Among them, a set of differentially expressed genes was linked to cell cycle regulation and apoptosis and are regulated in favour of cell cycle arrest or death. This result was confirmed by measuring the induction of apoptosis after postprandial sera exposure (P = 0.011). Taken together, the transcriptomic results and pathway analysis showed that postprandial serum promotes apoptosis in HUVECs, potentially through the activation of the p53 network. We conclude that upon postprandial serum exposure, vascular endothelial cells transcriptionally regulate genes involved in the control of cell cycle and death to favour growth arrest and apoptosis. These findings support the hypothesis that postprandial hyperlipaemia is associated with vascular dysfunction and offer new insights into the mechanisms involved. ELECTRONIC SUPPLEMENTARY MATERIAL: The online version of this article (doi:10.1007/s12263-010-0166-x) contains supplementary material, which is available to authorized users. PMID- 21052532 TI - Adiponectin and leptin metabolic biomarkers in chinese children and adolescents. AB - Objective. To evaluate leptin and adiponectin as biomarkers of metabolic syndrome (MS) risk factors even in nonobese children/adolescents. Methods. Serum leptin, adiponectin, leptin:adiponectin ratio, lipids, glucose, and insulin concentrations as well as body size parameters and pubertal development were evaluated in a large population of Chinese children/adolescents (n = 3505, 6-18 years, 1722 girls and 1783 boys). Results. Leptin concentration increased while adiponectin decreased with obesity, both were influenced by pubertal development. Central obesity had an additive effect on leptin levels (above obesity alone). Leptin/adiponectin increased 8.4-fold and 3.2-fold in overweight/obesity, and 15.8- and 4.5-fold with obesity plus MS, in early and late puberty, respectively. Even in normal weight children/adolescents, higher leptin and lower adiponectin concentrations associated with increased risk profile. Conversely, overweight/obese with lower leptin or higher adiponectin concentrations had a less compromised metabolic profile. Conclusion. Leptin, adiponectin, and leptin:adiponectin ratio are informative biomarkers for obesity, central obesity, MS, and abnormal metabolic profile even in normal weight children/adolescents. PMID- 21052533 TI - Cognitive-behavioral strategies to increase the adherence to exercise in the management of obesity. AB - Physical activity plays a major role in the development and management of obesity. High levels of physical activity provide an advantage in maintaining energy balance at a healthy weight, but the amount of exercise needed to produce weight loss and weight loss maintenance may be difficult to achieve in obese subjects. Barriers to physical activity may hardly be overcome in individual cases, and group support may make the difference. The key role of cognitive processes in the failure/success of weight management suggests that new cognitive procedures and strategies should be included in the traditional behavioral treatment of obesity, in order to help patients build a mindset of long-term weight control. We reviewed the role of physical activity in the management of obesity, and the principal cognitive-behavioral strategies to increase adherence to exercise. Also in this area, we need to move from the traditional prescriptive approach towards a multidisciplinary intervention. PMID- 21052534 TI - Pioglitazone Attenuates Cystic Burden in the PCK Rodent Model of Polycystic Kidney Disease. AB - Polycystic kidney disease (PKD) is a genetic disorder characterized by growth of fluid-filled cysts predominately in kidney tubules and liver bile ducts. Currently, the clinical management of PKD is limited to cyst aspiration, surgical resection or organ transplantation. Based on an observation that PPARgamma agonists such as pioglitazone and rosiglitazone decrease mRNA levels of a Cl(-) transport protein, CFTR (cystic fibrosis transmembrane conductance regulator), and the Cl(-) secretory response to vasopressin in cultured renal cells, it is hypothesized that PPARgamma agonists will inhibit cyst growth. The current studies show that a 7- or 14-week pioglitazone feeding regimen inhibits renal and hepatic bile duct cyst growth in the PCK rat, a rodent model orthologous to human PKD. These studies provide proof of concept for the mechanism of action of the PPARgamma agonists and suggest that this class of drugs may be effective in controlling both renal and hepatic cyst growth and fibrosis in PKD. PMID- 21052535 TI - Screening of Bacterial Strains for Polygalacturonase Activity: Its Production by Bacillus sphaericus (MTCC 7542). AB - At present almost all the pectinolytic enzymes used for industrial applications are produced by fungi. There are a few reports of pectinase production by bacterial strains. Therefore, in the present study, seventy-four bacterial strains, isolated from soil and rotten vegetable samples, were screened for polygalacturonase production. The strain PG-31, which gave maximum activity, was identified as Bacillus sphaericus (MTCC 7542). Maximal quantities of polygalacturonase were produced when a 16-hours-old inoculum was used at 7.5% (v/v) in production medium and incubated in shaking conditions (160 rpm) for 72 hours. The optimal temperature and pH for bacterial growth and polygalacturonase production were found to be 30 degrees C and 6.8, respectively. Maximum enzyme production resulted when citrus pectin was used as the carbon source at a concentration of 1.25% (w/v), whereas other carbon sources led to a decrease (30% 70%) in enzyme production. Casein hydrolysate and yeast extract used together as organic nitrogen source gave best results, and ammonium chloride was found to be the most suitable inorganic nitrogen source. The supplementation of media with 0.9% (w/v) D-galacturonic acid led to a 23% increase in activity. Bacillus sphaericus, a bacterium isolated from soil, produced good amount of polygalacturonase activity at neutral pH; hence, it would be potentially useful to increase the yield of banana, grape, or apple juice. PMID- 21052536 TI - A comparison of two anastomotic techniques in the jejunum of the goat. AB - This study was carried out to test two different anastomotic techniques to identify advantages and disadvantages of each technique in goats. All animals were under local infiltration anaesthesia. A five-cm length of jejunum was resected from the first part of the jejunum and end to end anastomosis using 3-0 Polygalactin-910 with one row of sero-submoucosal interrupted sutures (SSIS) group, and one row of horizontal mattress interrupted sutures (HMIS) group. Two animals from each group were euthanized on the 4th, 14th and 21st postoperative days. A 7-cm segment of jejunum including the anastomosed area was resected from each animal. There was no significant adhesion between anastomosis area and surrounded tissues observed in SSIS animals, while there was significant adhesion between anastomosis area and surrounded tissues which were observed in HMIS animals. Stenosis degree was lower in the SSIS than the HMIS group. The bursting pressure was higher in the SSIS than the HMIS group. Macroscopic evaluation indicated that the anastomotic line mucosa was abridged better with less local edema in the SSIS group. Histological evaluation in the SSIS group showed almost all parameters such as epithelial recovery and repair of submucosal-mucosal layer demonstrated better healing compared to the HMIS group. PMID- 21052537 TI - Culture and Use of Mesenchymal Stromal Cells in Phase I and II Clinical Trials. AB - Present in numerous tissues, mesenchymal stem cells/multipotent stromal cells (MSCs) can differentiate into different cell types from a mesoderm origin. Their potential has been extended to pluripotency, by their possibility of differentiating into tissues and cells of nonmesodermic origin. Through the release of cytokines, growth factors and biologically active molecules, MSCs exert important paracrine effects during tissue repair and inflammation. Moreover, MSCs have immunosuppressive properties related to non-HLA restricted immunosuppressive capacities. All these features lead to an increasing range of possible applications of MSCs, from treating immunological diseases to tissue and organ repair, that should be tested in phase I and II clinical trials. The most widely used MSCs are cultured from bone marrow or adipose tissue. For clinical trial implementation, BM MSCs and ADSCs should be produced according to Good Manufacturing Practices. Safety remains the major concern and must be ensured during culture and validated with relevant controls. We describe some applications of MSCs in clinical trials. PMID- 21052539 TI - IL-12 and related cytokines: function and regulatory implications in Candida albicans infection. AB - IL-12 is a cytokine with links to both innate and adaptive immunity systems. In mice, its deletion leads to acute susceptibility to oral infection with the yeast Candida albicans, whereas such mice are resistant to systemic disease. However, it is an essential component of the adaptive response that leads to the generation of Th1-type cytokine responses and protection against disseminated disease. This paper presents an overview of the role of IL-12 in models of systemic and mucosal infection and the possible relationships between them. PMID- 21052540 TI - Noninvasive ventilatory correction as an adjunct to an experimental systemic reperfusion therapy in acute ischemic stroke. AB - Background. Obstructive sleep apnea (OSA) is a common condition in patients with acute ischemic stroke and associated with early clinical deterioration and poor functional outcome. However, noninvasive ventilatory correction is hardly considered as a complementary treatment option during the treatment phase of acute ischemic stroke. Summary of Case. A 55-year-old woman with an acute middle cerebral artery (MCA) occlusion received intravenous tissue plasminogen activator (tPA) and enrolled into a thrombolytic research study. During tPA infusion, she became drowsy, developed apnea episodes, desaturated and neurologically deteriorated without recanalization, re-occlusion or intracerebral hemorrhage. Urgent noninvasive ventilatory correction with biphasic positive airway pressure (BiPAP) reversed neurological fluctuation. Her MCA completely recanalized 24 hours later. Conclusions. Noninvasive ventilatory correction should be considered more aggressively as a complementary treatment option in selected acute stroke patients. Early initiation of BiPAP can stabilize cerebral hemodynamics and may unmask the true potential of other therapies. PMID- 21052538 TI - Progesterone and bone: actions promoting bone health in women. AB - Estradiol (E(2)) and progesterone (P(4)) collaborate within bone remodelling on resorption (E(2)) and formation (P(4)). We integrate evidence that P(4) may prevent and, with antiresorptives, treat women's osteoporosis. P(4) stimulates osteoblast differentiation in vitro. Menarche (E(2)) and onset of ovulation (P(4)) both contribute to peak BMD. Meta-analysis of 5 studies confirms that regularly cycling premenopausal women lose bone mineral density (BMD) related to subclinical ovulatory disturbances (SODs). Cyclic progestin prevents bone loss in healthy premenopausal women with amenorrhea or SOD. BMD loss is more rapid in perimenopause than postmenopause-decreased bone formation due to P(4) deficiency contributes. In 4 placebo-controlled RCTs, BMD loss is not prevented by P(4) in postmenopausal women with increased bone turnover. However, 5 studies of E(2)-MPA co-therapy show greater BMD increases versus E(2) alone. P(4) fracture data are lacking. P(4) prevents bone loss in pre- and possibly perimenopausal women; progesterone co-therapy with antiresorptives may increase bone formation and BMD. PMID- 21052541 TI - Paired-pulse inhibition in the auditory cortex in Parkinson's disease and its dependence on clinical characteristics of the patients. AB - We aimed to determine the value of the paired-pulse inhibition (PPI) in the auditory cortex in patients with Parkinson's disease (PD) and analyze its dependence on clinical characteristics of the patients. The central (Cz) auditory evoked potentials were recorded in 58 patients with PD and 22 age-matched healthy subjects. PPI of the N1/P2 component was significantly (P < .001) reduced for interstimulus intervals 500, 700, and 900 ms in patients with PD compared to control subjects. The value of PPI correlated negatively with the age of the PD patients (P < .05), age of disease onset (P < .05), body bradykinesia score (P < .01), and positively with the Mini Mental State Examination (MMSE) cognitive score (P < .01). Negative correlation between value of PPI and the age of the healthy subjects (P < .05) was also observed. Thus, results show that cortical inhibitory processes are deficient in PD patients and that the brain's ability to carry out the postexcitatory inhibition is age-dependent. PMID- 21052542 TI - The possible role of epigenetics in gestational diabetes: cause, consequence, or both. AB - Gestational diabetes mellitus (GDM) is defined as the glucose intolerance that is not present or recognized prior to pregnancy. Several risk factors of GDM depend on environmental factors that are thought to regulate the genome through epigenetic mechanisms. Thus, epigenetic regulation could be involved in the development of GDM. In addition, the adverse intrauterine environment in patients with GDM could also have a negative impact on the establishment of the epigenomes of the offspring. PMID- 21052543 TI - ING Genes Work as Tumor Suppressor Genes in the Carcinogenesis of Head and Neck Squamous Cell Carcinoma. AB - Head and neck squamous cell carcinoma (HNSCC) is the sixth most common cancer in the world. The evolution and progression of HNSCC are considered to result from multiple stepwise alterations of cellular and molecular pathways in squamous epithelium. Recently, inhibitor of growth gene (ING) family consisting of five genes, ING1 to ING5, was identified as a new tumor suppressor gene family that was implicated in the downregulation of cell cycle and chromatin remodeling. In contrast, it has been shown that ING1 and ING2 play an oncogenic role in some cancers, this situation being similar to TGF-beta. In HNSCC, the ING family has been reported to be downregulated, and ING translocation from the nucleus to the cytoplasm may be a critical event for carcinogenesis. In this paper, we describe our recent results and briefly summarize current knowledge regarding the biologic functions of ING in HNSCC. PMID- 21052544 TI - Mouse model resources for vision research. AB - The need for mouse models, with their well-developed genetics and similarity to human physiology and anatomy, is clear and their central role in furthering our understanding of human disease is readily apparent in the literature. Mice carrying mutations that alter developmental pathways or cellular function provide model systems for analyzing defects in comparable human disorders and for testing therapeutic strategies. Mutant mice also provide reproducible, experimental systems for elucidating pathways of normal development and function. Two programs, the Eye Mutant Resource and the Translational Vision Research Models, focused on providing such models to the vision research community are described herein. Over 100 mutant lines from the Eye Mutant Resource and 60 mutant lines from the Translational Vision Research Models have been developed. The ocular diseases of the mutant lines include a wide range of phenotypes, including cataracts, retinal dysplasia and degeneration, and abnormal blood vessel formation. The mutations in disease genes have been mapped and in some cases identified by direct sequencing. Here, we report 3 novel alleles of Crx(tvrm65), Rp1(tvrm64), and Rpe65(tvrm148) as successful examples of the TVRM program, that closely resemble previously reported knockout models. PMID- 21052545 TI - Targeted Therapy of Ewing's Sarcoma. AB - Refractory and/or recurrent Ewing's sarcoma (EWS) remains a clinical challenge because the disease's resistance to therapy makes it difficult to achieve durable results with standard treatments that include chemotherapy, radiation, and surgery. Recently, insulin-like-growth-factor-1-receptor (IGF1R) antibodies have been shown to have a modest single-agent activity in EWS. Patient selection using biomarkers and understanding response and resistance mechanisms in relation to IGF1R and mammalian target of rapamycin pathways are areas of active research. Since EWS has a unique tumor-specific EWS-FLI1 t(11;22) translocation and oncogenic fusion protein, inhibition of EWS-FLI1 transcription, translation, and/or protein function may be key to eradicating EWS at the stem-cell level. Recently, a small molecule that blocks the protein-protein interaction of EWS FLI1 with RNA helicase A has been shown in preclinical models to inhibit EWS growth. The successful application of this first-in-class protein-protein inhibitor in the clinic could become a model system for translocation-associated cancers such as EWS. PMID- 21052546 TI - An Investigation of Posttraumatic Stress Disorder and Depressive Symptomatology among Female Victims of Interpersonal Trauma. AB - This study examined factors associated with PTSD-depression comorbidity among a sample of 162 adult female rape or assault victims with PTSD, as well as potential differential predictors of PTSD and depression severity. PTSD-only participants reported higher levels of childhood sexual abuse than those with comorbid PTSD and depression, and the PTSD/MDD group reported relatively more distorted trauma-related beliefs, dissociation, PTSD severity, and depression severity. Distorted trauma-related beliefs and dissociation were the strongest unique predictors of higher PTSD and depressive symptoms. Rates of PTSD and depression comorbidity did not appear to be a function of symptom overlap. Study findings suggest possible explanations for the high PTSD and depression comorbidity rates commonly found among victims of interpersonal violence. PMID- 21052547 TI - Extraction and Application of Laccases from Shimeji Mushrooms (Pleurotus ostreatus) Residues in Decolourisation of Reactive Dyes and a Comparative Study Using Commercial Laccase from Aspergillus oryzae. AB - Oxidases are able to degrade organic pollutants; however, high costs associated with biocatalysts production still hinder their use in environmental biocatalysis. Our study compared the action of a commercial laccase from Aspergillus oryzae and a rich extract from Pleurotus ostreatus cultivation residues in decolourisation of reactive dyes: Drimaren Blue X-3LR (DMBLR), Drimaren Blue X-BLN (DMBBLN), Drimaren Rubinol X-3LR (DMR), and Drimaren Blue C-R (RBBR). The colour removal was evaluated by considering dye concentration, reaction time, absence or presence of the mediator ABTS (2,2'-azino-bis(3 ethylbenzothiazoline-6-sulfonic acid), and the source of laccase. The presence of ABTS was essential for decolourisation of DMR (80-90%, 1 h) and RBBR (80-90%, 24 h) with both laccases. The use of ABTS was not necessary in reactions containing DMBLR (85-97%, 1 h) and DMBBLN (63-84%, 24 h). The decolourisation of DMBBLN by commercial laccase showed levels near 60% while the crude extract presented 80% in 24 h. PMID- 21052548 TI - Psychological stress alters ultrastructure and energy metabolism of masticatory muscle in rats. AB - To investigate the effects of psychological stress on the masticatory muscles of rats, a communication box was applied to induce the psychological stress (PS) in rats. The successful establishment of psychological stimulation was confirmed by elevated serum levels of adrenocorticotropic hormone (ACTH) and changed behaviors in the elevated plusmaze apparatus. The energy metabolism of the bilateral masseter muscles was tested via chemocolorimetric analysis, whereas muscle ultrastructure was assessed by electron microscopy. In comparison to the control group, the PS group showed evidence of swollen mitochondria with cristae loss and reduced matrix density in the masticatory muscles after three weeks of stimulation; after five weeks of stimulation, severe vacuolar changes to the mitochondria were observed. Increased vascular permeability of the masticatory muscle capillaries was found in the five-week PS rats. In addition, there was decreased activity of Na(+)-K(+)ATPase and Ca(2+)-ATPase and a simultaneous increase in the activity of lactate dehydrogenase and lactic acid in the masticatory muscles of PS rats. Together, these results indicate that psychological stress induces alterations in the ultrastructure and energy metabolism of masticatory muscles in rats. PMID- 21052550 TI - Macular retinal ganglion cell complex thickness and its relationship to the optic nerve head topography in glaucomatous eyes with hemifield defects. AB - Purpose. To evaluate the relationship between the macular ganglion cell complex (mGCC) thickness, which is the sum of the retinal nerve fiber, ganglion cell, and inner plexiform layers, measured with a spectral-domain optical coherence tomograph and the optic nerve head topography measured with a confocal scanning laser ophthalmoscope in glaucomatous eyes with visual field defects localized predominantly to either hemifield. Materials and Methods. The correlation between the mGCC thickness in hemispheres corresponding to hemifields with and without defects (damaged and intact hemispheres, respectively) and the optic nerve head topography corresponding to the respective hemispheres was evaluated in 18 glaucomatous eyes. Results. The mGCC thickness was significantly correlated with the rim volume, mean retinal nerve fiber layer thickness, and cross-sectional area of the retinal nerve fiber layer in both the intact and the damaged hemispheres (P < .05). Discussion. For detecting very early glaucomatous damage of the optic nerve, changes in the thicknesses of the inner retina in the macular area and peripapillary RNFL as well as rim volume changes in the optic nerve head are target parameters that should be carefully monitored. PMID- 21052549 TI - Modes of Neuronal Calcium Entry and Homeostasis following Cerebral Ischemia. AB - One of the major instigators leading to neuronal cell death and brain damage following cerebral ischemia is calcium dysregulation. The neuron's inability to maintain calcium homeostasis is believed to be a result of increased calcium influx and impaired calcium extrusion across the plasma membrane. The need to better understand the cellular and biochemical mechanisms of calcium dysregulation contributing to neuronal loss following stroke/cerebral ischemia is essential for the development of new treatments in order to reduce ischemic brain injury. The aim of this paper is to provide a concise overview of the various calcium influx pathways in response to ischemia and how neuronal cells attempts to overcome this calcium overload. PMID- 21052551 TI - Transepithelial Phototherapeutic Keratectomy Using a 213-nm Solid-State Laser System Followed by Corneal Collagen Cross-Linking with Riboflavin and UVA Irradiation. AB - Purpose. To present a case of a keratoconic patient who underwent epithelial removal with transepithelial phototherapeutic keratectomy (t-PTK) using a 213-nm solid-state laser system followed by corneal collagen cross-linking (CXL) with riboflavin and ultraviolet-A (UVA) irradiation. Methods. Case report. Results. A twenty-four-year-old male with keratoconus underwent CXL treatment after epithelial removal with t-PTK using a solid-state laser system. No intra- or early postoperative complications were found. One month postoperatively, uncorrected visual acuity (UCVA) improved from 20/63 to 20/32 while best spectacle- corrected visual acuity (BSCVA) improved from 20/40 to 20/25. Corneal topography revealed a significant improvement which remained stable during the six-month followup period. Conclusions. Epithelial removal with t-PTK before CXL could improve patient's visual outcome. PMID- 21052552 TI - Interpersonal Style, Stress, and Depression: An Examination of Transactional and Diathesis-Stress Models. AB - The present study examines a transactional, interpersonal model of depression in which stress generation (Hammen, 1991) in romantic relationships mediates the association between aspects of interpersonal style (i.e., attachment, dependency, and reassurance seeking) and depressive symptoms. It also examines an alternative, diathesis-stress model in which interpersonal style interacts with romantic stressors in predicting depressive symptoms. These models were tested in a sample of college women, both prospectively over a four-week period, as well as on a day-today basis using a daily diary methodology. Overall, there was strong evidence for a transactional, mediation model in which interpersonal style predicted romantic conflict stress, and in turn depressive symptoms. The alternative diathesis-stress model of depression was not supported. These results are interpreted in relation to previous research, and key limitations that should be addressed by future research are discussed. PMID- 21052554 TI - Reduction of Family Violence in Aboriginal Communities: A Systematic Review of Interventions and Approaches. AB - Many efforts to reduce family violence are documented in the published literature. We conducted a systematic review of interventions intended to prevent family violence in Aboriginal communities. We retrieved studies published up to October 2009; 506 papers included one systematic review, two randomized controlled trials, and fourteen nonrandomized studies or reviews. Two reviews discussed interventions relevant to primary prevention (reducing the risk factors for family violence), including parenting, role modelling, and active participation. More studies addressed secondary prevention (where risk factors exist, reducing outbreaks of violence) such as restriction on the trading hours for take away alcohol and home visiting programs for high risk families. Examples of tertiary prevention (preventing recurrence) include traditional healing circles and group counselling. Most studies contributed a low level of evidence. PMID- 21052553 TI - The effects of varying the timing of inputs on a neural oscillator. AB - The gastric mill network of the stomatogastric ganglion of the crab Cancer borealis is comprised of a set of neurons that require modulatory input from outside the stomatogastric ganglion and input from the pyloric network of the animal in order to oscillate. Here we study how the frequency of the gastric mill network is determined when it receives rhythmic input from two different sources but where the timing of these inputs may differ. We find that over a certain range of the time difference one of the two rhythmic inputs plays no role what so ever in determining the network frequency, while in another range, both inputs work together to determine the frequency. The existence and stability of periodic solutions to model sets of equations are obtained analytically using geometric singular perturbation theory. The results are validated through numerical simulations. Comparisons to experiments are also presented. PMID- 21052555 TI - Predictors of Psychological Well-Being during Behavioral Obesity Treatment in Women. AB - This study examined the association of autonomy-related variables, including exercise motivation, with psychological well-being and quality of life, during obesity treatment. Middle-aged overweight/obese women (n = 239) participated in a 1-year behavioral program and completed questionnaires measuring need support, general self-determination, and exercise and treatment motivation. General and obesity-specific health-related quality of life (HRQOL), self-esteem, depression, and anxiety were also assessed. Results showed positive correlations of self determination and perceived need support with HRQOL and self-esteem, and negative associations with depression and anxiety (P < .001). Treatment autonomous motivation correlated positively with physical (P = .004) and weight-related HRQOL (P < .001), and negatively with depression (P = .025) and anxiety (P = .001). Exercise autonomous motivation was positively correlated with physical HRQOL (P < .001), mental HRQOL (P = .003), weight-related HRQOL (P < .001), and self-esteem (P = .003), and negatively with anxiety (P = .016). Findings confirm that self-determination theory's predictions apply to this population and setting, showing that self-determination, perceived need support, and autonomous self-regulation positively predict HRQOL and psychological well-being. PMID- 21052556 TI - Disposition kinetics of levofloxacin in sheep after intravenous and intramuscular administration. AB - The present study was planned to investigate the disposition kinetics of levofloxacin in plasma of female native Barky breed sheep after single intravenous (IV) and intramuscular (IM) administration of 4 mg/kg body weight. The concentrations of levofloxacin in the plasma were measured using high performance liquid chromatography (HPLC) with a UV detector on samples collected at 0, 0.08, 0.16, 0.33, 0.5, 1, 2, 4, 6, 8, 10, 12, 18, 24, 32, and 48 h after treatment. Following intravenous injection, the decline in plasma drug concentration was biexponential with half-lives of (t(1/2alpha)) 0.33 +/- 0.12 h and (t(1/2beta)) 3.29 +/- 0.23 h for distribution and elimination phases, respectively. The volume of distribution at steady state V((d(ss))) was 0.86 +/- 0.23 l/kg. After intramuscular administration of levofloxacin at the same dose, the peak plasma concentration (C(max)) was 3.1 +/- 0.35 MUg/mL and was obtained at 1.64 +/- 0.29 h (T(max)), the elimination half-life (T(1/2el)) was 3.58 +/- 0.30 h, and AUC was 20.24 +/- 1.31 MUg.h/mL. The systemic bioavailability was 91.35 +/- 6.81 %. In vitro plasma protein binding was 23.74%. When approved therapy fails, levofloxacin may be used in some countries for therapy of food animals, however, that is not true in the US. PMID- 21052557 TI - Abnormal gastroscopy findings were related to lower meridian energy. AB - According to the theories of Traditional Chinese Medicine (TCM), energy runs through 12 meridians longitudinally up and down the body. The study objectives were to compare the meridian energy between subjects with and without abnormal gastroscopy findings. We applied a cross-sectional and correlational research design. The study included 1,223 participants who had their health examinations at a university hospital in Taipei from 1st August 2005 through 31st August 2007. Meridian energy was examined using a meridian energy analysis device. The gastroscopy was operated by certified gastroenterologists. Participants with abnormal stomach and esophageal findings using gastroscopy had significantly lower mean meridian energy. There were no significant differences in meridian energy between participants with and without abnormal duodenum findings. When all of the meridians were examined individually, participants with abnormal findings in esophagus and stomach had significantly lower meridian energy in each of the meridians. The results of this study demonstrated that structural abnormality in the gastric area was related to lower meridian energy. Whether enhancing meridian energy could improve gastric and esophageal health merits further studies. PMID- 21052558 TI - Keratouveitis as a first presentation of relapsing polychondritis. AB - This paper provides images and a description of an unusual manifestation of relapsing polychondritis presenting initially with isolated ocular signs, mimicking infective keratitis. We present an interventional case report of a 75 year-old man who presented with marked left ocular irritation and photophobia. Ophthalmological examination disclosed corneal intrastromal infiltrate and hypopyon which failed to respond to intensive antimicrobial drops. He later went on to develop bilateral auricular chondritis. Relapsing polychondritis was diagnosed. Treatment with topical and oral corticosteroids resulted in marked improvement of the corneal infiltrate and resolution of the auricular inflammation. The paper highlights the importance of considering connective tissue inflammatory conditions in any stromal keratitis unresponsive to antimicrobial treatment. PMID- 21052560 TI - Glioblastoma Stem Cells: A Neuropathologist's View. AB - Glioblastoma (WHO Grade IV) is both the most common primary brain tumor and the most malignant. Advances in the understanding of the biology of the tumor are needed in order to obtain a clearer picture of the mechanisms driving these tumors. To neuropathologists, glioblastoma is a tumor that represents a complex system of migrating pleomorphic tumor cells, proliferating blood vessels, infiltrating inflammatory cells, and necrosis. This review will highlight how the glioma stem cell concept brings these elements together into a collective whole, interacting with microenvironmental influences in complex ways. Borrowing from chaos theory a vocabulary of "self organizing systems" and "complex adaptive systems" that seem useful in describing these pathologic features, a new paradigm of glioblastoma biology will be proposed that genetic changes should be understood in a three dimensional framework as they relate not only to the tumor cells themselves but also to the multicellular hierarchical unit, not isolated from, but responsive to, its local milieu. In this way we will come to better appreciate the impact our therapeutic interventions have on the regional phenotypic heterogeneity that exists within the tumor and the intercellular communications directing adaptation and progression. PMID- 21052559 TI - Biology by design: from top to bottom and back. AB - Synthetic biology is a nascent technical discipline that seeks to enable the design and construction of novel biological systems to meet pressing societal needs. However, engineering biology still requires much trial and error because we lack effective approaches for connecting basic "parts" into higher-order networks that behave as predicted. Developing strategies for improving the performance and sophistication of our designs is informed by two overarching perspectives: "bottom-up" and "top-down" considerations. Using this framework, we describe a conceptual model for developing novel biological systems that function and interact with existing biological components in a predictable fashion. We discuss this model in the context of three topical areas: biochemical transformations, cellular devices and therapeutics, and approaches that expand the chemistry of life. Ten years after the construction of synthetic biology's first devices, the drive to look beyond what does exist to what can exist is ushering in an era of biology by design. PMID- 21052561 TI - Ovine and Caprine Brucellosis (Brucella melitensis) in Aborted Animals in Jordanian Sheep and Goat Flocks. AB - Two hundred and fifty five biological samples were collected from 188 animals (81 sheep and 107 goats) during the lambing season from September 2009 to April 2010 from the Mafraq region of Jordan. Sampled animals belonged to 93 sheep and goat flocks that had abortion cases in the region. One hundred and seven (41.9%) biological samples were positive for the omp2 primers that were able to identify all Brucella species in the collected samples which were obtained from 86 aborted animals (86/188 = 45.7%). Using the B. melitensis insertion sequence 711 (IS711) primers on the 107 omp2 positive samples, only 61 confirmed to be positive for B. melitensis. These positive samples were obtained from 28 sheep and 33 goats. The prevalence rate of B. melitensis was 27.1% (51/188) among aborted animals. For differentiation between vaccine strain and field strain infection, polymerase chain reaction-restriction fragment length polymorphism (PCR-RFLP) method using PstI endonuclease enzyme was used. Vaccination with Rev-1 in the last year (OR = 2.92, CI: 1.1-7.7) and grazing at common pasture (OR = 2.78, CI: 1.05-7.36) were statistically significant (P <= .05) risk factors positively associated with the occurrence of brucellosis in sheep and goat flocks. PMID- 21052562 TI - [New classification criteria for rheumatoid arthritis]. PMID- 21052563 TI - [The role of rank-ligand inhibition in the treatment of postmenopausal osteoporosis]. AB - Osteoporosis is a skeletal disease affecting millions of people worldwide in which a decreased bone mass and a microarchitectural deterioration compromise bone strength leading to bone fragility and increased susceptibility to fracture. Bone turnover increases at menopause, with osteoclast-mediated bone resorption exceeding bone formation. Recent discoveries in bone biology have demonstrated that RANKL, a cytokine member of the tumor necrosis factor superfamily, is an essential mediator of osteoclast formation, function and survival. Denosumab is a fully human monoclonal antibody with a high affinity and specificity for human RANKL. By binding to its target, denosumab prevents the interaction of RANKL with its receptor RANK on osteoclasts and their precursors and inhibits osteoclast mediated bone resorption. Administered as a subcutaneous injection every six months, denosumab has been shown to decrease bone turnover and to increase bone mineral density in postmenopausal women with low bone mass and osteoporosis. In these patients denosumab significantly reduced the risk of vertebral fractures, hip fractures and nonvertebral fractures. In all clinical trials published to date, denosumab was well tolerated with an incidence of adverse events, including infections and malignancy, generally similar to subjects receiving placebo or alendronate. The denosumab therapeutic regimen consisting in a subcutaneous injection every 6 months may increase patient compliance and persistence with a further benefit from treatment. By providing a new molecular target for osteoporosis treatment, denosumab is a promising drug for the treatment of postmenopausal osteoporosis and the prevention of fragility fractures. PMID- 21052564 TI - Pain and ketoprofen: what is its role in clinical practice? AB - Ketoprofen is a drug belonging to the family of non-steroidal anti-inflammatory drugs (NSAIDs). The present review examines the main available clinical evidence of ketoprofen in the treatment of acute and chronic pain, of both rheumatic and traumatic origin, as well as postoperative pain. Ketoprofen has shown to be an excellent choice of drug for the treatment of chronic pain in patients with osteoarthritis, rheumatoid arthritis or gout, demonstrating a high level of efficacy with good tolerability also in elderly patients. Even in the treatment of acute forms of pain such as bursitis, tendinitis and back pain, ketoprofen compares favourably to other NSAIDs (e.g., ibuprofen and diclofenac) in terms of efficacy. Ketoprofen has been shown to be effective also for the treatment of post-operative pain, particularly in the orthopaedic field, with an efficacy similar to opioids in some studies. In this setting, some evidence indicates that ketoprofen exhibits additional important benefits, showing to be effective in the prophylaxis of heterotopic calcification following hip or pelvic major intervention, without affecting the bone healing process. Moreover, the use of ketoprofen in elastomeric pump in combination with opioids or other NSAIDs has proven to be effective and safe. In conclusion, available data confirm that ketoprofen is effective and well tolerated, through different administration routes, for the treatment of various forms of rheumatic, traumatic and post surgical pain, and may therefore be considered as a valid therapeutic option for these patients. PMID- 21052565 TI - [Subpopulations of anti-beta2glycoprotein I antibodies with different pathogenic potential: fine specificity against the domains of beta2glycoprotein I]. AB - OBJECTIVE: Anti-beta2glycoprotein I antibodies (a-beta2GPI) are a laboratory criterion for the antiphospholipid syndrome (APS) and were demonstrated to be involved in the pathogenesis of APS. However, they can also be detected in asymptomatic subjects. It has been suggested that a-beta2GPI against Domain1 (D1) associate with thrombosis, while those recognizing Domain4/5 (D4/5) have been identified in non-thrombotic conditions. We evaluate the specificity of a beta2GPI in different clinical situations. METHODS: We studied 39 one-year-old healthy children born to mothers with systemic autoimmune diseases (SAD) (15 (38.4%) were born to mothers who were a-beta2GPI positive), 33 children with atopic dermatitis (AD) and 55 patients with APS (50 adults and 5 paediatrics). All subjects were IgG a-beta2GPI positive. IgG a-beta2GPI were performed by homemade ELISA, while IgG a-beta2GPI D1 and D4/5 were tested on research ELISAs containing recombinant beta2GPI domains antigens. RESULTS: One-year-old children and AD children displayed preferential reactivity for D4/5; patients with APS recognized preferentially D1. We also found a good correlation between a-beta2GPI and D4/5 in one-year-old (r=0.853) and AD children (r=0.879) and between a beta2GPI and D1 in the APS group (r=0.575). No thrombotic events were recorded in both groups of children. CONCLUSIONS: A-beta2GPI found in non-thrombotic conditions (healthy children born to mothers with SAD and AD children) mostly recognize D4/5, in contrast to the prevalent specificity for D1 in the APS group. The different specificity could at least partially explain the "innocent" profile of a-beta2GPI in children. PMID- 21052566 TI - [Glucocorticoid induced TNFR-related protein (GITR) as marker of human regulatory T cells: expansion of the GITR(+)CD25- cell subset in patients with systemic lupus erythematosus]. AB - OBJECTIVES: Regulatory T cells (T(REG)) represent a T cell subset able to modulate immune response by suppressing autoreactive T-lymphocytes. The evidence of a reduced number and an impaired function of this cell population in autoimmune/inflammatory chronic diseases led to the hypothesis of its involvement in the pathogenesis of these disorders. Glucocorticoid-induced TNFR-related protein (GITR) is a well known marker of murine T(REG) cells, but little is known in humans. The aim of this study was to investigate the characteristics of T(REG) cells in systemic lupus erythematosus (SLE) and the potential role of GITR as marker of human T(REG). METHODS: Nineteen SLE patients and 15 sex- and age matched normal controls (NC) were enrolled. CD4(+) T cells were magnetic sorted from peripheral blood by negative selection. Cell phenotype was analyzed through flow-cytometry using primary and secondary antibodies and real time polymerase chain reaction (PCR) using TaqMan probes. RESULTS: The CD25(high)GITR(high) subset was significantly decreased in SLE patients with respect to NC (0.37+/ 0.21% vs 0.72+/-0.19%; p<0.05). On the opposite, the CD25-GITR(high) cell population was expanded in the peripheral blood of SLE patients (3.5+/-2.25 vs 0.70+/-0.32%, p<0.01). Interestingly, FoxP3 at mRNA level was expressed in both CD25-GITR(high) and CD25(high)GITR(high) cells, suggesting that both cell subsets have regulatory activity. CONCLUSIONS: CD4(+)CD25-GITR(high) cells are increased in SLE as compared to NC. The expression of high level of GITR, but not CD25, on FoxP3+ cells appears to point to a regulatory phenotype of this peculiar T cell subset. PMID- 21052567 TI - [Survival and death causes in 251 systemic sclerosis patients from a single Italian center]. AB - OBJECTIVE: To investigate survival in Italian systemic sclerosis (SSc) patients from a tertiary center, reporting death causes. MATERIALS AND METHODS: We analyzed the charts of 251 SSc patients prospectively enrolled in our Rheumatology Unit from 2000 to 2008. Baseline characteristics were recorded. In 2008 the vital status and the causes of death were assessed. Overall and subgroup survival were analyzed by the Kaplan-Meier method and the log-rank test. RESULTS: In 2008, 82% of patients were alive, 8% were known to have died and 10% were lost to follow-up. Overall 5- and 8-year survival were 94.8% and 77.1%, respectively. Patients with an age greater than the median value of the cohort (chi2=4.4; p=0.036), diffuse cutaneous SSc (chi2=3.9; p=0.048), digital ulcers (chi2=6; p=0.015), articular (chi2=5.3; p=0.021), lung (chi2=5.6; p=0.018) and heart involvement (chi2=9.3; p=0.002) had a poorer survival than patients without these features. The majority of SSc-related deaths (60%) were secondary to interstitial lung disease and heart involvement (both 33.3%); 50% of non-SSc-related deaths were due to cancer. CONCLUSIONS: Our study reports an improvement in survival of Italian SSc patients during the last decade with respect to the previous ones. Moreover, a reduction in deaths from renal involvement and an increase in deaths from interstitial lung disease were recorded in Italian SSc patients. Our data are consistent with those from recent survival studies carried out on SSc patients from other geographic areas. PMID- 21052568 TI - [Health related quality of life assessment in patients with systemic sclerosis]. AB - OBJECTIVE: Health-related quality of life (HRQoL) in patients with systemic sclerosis (SSc), a chronic disabling disease associated to physical and psychological impairment, is often left behind in clinical practice and research. This is due to the use of tools that are not complete or mainly designed for the physical condition only. We tested EQ-5D, a valid, simple and brief questionnaire for HRQoL that has never been validated in SSc. METHODS: Thirty-three consecutive SSc patients referring to our Rheumatology Department and undergoing treatment have been asked to fulfill EQ-5D together with HAQ. RESULTS: EQ-5D demonstrated good acceptability, feasibility and validity in patients affected by SSc. Conceptually equivalent domains of EQ-5D demonstrated a good correlation with HAQ correspondent domains. CONCLUSIONS: We suggest the use of EQ-5D in SSc patients as a HRQoL measure in clinical practice, as well as an out come parameter in randomized clinical trials and/or in pharmaco-economic evaluations. PMID- 21052569 TI - [Long term outcome of treatment of diffuse proliferative glomerulonephritis with pulse steroids and short course pulse cyclophosphamide]. AB - OBJECTIVES: To evaluate the long- term outcome of a group of systemic lupus erythematosus (SLE) patients with diffuse proliferative glomerulonephritis (DPGN) treated with pulse steroids and a short course of pulse cyclophosphamide (Cyc) in order to find out baseline predictor variables of disease outcome at the end of the follow-up. METHODS: Female SLE patients fulfilling ACR criteria with active DPGN treated with pulse steroids and pulse Cyc were enrolled in the study and retrospectively analyzed with particular interest to renal flares and poor renal outcome at the end of follow- up as outcome measures. RESULTS: 30 female patients with DPGN were included, of these 20 (66,7%) patients are actually in follow-up at our unit, 4 (13.3%) died and 6 (20%) were lost during the follow-up. Fourteen patients (46.6%) presented at least one renal flare (RF) during the follow up for a total of 21 flares. At our last observation, 18 (60%) presented a good renal outcome while 12 (40%) had a poor outcome. Lower age at kidney biopsy resulted an important prognostic factor for the occurrence of both RF and poor long- term renal outcome; additionally, a poor renal outcome resulted significantly correlated with an inadequate response at the end of the protocol and with the number of renal flares after remission. CONCLUSIONS: These data suggest that, in general, a short course therapy with Cyc might be effective in controlling disease activity but demonstrated high rate of RF and poor renal outcome over time; however, this protocol might represent an effective therapeutic strategy in a subgroup of patients with specific epidemiological and clinical characteristics and suggest the possibility of tailoring immunosuppressive therapy on the basis of prognostic factor at baseline. PMID- 21052570 TI - [Polyarthritis flare in patient with ankylosing spondylitis treated with infliximab]. AB - Over the last ten years, the treatment of seronegative spondyloarthropathies has changed dramatically with the introduction of the anti-tumor necrosis factor alpha (TNFalpha) agents. Nevertheless, there is a growing number of studies describing several adverse reactions in patients treated with biological agents. In the present report we describe the case of a 22-year-old male patient with ankylosing spondylitis who developed a "paradoxic" adverse reaction, while receiving infliximab. PMID- 21052571 TI - [A short history of anti-rheumatic therapy. III. Non steroidal anti-inflammatory drugs]. AB - The chemical advances of the 20th century led to the synthesis of non steroidal anti-inflammatory drugs (NSAIDs), beginning from phenylbutazone and indomethacin and continuing with other new drugs, including ibuprofen, diclofenac, naproxen, piroxicam and, more recently, the highly selective COX-2 inhibitors (coxibs). This progress derived from the discovery of the mechanism of action of these drugs: the inhibition of synthesis of prostaglandins due to the cycloxigenase enzyme system, according to the experimental contributions of John R. Vane. PMID- 21052573 TI - One dimensional molecular dipole chain arrays on graphite via nanoscale phase separation. AB - Molecular dipole chain arrays of chloroaluminium phthalocyanine (ClAlPc) on the graphite surface have been investigated by scanning tunneling microscopy. The inter-chain spacing can be tuned by the co-adsorption of di-indenoperylene (DIP) via nanoscale phase separation. PMID- 21052572 TI - Lateral flow nucleic acid biosensor for Cu2+ detection in aqueous solution with high sensitivity and selectivity. AB - A lateral flow nucleic acid biosensor based on copper-dependent DNA-cleaving DNAzyme and gold nanoparticles has been developed for the visual detection of copper ions (Cu(2+)) in an aqueous solution with a detection limit of 10 nM. PMID- 21052575 TI - A flexible MMOF exhibiting high selectivity for CO(2) over N(2), CH(4) and other small gases. AB - A flexible microporous metal organic framework structure, [Zn(2)(bpdc)(2)bpe].2DMF, exhibits high selectivity in adsorbing CO(2) over N(2), CH(4) and a number of other small gases at room temperature and low pressure. PMID- 21052574 TI - Enantioselective heterocyclic synthesis of spiro chromanone-thiochroman complexes catalyzed by a bifunctional indane catalyst. AB - Novel asymmetric domino reactions of benzylidenechroman-4-ones and 2 mercaptobenzaldehydes for efficient construction of spiro chromanone-thiochroman complexes were accomplished with high yields and excellent selectivities via a novel bifunctional indane catalyst. PMID- 21052576 TI - Functionalization of surfactant wrapped graphene nanosheets with alkylazides for enhanced dispersibility. AB - A facile and simple approach for the covalent functionalization of surfactant wrapped graphene sheets is described. The approach involves functionalization of dispersible graphene sheets with various alkylazides and 11-azidoundecanoic acid proved the best azide for enhanced dispersibility. The functionalization was confirmed by infrared spectroscopy and scanning tunneling microscopy. The free carboxylic acid groups can bind to gold nanoparticles, which were introduced as markers for the reactive sites. The interaction between gold nanoparticles and the graphene sheets was followed by UV-vis spectroscopy. The gold nanoparticle graphene composite was characterized by transmission electron microscopy and atomic force microscopy, demonstrating the uniform distribution of gold nanoparticles all over the surface. Our results open the possibility to control the functionalization on graphene in the construction of composite nanomaterials. PMID- 21052577 TI - A general route for the synthesis of functional, protein-based hydrogel microspheres using tailored protein charge. AB - pH-induced protein aggregation facilitated the formation of nucleation centers for the chain growth polymerization of hydrogel microspheres. PMID- 21052578 TI - Electron mobility in liquid and supercritical helium measured using corona discharges: a new semi-empirical model for cavity formation. AB - Electron mobilities in supercritical and liquid helium were investigated as a function of the density. The mobilities were derived from I(V) curves measured in a high-pressure cryogenic cell using a corona discharge in point-plane electrode geometry for charge generation. The presented data spans a wide pressure and temperature range due to the versatility of our experimental set-up. Where data from previous investigations is available for comparison, very good agreement is found. We present a semi-empirical model to calculate electron mobilities both in the liquid and supercritical phase. This model requires the electron-helium scattering length and thermodynamic state equations as the only input and circumvents any need to consider surface tension. Our semi-empirical model reproduces experimental data very well, in particular towards lower densities where transitions from localised to delocalised electron states were observed. PMID- 21052579 TI - Affinity of the anthracycline antitumor drugs Doxorubicin and Sabarubicin for human telomeric G-quadruplex structures. AB - Combining various techniques in solution we proved that Doxorubicin, also called Adriamycin, and Sabarubicin, also known as MEN 10755, bind to the human telomeric sequence, 5'-d[GGG(TTAGGG)(3)]-3' (21-mer), assuming a G-quadruplex structure in the presence of K(+). Complexes of drugs with the 21-mer in 1 : 1 and 2 : 1 stoichiometry coexist in solution. Association constants were obtained from titration experiments and confirmed by isothermal titration calorimetry. The fluorescence of the drugs was quenched upon complexation. UV circular dichroism (CD) spectra of the complexes were characterized by the G-quadruplex signal and indicated that drug binding influences the equilibrium between quadruplex conformations. The visible CD spectra were exclusively due to the drug and show differences in the complexation modes of the two drugs. Spectroscopic and thermodynamic parameters of the 1 : 1 complexes point to drug stacking with the G quadruplex top or bottom tetrad. Thermodynamic data suggests that the binding of the second drug molecule in the 2 : 1 complex may occur in a groove. Complexation caused a small increase in the thermal stability of the G-quadruplex main conformation, shifting T(m) from 62 to 67 degrees C. PMID- 21052580 TI - Determination of melamine based on electrochemiluminescence of Ru(bpy)3(2+) at bare and single-wall carbon nanotube modified glassy carbon electrodes. AB - The electrochemiluminescence (ECL) of Ru(bpy)(3)(2+) at bare and single-wall carbon nanotube (SWNT) modified glassy carbon (GC) electrodes has been employed for the determination of melamine for the first time, giving a linear response (R(2) = 0.99682) for melamine concentration from 1.0 * 10(-10) to 1.0 * 10(-5) M at a bare GC electrode in pH 10 borate buffer, and the detection limit is 1.0 * 10(-10) M. However, the detection limit can be reduced further to 1.0 * 10(-13) M after modification of the GC electrode by SWNTs. This is much lower compared to other detection methods. The proposed method was applied to the determination of melamine added to a commercial milk sample; the recovery is quite satisfactory with good reproducibility and stability. All of these results provide the possibility of developing a novel ECL detection method for melamine. PMID- 21052581 TI - A renewable, chemoselective, and quantitative ligand density microarray for the study of biospecific interactions. AB - Novel renewable microarray technology has been developed to immobilize and release carbohydrates and proteins from self-assembled monolayers (SAMs) of electroactive quinone-terminated alkanethiolates on gold surfaces. This method may be applied to a variety of research fields for use in biosensor technology and the generation of renewable and tailored microarrays for biospecific cell based assays. PMID- 21052582 TI - pH-switchable wormlike micelles. AB - A novel pH-switchable wormlike micellar system was prepared by mixing N erucamidopropyl-N,N-dimethylamine and maleic acid with molar ratio of 2 : 1. The viscosity of the micellar solution is switchable via tuning the pH through the addition of minor acid or base. Such a system possesses the characteristics of a facile, rapid, cost-effective reversible process and recyclable cheaper materials. PMID- 21052583 TI - Ultrathin single crystal ZnS nanowires. AB - A facile synthesis of ultrathin single crystal ZnS nanowires with an average diameter of 4.4 nm in high yield (close to 100%) was firstly reported through the pyrolysis of a single-source precursor (zinc diethyldithiocarbamate). The obtained ultrathin ZnS nanowires exhibit good optical properties and hold promise for future applications in nanodevices. PMID- 21052584 TI - Titania coated magnetic mesoporous hollow silica microspheres: fabrication and application to selective enrichment of phosphopeptides. AB - Titania coated magnetic hollow mesoporous silica spheres with high surface area were created, which can be used in efficient and rapid capture of phosphopeptides from peptide mixtures. PMID- 21052585 TI - Photoelectrochemical biosensor for detection of adenosine triphosphate in the extracts of cancer cells. AB - A photoelectrochemical sensing strategy for highly sensitive detection of small molecules was developed based on the recognition interaction between aptamer and target molecule-ATP. PMID- 21052586 TI - A simple, general route to 2-pyridylidene transition metal complexes. AB - Pyridinium 2-carboxylates decompose thermally in the presence of a variety of late transition metal precursors to yield the corresponding 2-pyridylidene-like complexes. The mild reaction conditions and structural diversity that can be generated in the heterocyclic ring make this method an attractive alternative for the synthesis of 2-pyridylidene complexes. IR spectra of the Ir(i) carbonyl compounds [IrCl(NHC)(CO)(2)] indicate that these N-heterocyclic carbene ligands are among the strongest sigma-electron donors. PMID- 21052587 TI - Controlling voltammetric responses by electrode modification; using adsorbed acetone to switch graphite surfaces between adsorptive and diffusive modes. AB - Graphite is a highly versatile electrode substrate material but the recorded voltammetric response is regularly complicated by varying degrees of adsorption of the analyte to the surface leading to voltammetry which is complex to analyse. We report how through the pre-adsorption of acetone the electro-activity of the substrate is unhindered but adsorption of an electro-active species is effectively blocked, hence the experimentalist is able to readily tailor the electrode so as to effectively switch the adsorption of the analyte 'on' or 'off'. PMID- 21052588 TI - Sustained release of nucleic acids from polymeric nanoparticles using microemulsion precipitation in supercritical carbon dioxide. AB - A general approach for producing biodegradable nanoparticles for sustained nucleic acid release is presented. The nanoparticles are produced by precipitating a water-in-oil microemulsion in supercritical CO(2). The microemulsion consists of a transfer RNA aqueous solution (water phase), dichloromethane containing poly(l-lactic acid)-poly(ethylene glycol) (oil phase), the surfactant n-octyl beta-D-glucopyranoside, and the cosurfactant n-butanol. PMID- 21052589 TI - Polynuclear and mixed-ligand mononuclear Cu(I) complexes with N thiophosphorylated thioureas and 1,10-phenanthroline or PPh3. AB - Deprotonation of the N-thiophosphorylated thioureas RC(S)NHP(S)(OiPr)(2) (R = Me(2)N, HL(I); iPrNH, HL(II); 2,6-Me(2)C(6)H(3)NH, HL(III), 2,4,6 Me(3)C(6)H(2)NH, HL(IV), aza-15-crown-5, HL(V)) and reaction with CuI or Cu(NO(3))(2) in aqueous EtOH leads to the polynuclear complexes [Cu(4)(L(I) S,S')(4)], [Cu(8)(L(II)-S,S')(8)], and [Cu(3)(L(III-V)-S,S')(3)]. The structures of these compounds were investigated by IR, (1)H, (31)P{(1)H} NMR, UV-vis spectroscopy and elemental analyses. The crystal structures of [Cu(4)L(I)(4)], [Cu(8)L(II)(8)], [Cu(3)L(III,IV)(3)] were determined by single-crystal X-ray diffraction. Reaction of the deprotonated ligands (L(I-V))(-) with a mixture of CuI and 1,10-phenanthroline (phen) or PPh(3) leads to the mixed-ligand mononuclear complexes [Cu(phen)L(I-V)], [Cu(PPh(3))L(I-V)] or [Cu(PPh(3))(2)L(I V)]. The same mixed-ligand complexes were obtained from the reaction of [Cu(4)L(I)(4)], [Cu(8)L(II)(8)], [Cu(3)L(III-V)(3)] with phen or PPh(3). PMID- 21052590 TI - Concerning the photophysical properties of Re2(4+) and Re2(6+) carboxylate compounds. AB - The preparation and structure of Re(2)(dppm)(2)(O(2)CC(6)H(4)-p-NO(2))(2)Cl(2), where dppm = Ph(2)PCH(2)PPh(2), is reported together with its photophysical properties (absorption, steady state emission, fs- and ns-transient absorption spectroscopy) and electrochemistry. These data are compared with photophysical studies on the previously reported Re(2)(dppm)(2)(O(2)CCH(3))(2)Cl(2). The preparation of the complex Re(2)(O(2)CC(6)H(4)-p-NO(2))(4)Cl(2) is also reported together with its photophysical properties which allows for a comparison of the electronic structures and photophysical states of Re(2)(4+) and Re(2)(6+) containing complexes having MM configurations sigma(2)pi(4)delta(2)delta(*2) and sigma(2)pi(4)delta(2), respectively. An interesting comparison is also made with the related MM quadruply bonded complexes of molybdenum and tungsten. PMID- 21052591 TI - A dimeric aluminium hydroxide supported by a new disiloxide ligand. AB - The synthesis and structure of a dimeric aluminium hydroxide complex containing the novel chelating 1,4-disiloxide ligand [CH(2){Me(Me(3)Si)(2)Si}(2)SiO](2)(2-) (2)-2H is reported. [CH(2){Me(Me(3)Si)(2)Si}(2)SiO](2)AlOH (4) was prepared by careful hydrolysis of [CH(2){Me(Me(3)Si)(2)Si}(2)SiO](2)AlMe.THF (3). PMID- 21052592 TI - Luminescent materials of annealed Eu3+-exchanged zeolite L crystals. AB - In this work, we report the luminescence behavior of Eu(3+)-exchanged zeolite L microcrystals annealed at different temperatures. SEM and XRD techniques were employed to characterize the samples. UV-vis absorption spectroscopy and luminescence spectroscopy were used to study the luminescence properties of the annealed materials. It is shown that Eu(3+)-exchanged zeolite L crystals are structurally stable at 800 degrees C, and that its structure is completely collapsed when annealed at 1100 degrees C. Calcination of Eu(3+)-exchanged zeolite L crystals at 700 degrees C leads to a strong violet-blue emission, while a strong red emission is observed when the sample is annealed at 1100 degrees C. PMID- 21052593 TI - Sterically demanding and chiral N,N'-disubstituted N-heterocyclic germylenes and stannylenes. AB - The N,N'-dimesitylene substituted o-phenylenediamine 1 reacts with Sn[N(SiMe(3))(2)](2) under formation of the monomeric N-heterocyclic stannylene 2, while the chiral N,N'-substituted o-phenylenediamine 3 reacts with E[N(SiMe(3))(2)](2) (E = Ge, Sn) under formation of the chiral germylene 4 and the chiral stannylene 5, respectively. X-Ray diffraction studies with both stannylenes demonstrated that the metal centers in these compounds are sufficiently sterically protected to prevent interaction between the tin center and the nitrogen donors of adjacent molecules. PMID- 21052594 TI - Measurements of weak halogen bond donor abilities with tridentate anion receptors. AB - The chelate effect of a tridentate receptor is exploited to determine halogen bonding association constants that vary by several orders of magnitude, including interactions of weak donors for which thermodynamic data were not previously available. Free energy relationships with computed and experimental properties hold over this wide range of donors. The strengths of iodine- and bromine-based halogen bonds, CH-anion and anion-arene interactions are compared. PMID- 21052595 TI - A "turn-on" electrochemiluminescent biosensor for detecting Hg2+ at femtomole level based on the intercalation of Ru(phen)3(2+) into ds-DNA. AB - A well-designed oligonucleotide functionalized for Hg(2+) identification and Ru(phen)(3)(2+) intercalation is used to develop a "turn-on" electrochemiluminescent (ECL) biosensor for the determination of Hg(2+) in a drop (10 MUL) of sample. PMID- 21052596 TI - Guiding the time-evolution of a molecule: optical control by computer. AB - The theory and computation of optical control has been developed over the last 25 years and is now a mature field of research. Initial work provided pictures of how control using light fields in simple systems may be achieved, for example using multiple excitation pathways or pulse sequences. The development of optimal control theory then provided a general method for guiding a system to its target using a shaped laser pulse. Combined with quantum dynamics simulations this has become a widely used tool, and has been applied to a range of systems to show what can be controlled. The present challenge is to gain more insight into the mechanism of control. In addition, methods need to be extended to reach the size of system of interest to technology. In this perspective article we shall give a brief overview of present capabilities and some of the recent developments in quantum dynamics and control simulations. PMID- 21052597 TI - Competitive reaction pathways of C2Cl3 + NO via four-membered ring and bicyclic ring intermediates. AB - The products and mechanisms of the atmospherically and environmentally important reaction, C(2)Cl(3) + NO, are investigated comprehensively by step-scan time resolved Fourier transform infrared emission spectroscopy and the CCSD(T)/6 311+G(d)//B3LYP/6-311G(d) level of electronic structure calculations. Vibrationally excited products of Cl(2)CO, ClNCO, CCl(3)NCO and NCO have been observed in the IR emission spectra. Cyclic intermediates are found to play important roles leading to the rich variety of the chemical transformations of the reaction. Mainly two competitive reaction pathways are revealed: the four membered ring intermediate pathway leading to the products Cl(2)CO + ClCN which is essentially barrierless and the bicyclic ring intermediate pathway leading to the product channels of ClNCO + CCl(2,) CCl(3)NCO and CCl(3) + NCO which is rate limited by a barrier of 42.9 kJ mol(-1) higher than the reactants. By photolyzing the precursor at 248 and 193 nm, respectively, C(2)Cl(3) radicals with different internal energy are produced to observe the product branching ratios as a function of reactant energy. The Cl(2)CO channel via the four-membered ring intermediate pathway is shown to be overwhelmingly dominant at low energy (temperature) but become less important at high energy while the ClNCO and CCl(3)NCO channels via the bicyclic ring intermediate pathway are greatly enhanced and compete effectively. The experimental observation of the products and their branching ratios varying with reactant energy is well consistent with the calculated potential energy profiles. PMID- 21052599 TI - Structural, MALDI-TOF-MS, magnetic and spectroscopic studies of new dinuclear copper(II), cobalt(II) and zinc(II) complexes containing a biomimicking MU-OH bridge. AB - The Py(2)N(4)S(2) octadentate coordinating ligand afforded dinuclear cobalt, copper and zinc complexes and the corresponding mixed metal compounds. The overall geometry and bonding modes have been deduced on the basis of elemental analysis data, MALDI-TOF-MS, IR, UV-vis and EPR spectroscopies, single-crystal X Ray diffraction, conductivity and magnetic susceptibility measurements. In the copper and zinc complexes, a MU-hydroxo bridge links the two metal ions. In both cases, the coordination geometry is distorted octahedral. Magnetic and EPR data reveal weakly antiferromagnetic high spin Co(II) ions, compatible with a dinuclear structure. The magnetic characterization of the dinuclear Cu(II) compound indicates a ferromagnetically coupled dimer with weak antiferromagnetic intermolecular interactions. The intra-dimer ferromagnetic behaviour was unexpected for a Cu(II) dimer with such MU-hydroxo bridging topology. We discuss the influence on the magnetic properties of non-covalent interactions between the bridging moiety and the lattice free water molecules. PMID- 21052598 TI - Acid-base and electrochemical properties of manganese meso(ortho- and meta-N ethylpyridyl)porphyrins: potentiometric, spectrophotometric and spectroelectrochemical study of protolytic and redox equilibria. AB - The difference in electrostatics and reduction potentials between manganese ortho tetrakis(N-ethylpyridinium-2-yl)porphyrin (MnTE-2-PyP) and manganese meta tetrakis(N-ethylpyridinium-3-yl)porphyrin (MnTE-3-PyP) is a challenging topic, particularly because of the high likelihood for their clinical development. Hence, a detailed study of the protolytic and electrochemical speciation of Mn(II IV)TE-2-PyP and Mn(II-IV)TE-3-PyP in a broad pH range has been performed using the combined spectrophotometric and potentiometric methods. The results reveal that in aqueous solutions within the pH range ~2-13 the following species exist: (H(2)O)Mn(II)TE-m-PyP(4+), (HO)Mn(II)TE-m-PyP(3+), (H(2)O)(2)Mn(III)TE-m-PyP(5+), (HO)(H(2)O)Mn(III)TE-m-PyP(4+), (O)(H(2)O)Mn(III)TE-m-PyP(3+), (O)(H(2)O)Mn(IV)TE m-PyP(4+) and (O)(HO)Mn(IV)TE-m-PyP(3+) (m = 2, 3). All the protolytic equilibrium constants that include the accessible species as well as the thermodynamic parameters for each particular protolytic equilibrium have been determined. The corresponding formal reduction potentials related to the reduction of the above species and the thermodynamic parameters describing the accessible reduction couples were calculated as well. PMID- 21052600 TI - Proton and metal binding by cyclen-based highly rigid cryptands. AB - The basicity properties of the two cryptands L1 and L2, featuring, respectively, a dibenzofuran or a diphenyl ether moiety bridging the 1,7 positions of a 1,4,7,10-tetraazacyclododecane macrocycle (cyclen) have been studied by means of potentiometric, UV-vis and fluorescence emission measurements. Both ligands show a high basicity in the first protonation step, the first basicity constant of L1 being too high to be measured in aqueous solution. The crystal structure of {[HL1]L1}(+) shows that the NH(2)(+) group is involved in an intramolecular hydrogen bonding network, which justifies the observed high basicity in solution. (1)H, (13)C NMR, UV-vis and fluorescence emission measurements show that, among first row divalent metal cations, both L1 and L2 selectively bind in acetonitrile Cu(II) and Zn(II), which are encapsulated within the ligand cavities. Zn(II) coordination is accompanied by a remarkable increase of the fluorescence emission of the ligands, pointing out that the molecular architecture displayed by L1 and L2 can be used to develop new OFF/ON chemosensors for this metal cation. PMID- 21052601 TI - P=C-N-heterocycles: synthesis of biaryl-type 1,3-benzazaphospholes with ortho substituted phenyl or 2-heteroaryl groups. AB - A facile synthesis of functionally substituted 2-(hetero)aryl 1,3 benzazaphospholes via nickel- or palladium-catalyzed phosphonylation of N-acyl-2 bromoanilides 1a-k with triethyl phosphite is presented. Anilidophosphonates 2a-g with naphthoyl-, o-substituted phenyl, furoyl- or thenoyl groups allow direct reductive cyclization with LiAlH(4) to benzazaphospholes 3. The reaction of the o bromoderivative 2d proceeds with concomitant replacement of bromine by hydrogen, whereas the electron-withdrawing pyridyl group of 2h prevents the synthesis of 3h by this short route. An alternative synthesis of 2-pyridylbenzazaphosphole 3hvia anilidophosphonates succeeded starting from Fmoc-anilinophosphonate 2kvia selective cleavage of the N-protecting group, reduction of the resulting phosphonoaniline to phosphinoaniline and cyclization with pyridine-2 carboxaldehyde via a dihydrobenzazaphosphole 8. N-Substituted pyridylmethylbenzazaphosphole 9 was detected as a side product. The structure elucidation of the new compounds is based on multinuclear NMR data and X-ray crystal structure analyses of a phosphonoanilide, underlining the dominance of N H...O=P hydrogen bonds over N-H...O=C type hydrogen bonds, of 3h and a supramolecular associate of 3b and its unprecedented air oxidation product 10. PMID- 21052602 TI - Synthesis and characterization of a trinuclear Cu(II)3 complex bridged by an extended phloroglucinol-ligand: implications for a rational enhancement of ferromagnetic interactions. AB - The synthesis and characterization of the extended phloroglucinol-ligand H(3)felden based on the trialdehyde 2,4,6-triformylphloroglucinol and its trinuclear Cu(II)(3) complex [(felden){Cu(bpy)}(3)](ClO(4))(3) is presented. This study is motivated to optimize analogous extended phloroglucinol-ligands based on the triketone 2,4,6-triacetylphloroglucinol, which transmit ferromagnetic interactions by the spin-polarization mechanism between three Cu(II) ions and have therefore been applied as the central ligand backbone for a class of heptanuclear single-molecule magnets in a supramolecular approach. A detailed NMR spectroscopic study reveals that the ligand H(3)felden is not in the usually anticipated enol-imine form but in the tautomeric keto-enamine form. The presence of a C(3h) and a C(s) symmetric isomer results in a set of four different signals for each proton. In conjunction with FTIR, electronic absorption spectroscopy, and bond length considerations, it also appears that the complex [(felden){Cu(bpy)}(3)](ClO(4))(3) must be considered as a resonance hybrid of an enolate-imine and a keto-enamine form. A strong contribution of the keto-enamine resonance structure with loss of the central pi system explains the weak but ferromagnetic interactions between the Cu(II)S = 1/2 spins. This detailed analysis identifies the strong resonance with unsaturated groups in 2,4,6 position of phloroglucinol as the main source for the low ferromagnetic couplings by the spin-polarization mechanism in these ligands. This provides a synthetic handle to improve the spin-polarization mechanism in these ligands by replacing the imine with amine functions. PMID- 21052603 TI - Rapid catalytic water oxidation by a single site, Ru carbene catalyst. AB - Compared to earlier single site catalysts, greatly enhanced rates of electrocatalytic water oxidation by the Ru carbene catalyst [Ru(tpy)(Mebim py)(OH(2))](2+) (tpy = 2,2':6',2''-terpyridine; Mebim-py = 3-methyl-1 pyridylbenzimidazol-2-ylidene) have been observed. The mechanism appears to be the same with proton coupled electron transfer (PCET) activation to Ru(V)=O(3+) followed by O-O coupling and further oxidation. An important factor in the enhanced reactivity of the carbene complex may come from increased driving force for the O-O bond forming step. PMID- 21052604 TI - A QM/MM study of the phosphoryl transfer to the Kemptide substrate catalyzed by protein kinase A. The effect of the phosphorylation state of the protein on the mechanism. AB - We present here a theoretical study of the phosphoryl transfer catalytic mechanism of protein kinase A, which is the best known member of the large protein kinase family. We have built different theoretical models of the complete PKA-Mg(2)-ATP-substrate system to explore the two most accepted reaction pathways, using for the first time in a reaction mechanism theoretical study, the heptapeptide substrate Kemptide, which is relevant for its high efficiency and small size. The effect of the protein configuration, as modeled by two different X-ray structures with different phosphorylation states and degrees of flexibility, has been analyzed. The results indicate that the environmental conditions can influence the availability of the pathways and thus the choice of the mechanism to be followed. In addition, the roles of the two active site conserved residues, Asp166 and Lys168, have been analyzed for each reaction mechanism. PMID- 21052605 TI - Assembly of titanium embedded polyoxometalates with unprecedented structural features. AB - Two titanium embedded polyoxometalates with unprecedented structural features are presented: a monotitanium containing tungstoantimonate Na(13)H(3)[TiO(SbW(9)O(33))(2)].33 H(2)O featuring a {Ti=O}(2+) moiety (1) and a hexatitanium containing tungstoarsenate K(6)[Ti(4)(H(2)O)(10)(AsTiW(8)O(33))(2)].30 H(2)O containing a {Ti(4)(H(2)O)(10)}(16+) moiety (2). Both compounds have been fully characterised by single crystal X-ray diffraction, elemental analysis, IR and TGA. 1 is constructed from two alpha-B-{Sb(III)W(9)O(33)} fragments linked by five sodium cations and an unprecedented square pyramidal Ti(O)O(4) group with a terminal Ti=O bond, and 2 exhibits a Krebs-type structure composed of two {AsTiW(8)O(33)} fragments, where one W(VI) centre has been substituted for a Ti(IV) centre in each, fused together via a belt of four additional Ti(IV) centres. This system represents the tungsten Ti-incorporated polyoxoanion with one of the highest Ti:W ratios so far reported. Additionally, 2 could also be isolated as an n tetrabutylammonium salt and has been further characterised by electrochemistry and electrospray ionisation (ESI) MS studies. Due to the unique nature of these systems, both have been fully investigated using DFT calculations yielding highly interesting results. Structure 1 has been optimised with five sodium atoms in the belt position, which in addition to reducing the high charge of the cluster influence a stabilisation of the antimony lone pairs. Electrostatic potential calculations highlight the high electronegativity of the terminal oxygen on the titanium centre, enhancing real potentiality as a reactive site for catalysis. PMID- 21052606 TI - Molecular dynamics simulation for insight into microscopic mechanism of polymer reinforcement. AB - By employing an idealized model of a polymer network and filler, we have investigated the stress-strain behavior by tuning the filler loading and polymer filler interaction in a broad range. The simulated results indicate that there actually exists an optimal filler volume fraction (between 23% and 32%) for elastomer reinforcement with attractive polymer-filler interaction. To realize this reinforcement, the rubber-filler interaction should be slightly stronger than the rubber-rubber interaction, while excessive chemical couplings are harmful to mechanical properties. Meanwhile, our simulated results qualitatively reproduce the experimental data of Bokobza. By introducing enough chemical coupling between the rubber and the filler, an upturn in the modulus at large deformation is observed in the Mooney-Rivlin plot, attributed to the limited chain extensibility at large deformation. Particularly, the filler dispersion state in the polymer networks is also characterized in detail. It is the first demonstration via simulation that the reinforcement mechanism stems from the nanoparticle-induced chain alignment and orientation, as well as the limited extensibility of chain bridges formed between neighboring nanoparticles at large deformation. The former is influenced by the filler amount, filler size and filler-rubber interaction, and the latter becomes more obvious by strengthening the physical and chemical interactions between the rubber and the filler. Remarkably, the reason for no obvious reinforcing effect in filled glassy or semi crystalline matrices is also demonstrated. It is expected that this preliminary study of nanoparticle-induced mechanical reinforcement will provide a solid basis for further insightful investigation of polymer reinforcement. PMID- 21052607 TI - Theoretical studies on the coupling interactions in H2SO4...HOO...(H2O)n (n = 0 2) clusters: toward understanding the role of water molecules in the uptake of HOO radical by sulfuric acid aerosols. AB - A detailed knowledge of coupling interactions among sulfuric acid (H(2)SO(4)), the hydroperoxyl radical (HOO), and water molecules (H(2)O) is crucial for the better understanding of the uptake of HOO radicals by sulfuric acid aerosols at different atmospheric humidities. In the present study, the equilibrium structures, binding energies, equilibrium distributions, and the nature of the coupling interactions in H(2)SO(4)...HOO...(H(2)O)(n) (n = 0-2) clusters have been systematically investigated at the B3LYP/6-311++G(3df,3pd) level of theory in combination with the atoms in molecules (AIM) theory, natural bond orbital (NBO) method, energy decomposition analyses, and ab initio molecular dynamics. Two binary, five ternary, and twelve tetramer clusters possessing multiple intermolecular H-bonds have been located on their potential energy surfaces. Two different modes for water molecules have been observed to influence the coupling interactions between H(2)SO(4) and HOO through the formations of intermolecular H bonds with or without breaking the original intermolecular H-bonds in the binary H(2)SO(4)...HOO cluster. It was found that the introduction of one or two water molecules can efficiently enhance the interactions between H(2)SO(4) and HOO, implying the positive role of water molecules in the uptake of the HOO radical by sulfuric acid aerosols. Additionally, the coupling interaction modes of the most stable clusters under study have been verified by the ab initio molecular dynamics. PMID- 21052608 TI - Metabolic profiling of human urine by CE-MS using a positively charged capillary coating and comparison with UPLC-MS. AB - The potential of capillary electrophoresis time-of-flight mass spectrometry (CE TOF-MS) using capillaries coated with a triple layer of polybrene-dextran sulfate polybrene (PB-DS-PB) was evaluated for metabolic profiling of human urine. The method covers various metabolite classes and stable metabolic profiles of urine samples were obtained with favourable migration time repeatability (RSDs <1%). The PB-DS-PB CE-TOF-MS method was used for the analysis of human urine samples from 30 males and 30 females, which had been previously analyzed by reversed phase UPLC-TOF-MS. Multivariate data analysis of the obtained data provided clear distinction between urine samples from males and females, emphasizing gender differences in metabolic signatures. Nearly all compounds responsible for male female classification in CE-TOF-MS were different from the classifying compounds in UPLC-TOF-MS. Almost all compounds causing classification in the CE-TOF-MS study were highly polar and did not exhibit retention in the reversed-phase UPLC system. In addition, the CE-TOF-MS classifiers had an m/z value in the range of 50-150, whereas 95% of the classifying features found with UPLC-TOF-MS had an m/z value above 150. The CE-TOF-MS method therefore appears to be highly complementary to the UPLC-TOF-MS method providing classification based on different classes of metabolites. PMID- 21052609 TI - FDG uptake in primary squamous cell carcinoma of the head and neck. The relationship between overexpression of glucose transporters and hexokinases, tumour proliferation and apoptosis. AB - AIM: This study aimed at assessing the relationship between over-expression of glucose transporters and hexokinases, tumour proliferation and apoptosis corrected for cellularity and partial volume corrected (pvc) FDG SUV values in primary squamous cell carcinoma of the head and neck (pSCCHN). PATIENTS, METHODS: In 27 consecutive patients suffering from pSCCHN, FDG SUVmax and mean pvc values of the primary tumour were derived from a pre-surgical routine staging FDG PET/CT examination. GLUT-1, GLUT-3, HK-1, HK-3 expression, tumour proliferation (Ki-67 staining) and the number of apoptotic cells (cleaved caspase-3 staining), corrected for tumour cellularity, were subsequently assessed on the corresponding post-surgically obtained biopsies and tumour specimens. FDG SUVmax and mean pvc values of pSCCHN were correlated with the corresponding histological findings. RESULTS: FDG SUV max and mean pvc values correlated significantly: with GLUT-1 scores r = 0.408 (p = 0.04) and r = 0.439 (p = 0.03) as well as with the number of apoptotic cells r = 0.529 (p = 0.008) and r = 0.484 (p = 0.017). The number of apoptotic cells also correlated to GLUT-3 scores: r = 0.62 (p = 0.001) and GLUT-1 scores r = 0.528 (p = 0.008). CONCLUSION: FDG SUV pvc proved significantly related to GLUT-1 expression by tumour cells and to the absolute number of apoptotic cells. The latter finding warrants further exploration and confirmation by additional studies. PMID- 21052610 TI - Myocardial perfusion imaging using technetium-99m sestamibi in asymptomatic diabetic patients. AB - Myocardial perfusion single-photon emission computed tomography (SPECT) has been extensively applied in the clinical assessment of patients with diabetes mellitus. The aim of the present study was to evaluate stress technetium-99m sestamibi SPECT MPI perfusion in silent myocardial ischemia and its association with some clinical and laboratory parameters in an asymptomatic diabetic population. PATIENTS, MATERIAL, METHODS: 83 subjects (age: 57.1+/-6.9 years) with at least five years history of type 2 diabetes, and no suspected or documented coronary artery disease (CAD) accomplished myocardial perfusion imaging; angiography was also performed in patients with abnormal MPI. RESULTS: MPI results showed that 58 patients had normal myocardial perfusion, while 25 patients showed perfusion defects (23 reversible and 2 fixed) on MPI. 12 out of the 25 (48%) with abnormal MPI findings represented abnormal angiography. We observed that pretest likelihood of CAD (odds ratio 2.32; 95%-CI: 1.05-5.13; p = 0.038) and higher HbA1c level (odds ratio 1.70; 95%-CI, 1.07-2.71; p = 0.02) were independently associated with abnormal MPI. CONCLUSION: Occult CAD was present on MPI in 1/3 patients with DM without abnormal electrocardiographic findings or evidence of peripheral arterial disease. PMID- 21052611 TI - Molecular analysis of FVIII gene in severe HA patients of Costa Rica. AB - Haemophilia A (HA) is X-chromosome linked bleeding disorders caused by deficiency of the coagulation factor VIII (FVIII). It is caused by FVIII gene intron 22 inversion (Inv22) in approximately 45% and by intron 1 inversion (Inv1) in 5% of the patients. Both inversions occur as a result of intrachromosomal recombination between homologous regions, in intron 1 or 22 and their extragenic copy located telomeric to the FVIII gene. The aim of this study was to analyze the presence of these mutations in 25 HA Costa Rican families. PATIENTS, METHODS: We studied 34 HA patients and 110 unrelated obligate members and possible carriers for the presence of Inv22or Inv1. Standard analyses of the factor VIII gene were used incl. Southern blot and long-range polymerase chain reaction for inversion analysis. RESULTS: We found altered Inv22 restriction profiles in 21 patients and 37 carriers. It was found type 1 and type 2 of the inversion of Inv22. During the screening for Inv1 among the HA patient, who were Inv22 negative, we did not found this mutation. DISCUSSION: Our data highlight the importance of the analysis of Inv22 for their association with development of inhibitors in the HA patients and we are continuous searching of Inv1 mutation. This knowledge represents a step for genetic counseling and prevention of the inhibitor development. PMID- 21052612 TI - [Heart failure update 2010 and current ESC guidelines]. AB - Chronic heart failure may be caused by systolic pump failure and/or impairment of diastolic filling of the ventricles. Standard pharmacotherapy of systolic heart failure includes an ACE inhibitor, betablocker, diuretics and in patients with severe symptoms a low-dose aldosterone antagonist. An AT(1) receptor blocker is indicated in those not tolerating ACE inhibitors. If patients remain in functional class NYHA III-IV despite optimal medication and have cardiac dyssynchrony, biventricular pacing may improve symptoms and prognosis. While evidence-based treatment significantly reduces morbidity and mortality in systolic heart failure, hardly any results of clinical trials are available for diastolic heart failure. Therefore, therapy in patients with diastolic heart failure remains symptomatic in most cases. PMID- 21052614 TI - Dual-energy CT after peri-interventional subarachnoid haemorrhage: a feasibility study. AB - PURPOSE: The aim was to assess the feasibility of dual-energy computed tomography (DE-CT) for detection of peri-interventional re-bleeding in patients with aneurysmal subarachnoid hemorrhage (re-SAH). METHODS: For in vitro-analyses DE-CT of partially clotted blood intermixed with fresh blood containing contrast agent was performed. In a clinical setting, 4 patients routinely underwent DE-CT after suspected peri-interventional re-SAH. DE-CT source data images, iodine maps and virtual non-contrast images (VNC) were analyzed and regions-of-interest (ROI) measurements of density values were performed. RESULTS: In vitro experiments demonstrated the feasibility of DE-CT to discriminate between blood with and without contrast agent. In all patients peri-interventional re-SAH was confirmed by detection of extravasated iodine within the subarachnoid spaces in post interventional DE-CT. Dual-energy CT allowed the discrimination of old blood clots of the initial SAH and blood originating from peri-interventional re-SAH. After subtraction of the iodine-related high density signal, VNC images optimized the estimation of the true amount of subarachnoid blood. CONCLUSION: Dual-energy CT allows the discrimination and subtraction of blood and iodine mixed within the subarachnoid spaces in patients with peri-interventional re-SAH. It helps to avoid overestimation of SAH after peri-interventional re-bleeding and therefore is a potentially valuable tool in the assessment of peri-interventional re-SAH. PMID- 21052615 TI - [Opioids : a dark side as well as a light side?]. PMID- 21052616 TI - [Evaluation of a dominant-negative recombinant herpes simplex virus (HSV) type 1 as a vaccine against genital herpes in mice und guinea pigs]. AB - Genital herpes caused by herpes simplex virus (HSV) is one of the most common sexually transmitted diseases worldwide. Currently, no safe and effective vaccine against HSV is available. CJ9-gD is a completely replication-defective HSV-1 recombinant which inhibits replication of wild-type HSV-1/-2 in co-infected cells (dominant-negative effect). Moreover, it expresses high levels of HSV-1 major antigen glycoprotein D (gD). Immunization with CJ9-gD induces strong and long lasting humoral and Th1-like cellular immune responses against both HSV-1 and HSV 2 in mice protecting immunized animals significantly against genital challenge with HSV-1 or HSV-2. Guinea pigs immunized with CJ9-gD were significantly protected against primary and recurrent HSV-2 genital disease and latent infection. PMID- 21052617 TI - [Sonographic differential diagnosis of cervical masses]. AB - One of the main indications for the use of ultrasound by the head and neck specialist is the diagnosis of cervical masses. The examination can be easily performed since all structures of interest are located superficially and are not covered by boney or air-filled structures. Further advantages of ultrasound in contrast to other imaging techniques such as MRI or CT include its high resolution and lack of side effects. Even structures of <5 mm in diameter can be interpreted well. Since ultrasound is fast, has no side effects and can be performed as often as necessary, it represents an excellent diagnostic instrument in the follow-up of patients with head and neck cancer. In addition to the conventional B-scan technique, colour duplex sonography is also often performed today to obtain additional information on the nature of cervical masses. Thus, different entities of cervical lymph nodes can be well differentiated by their pattern of vascularization. PMID- 21052619 TI - [Preoperative abdominal CT reduces negative appendectomies]. PMID- 21052618 TI - Solar energy harvesting in the epicuticle of the oriental hornet (Vespa orientalis). AB - The Oriental hornet worker correlates its digging activity with solar insolation. Solar radiation passes through the epicuticle, which exhibits a grating-like structure, and continues to pass through layers of the exo-endocuticle until it is absorbed by the pigment melanin in the brown-colored cuticle or xanthopterin in the yellow-colored cuticle. The correlation between digging activity and the ability of the cuticle to absorb part of the solar radiation implies that the Oriental hornet may harvest parts of the solar radiation. In this study, we explore this intriguing possibility by analyzing the biophysical properties of the cuticle. We use rigorous coupled wave analysis simulations to show that the cuticle surfaces are structured to reduced reflectance and act as diffraction gratings to trap light and increase the amount absorbed in the cuticle. A dye sensitized solar cell (DSSC) was constructed in order to show the ability of xanthopterin to serve as a light-harvesting molecule. PMID- 21052620 TI - [Digital breast tomosynthesis : technical principles, current clinical relevance and future perspectives]. AB - In recent years digital full field mammography has increasingly replaced conventional film mammography. High quality imaging is guaranteed by high quantum efficiency and very good contrast resolution with optimized dosing even for women with dense glandular tissue. However, digital mammography remains a projection procedure by which overlapping tissue limits the detectability of subtle alterations. Tomosynthesis is a procedure developed from digital mammography for slice examination of breasts which eliminates the effects of overlapping tissue and allows 3D imaging of breasts. A curved movement of the X-ray tube during scanning allows the acquisition of many 2D images from different angles. Subseqently, reconstruction algorithms employing a shift and add method improve the recognition of details at a defined level and at the same time eliminate smear artefacts due to overlapping structures. The total dose corresponds to that of conventional mammography imaging. The technical procedure, including the number of levels, suitable anodes/filter combinations, angle regions of images and selection of reconstruction algorithms, is presently undergoing optimization. Previous studies on the clinical value of tomosynthesis have examined screening parameters, such as recall rate and detection rate as well as information on tumor extent for histologically proven breast tumors. More advanced techniques, such as contrast medium-enhanced tomosynthesis, are presently under development and dual-energy imaging is of particular importance. PMID- 21052622 TI - Mental health symptoms associated with morbidity, not mortality, in an elderly community sample. AB - PURPOSE: Six previous reviews have found a relationship between depression and mortality. However, many past studies have failed to adequately control for the role of physical health. A proposed mechanism of the depression-mortality relationship suggests that physical health may mediate the relationship. The present study used new methods to examine relationships between mental health symptoms and mortality in an elderly community cohort while accounting for potential mediation of these relationships by physical health. METHOD: 896 community-dwelling participants aged 70-97 were assessed four times over 12 years and vital status was tracked for up to 17 years. Relationships of depression and anxiety with survival time, controlling for physical health, age and gender, were tested using Cox proportional hazards regressions embedded in structural equation models. RESULTS: A significant unadjusted relationship between depression symptoms and mortality (HR = 1.09, p < .001) was attenuated to non-significance after controlling for measures of physical health (HR = 1.03, p = .18). No significant relationship was found between anxiety symptoms and mortality. CONCLUSIONS: The relationship between depression and mortality was accounted for by physical health status in this cohort. This finding casts doubt on studies that report a relationship between depression and mortality without adequately considering the effect of physical health. PMID- 21052621 TI - [Tubular ectasia of rete testis: a pitfall in ultrasonographic diagnostics of intratesticular cysts]. AB - BACKGROUND: Tubular ectasia of the rete testis (TERT) sonographically consists of a cluster of anechoic foci located at the mediastinum testis. TERT is important diagnostically, because it might be confused with malignant teratoma that may likewise contain cystic areas. PATIENTS AND METHODS: Twenty-four patients with TERT were identified sonographically. Ten of these patients underwent additional magnetic resonance imaging (1.5 T), and three had contrast-enhanced scrotal sonography. A descriptive analysis was done regarding clinical details and sizes of the single anechoic foci and of the entire areas involved with cystic changes. RESULTS: The median age of the patients with TERT was 60 years. Diagnosis was based on incidental findings or uncharacteristic symptoms in three quarters of the cases. The size of the single cysts was <= 3 mm, 4-6 mm and >= 7 mm in 12, 6 and 6 patients, respectively. The size of the entire area involved with cystic changes ranged from 0.5 x 1.2 cm to 2.5 x 3.0 cm. Six patients had TERT bilaterally, and 18 had concomitant spermatoceles. T2-weighted MRI demonstrated high signal intensity of the cystic areas. No signal enhancement was found in these areas after application of contrast agent. Contrast-enhanced sonography did not show uptake in the TERT areas. Histological evidence for dilatation of the rete testis was found in the two patients undergoing diagnostic surgery. CONCLUSIONS: TERT is a benign lesion of the testis that is increasingly detected sonographically. Knowledge of TERT is essential for the urologic sonographer to avoid unwarranted diagnostics and surgery as well as costs. PMID- 21052623 TI - The profile of suicide: changing or changeable? AB - PURPOSE: The aims of this study were to: (1) examine the role of psychosocial factors, physical and mental health in suicide; (2) to examine gender differences on those variables; and (3) determine whether there was a group who died by suicide who did not have a history of mental illness. METHOD: Data were obtained from The Australian National Coroners Information System (NCIS) for all deaths classified as suicides from 2000 to 2004 in all Australian states. The NCIS is an internet-based system for storing and retrieving data on coronial cases. RESULTS: The overall results from the total sample reinforces many previous findings but also found some differences; importantly, psychiatric morbidity was less than generally reported, and comparable proportions of males and females used violent means to suicide. Using latent class analysis the study identified four clusters of people who had suicided. In two of those clusters mental illness appeared to be a significant factor; in one of those two clusters the mental illness was compounded by additional drug and alcohol and relationship problems whilst the other was without such levels of comorbidity. The third group was predominantly male, older and physical illness seemed to be a significant factor. The final group was characterised by low rates of mental illness and treatment for the same, but marked by relationship and financial difficulties. CONCLUSIONS: These data may suggest that the profile of suicide is changing or changeable. Certainly there has been a shift in the gender profile with comparable proportions of women and men. Whilst mental illness remains a major risk factor, perhaps greater emphasis needs to be placed on the broader psychosocial issues which may initiate or hasten the pathway to suicide. In addition, it may be that the relative contribution of mental illness and other factors is fluid in relation to both life stage and life circumstances. Suicide prevention programmes might usefully define a range of discrete areas of work. PMID- 21052624 TI - Determination of ozonization reaction rate constants of aromatic pollutants and QSAR study. AB - Rate constants of ozone with 39 aromatic compounds in aqueous solution were determined at 298 K. And optimized calculation was carried out at B3LYP/6-311G** level with DFT method. 10 molecular parameters obtained from calculations were selected as the descriptors to establish QSAR models for predicting the rate constants. These descriptors include structural, electronic and thermodynamic parameters. The optimum model was -logk' = 4.656 + 0.015CMA-1.684E (LUMO) 3.057qH(+), of which square regression coefficient R2 = 0.791, standard deviation SD = 0.126. Stability of the model was checked by leave-one-out cross-validation and variation inflation factor. The QSAR model showed that the main contribution to degradation was the CMA parameter. PMID- 21052625 TI - [Tendinopathy of the tibialis anterior tendon : surgical management]. AB - A tendinopathy of the tibialis anterior tendon is a rare clinical problem. MRI is the diagnostic tool of choice. The first-line therapy should be conservative. We report about five patients who underwent operative therapy after failed conservative treatment. The operation included debridement and augmentation of the tendon. The follow-up was at least 6 months. The mean preoperative Kitaoka score was 63 (50-68) points. After 3 months follow-up the mean Kitaoka score was up to 84 (80-90) points and at the 6-month follow-up up to 96 (94-100) points. The results showed a significant reduction of pain. Operative therapy should be considered in cases of failed conservative therapy. PMID- 21052626 TI - [Toxic shock syndrome (TSS) after a postsurgery knee infection]. AB - We report on a case of toxic shock syndrome (TSS) in a 17-year-old healthy patient after surgery of the right knee joint following a traumatic patella luxation. Two days after the intervention the patient showed fever, diarrhea, cough, and a diffuse erythroderma without any signs of postsurgical wound infection. Septic shock and acute renal failure followed. It was possible to identify a Staphylococcus, which originated the toxic shock toxin. As result of treatment, full recovery was achieved. TSS can occur in its full picture in a postoperative wound infection in a young healthy patient without showing local signs of infection at the operation site. PMID- 21052627 TI - [One-stage aseptic revision of loosened metatarsophalangeal prosthesis]. AB - The high loosening rate of the Moje prosthesis in the treatment of hallux rigidus caused disappointing medium term results. Arthrodesis using an iliac crest bone graft is the standard salvage procedure. We present short-term results after one stage revision of the metatarsophalangeal joint using the TOEFIT-PLUSTM prosthesis for implant revision. Clinical and radiological examinations were performed on three metatarsophalangeal joints after explantation of the Moje prosthesis and one-stage revision using the TOEFIT-PLUSTM prosthesis for implant revision. The AOFAS score and VAS were checked preoperatively and 6 and 12 months after surgery.Good to very good short-term results after one-stage revision of the metatarsophalangeal joint using the TOEFIT-PLUSTM prosthesis for implant revision could be demonstrated in this study. One-stage revision of the arthroplasty of the metatarsophalangeal joint can increase the range of motion and avoid arthrodesis entailing iliac crest bone graft morbidity. PMID- 21052628 TI - [Therapy-resistant, atrophic and septic femoral pseudarthrosis]. AB - Non-union is a common and serious complication in orthopaedic surgery with high socioeconomic importance. In addition to conventional methods for the treatment of non-unions bone morphogenetic protein (BMP)-7 for the induction of bone tissue is available. The case report demonstrates successful treatment of a septic and atrophic femoral non-union by combination therapy with BMP-7 and autologous spongiosa graft after multiple revision surgeries. PMID- 21052629 TI - [Monarthritis of the ankle due to osteoid osteoma of the talus. Difficulties in diagnosis and treatment]. AB - The case of a 22-year-old man with an osteoid osteoma of the right talus neck is reported. After 2 years of pain and swelling we confirmed the diagnosis by CT and MRI scan. Afterwards we performed CT-guided thermocoagulation; 12 months afterwards the patient shows no symptoms anymore. PMID- 21052630 TI - [Congenital clubfoot]. AB - Congenital clubfoot is one of the most common congenital skeletal deformities with an incidence of 1-2/1000 newborns. The deformity is characterized by pathological changes of joints, bones (especially the talus), muscles, tendons and soft tissues which result in subtalar malpositions known as talipes equinus, varus adductus and cavus. Secondary clubfoot is always part of an underlying systemic or neurologic disease and can occur at birth or develop over time. The treatment of clubfoot should start early after birth and is primarily conservative, involving manipulation and serial casting. Among conservative techniques available today, the Ponseti method is the treatment of choice. Applying this treatment protocol surgical therapy can be reduced in amount and extent. Extensive surgical therapy is only necessary in exceptional cases. PMID- 21052631 TI - [Primary hip and knee replacement: time required for surgical training]. AB - BACKGROUND: The aim of the present study is to analyse the increased surgical time required due to supervised surgery as an element of costs of education. MATERIAL AND METHODS: Incision to closure times of 353 primary hip and knee prostheses were evaluated according to educational level. Differences between planned and real operation times were recorded, and the mean DRG proceeds per minute of surgical time were determined. RESULTS: The difference between incision to closure times of the board certified surgeons for the respective surgical interventions and that of the supervised surgery is statistically significant (p<0.01) and clinically relevant (+15 min for THA, +13 min for TKA). The correlation between planned and real operation time was significantly lower in the category of supervised surgery. CONCLUSION: There is an increased surgical time required for surgical training. It is the responsibility of health care policy to ensure an appropriate financial compensation. PMID- 21052632 TI - [Traumatic muscle and tendon ruptures of the lower extremities in sport: adductor muscles, M. rectus femoris and M. biceps femoris]. AB - Ruptures of the adductor muscles, the M. rectus femoris or the M. biceps femoris are sports injuries which need quick and reliable diagnostic management. Treatment of muscle injuries is mostly conservative; complete tendon ruptures or avulsion fractures of the tendons are treated operatively according to the dislocation and the functional loss. PMID- 21052633 TI - [Traumatic and degenerative tendon lesions of the hand]. AB - Tendon lesions are the second most common injury in the hand and therefore an important factor in orthopedic patients. Most injuries are open injuries to the flexor or extensor tendons; nevertheless, also less frequent injuries such as damage to the functional system of tendon sheath and pulley or dull avulsions need to be considered. Besides the clinical examination, ultrasound and MRI have proven to be important diagnostic tools. In the postoperative course of flexor tendon injuries, the principle of early passive movement is important to trigger "intrinsic" tendon healing to guarantee a good outcome. PMID- 21052634 TI - [Total hip replacement using the Staffelstein score: outcome of inpatient rehabilitation]. AB - BACKGROUND: The aim of the study was to evaluate the effectivity of rehabilitation procedures performed for several weeks after total hip arthroplasty and to analyze the factors influencing rehabilitation results. METHODS: The data of 141 patients following total hip replacement were analyzed comparatively, using the Staffelstein score, both during admission and discharge. Of the 141 patients, 93 (66%) were female and 48 (34%) male, with an average age of 74.9 years. The score according to Staffelstein was taken into consideration in the questionnaire. RESULTS: The average Staffelstein score on admission in patients undergoing total hip replacement was 73.1 points. At discharge an average of 103.2 points was reached after rehabilitation treatment. This represents a significant difference (<0.001). The result of rehabilitation was independent of sex, age, or weight bearing. CONCLUSION: With this study and the use of the Staffelstein score we were able to establish the effectivity of rehabilitation procedures performed for several weeks after total hip arthroplasty. The rehabilitation results were independent of gender, age, and weight bearing. PMID- 21052635 TI - [Total knee replacement with ceramic femoral components: a national prospective multicenter study of clinical and radiological outcomes]. AB - BACKGROUND: The purpose of this prospective multicenter study was to evaluate the clinical and radiological outcomes of an unconstrained bicondylar total knee prosthesis with a new ceramic femoral component made of BIOLOX(r) delta. MATERIAL AND METHODS: Fifty patients underwent cemented total knee arthroplasty in three hospitals/centers. Clinical and radiological evaluations were undertaken preoperatively and at 3 as well as 12 months postoperatively using the HSS, WOMAC, and SF-36 scores. RESULTS: During 12 months follow-up three patients had to undergo revision surgery due to non-implant-related reasons (infection, periprosthetic fracture, and retropatellar replacement). The mean preoperative HSS score amounted to 56.2+/-11.0 points. At 3 and 12 months follow-up the mean HSS score was 75.5+/-11.5 and 83.6+/-10.9 points, respectively. Therefore, HSS and WOMAC scores improved significantly from preoperative values at both postoperative evaluations. The first significant improvement of SF-36 score was seen after 12 months. Radiolucent lines around the femoral ceramic components were found in one case. CONCLUSION: Subsequent long-term studies must be carried out in order to clarify the potential benefits of ceramic femoral components and confirm the good early clinical result. Moreover, the specific material properties of ceramic implants must be considered during implantation. PMID- 21052636 TI - Sacral neuromodulation after stabilization of L2-S1 vertebrae with metallic fixation devices: is it feasible? AB - Sacral neuromodulation is a surgical treatment for voiding difficulties. We report the case of a patient affected by overflow urinary incontinence and reduced bladder sensation subsequent to decompression and stabilization of L2-S1, showing that sacral nerve stimulation can be used in patients with sacral anatomy distortion and metallic fixation system. In these cases, implant is feasible with fluoroscopic guidance and little changes of standard implantation procedure. PMID- 21052637 TI - Combined anterior trans-obturator mesh and sacrospinous ligament fixation in women with severe prolapse--a case series of 30 months follow-up. AB - INTRODUCTION AND HYPOTHESIS: To study the efficacy and safety of performing anterior mesh (Perigee) with vaginal reconstructive surgeries (sacrospinous ligament fixation) for treatment of advanced prolapse. METHODS: One hundred twenty-eight patients, POP-Q stage III (n = 85) or IV (n = 43), underwent surgery. The objective cure was defined as less than stage 2 prolapse. Introital ultrasonography was used for mesh morphological evaluation. RESULTS: Post operative data were available for 120 patients. At 30 months, the objective cure was 91.8%. The subjective cure was 93.3% on POPDI-6 feedback. No apical and anterior recurrence was observed. Surgical complications were minor. Five cases (4.1%) of mesh extrusion was observed. Mesh shortening, shrinkage, and thickening was also observed. CONCLUSION: The combination of anterior vaginal mesh and vaginal reconstructive surgery appears to be a safe and effective in restoring the anatomy and achieving favorable pelvic function. The anterior mesh deployed seems to cover a lesser area than anticipated. A longer period of follow-up is necessary to confirm its efficacy. PMID- 21052638 TI - The effect of PTH(1-84) or strontium ranelate on bone formation markers in postmenopausal women with primary osteoporosis: results of a randomized, open label clinical trial. AB - We explored the effects of PTH(1-84) compared with strontium ranelate on bone remodeling as measured by bone remodeling markers in postmenopausal women with osteoporosis. Biochemical markers of bone formation were significantly increased after treatment with PTH(1-84) but not strontium ranelate, indicating a different mechanism of action between these agents. INTRODUCTION: PTH(1-84) and strontium ranelate (SR) are both known to reduce fracture risk in osteoporosis. Measuring changes in biochemical markers of bone turnover induced by these agents can help in characterizing the action of PTH(1-84) and SR on bone remodeling. METHODS: A 24-week, randomized, open-label, parallel group, phase IV trial was conducted in 81 postmenopausal women with primary osteoporosis (>=50 years of age, lumbar spine, or total hip T-score <=-2.5 SD) to assess the effect of SR as compared to PTH(1-84) on bone formation markers P1NP and BSAP. The bone resorption marker CTX was also measured. Subjects were randomly assigned to receive daily either 100 MUg PTH(1-84) (n = 41) (subcutaneous injection) or oral 2 g SR (n = 40) for 24 weeks with daily supplements of 800 IU vitamin D(3) and 1,000 mg calcium. Patient reported outcomes were collected to investigate the effect of treatment on quality of life (QoL). RESULTS: Percentage changes from baseline in P1NP and BSAP were significantly increased for PTH(1-84) by week 24 compared with SR (p < 0.0001). Significant changes from baseline in P1NP and BSAP were noted for PTH(1 84) from week 4 onwards; no significant changes were noted for SR. A trend towards a positive impact on QoL was seen with PTH(1-84) treatment. Safety profiles concur with previous analyses. CONCLUSIONS: PTH(1-84) had a more rapid and higher effect on bone formation markers compared to SR, indicating that SR has a different mode of action on bone remodeling than the bone building agent PTH(1-84) in postmenopausal women with osteoporosis. PMID- 21052639 TI - Association of SMAD2 polymorphisms with bone mineral density in postmenopausal Korean women. AB - In a candidate gene association study, we found that SMAD2 promoter alleles and haplotypes were significantly associated with bone mineral density (BMD) at the lumbar spine and various proximal femur sites. Our results suggest that SMAD2 polymorphisms may be one of genetic determinants of BMD in postmenopausal women. INTRODUCTION: SMAD2, which is the specific intracellular transducer of TGF-beta, is thought to participate in bone metabolism by playing a critical role in the development and function of osteoclasts and osteoblasts. We performed association analyses of the genetic variation in SMAD2 to ascertain the contribution of this gene to BMD and risk of osteoporotic fracture. METHODS: We selected three SMAD2 promoter single-nucleotide polymorphisms (SNPs) based on heterozygosity and validation status. Postmenopausal Korean women (n = 1,329) were genotyped for these SNPs, and their BMD and risk of fractures were assessed. BMD at the lumbar spine and proximal femur was measured using dual-energy X-ray absorptiometry. P values were corrected for multiple testing by the effective number of independent marker loci (P (cor)). RESULTS: We found that SMAD2 -35302C>T, -34952A>G, and ht2 were significantly associated with BMD at both the lumbar spine and femur neck (P (cor) = 0.020-0.046), whereas SMAD2 -36201A>G and ht1 affected the femur neck BMD (P (cor) = 0.018-0.031). The genetic effects of these three polymorphisms on BMD at the lumbar spine and femur neck were risk-allele dependent in additive model. The three polymorphisms and two hts were also significantly associated with BMD at other proximal femur sites, such as the total femur, trochanter, and femur shaft (P (cor) = 0.001-0.046). However, none of the polymorphisms or hts was associated with an increased risk of fracture. CONCLUSIONS: Our results suggest that SMAD2 polymorphisms may be one of genetic determinants of BMD in postmenopausal women. PMID- 21052640 TI - Implementation of osteoporosis guidelines: a survey of five large fracture liaison services in the Netherlands. AB - SUMMARY: Implementation of case findings according to guidelines for osteoporosis in fracture patients presenting at a Fracture Liaison Service (FLS) was evaluated. Despite one guideline, all FLSs differed in the performance of patient selection and prevalence of clinical risk factors (CRFs) indicating the need for more concrete and standardised guidelines. INTRODUCTION: The aim of the study was to evaluate the implementation of case findings according to guidelines for osteoporosis in fracture patients presenting at FLSs in the Netherlands. METHODS: Five FLSs were contacted to participate in this prospective study. Patients older than 50 years with a recent clinical fracture who were able and were willing to participate in fracture risk evaluation were included. Performance was evaluated by criteria for patient recruitment, patient characteristics, nurse time, evaluated clinical risk factors (CRFs), bone mineral density (BMD) and laboratory testing and results of CRFs and BMD are presented. Differences between FLSs were analysed for performance (by chi-square and Student's t test) and for prevalence of CRFs (by relative risks (RR)). RESULTS: All FLSs had a dedicated nurse spending 0.9 to 1.7 h per patient. During 39 to 58 months follow-up, 7,199 patients were evaluated (15 to 47 patients/centre/month; mean age, 67 years; 77% women). Major differences were found between FLSs in the performance of patient recruitment, evaluation of CRFs, BMD and laboratory testing, varying between 0% and 100%. The prevalence of CRFs and osteoporosis varied significantly between FLSs (RR between 1.7 and 37.0, depending on the risk factor). CONCLUSION: All five participating FLSs with a dedicated fracture nurse differed in the performance of patient selection, CRFs and in the prevalence of CRFs, indicating the need for more concrete and standardised guidelines to organise evaluation of patients at the time of fracture in daily practice. PMID- 21052643 TI - Dispersive liquid-liquid microextraction combined with high-performance liquid chromatography-tandem mass spectrometry for the identification and the accurate quantification by isotope dilution assay of ochratoxin A in wine samples. AB - A novel approach for the rapid analysis of ochratoxin A (OTA) in wine samples is presented. Mycotoxin was extracted and concentrated from matrix using dispersive liquid-liquid microextraction (DLLME). The final extract is analyzed by liquid chromatography coupled to positive electrospray ionization tandem mass spectrometry employing [(2)H(5)]-ochratoxin A as internal standard. Some important parameters, such as the nature and volume of extraction solvent and dispersive solvent, and salt effect were investigated and optimized to achieve the best extraction efficiency and higher enrichment factor. Under the optimum extraction condition, the method provided enrichment factor around 80 times and showed a high sensitivity with method detection and quantification limits of 0.005 and 0.015 ng mL(-1), respectively. To test the accuracy of the analytical procedure, the optimized method was applied to the analysis of reference material T1755 (naturally contaminated white wine), with excellent results (accuracy of 103%) and showing a good precision with a CV (n = 6) of 5.8%. The proposed method, which is demonstrated to be quick, cheap, accurate and highly selective, was successfully applied to the analysis of Italian wines. PMID- 21052642 TI - Oral bisphosphonates are associated with reduced mortality after hip fracture. AB - Intravenous bisphosphonates reduce mortality following hip fracture. We determined whether new use of oral bisphosphonates was also associated with reductions in mortality in 209 hip fracture patients. Oral bisphosphonate exposure led to relative reduction of 8% per month of use (p = 0.001) or about a 60% reduction in mortality per year of use. INTRODUCTION: Intravenous bisphosphonates reduce mortality following hip fracture. Using prospectively collected long-term data from a randomized trial of osteoporosis quality improvement for hip fracture, we determined whether new use of oral bisphosphonates was associated with reductions in mortality or the composite outcome of death or new fracture. METHODS: Originally, 220 hip fracture patients were randomized to case manager (n = 110) or usual care followed by facilitated bone mineral density (BMD) testing (n = 110) interventions. All were eligible for bisphosphonate treatment. Post-randomization, we followed patients for 3 years and ascertained bisphosphonate treatment, medication adherence and persistence, all-cause mortality, and new clinical fractures. Proportional hazards analyses with time-varying treatment status were undertaken. RESULTS: The final study cohort included 209 patients: 136 (65%) females, 104 (50%) older than 75 years, 90 (43%) with poor self-reported health, and 38 (18%) underweight. Of these, 76 (36%) had a previous fracture before hip fracture and 132 (81%) had low BMD. A total of 101 (46%) patients started oral bisphosphonates and 65 (64%) remained on treatment at the final evaluation. Overall, 24 (11%) patients died, 19 (9%) had new fractures, and 42 (20%) reached the composite outcome of death or fracture. Compared to no treatment, bisphosphonate exposure was independently associated with reduced mortality (17[16%] vs. 7[7%]; adjusted hazard ratio (aHR) = 0.92 per month treated; 95%CI, 0.88-0.97) and composite endpoints (28[26%] vs. 5[15%]; aHR = 0.94 per month treated; 95%CI, 0.91-0.97). CONCLUSION: Like intravenous bisphosphonates after hip fracture, our study suggests that oral bisphosphonates may be associated with reductions in all-cause mortality. PMID- 21052641 TI - Influence of age and sex steroids on bone density and geometry in middle-aged and elderly European men. AB - SUMMARY: The influence of age and sex steroids on bone density and geometry of the radius was examined in two European Caucasian populations. Age-related change in bone density and geometry was observed. In older men, bioavailable oestradiol may play a role in the maintenance of cortical and trabecular bone mineral density (BMD). INTRODUCTION: To examine the effect of age and sex steroids on bone density and geometry of the radius in two European Caucasian populations. METHODS: European Caucasian men aged 40-79 years were recruited from population registers in two centres: Manchester (UK) and Leuven (Belgium), for participation in the European Male Ageing Study. Total testosterone (T) and oestradiol (E(2)) were measured by mass spectrometry and the free and bioavailable fractions calculated. Peripheral quantitative computed tomography was used to scan the radius at distal (4%) and midshaft (50%) sites. RESULTS: Three hundred thirty nine men from Manchester and 389 from Leuven, mean ages 60.2 and 60.0 years, respectively, participated. At the 50% radius site, there was a significant decrease with age in cortical BMD, bone mineral content (BMC), cortical thickness, and muscle area, whilst medullary area increased. At the 4% radius site, trabecular and total volumetric BMD declined with age. Increasing bioavailable E(2) (bioE(2)) was associated with increased cortical BMD (50% radius site) and trabecular BMD (4% radius site) in Leuven, but not Manchester, men. This effect was predominantly in those aged 60 years and over. In older Leuven men, bioavailable testosterone (Bio T) was linked with increased cortical BMC, muscle area and SSI (50% radius site) and total area (4% radius site). CONCLUSIONS: There is age-related change in bone density and geometry at the midshaft radius in middle-aged and elderly European men. In older men bioE(2) may maintain cortical and trabecular BMD. BioT may influence bone health through associations with muscle mass and bone area. PMID- 21052644 TI - Slowed diffusion of single nanoparticles in the extracellular microenvironment of living cells revealed by darkfield microscopy. AB - We obtained vertical distribution of diffusion coefficients of single gold nanoparticles (AuNPs) in the extracellular solution space of living cells with optical sectioning darkfield microscopy. It was identified that before reaching the plasma membrane surface during their cellular uptake process, AuNPs must diffuse through a viscous pericellular "buffer zone" several microns thick where their motion is retarded significantly. The pericellular layer exists in two different cell types and is unrelated to the surface chemistry of AuNPs. Further studies on its properties and manipulation may help the development of nanoparticle probes and carriers. PMID- 21052645 TI - A new bacterial biosensor for trichloroethylene detection based on a three dimensional carbon nanotubes bioarchitecture. AB - Trichloroethylene (TCE), a suspected human carcinogen, is one of the most common volatile groundwater contaminants. Many different methodologies have already been developed for the determination of TCE and its degradation products in water, but most of them are costly, time-consuming and require well-trained operators. In this work, a fast, sensitive and miniaturised whole cell conductometric biosensor was developed for the determination of trichloroethylene. The biosensor assembly was prepared by immobilising Pseudomonas putida F1 bacteria (PpF1) at the surface of gold interdigitated microelectrodes through a three-dimensional alkanethiol self-assembly monolayer/carbon nanotube architecture functionalised with Pseudomonas antibodies. The biosensor response was linear from 0.07 to 100 MUM of TCE (9-13,100 MUg L(-1)). No significant loss of the enzymatic activity was observed after 5 weeks of storage at 4 degrees C in the M457 pH 7 defined medium (two or three measurements per week). Ninety-two per cent of the initial signal still remained after 7 weeks. The biosensor response to TCE was not significantly affected by cis-1,2-dichloroethylene and vinyl chloride and, in a limited way, by phenol. Toluene was the major interference found. The bacterial biosensor was successfully applied to the determination of TCE in spiked groundwater samples and in six water samples collected in an urban industrial site contaminated with TCE. Gas chromatography-mass spectrometric analysis of these samples confirmed the biosensor measurements. PMID- 21052646 TI - In vitro and in vivo affinity microdialysis sampling of cytokines using heparin immobilized microspheres. AB - Heparin-immobilized microspheres were included in microdialysis sampling perfusion fluids under both in vitro and in vivo conditions to improve the recovery of different cytokines, acidic fibroblast growth factor, vascular endothelial growth factor, monocyte chemoattractant protein-1 (or CCL2), and regulation upon activation normal T cell express sequence (or CCL5). Different strategies to dissociate captured CCL2 and CCL5 from the immobilized heparin were attempted, and both cytokines could be quantitatively eluted from the beads using a phosphate buffer (pH 7.4) containing 25% (v/v) acetonitrile which did not interfere with the subsequent detection of cytokine using an ELISA assay. Using these heparin-immobilized microspheres, a two to fivefold increase of microdialysis relative recovery (RR) was achieved for the four cytokines from a quiescent solution. Enhanced microdialysis RR of CCL2 using the heparin immobilized microspheres from microdialysis probes implanted into the peritoneal cavity of a rat was performed to test the in vivo application. This work suggests that the heparin-immobilized microspheres provide an alternative affinity agent to the previously used antibody-immobilized microspheres for enhanced microdialysis sampling of cytokines. PMID- 21052648 TI - Chromatographic methods and techniques used in studies of coals, their progenitors and coal-derived materials. AB - The use of chromatography in studies of coals, their progenitors and coal-related products was reviewed. The specificity of the coal structure was discussed. The use of extraction in preparing study samples was discussed paying special attention to the occurrence of undesirable phenomena such as aggregation of coal derivate molecules, resulting from the formation of their dimers and trimers, and degradation of polar solvents at temperatures above 350 degrees C. The following ways of fractionating samples of coal materials were considered: thermal, solvent, column with the use of preparative size exclusive chromatography and preparative thin layer chromatography as well as membrane separation. The use of chromatography coupled with experimental techniques such as mass spectrometry, infrared spectroscopy, matrix-assisted laser desorption/ionization time-of-flight mass spectrometry and pyrolysis was analysed. PMID- 21052647 TI - Nanoparticles as fluorescent labels for optical imaging and sensing in genomics and proteomics. AB - Optical labelling reagents (dyes and fluorophores) are an essential component of probe-based biomolecule detection, an approach widely employed in a variety of areas including environmental analysis, disease diagnostics, pharmaceutical screening, and proteomic and genomic studies. Recently, functional nanomaterials, as a new generation of high-value optical labels, have been applied to molecular detection. The great potential of such recent optical labels has paved the way for the development of new biomolecule assays with unprecedented analytical performance characteristics, related to sensitivity, multiplexing capability, sample throughput, cost-effectiveness and ease of use. This review aims to provide an overview of recent advances using different nanoparticles (such as quantum dots, rare earth doped nanoparticles or gold nanoparticles) for analytical genomics and proteomics, with particular emphasis on the outlook for different strategies of using nanoparticles for bioimaging and quantitative bioanalytical applications, as well as possibilities and limitations of nanoparticles in such a growing field. PMID- 21052649 TI - Recent advances of chromatography and mass spectrometry in lipidomics. AB - Lipidomics, as a novel branch of metabolomics, which is aimed at comprehensive analysis of lipids and their biological roles with respect to health and diseases, has attracted increased attention from biological and analytical scientists. As a result of the complexity and diversity of lipids, accurate identification and efficient separation are required for lipidomics analysis. Mass spectrometry (MS) and chromatography have been extensively developed in the past few decades and hold a distinguished position in qualification and separation science. They are powerful and indispensable tools for lipidomics. Herein, we present the recent advancement of MS, chromatography, and their hyphenation technologies in lipidomics. PMID- 21052651 TI - Quantification of isotope-labelled and unlabelled folates in plasma, ileostomy and food samples. AB - New stable isotope dilution assays were developed for the simultaneous quantitation of [(13)C(5)]-labelled and unlabelled 5-methyltetrahydrofolic acid, 5-formyltetrahydrofolic acid, folic acid along with unlabelled tetrahydrofolic acid and 10-formylfolic acid in clinical samples deriving from human bioavailability studies, i.e. plasma, ileostomy samples, and food. The methods were based on clean-up by strong anion exchange followed by LC-MS/MS detection. Deuterated analogues of the folates were applied as the internal standards in the stable isotope dilution assays. Assay sensitivity was sufficient to detect all relevant folates in the respective samples as their limits of detection were below 0.62 nmol/L in plasma and below 0.73 MUg/100 g in food or ileostomy samples. Quantification of the [(13)C(5)]-label in clinical samples offers the possibility to differentiate between folate from endogenous body pools and the administered dose when executing bioavailability trials. PMID- 21052652 TI - The green beans challenge. PMID- 21052653 TI - Improvement of femoral bone quality after low-magnitude, high-frequency mechanical stimulation in the ovariectomized rat as an osteopenia model. AB - The treatment and prevention of osteoporosis involve great challenges. Nonpharmacological and supportive therapy procedures, sport, and physical exercises seem to prevent bone loss and improve bone mass. In the present study, we examined the effect of whole-body vertical vibration (WBVV) on femoral intertrochanteric bone quality in the rat osteoporosis model. Sixty female Sprague-Dawley rats, 3-month old, were ovariectomized (OVX) or sham-operated. After 3 months, each group was divided into two subgroups. In one of the subgroups, rats were treated with WBVV at 90 Hz (3.9 g) for 35 days; the second subgroup remained untreated. After killing the animals, biomechanical strength and trabecular bone architecture of the proximal region of femurs were analyzed. New cortical bone appositions and mineral density of femurs were additionally measured. Treatment with WBVV resulted in improved biomechanical properties. Maximal load and stiffness of the intertrochanteric region of femurs after WBVV were significantly enhanced. Maximal load and stiffness in treated OVX animals reached the levels observed in untreated sham rats. WBVV significantly improved all measured histomorphometric parameters in the trabecular area. Treated rats showed significantly improved mineral content in ashed femurs compared to untreated animals. A comparison of widths of fluorescence bands in cortical bone of subtrochanteric cross sections did not show any significant differences between the groups after WBVV. Low-magnitude, high-frequency mechanical stimulation improves bone strength in the proximal femur and may be a possible nonpharmacologic treatment option for postmenopausal osteoporosis. PMID- 21052654 TI - Carotid stenting in acute ischemic stroke patients with intraluminal thrombus. AB - INTRODUCTION: Carotid stenosis with intraluminal thrombus is associated with a high risk of early recurrent stroke. We evaluated the feasibility and outcome of carotid stenting in acute ischemic stroke patients with carotid stenosis and intraluminal thrombus. METHODS: Among 295 consecutive acute ischemic stroke patients who were referred for intra-arterial thrombolytic (IAT) therapy, six patients with carotid stenosis and intraluminal thrombus were treated by stent assisted angioplasty. The clinical characteristics, feasibility, and clinical outcomes were assessed. RESULTS: All patients had severe stenosis of the underlying carotid bulb (mean, 86.8%; range, 71-99%) with adjacent intraluminal thrombus. Stent assisted angioplasty resulted in successful recanalization in all six patients. Thrombus was captured with the filter device in four patients. Three patients with tandem occlusion of the ipsilateral proximal middle cerebral artery were successfully recanalized with intra-arterial urokinase. No patients suffered procedure related complications or symptomatic hemorrhage. Four patients showed good long-term outcome (3 month mRS; 0-2). CONCLUSIONS: Stent assisted angioplasty is a feasible treatment option for acute ischemic stroke patients caused by carotid stenosis with intraluminal thrombus and may be effective in preventing early recurrent stroke. PMID- 21052655 TI - Neonatal hypoglycemia resulting in occipital cerebral injury. PMID- 21052656 TI - Skeletal imaging effects of pamidronate therapy in osteosarcoma patients. AB - BACKGROUND: After observing metaphyseal changes in pediatric and young adult patients with osteosarcoma who were treated with pamidronate, we initiated a retrospective study to identify bone findings on computed radiography of the chest and extremities, chest CT, extremity MRI, and radionuclide bone scans in this population. OBJECTIVE: To review the generalized skeletal imaging findings on computed radiography, CT, and MR examinations in patients with osteosarcoma (OS) receiving pamidronate. MATERIALS AND METHODS: A retrospective review of 40 patients with newly diagnosed OS (mean age, 16.5 years) receiving pamidronate with chemotherapy were identified at one institution. Computed radiography, CT, MR, and bone scans on 36 patients were reviewed for osseous changes. RESULTS: Dense metaphyseal bands at the growth plates in long bones, epiphyseal ossification centers, anterior rib ends, sternum and spine were observed. Osseous changes occurred on CT in 69% of patients, and on computed chest radiography in 53%. Bone-within-bone appearance in the spine and ossification centers was identified on computed radiography in 36%. CONCLUSION: OS patients treated with pamidronate can develop metaphyseal sclerotic bands as well as epiphyseal and vertebral endplate sclerosis progressing to a bone-within-bone appearance. Findings occur more frequently in younger patients with open epiphyses. PMID- 21052657 TI - Soil microbial diversity in the vicinity of a Negev Desert shrub--Reaumuria negevensis. AB - The Negev Desert is characterized by low soil-water availability and organic matter content, as well as important factors significantly influencing soil biological activity. In order to overcome the xeric environment, plant and soil biota have evolutionarily developed, over time, ecophysiological abilities that help them fulfill their biological role and function. Microorganisms are known as a major part of the ecosystem's total biomass and play an important role in decomposition processes and the nutrient cycle. Perennial shrubs have been found to play an important role as organic matter suppliers and as a physical barrier prolonging biological activity of microbial communities. Soil samples were collected monthly, from November 2006 to November 2007, from a 0 to 10-cm depth under the canopies of Reaumuria negevensis and from open areas (control) in order to evaluate abiotic components and microbial variables on a temporal basis. H' values, evenness, and beta diversity (Sorensen's similarity) were determined by a molecular method based on sequencing. Water availability, organic matter content, and total soluble nitrogen were higher in soil samples collected in the vicinity of R. negevensis than in samples collected in open areas. Our study also indicated that, in spite of the similarity between H' values of soil samples collected in the vicinity of R. negevensis and the open area, a low percentage of similarity was found between the soil bacterial populations. These results support the hypothesis that distribution of resources in the environment under R. negevensis shrubs varies in space and time and also influences soil microbial diversity and the abiotic environmental role. PMID- 21052658 TI - Meniscal T1rho and T2 measured with 3.0T MRI increases directly after running a marathon. AB - PURPOSE: To prospectively evaluate changes in T1rho and T2 relaxation time in the meniscus using 3.0 T MRI in asymptomatic knees of marathon runners and to compare these findings with those of age-matched healthy subjects. MATERIAL AND METHODS: Thirteen marathon runners underwent 3.0 T MRI including T1rho and T2 mapping sequences before, 48-72 h after, and 3 months after competition. Ten controls were examined at baseline and after 3 months. All images were analyzed by two musculoskeletal radiologists identifying and grading cartilage, meniscal, ligamentous. and other knee abnormalities with WORMS scores. Meniscal segmentation was performed to generate T1rho and T2 maps in six compartments. RESULTS: No differences in morphological knee abnormalities were found before and after the marathon. However, all marathon runners showed a significant increase in T1rho and T2 values after competition in all meniscus compartments (p < 0.0001), which may indicate changes in the biochemical composition of meniscal tissue. While T2 values decreased after 3 months T1rho values remained at a high level, indicating persisting changes in the meniscal matrix composition after a marathon. CONCLUSION: T2 values in menisci have the potential to be used as biomarkers for identifying reversible meniscus matrix changes indicating potential tissue damage. T1rho values need further study, but may be a valuable marker for diagnosing early, degenerative changes in the menisci following exercise. PMID- 21052659 TI - PET/CT in paediatric oncology: clinical usefulness and dosimetric concerns. PMID- 21052660 TI - Monitoring response to imatinib using MRI signals in aggressive fibromatosis. PMID- 21052662 TI - Cholecystoduodenal fistula: ultrasonographic diagnosis with oral gastrointestinal ultrasound contrast medium. AB - OBJECTIVE: To determine the value of ultrasonography in the diagnosis of cholecystoduodenal fistulas (CDFs). METHODS: Twenty-three patients with calculous cholecystitis were suspected to have CDFs on pre-operative ultrasonography. Oral gastrointestinal (GI) ultrasound contrast medium was infused to dynamically observe the presence or absence of a CDF, and the results were compared with the operative findings. The criterion for ultrasonic diagnosis of CDF was dynamic observation of the contrast medium entering the gallbladder through the fistula orifice. RESULTS: Of the 23 enrolled patients, oral GI contrast medium in the duodenum was observed entering the gallbladder through the fistula orifice in 18 patients (78.3%), all of whom were later confirmed operatively to have a CDF. In the remaining 5 patients in whom no GI ultrasound contrast medium was observed entering the gallbladder, 2 were confirmed by surgery to have a CDF, and 3 were shown not to have a CDF. CONCLUSION: On the basis of routine ultrasonography, dynamic observation through oral infusion of GI ultrasound contrast medium is a simple, practical, non-invasive, and effective method of diagnosing CDF. PMID- 21052663 TI - Spontaneous isolated inferior mesenteric artery dissection. AB - This report presents a case of a spontaneous isolated inferior mesenteric artery (IMA) dissection. To the best of our knowledge, it is the first report in the literature. A fifty-eight-year-old female who suffered from acute left lower abdominal pain was admitted. CT scan and digital subtraction angiography indicated dilation in the proximal part of the IMA and occlusion in its distal part. Signs of peri-artery exudation also seen in the CT scan. Laparotomy confirmed the diagnosis of IMA dissection and secondary thrombosis in its branches. After thrombectomy and intimal flap resection, the artery was successfully reconstructed with an autogenous vein patch. Isolated IMA dissection should be considered as one of the differentiation for patients with acute abdomen. Dilation, occlusion of the artery, and signs of peri-artery edema were important clues to suspect the IMA dissection. High resolution spiral CT, which may sometimes reveal the signs such as double lumen and intimal flap, helped to establish the diagnosis of such lesion. PMID- 21052661 TI - Phase II study of radiopeptide 177Lu-octreotate and capecitabine therapy of progressive disseminated neuroendocrine tumours. AB - PURPOSE: In this phase II study we investigated the safety and efficacy of combination capecitabine and (177)Lu-octreotate for the treatment of disseminated, progressive, unresectable neuroendocrine tumours (NETs). METHODS: Enrolled in the study were 33 patients with biopsy-proven NETs, positive (111)In octreotide scintigraphy and progressive disease measurable by CT/MRI who were to receive four cycles of 7.8 GBq (177)Lu-octreotate 8-weekly, with 14 days of 1,650 mg/m(2) capecitabine per day. RESULTS: Of the 33 patients, 25 completed four cycles. Minimal transient myelosuppression at 3-4 weeks caused grade 3 thrombocytopenia in one patient but no neutropenia. Nephrotoxicity was absent. Critical organ radiation dosimetry provided median estimates of the dose per cycle to the kidneys of 2.4 Gy and to the liver of 4.8 Gy, and showed cumulative doses all below toxic thresholds. Objective response rates (ORR) were 24% partial response (PR), 70% stable disease (SD) and 6% progressive disease. Median progression-free survival and median overall survival had not been reached at a median follow-up of 16 months (range 5-33 months). Survival at 1 and 2 years was 91% (95% CI 75-98%) and 88% (95% CI 71-96%), respectively. CONCLUSION: The addition of capecitabine radiosensitizing chemotherapy does not increase the minimal toxicity of (177)Lu-octreotate radiopeptide therapy and led to an ORR of 24% PR and 70% minor response or SD in patients with progressive metastatic NETs. Tumour control and stabilization of disease was obtained in 94% of these patients. PMID- 21052664 TI - Acute abdominal and pelvic pain in pregnancy: MR imaging as a valuable adjunct to ultrasound? AB - BACKGROUND: To investigate the usefulness of magnetic resonance imaging (MRI) in the evaluation of pregnant women with acute abdominal and pelvic pain after sonographically (US) indeterminate findings. METHODS: Forty pregnant patients with acute abdominal and/or pelvic pain, in whom ultrasound was indeterminate, were included in this study. Multiplanar MR images of the abdomen and pelvis were obtained and independently reviewed by two radiologists with discrepancies were resolved by consensus. RESULTS: Correlation of prospective clinical MR interpretations with surgical and obstetric records and clinical follow-up showed correct identification of disease entities in all 40/40 patients. The following disease processes were correctly identified using MRI in 21/40 patients: appendicitis (n = 5), ileal volvulus (n = 1), common bile duct lithiasis (n = 2), pubic chondrosarcoma (n = 1), uterine dehiscence (n = 1), placental hematoma (n = 3), iliac venous thrombosis (n = 2), ulcerative colitis (n = 1), acute pancreatitis (n = 1), hydronephrosis (n = 1), ovarian dermoid (n = 1), and ovarian torsion (n = 2). 19 of the 40 patients had normal findings on MR examinations and unremarkable follow-up. Interobserver agreement for lesion detection was excellent (0.95 k). CONCLUSION: Magnetic resonance imaging (MRI) is an accurate investigation in detecting the cause of acute abdominal and pelvic pain during pregnancy and should be considered after US indeterminate findings. PMID- 21052665 TI - Adrenal imaging. AB - Adrenal masses are frequently encountered in imaging practices. Simple detection by radiologists is insufficient as many of these masses can now be characterized by imaging alone. Some masses can be characterized by their simple appearances, but most cannot. This article will describe the different principles used by imagers to lead them to the correct diagnosis for the overwhelming majority of lesions. Imagers should be familiar with these techniques to expedite treatment, especially in cancer patients and so prevent unnecessary biopsies, costs, and anxiety. PMID- 21052666 TI - Comments on Li et al.: Bilateral plate fixation for type C distal humerus fractures: experience at a single institution. PMID- 21052667 TI - Breast augmentation and mastopexy using a pectoral muscle loop. AB - BACKGROUND: Augmentation mastopexy has historically challenged the creativity of plastic surgeons. Recurrent breast ptosis is the main cause for revision after such a primary operation. Avoiding the need for reoperation and achieving long term projection and upper pole fullness have been the main focus for the work of many authors. In this study, a new approach for a stable and lasting breast shape based on the use of the pectoral muscle was conceived. METHOD: Augmentation mastopexy was performed for patients with breast ptosis grades 2 and 3 according to Regnault's classification. With the proposed surgical technique, a loop of the pectoral muscle was used to keep the implant in a stable position. An inferior chest wall-based flap optimized coverage of the lower pole of the prosthesis. RESULTS: From 2008 to 2009, 22 patients underwent augmentation mastopexy using the described technique. Of these 22 patients, 12 were indicated for grade 2 and 10 for grade 3 ptosis. The mean follow-up period was 11.9 months. A high level of satisfaction was observed. No major complications occurred. CONCLUSIONS: Augmentation mastopexy using a loop of the pectoral muscle to hold the implant is a new and effective way to obtain long-lasting projection and upper pole fullness. PMID- 21052668 TI - Repositioning of covered stents: the grip technique. AB - INTRODUCTION: Retrieval and repositioning of a stent deployed beyond its intended target region may be a difficult technical challenge. MATERIALS AND METHODS: A balloon-mounted snare technique, a variant of the coaxial loop snare technique, is described. RESULTS: The technique is described for the repositioning of a covered transjugular intrahepatic portosystemic shunt stent and a covered biliary stent. CONCLUSION: The balloon-mounted snare technique is a useful technique for retrieval of migrated stents. PMID- 21052669 TI - Bleeding scrotal vascular lesions: interventional management with transcatheter embolization. AB - Vascular lesions of the scrotum are uncommon; the most common among them are varicocele lesions. The other vascular lesions that may involve the scrotum are hemangioma, lymphangioma, and arteriovenous malformations, which are exceedingly rare. The imaging modalities useful in the diagnosis and management of scrotal vascular lesions are grayscale sonography, color Doppler sonography, magnetic resonance imaging, magnetic resonance angiography, and digital subtraction angiography. We present two cases of scrotal vascular lesions involving the extratesticular scrotal soft tissues. Patients presented with bleeding and were treated by radiological interventional technique. We emphasize the importance of superselective catheterization and distal embolization. PMID- 21052670 TI - The adductor minimus muscle revisited. AB - The adductor minimus muscle has had scant and conflicting reports regarding its anatomy with some authors ignoring its existence altogether. The present study was conducted to more precisely describe the anatomy of this muscle. Forty human cadavers underwent dissection of the posterior thigh for observation of the adductor minimus muscle. When identified, this muscle was measured and relationships to the muscle documented. Additionally, five fetuses were dissected to observe for the presence of the adductor minimus muscle. The adductor minimus muscle was found in roughly one half of our specimens and was seen in all fetal specimens. When absent, the quadratus femoris muscle was always more prominent and extended more inferiorly toward the territory of the adductor minimus muscle. The average maximal length, width and thickness for the adductor minimus muscle was 14.6 cm, 7 cm, and 2.25 mm, respectively. Such data may be of consequence to clinicians who rehabilitate posterior thigh musculature or surgeons who operate this region. PMID- 21052671 TI - Neutropenia in parvovirus B19-associated pure red cell aplasia. PMID- 21052672 TI - MicroRNA array analysis of microRNAs related to systemic scleroderma. AB - MicroRNAs are short, 18- to 25-nt sequences of noncoding, single-stranded RNA that function as regulatory molecules and participate in a series of vital processes including early development, cell proliferation, cell differentiation, apoptosis, substance metabolism and the pathogenesis of human diseases. This study compared the microRNA profiles of patients with systemic scleroderma (SSc) and healthy control individuals to investigate the pathogenesis of SSc. Skin tissue was isolated from three patients with SSc and three healthy controls. miRNA microarray chip analysis identified 24 miRNAs that were differentially expressed in patients with SSc and 6 microRNAs that may be correlated with the pathogenesis of SSc. The results of the microarray analysis were confirmed using real-time PCR. This work suggests that miRNAs may be potential diagnosis biomarkers and are likely to be involved in the pathogenesis of SSc. PMID- 21052673 TI - Kawasaki syndrome and concurrent Coxsackie virus B3 infection. AB - We describe two previously healthy children who were hospitalized in the same period in different departments of our University with clinical signs of Kawasaki syndrome, which were treated with intravenous immunoglobulins and acetylsalicylic acid: in both cases, Coxsackie virus infection was concurrently demonstrated by enzyme-linked immunosorbent assay, and complement fixation test identified antibodies to serotype B3. In the acute phase, both patients presented hyperechogenic coronary arteries, but no cardiologic sequels in the mid term. The etiological relationship between Kawasaki syndrome and Coxsackie viruses is only hypothetical; however, the eventual identification of ad hoc environmental triggers is advisable in front of children with Kawasaki syndrome, with the aim of optimizing epidemiological surveillance and understanding the intimate biological events of this condition. PMID- 21052675 TI - Serum levels of soluble CD21 in patients with systemic sclerosis. AB - Systemic sclerosis (SSc) is a systemic disorder that typically results in fibrosis of the skin and multiple internal organ systems. Although the precise mechanism is unknown, overproduction of extracellular matrix proteins, including collagens and fibronectins, and aberrant immune activation might be involved in the pathogenesis. The soluble cluster of differentiation 21 (sCD21) represents the extracellular portion of the CD21 glycoprotein that is released by shedding from the cell surfaces into plasma. sCD21 binds complement fragments and activates monocytes through binding to membrane CD23. The present study was undertaken to investigate the serum levels of sCD21 in patients with SSc. Serum sCD21 levels were reduced with age both in patients with SSc and normal controls. Serum sCD21 levels in patients with SSc were significantly decreased compared to those in control subjects. When we divided patients with SSc into limited cutaneous SSc (lcSSc) and diffuse cutaneous SSc (dcSSc), patients with lcSSc had lower levels of serum sCD21 than those with dcSSc. Moreover, the prevalence of pulmonary fibrosis in the patients with dcSSc inversely correlated with serum sCD21 levels. Our finding may support the notion that B-cell activation is involved in the mechanism for pulmonary fibrosis and skin sclerosis. PMID- 21052674 TI - Mycoplasmal lipid-associated membrane proteins and Mycoplasma arthritidis mitogen recognition by serum antibodies from patients with rheumatoid arthritis. AB - Mycoplasmal lipid-associated membrane proteins (LAMPs) and Mycoplasma arthritidis mitogen (MAM superantigen) are potent stimulators of the immune system. The objective of this work was to detect antibodies to MAM and LAMPs of Mycoplasma hominis and M. fermentans in the sera of patients affected by rheumatoid arthritis (RA) and systemic lupus erythematosus (SLE) to identify mycoplasmal products that can be involved in the etiopathogenesis of these autoimmune diseases. Serum samples from female RA and SLE patients and controls, recombinant MAM, and LAMPs of M. hominis PG21 and M. fermentans PG18 were used in Western blot assays. A similar frequency of sera from patients and controls reactive to MAM was detected. A larger number of M. hominis and M. fermentans LAMPs were recognized by sera from RA patients than controls, but no differences were detected between sera from SLE patients and controls. Among the LAMPs recognized by IgG antibodies from RA patients, proteins of molecular masses in a range of <49 and >=20 KDa (M. hominis) and <102 and >=58 KDa (M. fermentans) were the most reactive. These preliminary results demonstrate the strong reactivity of antibodies of RA patients with some M. hominis and M. fermentans LAMPs. These LAMPs could be investigated as mycoplasmal antigens that can take part in the induction or amplification of human autoimmune responses. PMID- 21052676 TI - A seed coat outer integument-specific promoter for Brassica napus. AB - In search for seed coat-specific promoters for canola (Brassica napus), transgenic plants carrying a 2,121 bp fragment of Arabidopsis thaliana At4g12960 promoter (AtGILTpro) fused to the uidA reporter gene (GUS) were generated. Out of 7 independent events in transgenic canola plants raised, 2 exhibited GUS activity exclusively in the outer integument of the seed coat. GUS activity in other tissues was also observed in the remaining five transformants. Therefore, the AtGILT promoter can be used as a canola seed coat outer integument-specific promoter after the generation and selection of desired transformants from several transgenic lines. PMID- 21052677 TI - Human and chicken TLR pathways: manual curation and computer-based orthology analysis. AB - The innate immune responses mediated by Toll-like receptors (TLR) provide an evolutionarily well-conserved first line of defense against microbial pathogens. In the Reactome Knowledgebase we previously integrated annotations of human TLR molecular functions with those of over 4000 other human proteins involved in processes such as adaptive immunity, DNA replication, signaling, and intermediary metabolism, and have linked these annotations to external resources, including PubMed, UniProt, EntrezGene, Ensembl, and the Gene Ontology to generate a resource suitable for data mining, pathway analysis, and other systems biology approaches. We have now used a combination of manual expert curation and computer based orthology analysis to generate a set of annotations for TLR molecular function in the chicken (Gallus gallus). Mammalian and avian lineages diverged approximately 300 million years ago, and the avian TLR repertoire consists of both orthologs and distinct new genes. The work described here centers on the molecular biology of TLR3, the host receptor that mediates responses to viral and other doubled-stranded polynucleotides, as a paradigm for our approach to integrated manual and computationally based annotation and data analysis. It tests the quality of computationally generated annotations projected from human onto other species and supports a systems biology approach to analysis of virus activated signaling pathways and identification of clinically useful antiviral measures. PMID- 21052678 TI - The immunity-related GTPases in mammals: a fast-evolving cell-autonomous resistance system against intracellular pathogens. AB - The immunity-related GTPases (IRGs) belong to the family of large, interferon inducible GTPases and constitute a cell-autonomous resistance system essential for the control of vacuolar pathogens like Toxoplasma gondii in mice. Recent results demonstrated that numerous IRG members accumulate collaboratively at the parasitophorous vacuole of invading T. gondii leading to the destruction of the vacuole and the parasite and subsequent necrotic host cell death. Complex regulatory interactions between different IRG proteins are necessary for these processes. Disturbance of this finely balanced system, e.g., by single genetic deficiency for the important negative regulator Irgm1 or the autophagic regulator Atg5, leads to spontaneous activation of the effector IRG proteins when induced by IFNgamma. This activation has cytotoxic consequences resulting in a severe lymphopenia, macrophage defects, and failure of the adaptive immune system in Irgm1-deficient mice. However, alternative functions in phagosome maturation and induction of autophagy have been proposed for Irgm1. The IRG system has been studied primarily in mice, but IRG genes are present throughout the mammalian lineage. Interestingly, the number, type, and diversity of genes present differ greatly even between closely related species, probably reflecting intimate host pathogen coevolution driven by an armed race between the IRG resistance proteins and pathogen virulence factors. IRG proteins are targets for polymorphic T. gondii virulence factors, and genetic variation in the IRG system between different mouse strains correlates with resistance and susceptibility to virulent T. gondii strains. PMID- 21052679 TI - [Unilateral blurred vision associated with combined macular and papillary edema: a 34-year-old male patient]. AB - We present clinical images of a case of Leber's idiopathic stellate neuroretinitis (LISN). A 34-year-old male presented with acute-onset unilateral blurred vision for 5 days. Clinical examination revealed sectorial papillary edema and extensive macular edema in the affected eye. Using optical coherence tomography we detected intraretinal fluid in the outer retinal layers and subretinal fluid under the fovea. Seven days later, the macular edema was completely resolved, and disseminated retinal exudates had appeared, resembling a macular star figure. No underlying systemic disease was identified. Follow-up examination after 3 months demonstrated almost complete spontaneous resolution of fundus changes and near-normalization of visual acuity. PMID- 21052680 TI - [Health care systems and aspects of health care economics. Sector ophthalmology - part 1: development of the German health care system]. AB - Although many health care reforms have been enacted in the last few years in Germany, many of the key points in the current social health care system have been retained from former times. All those introductions for an effective health care system from the last 150 years beginning with mandatory guild membership via Bismarck's social laws to the modern health care systems in Germany with the current problems of financing the heavy burden in the German budget are reported. Data and facts on the current health care system are provided. In the following two articles of this series ambulatory and inpatient treatment in the light of economic aspects of health care are reported. PMID- 21052681 TI - Stingless bees (Scaptotrigona pectoralis) learn foreign trail pheromones and use them to find food. AB - Foragers of several species of stingless bees (Hymenoptera, Apidae and Meliponini) deposit pheromone marks in the vegetation to guide nestmates to new food sources. These pheromones are produced in the labial glands and are nest and species specific. Thus, an important question is how recruited foragers recognize their nestmates' pheromone in the field. We tested whether naive workers learn a specific trail pheromone composition while being recruited by nestmates inside the hive in the species Scaptotrigona pectoralis. We installed artificial scent trails branching off from trails deposited by recruiting foragers and registered whether newly recruited bees follow these trails. The artificial trails were baited with trail pheromones of workers collected from foreign S. pectoralis colonies. When the same foreign trail pheromone was presented inside the experimental hives while recruitment took place a significant higher number of bees followed the artificial trails than in experiments without intranidal presentation. Our results demonstrate that recruits of S. pectoralis can learn the composition of specific trail pheromone bouquets inside the nest and subsequently follow this pheromone in the field. We, therefore, suggest that trail pheromone recognition in S. pectoralis is based on a flexible learning process rather than being a genetically fixed behaviour. PMID- 21052683 TI - Morphological correlates of echolocation frequency in the endemic Cape horseshoe bat, Rhinolophus capensis (Chiroptera: Rhinolophidae). AB - We investigated intraspecific variation in echolocation calls of the Cape horseshoe bat, Rhinolophus capensis, by comparing echolocation and associated morphological parameters among individuals from three populations of this species. The populations were situated in the center and at the western and eastern limits of the distribution of R. capensis. The latter two populations were situated in ecotones between vegetation biomes. Ecotone populations deviated slightly from the allometric relationship between body size and peak frequency for the genus, and there was no relationship between these variables within R. capensis. Nasal chamber length was the best predictor of peak frequency but not correlated with body size. The evolution of echolocation thus appears to have been uncoupled from body size in R. capensis. Furthermore, females used higher frequencies than males, which imply a potential social role for peak frequency. The differences in peak frequency may have originated from random founder effects and then compounded by genetic drift and/or natural selection. The latter may have acted directly on peak frequency altering skull parameters involved in echolocation independently of body size, resulting in the evolution of local acoustic signatures. PMID- 21052682 TI - A central pacemaker that underlies the production of seasonal and sexually dimorphic social signals: functional aspects revealed by glutamate stimulation. AB - The cyclic enrichment of behavioral repertoires is a common event in seasonal breeders. Breeding males Brachyhypopomus gauderio produce electric organ discharge (EOD) rate modulations called chirps while females respond with interruptions. The electromotor system is commanded by a pacemaker nucleus (PN) which sets the basal rate and produces the rate modulations. We focused on identifying functional, seasonal and sexual differences in this nucleus in correlation to these differences in behavior. The in vivo response to glutamate injection in the PN was seasonal, sexually dimorphic and site specific. Non breeding adults and breeding females injected in dorsal and ventral sites generated EOD rate increases and interruptions, respectively. Reproductive males added a conspicuous communication signal to this repertoire. They chirped repetitively when we injected glutamate in a very restricted area of the ventral rostral nucleus, surprisingly one with a low number of relay cell somata. This study shows that the PN is functionally organized in regions in a caudal-rostral axis, besides the previously documented dorsal-ventral division. Functional regions are revealed by seasonal changes that annually provide this nucleus with the cellular mechanisms that allow the bursting activity underlying chirp production, only in males. PMID- 21052684 TI - Otoacoustic emissions in bushcricket ears: general characteristics and the influence of the neuroactive insecticide pymetrozine. AB - The tympanal organ of the bushcricket Mecopoda elongata emits pronounced distortion-product otoacoustic emissions (DPOAEs). Their characteristics are comparable to those measured in other insects, such as locusts and moths, with the 2f1-f2 emission being the most prominent one. Yet the site of their generation is still unclear. The spatial separation between the sound receiving spiracle and the hearing organ in this species allows manipulations of the sensory cells without interfering with the acoustical measurements. We tried to interfere with the DPOAE generation by pharmacologically influencing the tympanal organ using the insecticide pymetrozine. The compound appears to act selectively on scolopidia, i.e., the mechanosensor type characteristically constituting tympanal organs. Pymetrozine solutions were applied as closely as possible to the scolopidia via a cuticle opening in the tibia, distally to the organ. Applications of pymetrozine at concentrations between 10(-3) and 10(-7) M to the tympanal organ led to a pronounced and irreversible decrease of the DPOAE amplitudes. PMID- 21052685 TI - Impact of serum high-mobility group box 1 protein elevation on oxygenation impairment after thoracic aortic aneurysm repair. AB - High-mobility group box 1 protein (HMGB1) is a late mediator of inflammatory responses that can cause acute lung injury. We examined the significance of serum HMGB1 elevation in the development of systemic inflammatory response syndrome (SIRS) and lung oxygenation impairment (LOI) after thoracic aortic aneurysm (TAA) repair. Serial measurements of the serum HMGB1 level and SIRS score for 7 days after surgery were determined in 20 patients with TAA who underwent surgical repair. Arterial oxygen tension was measured serially for at least 4 days after surgery, and LOI was defined as the lowest PaO(2)/FiO(2) ratio <= 200 mmHg. The serum HMGB1 level was markedly increased after surgery, peaking on day 2, and remained significantly elevated on day 7. Peak HMGB1 level positively correlated with SIRS duration and the cumulative SIRS score during postoperative days 1-7 (P = 0.0013 and P = 0.0004, respectively). Peak HMGB1 level and cumulative SIRS score were higher in patients with LOI than in those without (P = 0.01 and P = 0.044, respectively). Peak HMGB1 level was negatively correlated with the lowest PaO(2)/FiO(2) ratio (P = 0.0077) and positively correlated with postoperative length of hospitalization (P = 0.042). A greater serum HMGB1 elevation after TAA repair was associated with more severe SIRS and a higher incidence of LOI. HMGB1 might play a key role in the pathogenesis of SIRS and LOI after surgical TAA repair. PMID- 21052686 TI - Presence of conduction abnormalities as a predictor of clinical outcomes in patients with infective endocarditis. AB - There have been no studies that have assessed the possible correlation between conduction abnormality (CA) and systemic embolism, or the long-term outcomes of patients with infective endocarditis (IE). In this study, 82 consecutive patients with IE and interpretable electrocardiography (ECG) were admitted to Kyungpook National University Hospital from July 2002 to June 2008. ECGs obtained at the time of admission or during hospitalization were analyzed. Patients with CA, which was defined as atrioventricular or intraventricular block, of "new" or "of unknown duration" were considered as those with CA. Composite events were defined as the composite of death and embolic events. Twenty-three (28%) patients had CA classified as new (n = 11) or of unknown duration (n = 12). No significant differences were found between patients with and without CA in terms of age and gender. Mean follow-up duration was 21 +/- 23 months. Patients with CA had more frequent embolic events (p = 0.001) and composite events (p = 0.002) during hospitalization, and had more frequent composite events (p < 0.001) during follow up than those without CA. Kaplan-Meier survival curves showed that patients with CA had a higher composite event rate during follow-up (p = 0.003). However, there was no significant difference between the two groups regarding mortality rates during hospitalization and follow-up. In multivariate analysis, CA was an independent predictor of embolic event rates during hospitalization [odds ratio (OR) 5.198, 95% confidence interval (CI) 1.086-24.867, p = 0.039] and of composite event rates during follow-up (OR 27.168, 95% CI 4.590-160.802, p < 0.001). CA is associated with increased frequency of embolic events during hospitalization and follow-up. Moreover, CA might be a useful predictor of embolic event occurrences during both hospitalization and follow-up. PMID- 21052687 TI - Levels of circulating CXCR4-positive cells are decreased and negatively correlated with risk factors in cardiac transplant recipients. AB - The association between levels of circulating endothelial progenitor cells (EPCs) and heart transplant recipients (HTX) with cardiac allograft vasculopathy (CAV) is under debate. The chemokine receptor CXCR4 plays an important role in the mobilization of progenitor cells and is implicated in pathological conditions, including cardiovascular disease. This study aims to evaluate the association between EPCs and CXCR4-positive cells in HTX patients. Peripheral blood mononuclear cells (PBMCs) from 34 HTX patients and 25 control participants were analyzed by flow cytometry for CXCR4-positive cells and EPCs. Endothelial progenitor cells were defined by the expression of a range of hematopoietic and endothelial lineage markers in different combinations. The ability to form endothelial cell colonies in vitro was also assessed by colony-forming unit (CFU) assay. Phenotypic analysis of EPCs by flow cytometry revealed similar levels in HTX patients compared to controls. In addition, no difference was observed between levels of EPCs or CFU number in patients with and without CAV. By contrast, CFU assay revealed a reduced number of CFUs in HTX patients compared to controls (3.3% +/- 0.95 and 13.3% +/- 4.5%, respectively, P = 0.014). Likewise, levels of CXCR4-positive cells were significantly reduced (15.9 +/- 1.4 in patients vs 24.8 +/- 3.3% in controls, P < 0.01), negatively correlated with Framingham risk score (rho = -0.4, P = 0.02) and the number of risk factors (rho = -0.3, P = 0.049). Levels of CXCR4-positive cells were also correlated with CFU number (r = 0.65, P = 0.0005). These findings further develop our understanding of the role of EPCs and endothelial CFUs in cardiovascular disease, in addition to highlighting the potential importance of CXCR4 in heart transplantation. PMID- 21052688 TI - Cardiac autonomic functions derived from short-term heart rate variability recordings associated with heart rate recovery after treadmill exercise test in young individuals. AB - Analysis of short-term heart rate variability (HRV) may provide useful information about autonomic nervous control of heart rate recovery. We studied 495 individuals (273 men), age range 19-85 years, submitted to treadmill exercise tests and short-term HRV evaluations over time (standard deviation of the normal to-normal interval [SDNN], the square root of the mean squared differences of successive normal-to-normal intervals [RMSSD], the number of interval differences of successive normal-to-normal intervals greater than 50 ms [NN50 count], the proportion derived by dividing NN50 count by the total number of normal-to-normal intervals [pNN50]) and frequency (low-frequency power [LF], high-frequency power [HF], total power) domains. Among 495 patients, 106 patients (68 men) were elderly (age >= 65 years). Male gender and hypertension were significantly higher in elderly patients. The young patients had higher HRR after exercise. HRR at 4 min (54 +/- 13 vs 60 +/- 12 beats/min; P = 0.003) was the most significant predictor for positive exercise test result. In the young group, both time domain measures (SDNN: correlation coefficient 0.34, P < 0.001; RMSSD: correlation coefficient 0.37, P < 0.001) and frequency domain measures (LF: correlation coefficient 0.21, P < 0.001; HF: correlation coefficient 0.13, P = 0.01; total power: correlation coefficient 0.22, P < 0.001) were significantly associated with HRR at 4 min. HRR at 4 min was significantly associated with short-term HRV of time and frequency domains in young individuals, but not elderly ones, receiving treadmill exercise test. PMID- 21052689 TI - Pharmacokinetics of intravenous amiodarone and its electrocardiographic effects on healthy Japanese subjects. AB - The aim of this phase I, dose-escalating study was to evaluate the pharmacokinetics, electrocardiographic effect and safety of amiodarone after a single intravenous administration in Japanese subjects. Thirty-two healthy Japanese male volunteers (20-32 years) were randomized to three single-dose groups (1.25, 2.5 and 5.0 mg/kg). In each group, six (1.25 mg/kg) or ten (2.5 and 5.0 mg/kg) subjects received a single 15-min infusion of intravenous amiodarone, and two subjects received glucose solution as control. The pharmacokinetic profile, blood pressure and electrocardiographic analyses were obtained on a timely basis after up to 77 days. The maximum plasma concentration (C (max)) and area under the concentration-time curve (AUC(0-96)) for amiodarone 1.25, 2.5 and 5.0 mg/kg displayed dose-dependent characteristics: mean C (max) was 2,920 +/- 610, 7,140 +/- 1,480 and 13,660 +/- 3,410 ng/ml, respectively; the mean AUC(0-96) was 3,600 +/- 700, 8,100 +/- 1,600 and 16,600 +/- 4,300 ng h/ml, respectively. A long serum half-life (>14 days) was observed for amiodarone and desethylamiodarone. PR intervals were prolonged at 15 min (0.16 +/- 0.0.1 vs. 0.15 +/- 0.01 s, p = 0.03) and 18 min (0.17 +/- 0.01 vs. 0.15 +/- 0.01 s, p = 0.03) with the 5.0 mg/kg dose compared with baseline. No other significant changes in electrocardiographic parameters, pulse rate or blood pressure were observed. A needle-pain-induced vasovagal effect appeared in a volunteer, and three volunteers experienced pain at the drug infusion site. After a single infusion of amiodarone at doses of 1.25-5.0 mg/kg, serum concentrations increased in a dose-dependent manner. A single intravenous amiodarone dose barely affected the electrocardiographic parameters and was well tolerated. PMID- 21052690 TI - The 5352 A allele of the pro-inflammatory caspase-1 gene predicts late-acquired stent malapposition in STEMI patients treated with sirolimus stents. AB - Late-acquired stent malapposition (LASM) is a common finding after sirolimus eluting stent (SES) implantation and may be the cause for late stent thrombosis. Inflammation may play a pivotal role in LASM just as it plays in stent restenosis. We have therefore investigated seven polymorphisms involved in inflammatory processes, related in previous reports to restenosis, on the risk of LASM in SES patients. Patients with ST-elevation myocardial infarction who underwent SES implantation and had intravascular ultrasonography (IVUS) data available for both immediate post-intervention and 9-month follow-up were included in the present study. In total, 104 patients from the MISSION! Intervention Study were genotyped for the caspase-1 5352 G/A, eotaxin 1382 A/G, CD14 260 A/G, colony stimulating factor 2 1943 C/T, IL10 -1117 C/T, IL10 4251 C/T, and the tumor necrosis factor alpha 1211 C/T polymorphisms. LASM occurred in 26/104 (25%) of patients. We found a significantly higher risk for LASM in patients carrying the caspase-1 (CASP1) 5352 A allele (RR = 2.32; 95% CI 1.22 4.42). In addition, mean neointimal growth was significantly lower in patients carrying this LASM risk allele (1.6 vs. 4.1%, p = 0.014). The other six polymorphisms related to inflammation were not significantly related to the risk of LASM. In conclusion, carriers of the 5352 A allele in the caspase-1 gene are at increased risk of developing LASM after SES implantation. If this is confirmed in larger studies, then screening for this polymorphism in patients undergoing percutaneous coronary interventions could eventually help cardiologists to better select between commercially available stents. PMID- 21052691 TI - Novel algorithm for identifying T-wave current density alternans using synthesized 187-channel vector-projected body surface mapping. AB - The noninvasive evaluation of ventricular T-wave alternans (TWA) in patients with lethal ventricular arrhythmias is an important issue. In this study, we propose a novel algorithm to identify T-wave current density alternans (TWCA) using synthesized 187-channel vector-projected body surface mapping (187-ch SAVP-ECG). We recorded 10 min of 187-ch SAVP-ECG using a Mason-Likar lead system in the supine position. A recovery time (RT) dispersion map was obtained by averaging the 187-ch SAVP-ECG. The TWCA value was determined from the relative changes in the averaged current density in the T-wave zone (Tpeak +/- 50 ms) for two T-wave types. We registered 20 ECG recordings from normal controls and 11 ECG recordings from nine subjects with long QT syndrome (LQT). We divided LQT syndrome subjects into two groups: group 1 provided 9 ECG recordings without visually apparent TWAs, and group 2 provided 2 ECG recordings with visually apparent TWAs. The QTc interval values in the LQT groups were higher than those in the control (515 +/- 60 ms in LQT G-1, 600 +/- 27 ms in LQT G-2 vs. 415 +/- 19 ms in control, P < 0.001). The RTendc dispersion values among the LQT subjects were higher than those of the control subjects (48 +/- 19 ms in LQT G-1, 65 +/- 30 ms in LQT G-2 vs. 24 +/- 10 ms in control, P < 0.01). The mean TWCA value was significantly higher in the LQT G-2 group with visually apparent TWCAs (0.5 +/- 0.2% in control, 2.1 +/- 1.2% in LQT G-1, and 32.3 +/- 6.9% in LQT G-2). Interestingly, the two-dimensional distribution of TWCA in LQT was inhomogeneous and correlated with the distribution of increased RT dispersion. We conclude that a novel algorithm using 187-ch SAVP-ECG might provide new insights into body surface TWCA. PMID- 21052692 TI - Carotid artery stenting with distal filter protection: single-center experience in high-surgical-risk patients. AB - Carotid artery stenting (CAS) is an efficient alternative procedure for the treatment of high-surgical-risk patients with symptomatic and asymptomatic carotid stenosis. The use of cerebral protection systems might decrease procedural risk of stroke and death. We report our initial experience with protected carotid stenting in high-risk patients with severe carotid artery disease. From January 2006 until July 2008 we routinely performed CAS using a distal filter protection device in 65 consecutive high-surgical-risk patients with 72 high-grade carotid stenoses. Technical success rate was 97.2%. Neurologic periprocedural complications included two transient ischemic attacks and one major stroke. Three filter-related complications were managed without negative results to the patients. The overall in-hospital and 30-days MACE rate was 1.5%, 3.6% in symptomatic patients and 0% in asymptomatic patients. In our series of high-risk patients, CAS with the use of a distal filter protection system was safe and effective with a low incidence of periprocedural complications. PMID- 21052693 TI - DTI assessment of the brainstem white matter tracts in pediatric BSG before and after therapy: a report from the Pediatric Brain Tumor Consortium. AB - PURPOSE: To assess changes in apparent diffusion coefficient (ADC) and fractional anisotropy (FA) values in brainstem gliomas (BSG) in children and to observe the temporal evolution of changes in the white matter tracts following therapy using diffusion tensor imaging (DTI) analysis. METHODS: Serial ADC and FA measurements were obtained in three patients with newly diagnosed BSG on two approved treatment protocols. Values were compared with a set of normative ADC, FA, and eigenvalues of age-matched children of the corticospinal, transverse pontine and medial lemniscal tracts. Fiber tracking of the tracts coursing through the brainstem was performed using standard diffusion tractography analysis. RESULTS: We found increased ADC values within tumor at baseline compared to age-matched controls, with subsequent drop following treatment and subsequent increase with recurrence. Correspondingly, FA values were reduced at presentation, but transiently recovered during the phase of tumor response to treatment, and finally decreased significantly during tumor progression. These changes were concordant with the tractography analysis of white matter tracts in the brainstem. Based on these results, we suggest that initial changes in ADC and FA values reflects tract infiltration by tumor, but not complete disruption, whereas tumor progression results in complete loss of anisotropy possibly due to tract disruption. CONCLUSION: Serial changes in ADC and FA values and tractography data in pediatric BSG suggest initial tumor infiltration, with transient improvement on treatment and subsequent loss of tract anisotropy during tumor progression. This technique may have potential use in assessing response to treatment regimens for pediatric BSG. PMID- 21052694 TI - Hydrocephalus in babies: a specific neonatal EEG pattern. PMID- 21052695 TI - Postoperative cerebellar mutism and autistic spectrum disorder. AB - PURPOSE: I read the article "An Inside View of Autism" written by a 44-year-old autistic woman who had a successful international career designing livestock equipment. In this article, she wrote about her life, disease, and experiences as an autistic individual. She stated that "It is interesting that my speech resembled the stressed speech in young children who have had tumors removed from the cerebellum". METHODS: In this article, we intend to review and extensively document both postoperative cerebellar mutism and autistic spectrum disorder. RESULTS: We reviewed the clinical and neurological findings, etio-pathogenesis, neuroanatomy, mechanisms of development, and similarities between the etio pathogenesis of both diseases. CONCLUSIONS: Cerebellar lesions can produce mutism and dysarthria, symptoms sometimes seen in autistic spectrum disorder. In mammals, cerebellar lesions disturb motivated behavior and reduce social interactions, functions that are disturbed in autistic spectrum disorder and cerebellar mutism. The cerebellum and two regions within the frontal lobes are active in certain language tasks. Language is abnormal in autistic spectrum disorder and cerebellar mutism. PMID- 21052696 TI - Bradycardia without associated hypertension: a common sign of ventriculo peritoneal shunt malfunction. AB - INTRODUCTION: Delayed diagnosis of ventriculo-peritoneal (VP) shunt malfunction results in avoidable morbidity and mortality. One reason is that most of the signs of shunt malfunction have low specificity. OBJECTIVE: The objective of this study was to evaluate the presence of bradycardia as a sign of VP shunt malfunction in children with treated hydrocephalus. METHODS: Children presenting with clinical features suggestive of possible VP shunt malfunction were retrospectively identified. Children with confirmed shunt malfunction formed the study group. Those who did not have shunt malfunction formed the control group, and the symptoms and signs were compared between the two groups. In particular, the presence of bradycardia (a heart rate less than the second centile of age related norms) was sought. The positive predictive value (PPV) of bradycardia was compared with other common features of shunt malfunction. RESULTS: Data were obtained for 52 patients: 34 in the study group (data from 40 admissions) and 18 controls. Bradycardia was present in 18 of 40 (45%) of the study group and 2 of 18(11%) controls (p = 0.011). Only two of the patients with bradycardia had associated hypertension. The PPV for bradycardia was 90% compared with 92% for reduced conscious level and 65% for both headache and vomiting (the four commonest presenting features). CONCLUSIONS: Bradycardia without hypertension is common in children with VP shunt malfunction. The significance of bradycardia is often not recognised; the value of this sign should be emphasised. PMID- 21052697 TI - MGMT promoter methylation in pediatric high-grade gliomas. PMID- 21052698 TI - Individualized ventricular access using multi-plane brain images. AB - PURPOSE: Recently, many surgical techniques are applied to accurate ventricular catheterization. However, there are still some limitations in utilizing those methods. We introduce a simple method for the ventricular access and report two illustrative cases using our method. METHODS: We marked the trajectory using the preoperative multi-planar brain images and verifying it with surface markers. RESULTS: Two illustrative cases are shown, in which the surgeries were performed without difficulty and the outcomes were satisfactory. CONCLUSION: Utilizing multi-planar brain images in ventricular access is cost-effective and competent method. PMID- 21052699 TI - Botulin toxin type A (BTA) for esophageal achalasia: a double-edged sword. PMID- 21052700 TI - Distinct pathological subtypes of FTLD-FUS. AB - Most cases of frontotemporal lobar degeneration (FTLD) are characterized by abnormal intracellular accumulation of either tau or TDP-43 protein. However, in ~10% of cases, composed of a heterogenous collection of uncommon disorders, the molecular basis remains to be uncertain. We recently discovered that the pathological changes in several tau/TDP-43-negative FTLD subtypes are immunoreactive (ir) for the fused in sarcoma (FUS) protein. In this study, we directly compared the pattern of FUS-ir pathology in cases of atypical FTLD-U (aFTLD-U, N = 10), neuronal intermediate filament inclusion disease (NIFID, N = 5) and basophilic inclusion body disease (BIBD, N = 8), to determine whether these are discrete entities or represent a pathological continuum. All cases had FUS-ir pathology in the cerebral neocortex, hippocampus and a similar wide range of subcortical regions. Although there was significant overlap, each group showed specific differences that distinguished them from the others. Cases of aFTLD-U consistently had less pathology in subcortical regions. In addition, the neuronal inclusions in aFTLD-U usually had a uniform, round shape, whereas NIFID and BIBD were characterized by a variety of inclusion morphologies. In all cases of aFTLD U and NIFID, vermiform neuronal intranuclear inclusions (NII) were readily identified in the hippocampus and neocortex. In contrast, only two cases of BIBD had very rare NII in a single subcortical region. These findings support aFTLD-U, NIFID and BIBD as representing closely related, but distinct entities that share a common molecular pathogenesis. Although cases with overlapping pathology may exist, we recommend retaining the terms aFTLD-U, NIFID and BIBD for specific FTLD FUS subtypes. PMID- 21052701 TI - Beneficial effects of dienogest on uterine myoma volume: a retrospective controlled study comparing with gonadotropin-releasing hormone agonist. AB - PURPOSE: Uterine leiomyomas are the most common benign tumors of reproductive age women, but there is no effective medical therapy to data. Aim of this study was to examine and compare the efficacy of gonadotropin-releasing hormone agonist (GnRHa) versus dienogest in premenopausal women with uterine myoma. METHODS: We retrospectively analyzed the medical records of 55 premenopausal patients with endometriosis, who received dienogest (2 mg daily) for 6 months regarding coexistence of uterine myoma between January 2008 and June 2010. To compare these data in a case-control study, we analyzed a matched control group of 12 patients treated with leuprolide acetate (1.88 mg monthly) for 6 months having uterine myoma. RESULTS: Of the 55 patients treated with dienogest, six were associated with coexistent myoma node. Total myoma volume significantly decreased to 59.7 +/ 7.0% of initial in dienogest group and 51.9 +/- 5.5% in GnRHa group. Reduction rate in myoma volume was similar in both groups. CONCLUSION: Uterine myoma volume was successfully reduced by use of dienogest. Consideration of GnRHa disadvantages may lead to short- or long-term management of women with myoma who are to be managed transiently, and who wish to avoid surgical intervention, especially perimenopausal women. PMID- 21052702 TI - Cervical cytology of atypical squamous cells cannot exclude high-grade squamous intraepithelial lesion (ASC-H): histological results and recurrence after a loop electrosurgical excision procedure. AB - OBJECTIVES: To evaluate the histology of the loop electrosurgical excision procedure (LEEP) surgical tissues of patients with ASC-H and post-LEEP recurrence. METHODS: Medical records of patients with ASC-H treated with LEEP between January 2004 and March 2008 in the town of Uniao da Vitoria, Parana, seat of the Sixth Public Health Region of Parana (CISVALI), were evaluated. The LEEP was carried out solely for ASC-H immediately after colposcopy, but without a histological diagnosis. RESULTS: Most patients were less than 40 years old (71.1%), with the largest group 20-39 years old (p < 0.0001). Twenty-eight patients (73.3%) showed histological lesions. Cervical intraepithelial neoplasias (CIN) I was present in 7 (18.4%), CIN II and CIN III in 9 (23.7%) each, microinvasive squamous cell carcinoma (SCMCA) in 2 (5.3%), and SCMCA plus in situ adenocarcinoma in 1 (2.2%). In 32 patients (84.2%), there was no involvement of the margins, including 100% with no dysplasia histology and CIN I, 80.0% of those with CIN II, and 88.9% of those with CIN III. Two patients (5.3%) had endocervical involvement, all of them with CIN II. Four patients (10.5%) had ectocervical and endocervical involvement, one of them with CIN III, and three of them with carcinomas. All patients with follow-up (+) were ASC-US, with no patients with dysplasia or CIN I. CONCLUSIONS: A very high portion of the women with ASC-H had lesions on post-LEEP histological examination, principally CIN II and III. These data show the benefits of treatment for ASC-H by LEEP immediately after colposcopy but without any previous histology. PMID- 21052703 TI - Fetal loss associated with second trimester amniocentesis. AB - OBJECTIVE: To evaluate the fetal loss rate associated with second trimester amniocentesis. METHODS: All cases of pregnant women >=35 years old with gestational age beyond 16 weeks between 1997 and 2006 were included in this study. The study group consisted of all cases that the patients decided to have second trimester genetic amniocentesis. The control group was a randomized selection of pregnant women who refused any procedures. The ratio of the study case to the control case was 2:1. The fetal loss rates after amniocentesis before 24, and 28 complete weeks and the pregnancy outcome were analyzed. RESULTS: 2,990 cases in the study group and 1,495 cases in the control group were used for this analysis. The mean maternal age in the study group and control group were 36.89 +/- 1.63 and 36.78 +/- 1.59 years old, respectively (P = 0.239). The procedure related fetal loss before 24 and 28 complete weeks were 0.17 and 0.50%, respectively. The most common presenting symptom before fetal loss in the study cases was abdominal pain. Almost all of the cases leading to abortion had symptoms initiated after 48 h post procedure. Significantly higher chances of abortion occurred in pregnant women >=41 years old (P = 0.008). CONCLUSION: The procedure-related fetal loss rates in pregnant women >=35 years old after amniocentesis before 24 and 28 complete weeks were 0.17 and 0.50%, respectively. The certain factors influencing the risk of fetal loss might be independent of the amniocentesis procedure. PMID- 21052704 TI - Is colonization with GBS in a previous pregnancy associated with adverse perinatal outcomes? AB - OBJECTIVE: To investigate whether colonization with GBS in a previous pregnancy is associated subsequently with adverse obstetric and perinatal outcomes. METHODS: A retrospective study was undertaken comparing pregnancy complications of patients with and without colonization with GBS in a previous pregnancy from 1988 to 2006. Women with a positive GBS culture in the current pregnancy, women lacking prenatal care and multiple gestations were excluded from the analysis. MAIN OUTCOME MEASURES: Adverse obstetric and perinatal outcomes including premature rupture of membranes, intrapartum fever, chorioamnionitis and perinatal mortality. RESULTS: Out of 184,266 singleton deliveries, 230 (0.12%) were of patients with a positive GBS culture in their previous pregnancy. Previous GBS colonization was not found to be associated with adverse pregnancy outcomes such as PROM (9.1 vs. 6.8%; P = 0.16), intrapartum fever (1.3 vs. 2.2%; P = 0.72) and chorioamnionitis (1.3 vs. 0.8%; P = 0.29). CONCLUSIONS: GBS colonization in a previous pregnancy is not associated with adverse pregnancy outcomes in the current pregnancy. PMID- 21052705 TI - 5-Lipoxygenase-activating protein (FLAP) inhibitor MK-0591 prevents aberrant alveolarization in newborn mice exposed to 85% oxygen in a dose- and time dependent manner. AB - Bronchopulmonary dysplasia is characterized by prolonged oxygen dependency due to compromised gas-exchange capability. This is attributable mainly to inadequate and aberrant alveolarization resulting from insults like hyperoxia. Leukotrienes are associated with hyperoxia-induced inhibition of alveolarization. We hypothesized that a 5-lipoxygenase-activating protein (FLAP) inhibitor given while newborn mice were exposed to 85% oxygen would prevent aberrant alveolarization in a dose- and time-dependent manner. Newborn mice were exposed to either room air or hyperoxia for 14 days. Pups were treated with either vehicle or MK-0591 10, 20, or 40 mg/kg subcutaneously daily for days 1-4, 5-9, or 10-14. On day 14, the lungs were inflated, fixed, and stained for histopathological and morphometric analyses. Hyperoxia groups treated with MK 0591 20 or 40 mg/kg during days P1-P4 or P10-P14 showed alveolarization that resembled that of room air controls while untreated hyperoxia groups showed definite evidence of aberrant alveolarization but no inflammation. In a hyperoxia exposed newborn mice model, a FLAP inhibitor given during critical window periods may prevent aberration of alveolarization in a dose- and time-dependent manner. PMID- 21052707 TI - Gustaf Retzius (1842-1919). PMID- 21052708 TI - Aquaporin-4 seropositivity in a patient with coeliac disease but normal neurological examination and imaging. PMID- 21052706 TI - DNA double-strand break repair in Caenorhabditis elegans. AB - Faithful repair of DNA double-strand breaks (DSBs) is vital for animal development, as inappropriate repair can cause gross chromosomal alterations that result in cellular dysfunction, ultimately leading to cancer, or cell death. Correct processing of DSBs is not only essential for maintaining genomic integrity, but is also required in developmental programs, such as gametogenesis, in which DSBs are deliberately generated. Accordingly, DSB repair deficiencies are associated with various developmental disorders including cancer predisposition and infertility. To avoid this threat, cells are equipped with an elaborate and evolutionarily well-conserved network of DSB repair pathways. In recent years, Caenorhabditis elegans has become a successful model system in which to study DSB repair, leading to important insights in this process during animal development. This review will discuss the major contributions and recent progress in the C. elegans field to elucidate the complex networks involved in DSB repair, the impact of which extends well beyond the nematode phylum. PMID- 21052709 TI - Severe meningoencephalomyelitis due to CNS-Toxocarosis. PMID- 21052710 TI - Gait variability in Parkinson's disease: an indicator of non-dopaminergic contributors to gait dysfunction? AB - Gait variability has potential utility as a predictive measure of dysfunction in Parkinson's disease (PD). Current understanding implicates non-dopaminergic pathways. This study investigated the explanatory characteristics of gait variability in PD on and off medication under single and dual task conditions. Fifty people with PD were assessed twice at home (on and off L: -dopa) whilst walking under single and dual task conditions, and variability (coefficient of variation, CV) was calculated for stride time and double limb support (DLS) time. Hierarchical regression analysis was used to identify predictors. The first block of variables included age, gait speed, depression (Hospital Anxiety and Depression Scale) and fatigue (Multidimensional Fatigue Inventory), and the second block included motor severity (UPDRS III), executive function (Hayling and Brixton) and attention (Test of Everyday Attention). Motor severity predicted stride time variability and DLS time variability independent of L: -dopa during single task gait. Dual task gait yielded a more complex picture. Depression made a unique contribution of 9.0% on medication and 5.0% off medication to stride time variability, and visual attention and younger age contributed to DLS variability on medication, explaining 3% and 2%, respectively. Motor severity predicted DLS variability off medication, explaining 74% of variance. Different characteristics explain the two measures of gait variability, pointing to different control mechanisms. PMID- 21052711 TI - Diagnostic value of thyroglobulin measurement in fine-needle aspiration biopsy for detecting metastatic lymph nodes in patients with papillary thyroid carcinoma. AB - PURPOSE: We aimed to compare the diagnostic value of fine-needle aspiration cytology (FNAC) and fine-needle aspiration thyroglobulin measurements (FNA-Tg) for detecting cervical lymph node metastases from differentiated thyroid carcinomas. METHODS: This prospective study included 225 patients with neck node metastases or recurrences of papillary thyroid carcinoma. From the 225 patients, 255 lymph nodes were evaluated by FNAC and FNA-Tg. Final diagnoses confirmed by histological examination were compared to preoperative FNAC and FNA-Tg results. RESULTS: FNAC correctly diagnosed 212 metastatic lymph nodes but failed to diagnose 43 of them. FNA-Tg correctly diagnosed 253 metastatic lymph nodes but failed to diagnose two of them. FNA-Tg levels showed 100% sensitivity, 96% specificity, 99% diagnostic accuracy, a 99% positive predictive value (PPV) and a 100% negative predictive value (NPV) with a threshold level of FNA-Tg with a diagnostic accuracy 28.5 ng/ml. The specificity, diagnostic accuracy, PPV and NPV of FNA-Tg were significantly higher than those of FNAC. CONCLUSION: FNA-Tg measurement can be performed safely for the detection of lymph node metastasis in patients with differentiated thyroid carcinomas. PMID- 21052713 TI - Pharmacological and functional properties of TRPM8 channels in prostate tumor cells. AB - Prostate cancer (PC) is a major health problem in adult males. TRPM8, a cationic TRP channel activated by cooling and menthol is upregulated in PC. However, the precise role of TRPM8 in PC is still unclear. Some studies hypothesized that TRPM8-mediated transmembrane Ca(2+) fluxes play a key role in cellular proliferation of PC cells. In contrast, other findings suggest that high TRPM8 levels may reduce the metastatic potential of PC cells. A detailed understanding of the response of TRPM8 channels to pharmacological modulators of their activity is relevant when considering potential therapies, targeting this ion channel to treat PC. We characterized the pharmacological and functional properties of native TRPM8 channels in four human prostate cell lines, PNT1A, LNCaP, DU145, and PC3, commonly used as experimental models of PC. PNT1A is a non-tumoral prostate cell line while the other three correspond to different stages of PC. Here, we show that cold- and agonist-evoked [Ca(2+)](i) responses in PC cells are much less sensitive to well-characterized agonists (menthol and icilin) and antagonists (BCTC, clotrimazole, and DD01050) of TRPM8 channels, compared to TRPM8 channels in other tissues, suggesting a different molecular composition and/or spatial organization. In addition, the forced overexpression of human TRPM8 facilitated the trafficking of TRPM8 channels residing in the endoplasmic reticulum to the plasma membrane, leading to a marked potentiation in the efficacy of the different blockers. These results predict that blockers of canonical TRPM8 channels may be less effective in halting proliferation of PC cells than expected. PMID- 21052714 TI - No conflict control in the absence of awareness. AB - INTRODUCTION: In the present study we tested whether control over the impact of potentially conflicting information depends on awareness of that conflicting information. METHOD AND RESULTS: In Experiment 1 participants performed a response-priming task, with either masked or unmasked primes. Prime awareness was assessed on a trial-by-trial basis. A typical conflict control pattern, with reduced priming effects following incongruent rather than congruent primes in the preceding trial was found. Yet, this pattern was obtained only when the prime information was visible and not when it was invisible. With invisible primes the effect did not occur, even when participants accidently judged the prime information correctly. Importantly, this confinement of the conflict adaptation effect to unmasked primes occurred despite identical prime processing times with and without masking-a variable that was confounded with prime awareness in previous studies. In Experiment 2, a similar data pattern was found for judgment times regarding the congruency of prime-target pairs. CONCLUSION: Altogether, the results support the conclusion that awareness of visual primes is important for controlling conflict in visuo-motor processing. PMID- 21052715 TI - Sex differences in cognitive control are associated with midcingulate and callosal morphology. AB - Sex differences in the processing of cognitively demanding tasks have attracted much attention in recent years. While there seems to be some agreement on differences between males and females concerning spatial abilities and language skills, a consensus regarding executive functions or cognitive control has not been reached yet. In the present study, male and female subjects participated in a lateralized, tactile Stop-Signal task. Although the behavioral data did not show any differences between sexes, event-related potentials pointed to varieties in neurocognitive processing. As inferred from N200 amplitudes, differences between left- and right-hand stimulation suggested a strong degree of functional lateralization in males in accordance with a left-hemispheric dominance. Females, on the other hand, rather seemed to exhibit a functionally symmetric organization of relevant processes. The P300 did also show evidence of sex-related differences, reflecting disparities in the degree or quality of interhemispheric interaction. In addition, behavioral and electrophysiological parameters were correlated with individual metrics concerning the degree of midcingulate folding asymmetry and the morphology of the corpus callosum. Differential associations of these morphological characteristics with the N200 and P300, respectively, underscore the notion of relevant structure-function associations of the midcingulate cortex and the N200 on the one hand, and the corpus callosum and the P300 on the other hand. Obviously, these variations in neuroanatomy contribute to the observed behavioral and electrophysiological differences between women and men. PMID- 21052718 TI - NADPH oxidase-derived reactive oxygen species: involvement in vascular physiology and pathology. AB - Reactive oxygen species (ROS) are essential mediators of normal cell physiology. However, in the last few decades, it has become evident that ROS overproduction and/or alterations of the antioxidant system associated with inflammation and metabolic dysfunction are key pathological triggers of cardiovascular disorders. NADPH oxidases (Nox) represent a class of hetero-oligomeric enzymes whose primary function is the generation of ROS. In the vasculature, Nox-derived ROS contribute to the maintenance of vascular tone and regulate important processes such as cell growth, proliferation, differentiation, apoptosis, cytoskeletal organization, and cell migration. Under pathological conditions, excessive Nox-dependent ROS formation, which is generally associated with the up-regulation of different Nox subtypes, induces dysregulation of the redox control systems and promotes oxidative injury of the cardiovascular cells. The molecular mechanism of Nox derived ROS generation and the means by which this class of molecule contributes to vascular damage remain debatable issues. This review focuses on the processes of ROS formation, molecular targets, and neutralization in the vasculature and provides an overview of the novel concepts regarding Nox functions, expression, and regulation in vascular health and disease. Because Nox enzymes are the most important sources of ROS in the vasculature, therapeutic perspectives to counteract Nox-dependent oxidative stress in the cardiovascular system are discussed. PMID- 21052717 TI - Mutation analysis in Bardet-Biedl syndrome by DNA pooling and massively parallel resequencing in 105 individuals. AB - Bardet-Biedl syndrome (BBS) is a rare, primarily autosomal-recessive ciliopathy. The phenotype of this pleiotropic disease includes retinitis pigmentosa, postaxial polydactyly, truncal obesity, learning disabilities, hypogonadism and renal anomalies, among others. To date, mutations in 15 genes (BBS1-BBS14, SDCCAG8) have been described to cause BBS. The broad genetic locus heterogeneity renders mutation screening time-consuming and expensive. We applied a strategy of DNA pooling and subsequent massively parallel resequencing (MPR) to screen individuals affected with BBS from 105 families for mutations in 12 known BBS genes. DNA was pooled in 5 pools of 21 individuals each. All 132 coding exons of BBS1-BBS12 were amplified by conventional PCR. Subsequent MPR was performed on an Illumina Genome Analyzer IITM platform. Following mutation identification, the mutation carrier was assigned by CEL I endonuclease heteroduplex screening and confirmed by Sanger sequencing. In 29 out of 105 individuals (28%), both mutated alleles were identified in 10 different BBS genes. A total of 35 different disease-causing mutations were confirmed, of which 18 mutations were novel. In 12 additional families, a total of 12 different single heterozygous changes of uncertain pathogenicity were found. Thus, DNA pooling combined with MPR offers a valuable strategy for mutation analysis of large patient cohorts, especially in genetically heterogeneous diseases such as BBS. PMID- 21052719 TI - Chromogranin/secretogranin proteins in murine heart: myocardial production of chromogranin A fragment catestatin (Chga(364-384)). AB - In the heart, the secretory granules containing the atrial natriuretic peptides (ANP) and B-type myocardial natriuretic peptide (BNP) provide the basis for the endocrine function of this organ. We sought to determine whether atrial and myocardial secretory granules contain chromogranin/secretogranin proteins including chromogranin A (CHGA/Chga), chromogranin B (CHGB/Chgb) and secretogranin II (SCG2/Scg2). Deconvolution microscopy on immunolabeled proteins revealed the presence of Chga, Chgb, and Scg2 in murine cardiac secretory granules. The presence of low plasma catestatin (CST: mChga(364-384)) in older mice indicates diminished processing of Chga to CST with advancement of age, which is comparable to that found in humans. We have previously shown that CST (hCHGA(352-372)) exerts potent cardio-suppressive effects on frog and rat heart, but the source of CST for such action has remained elusive. In the present study, we found CST-related peptides in cardiomyocytes and in heart, which establishes an autocrine/paracrine function of CST in cardiac tissue. We conclude that cardiac secretory granules contain Chga, Chgb and Scg2 and that Chga is processed to CST in murine heart. PMID- 21052720 TI - Endoscopic balloon dilatation without fluoroscopy for treating gastric outlet obstruction because of benign etiologies. AB - BACKGROUND: Benign gastric outlet obstruction (GOO) causes considerable morbidity and conventional treatment has been surgery. Endoscopic balloon dilatation is a minimally invasive treatment modality for GOO but experience with its use is mainly in patients with GOO due to peptic ulcer disease. We report our experience of endoscopic balloon dilatation in benign GOO of various etiologies. METHODS: Over 4 years, 25 patients with benign GOO were treated by endoscopic balloon dilatation done with through-the-scope controlled radial expansion (CRE) balloon dilators. Dilatation was repeated every 2 weeks with the end point being dilation of 15 mm or the need for surgery. Helicobacter pylori, when present, was eradicated. RESULTS: Etiology of benign GOO was peptic ulcer (11), corrosive ingestion (7), chronic pancreatitis (4, groove pancreatitis in 1), tuberculosis (2), and Crohn's disease (1). Endoscopic balloon dilatation was successful in 21/25 (84%) patients. Patients required one to six sessions of endoscopic dilatation (mean=2.2+/-1.2). Corrosive-induced GOO required more dilatation sessions (3.83+/-0.75) compared to peptic GOO (2.1+/-0.56; p<0.05). Balloon dilatation was also effective in patients with GOO due to gastroduodenal tuberculosis and Crohn's disease. Patients with chronic pancreatitis-related GOO had poor response to dilatation, with two patients (50%) requiring surgery and the remaining two with recurrence of symptoms requiring repeat dilatation. None of the other patients with successful treatment had recurrence of symptoms. Complication in the form of perforation was noted in two patients (8%). CONCLUSIONS: Endoscopic balloon dilatation is an effective, safe, and minimally invasive treatment modality for benign gastric outlet obstruction. PMID- 21052721 TI - Safety and outcome of general surgical open and laparoscopic procedures during pregnancy. AB - BACKGROUND: Surgical procedures during pregnancy carry the risk of adverse fetal outcome. We analyzed outcomes of open and laparoscopic approaches in patients treated for symptomatic cholelithiasis and suspected appendicitis. We reviewed the literature for evidence on the safety of both procedures. METHODS: We retrospectively reviewed the data of all patients who underwent surgery for symptomatic cholelithiasis and suspicion of appendicitis during pregnancy between January 2004 and March 2009. Fetal loss, preterm delivery, maternal outcome, and surgical complications were assessed. RESULTS: Twenty patients were operated on during pregnancy: 5 of 652 (0.8%) patients with symptomatic cholelithiasis and 15 (4.5%) of 331 for suspected appendicitis. All cholecystectomies were performed by laparoscopic procedure; no premature deliveries or fetal death occurred. In patients with suspicion of appendicitis, three appendices sana were diagnosed laparoscopically, and nine laparoscopic appendectomies and three open appendectomies were performed. The outcome was two preterm deliveries and one fetal death. CONCLUSION: Reviewing our results and the available literature, we believe that the outcome of surgery during pregnancy is not dictated by the type of procedure but by the type of disease. The gain for fetal outcome in the future most likely lies in the diagnostic pathway rather than the type of surgery. PMID- 21052722 TI - Laparoscopic versus Open Roux-en-Y hepatojejunostomy for children with choledochal cysts: intermediate-term follow-up results. AB - BACKGROUND: Laparoscopic hepatojejunostomy (LH) for children with choledochal cysts (CDC) has been gaining popularity recently. However, its safety and efficacy remain unknown. The purpose of this study was to evaluate the intermediate-term results of LH for CDC children. METHODS: We reviewed 218 patients who underwent LH between October 2001 and October 2009 and 200 patients who underwent open hepatojejunostomy (OH) between September 1993 and September 2001. Ultrasonography, upper gastrointestinal contrast studies, and laboratory tests were performed during the follow-up period. Age, operative blood loss, operative time, postoperative hospital stay, time to full feed, duration of drainage, postoperative complications, and perioperative laboratory tests were evaluated in both groups. RESULTS: The median follow-up periods of the LH and OH groups were 38 and 146 months, respectively. There was no significant difference in age between the two groups. Interestingly, the operative time of the LH group decreased significantly with increasing number of cases (P<0.01). The most recent operative time of the LH group did not differ from that of the OH group (3.04 vs. 2.95 h, P=0.557). The operative blood loss of the LH group was significantly less (P<0.001). The postoperative hospital stay, resumption of alimentation, and duration of drainage in the LH group were significantly shorter (P<0.001, respectively). Two of 218 (0.9%) LH patients developed bile leak. This was significantly less than 11 of 200 (5.5%) in the OH group (P<0.01). The morbidities of LH group were significantly lower than those of the OH group. Postoperative liver function tests and serum amylase levels normalized in both groups (P<0.001). CONCLUSIONS: Laparoscopic hepatojejunostomy is safe and effective. Its intermediate-term results are comparable to open surgery. PMID- 21052723 TI - Transoral parathyroid surgery--feasible! PMID- 21052724 TI - Is it appropriate to apply the enhanced recovery program to patients undergoing laparoscopic rectal surgery? AB - BACKGROUND: The laparoscopic approach has played a key role in the successful application of the enhanced recovery program (ERP) in perioperative care for postoperative colon surgery patients. Reports of applying ERP in laparoscopic rectal surgery are rare, and the feasibility of doing so has yet to be solidly evaluated. The goal of this study was to evaluate whether it is appropriate to use ERP on patients who undergo rectal surgery via the laparoscopic approach and to further investigate potential factors that may affect the results of this practice modality. METHODS: Between December 2007 and July 2009, 80 eligible patients (35 women) with a median age of 60 (range, 28-82) years were enrolled. All patients received elective laparoscopic rectal surgery due to malignant or benign rectal lesions. Forty-nine percent of patients received preoperative neoadjuvant chemoradiotherapy (CCRT), because their clinical stage was beyond T3N0 or TanyN(+). The ERP used in this study was modified from a similar protocol used for patients receiving laparoscopic colectomy at the same institution. RESULTS: Sixty-five percent of patients in the study received a sphincter preserving procedure, whereas 15 other patients underwent abdominoperineal resection (APR). The median operative time was 160 min. The conversion rate of laparoscopic surgery was 7.5%, and the combined intraoperative and postoperative complication rate was 13.8%. Forty-two patients (52.5% of the study pool) received complete postoperative recovery courses as prescribed by ERP. CONCLUSIONS: Our preliminary results of applying ERP to patients receiving laparoscopic rectal surgery showed a success rate of 52.5%. The failure of ERP among these patients was related to low rectal lesion locations (below 7 cm AAV) and surgery-related complications. ERP for laparoscopic rectal surgery is feasible but is not advised for all cases requiring laparoscopic rectal surgery. PMID- 21052725 TI - Outcomes of laparoscopic ventral hernia repair with routine defect closure using "shoelacing" technique. AB - INTRODUCTION: Laparoscopic approach has become standard for many ventral hernia repairs. The benefits of minimal access include reduced wound complications, faster functional recovery, and improved cosmesis, among others. However, "bridging" of hernia defects during traditional laparoscopic ventral hernia repair (LVHR) often leads to seromas or bulging and, importantly, does not restore a functional abdominal wall. We have modified our approach to LVHR to routinely utilize transabdominal defect closure ("shoelacing" technique) prior to mesh placement. Herein, we aim to analyze outcomes of LVHR with shoelacing. METHODS: Consecutive patients undergoing LVHR with shoelacing were reviewed retrospectively. Main outcome measures included patient demographics, previous surgical history, intraoperative time, mesh type and size, postoperative complications, length of hospitalization, and hernia recurrence. RESULTS: Forty seven consecutive patients underwent LVHR with defect closure. Average body mass index (BMI) was 32 kg/m2 (range 22-50 kg/m2). Eighteen (38%) patients had an average of 1.5 previous repairs (range 1-3). Mean defect size was 82 cm2 (range 16-300 cm2), requiring a median of 4 (range 2-7) transabdominal stitches for shoelacing. Two patients required endoscopic component separation to facilitate defect closure. Mean mesh size used was 279 cm2 (range 120-600 cm2). Mean operative time was 134 min (range 40-280 min). There were no intraoperative complications. Average length of hospitalization was 2.9 days (range 1-10 days). There were two major postoperative complications [one pulmonary embolism (PE), one stroke]; however, there was no wound-related morbidity or significant seromas. At mean follow-up of 16.2 months, there have been no recurrences. CONCLUSIONS: LVHR with defect closure confers a strong advantage in hernia repair, shifting the paradigm towards more physiologic abdominal wall reconstruction. In this series, we found our approach to be safe and comparable to historic controls. While providing reliable hernia repair, the addition of defect closure in our patients essentially eliminated postoperative seroma. We advocate routine use of the shoelace technique during laparoscopic ventral hernia repair. PMID- 21052727 TI - Laparoscopic colectomy is safe and leads to a significantly shorter hospital stay for octogenarians. PMID- 21052726 TI - Reoperative antireflux surgery for dysphagia. AB - BACKGROUND: Troublesome dysphagia is a common indication for redo antireflux surgery (Re-ARS). This study is aimed to analyze the efficacy of Re-ARS in resolving dysphagia and to identify risk factors for persistent or new-onset dysphagia after Re-ARS. METHODS: A prospectively maintained database was retrospectively reviewed to identify patients after Re-ARS. Dysphagia severity was graded on a scale of 0 to 3 before and after Re-ARS based on responses to a standardized questionnaire. Patients reporting grade 2 or 3 symptoms were considered to have significant dysphagia. Satisfaction was graded using a 10 point analog scale. RESULTS: Between December 2003 and July 2008, 106 patients underwent Re-ARS. Significant preoperative dysphagia was reported by 54 patients, and impaired esophageal motility was noted in 31 patients. Remedial surgery included redo fundoplication (n = 87), Collis gastroplasty with redo fundoplication (n = 16), and takedown of the fundoplication or hiatal closure alone (n = 3). At least 1 year follow-up period (mean 21.8 months) was available for 92 patients. For patients with significant preoperative dysphagia (n = 46), the mean symptom score declined from 2.35 to 0.78 (p < 0.0001). Persistent dysphagia was reported by 13 patients and new-onset dysphagia by 4 patients. No patients reported grade 3 dysphagia after Re-ARS. Dilations were used to treat 11 patients. Multivariate logistic regression analysis identified Collis gastroplasty (p = 0.03; adjusted odds ratio [OR], 5.74) and preoperative dysphagia (p = 0.01; adjusted OR, 6.80) as risk factors for significant postoperative dysphagia. The overall satisfaction score was 8.3, but certain subsets had significantly lower satisfaction scores. These subsets included patients with esophageal dysmotility (7.1; p = 0.04), patients who required Collis gastroplasty (7.0; p = 0.09), and patients with esophageal dysmotility who required Collis gastroplasty (5.0; p < 0.01). CONCLUSION: Although dysphagia is a common symptom among patients requiring Re-ARS, intervention provides a significant benefit. Patients with preoperative dysphagia, especially those requiring Collis gastroplasty, are at increased risk for persistent dysphagia and decreased satisfaction after Re-ARS. PMID- 21052728 TI - Does immigration background influence outcomes after renal transplantation? AB - Migration implies differences in lifestyle, dietary and health behavior practice, and adherence, all of which are relevant factors in terms of disease outcome. However, renal transplantation in immigrant groups has been rarely studied in Europe. We have investigated the effect of immigration on outcomes in all children who underwent renal transplantation (RTx) at the Medical University of Vienna. From 1978 to 2007, 196 children underwent 236 RTx. In comparison to native recipients, immigrant recipients (31 boys, 17 girls) tended to be younger and male, with a higher rate of congenital renal diseases. The percentage of adolescent immigrant recipients tended to be lower, and living donation tended to be higher. In both the immigrant and native groups, RTx outcomes at 1, 5, and 10 years, including acute rejection rate (34 vs. 44, 55 vs. 62, 74 vs. 78%, respectively) and patient (98 vs. 92, 88 vs. 91, 80 vs. 82%, respectively) and graft survival (83 vs. 82, 79 vs. 65, 66 vs. 51%, respectively) were similar. All outcomes improved over time. In conclusion, this study demonstrates that outcomes in RTx are equivalent in immigrants and native recipients. Potential barriers to success among the Austrian immigrant recipient population may have been overcome by protective factors. These results should serve as a catalyst to retrieve data from larger databases to verify these single-center results. PMID- 21052730 TI - [The headache research scientist Prof. Dieter Soyka celebrates his 80th birthday]. PMID- 21052729 TI - Minimal change disease: a "two-hit" podocyte immune disorder? AB - Minimal change disease (MCD) is the most common nephrotic syndrome in children and is commonly thought to be a T-cell disorder mediated by a circulating factor that alters podocyte function resulting in massive proteinuria. We suggest that MCD is a "two-hit" disorder. As originally hypothesized by Reiser et al. in 2004, we propose that the initial hit is the induction of CD80 (also known as B7.1) on the podocyte, and that this results in an alteration in shape with actin rearrangement that alters glomerular permeability and causes proteinuria. We propose that CD80 expression may result from either direct binding of the podocyte by cytokines from activated T cells or by activation of podocyte toll like receptors (TLR) by viral products or allergens. We further hypothesize that under normal circumstances, CD80 expression is only transiently expressed and proteinuria is minimal due to rapid autoregulatory response by circulating T regulatory cells or by the podocyte itself, probably due to the expression of factors [cytotoxic T-lymphocyte-associated (CTLA)-4, interleukin (IL)-10, and possibly transforming growth factor (TGF)-beta] that downregulate the podocyte CD80 response. In MCD, however, there is a defect in CD80 podocyte autoregulation. This results in persistent CD80 expression and persistent proteinuria. If correct, this hypothesis may lead to both new diagnostic tests and potential therapeutics for this important renal disease. PMID- 21052731 TI - [Impact of preoperative patient education on postoperative pain in consideration of the individual coping style]. AB - OBJECTIVE: the German guideline for the treatment of acute perioperative and post traumatic pain (S3-Leitlinie zur Behandlung akuter perioperativer und posttraumatischer Schmerzen) recommends giving preoperative information about postoperative pain and how to influence it. It is expected that the effect of preoperative information is modified by psychological characteristics of the patient. One of these psychological characteristics is the individual coping style. The purpose of the study is to evaluate whether or not patients benefit from preoperative education in relation to their level of negative coping style. METHODS: the study is based on a 2*2 factorial experimental design with the experimental factor "treatment" (education vs control condition) and the factor "negative coping style" (high vs low). After informed consent 96 patients undergoing abdominal or vascular surgery were enrolled in the study. Outcomes were pain intensity, pain quality and psychic state. They were assessed by using numerical rating scales and psychometric methods of self-assessment. The data were collected preoperatively and on the first to third postoperative day. RESULTS: patients who received preoperative education experience a greater reduction in postoperative pain than patients without preoperative education do (ES=0.48). The risk for stronger pain (NRS>3) on the third postoperative day is decreased (2.1 vs 14.6%). The influence of negative coping style is altogether minimal. CONCLUSIONS: preoperative patient information has positive effects on the postoperative development of pain. Patient information is a valuable addition to the drug pain treatment. The application can be recommended regardless of the level of the patients' negative coping style. PMID- 21052732 TI - [Pain contra pain : the concept of DNIC]. AB - "Diffuse noxious inhibitory controls" (DNIC) refer to the observation that the activity of multi-receptive neurons of the spinal cord and trigeminal system can be strongly suppressed by an intensive pain stimulus outside their peripheral receptive field. This effect represents a neurophysiologically well-established animal model of endogenous pain modulation that has been consistently demonstrated across different species. Electrophysiological and anatomical data support the view that DNIC are sustained by a largely independent spino-bulbo spinal loop that critically involves the caudal medulla. It is assumed that, corresponding to the animal model, the perceptive effects of 'heterotopic noxious conditioning stimulations' (HNCS) in humans are predominantly based on the DNIC mechanism. This review focusses on DNIC and HNCS including similarities, divergences and their potential clinical relevance. PMID- 21052733 TI - Cancer pain management in ambulatory care: can we link assessment and action to outcomes? AB - PURPOSE: Good cancer pain control requires appropriate assessment and treatment. The purpose of this study was to examine the relationships among physician, nurse practitioner, and nurse knowledge, documentation of assessment, treatment, and pain reduction in cancer patients seen in ambulatory settings. METHOD: The study method included an assessment of pain knowledge of providers (physicians, nurse practitioners, and nurses) who worked in cancer clinics and a retrospective review of patients' records treated for cancer-related pain in their clinics. Fifty-eight providers from eight cancer clinics completed the knowledge questionnaire; 56 patient records were reviewed for assessment, treatment, and outcome data. Pain relief, the outcome, was obtained from documentation at the next clinic visit. RESULTS: Of the 54 patient records that documented pain relief at the next clinic visit, 61.9% reported no relief. Chi square analysis revealed clinics with a higher level of pain knowledge documented a greater number of elements of an ideal pain assessment (p = 0.03) but was unrelated to treatment and pain relief reported. Assessment and treatment were unrelated to reported pain relief at the next clinic visit. CONCLUSION: These data suggest that providers' pain knowledge is related to pain assessment but not treatment or outcome. In addition, these data showed no relationship between assessment, treatment prescribed, and pain relief in these ambulatory settings. PMID- 21052735 TI - Ectopic ACTH syndrome revealed as severe hypokalemia and persistent hypertension during the perioperative period: a case report. AB - Both severe hypokalemia and persistent hypertension are clinical symptoms of hyperaldosteronism. Hyperaldosteronism may occur as a primary or secondary syndrome. Excess ACTH produced ectopically by tumors may induce hyperaldosteronism through the mineralocorticoid activity of glucocorticoids that are upregulated by ACTH. Licorice, with the active ingredient glycyrrhiza, is also a well-known inducer of hyperaldosteronism under specific conditions. In this report, we describe a case of severe hypokalemia caused by ectopic ACTH syndrome (EAS) elicited by an intrathoracic carcinoid tumor, which had transformed to produce ACTH during the 6-year clinical course, and was modulated by licorice ingestion. Hypokalemia was not clearly recognized preoperatively but became obvious within 3 h of general anesthesia with epidural blockade. At the end of anesthesia, arterial blood gas analysis indicated severe hypokalemia ([K(+)] = 1.7 mEq/l) and metabolic alkalosis (pH 7.56, PaCO(2) = 54.9 mmHg, HCO(3)(-) = 44.5 mmol/l, BE = 21.8 mmol/l), without any typical symptoms such as muscle weakness or ECG abnormalities. The hypokalemia was resistant to potassium supplementation and persisted for 4 days. Perioperative imbalance between the administration and elimination of potassium and surgical stress might contribute to the rapid exacerbation and induce the clinical manifestation of EAS. PMID- 21052736 TI - Comment on the article by Bindra A et al.: is the modified Mallampati test performed in supine position a reliable predictor of difficult tracheal intubation? PMID- 21052737 TI - Efficacy of the Airtraq((r)) laryngoscope with a fiberoptic bronchoscope compared with that of Airtraq((r)) alone for tracheal intubation: a manikin study. AB - PURPOSE: Successful intubation using the Airtraq((r)) requires optimal positioning of the glottis in the middle of the viewfinder. If the glottic view cannot be optimized, some glottic manipulation is essential for the Airtraq assisted successful intubation. We evaluated the efficacy of the combined use of the Airtraq and a fiberoptic bronchoscope (FOB) compared with that of the Airtraq alone for tracheal intubation in simulated airway scenarios. METHODS: Eight anesthesia providers (four staff and four residents) were enrolled in this study. The participants intubated the trachea of the ALS Simulator manikin in five tongue edema scenarios simulating modified Cormack-Lehane grade 1, 2a, 2b, 3, and 4 views and one cervical immobilization scenario. RESULTS: No significant difference in the rate of successful intubation was detected between the combined use and the use of Airtraq alone in all scenarios. However, the duration of intubation attempts with the combined use was significantly shorter in difficult laryngoscopy scenarios (Cormack-Lehane grade 2b-4) (P < 0.01) and were significantly longer in easy laryngoscopy scenarios (grade 1 and 2a) (P < 0.05) than those with Airtraq alone. The rate of successful intubation and duration of intubation attempts were similar between the anesthetists and residents in each intubation technique in all scenarios. CONCLUSION: The combined use of Airtraq((r)) and a FOB enables rapid intubation in simulated difficult airway scenarios compared with intubation using Airtraq alone, and the speed of intubation performed by anesthetists and residents is similar in all airway scenarios. PMID- 21052738 TI - Hyperintense lesions in brain MRI after exposure to a mercuric chloride containing skin whitening cream. AB - Exposure to inorganic mercury (Hg) is a serious problem presenting with a combination of neurological and psychiatric symptoms along with weight loss, pruritus, erythema, arterial hypertension, tachycardia, and renal tubular dysfunction. We report a 4-year-old girl with chronic intoxication of inorganic mercury secondary to the accidental use of an Hg2Cl2- and HgCl2-containing skin whitening cream (urine level of Hg, 41.1 MUg/l; reference level, < 25 MUg/l). Under treatment with dimercapto-1-propansulficacid, Hg level in the urine raised to 1,175.5 MUg/l, neurological deterioration occurred, and brain magnetic resonance imaging (MRI) showed on fluid attenuated inversion recovery sequences new hyperintense lesions in the subcortical white matter. After 4 months, clinical signs and symptoms and brain MRI findings resolved. This is a first case of inorganic mercury poisoning showing hyperintense lesions in brain MRI and confirms earlier cases showing transient deterioration during chelation therapy. Although urinary excretion could be enhanced during chelation therapy, signs and symptoms of intoxication could be worsened. PMID- 21052739 TI - Comparison of several anthropometric indices with insulin resistance proxy measures among European adolescents: The Helena Study. AB - The aim of the current study was to compare the association of several anthropometric indices, with insulin resistance (IR) proxy measures in European adolescents. The present study comprises 1,097 adolescents aged 12.5-17.5 from ten European cities participating in the HELENA study. Weight, height, waist circumference (WC) and hip circumference, skinfolds thickness, fat mass (FM), fasting plasma glucose (G(F)) and serum insulin (I(F)) levels were measured. HOMA (as indicator of IR body mass index (BMI), waist to hip ratio (WHR) and waist to height ratio (WHtR) were calculated. I(F) and HOMA were statistically significantly related to BMI, WC, skinfold sum, WHtR, WHR and FM. BMI, WC, WHtR, skinfold sum and FM displayed similar correlation with I(F) and HOMA as opposed to WHR where lower correlation with IR indices was detected in the overall sample. Similar results were found for boys, girls and underweight/normal weight adolescents. On the other hand, WC and WHtR were found to be more strongly associated with IR proxy measures compared to the rest of anthropometric indices among overweight/obese subjects. Based on the current findings, WC and WHtR could be used, alternatively, to identify the overweight/obese adolescent at risk for developing IR. In addition, all aforementioned anthropometric indices, except WHR, could be used among the underweight/normal weight adolescents. PMID- 21052740 TI - Traumatic arteriovenous fistula supplied by the middle meningeal artery. PMID- 21052741 TI - Chronic encapsulated intracerebral hematoma: a rare complication after stereotactic radiosurgery for cerebral arteriovenous malformation. PMID- 21052742 TI - Management of post-neurosurgical Acinetobacter infections: experiences obtained during an outbreak. PMID- 21052743 TI - Long-term quality of life outcome (SF-36) in traumatic acute subdural hematoma patients. PMID- 21052744 TI - Tourette syndrome (TS) bears a higher rate of inflammatory complications at the implanted hardware in deep brain stimulation (DBS). AB - BACKGROUND: Deep brain stimulation (DBS) is a commonly performed surgical technique for the treatment of movement disorders, and recent surgical trials concerning the treatment of a wider range of disorders have recently been published. Despite DBS being non-ablative and minimally invasive, numerous complications and side effects have been recorded. In particular, concerning the growing interest in novel indications for DBS, an enthusiastic approach has put neurosurgeons at risk of underestimating some of the complications that might be associated with specific characters of the treated disease. OBJECTIVE: Our objective was to evaluate hardware failures and rates of infective complications in correlation to the different indications to DBS, in order to ascertain whether DBS in Tourette syndrome (TS) is characterized by specific risks and pitfalls. METHODS: We retrospectively reviewed our experience of 531 procedures on 272 patients treated for various movement disorders, among which 39 patients were treated for conservative treatmentrefractory TS. RESULTS: A statistically significant association of infective complications was found with the TS subgroup. CONCLUSIONS: It is our belief that specific behavioral characters of the TS patients may be put into association with this specific complication and need to be considered carefully when indicating DBS as treatment of choice for these patients. PMID- 21052746 TI - Nerve cells immunoreactive for p62 in select hypothalamic and brainstem nuclei of controls and Parkinson's disease cases. AB - The protein p62 plays an important role in the proteasomal and/or autophagic clearance of misfolded and aggregation-prone proteins. Immunoreactivity for p62, however, not only characterizes pathological proteinaceous inclusions but also occurs in the form of homogeneous nerve cell labeling in brains of both healthy and diseased individuals, e.g., in the vagal dorsal motor nucleus and other subcortical nuclei. In sporadic Parkinson's disease (PD), the pathological process initially involves preganglionic neurons of the parasympathetic and sympathetic system and probably advances caudo-rostrally from there along the neuroaxis. Since all subsequently affected nuclei (lower raphe nuclei, magnocellular reticular formation, locus coeruleus, and central subnucleus of the amygdala) generate descending projections that terminate in the vagal dorsal motor nucleus and intermediolateral column, it has been conjectured that retrograde axonal transport and transsynaptic transmission of a pathogen contribute to the pathogenesis of PD. The hypothalamic paraventricular nucleus also sends projections to the preganglionic nuclei under consideration and, thus, should belong to the nuclei endangered by the pathological process. However, it remains uninvolved for the duration of the disorder. For this reason, we performed a retrospective study of the relevant nuclei in a cohort of 36 individuals, including 17 with clinically documented PD, one case with incidental Lewy body disease (ILBD), and 18 controls using p62-immunocytochemistry. Remarkably, the neurosecretory cells of the paraventricular nucleus were among the sites showing homogeneous p62-immunolabeling with the greatest consistency. Its p62-immunoreactive profile may indicate that the hypothalamic paraventricular nucleus is somehow capable of effectively metabolizing misfolded proteins and/or preventing their aggregation. PMID- 21052747 TI - Pectin dynamic and distribution of exchangeable Ca2+ in Haemanthus albiflos hollow style during pollen-pistil interactions. AB - In this report, the localization and spatial distribution of two categories of pectin, high and low methylesterified, on the background of dynamic in loosely bound calcium (Ca(2+)) in Haemanthus hollow style were studied before and after pollination. In the style transmitting tract of unpollinated pistil, mainly high methylesterified pectins were present, both in the transmitting tract epidermis and in the style canal. After pollination, an increase in the level of two investigated categories of pectin was observed, but the amount of high methylesterified one in each period of time analyzed was permanently higher. Locally, in the regions of the style canal penetrated by pollen tubes, process of pectin de-esterification was initiated. However, pollination caused an increase of loosely bound Ca(2+) level in the style transmitting tract, this process appears to be not linked with pectin de-esterification and possible Ca(2+) release after the lysis of Ca(2+) cross-linked de-esterified pectin. Instead, it seems to be based on Ca(2+) exocytosis from the transmitting tract epidermis cells providing a source of Ca(2+) for pollen tubes growing in Haemanthus hollow style. PMID- 21052749 TI - Recent intimate partner violence as a prenatal predictor of maternal depression in the first year postpartum among Latinas. AB - The study aims to determine if recent intimate partner violence (IPV) is a prenatal risk factor for postpartum depression (PPD) among pregnant Latinas seeking prenatal care. A prospective observational study followed Latinas from pregnancy through 13 months postpartum. Prenatal predictors of PPD included depression, recent IPV exposure, remote IPV exposure, non-IPV trauma history, poverty, low social support, acculturation, high parity, and low education. Postpartum depression was measured at 3, 7, and 13 months after birth with the Beck's Depression Inventory-Fast Screen. Strength of association was evaluated using bivariate and multivariable odds ratio analysis. Subjects were predominantly low income, monolingual Spanish, and foreign-born, with mean age of 27.7. Recent IPV, prenatal depression, non-IPV trauma, and low social support were associated with greater likelihood of PPD in bivariate analyses. Recent IPV and prenatal depression continued to show significant association with PPD in multivariate analyses, with greater odds of PPD associated with recent IPV than with prenatal depression (adjusted OR = 5.38, p < 0.0001 for recent IPV and adjusted OR = 3.48, p< 0.0001 for prenatal depression). Recent IPV exposure is a strong, independent prenatal predictor of PPD among Latinas. Screening and referral for both IPV and PPD during pregnancy may help reduce postpartum mental health morbidity among Latinas. PMID- 21052750 TI - The Vulnerable Personality Style Questionnaire: psychometric properties in Spanish postpartum women. AB - The Vulnerable Personality Style Questionnaire (VPSQ) is a nine-item self-report scale developed to asses personality traits which increase the risk of postpartum depression. The aim of the present study was to examine the psychometric properties of the Spanish version of the VPSQ in a sample of postpartum women. A cohort of 309 postpartum women was followed up for 32 weeks after delivery. All women were assessed with the Spanish version of the VPSQ, the Eysenck Personality Questionnaire-R Short Scale, the Frost Multidimensional Perfectionism Scale and the harm avoidance dimension of the Temperament and Character Inventory at 2-3 days postpartum. Depressive symptoms were evaluated at 8 and 32 weeks after delivery by the Edinburgh Postnatal Depression Scale, and a diagnostic interview was used to confirm the presence of major depression disorder. Factor analysis results revealed the unidimensionality of the Spanish version of the VPSQ. Cronbach's alpha coefficient for the VPSQ total score was 0.63. The test-retest reliability indicated a good temporal stability (ICC = 0.88; 95% confidence interval (CI) = 0.82-0.91). A moderate association between the VPSQ and other personality measures provided evidence for its construct validity. Logistic regression analyses showed that women with higher scores on the VPSQ had a higher risk of developing depressive symptoms (OR = 1.20; 95% CI = 1.11-1.29) and major depression (OR = 1.16; 95% CI = 1.07-1.26) throughout the 32 weeks after delivery. Overall, our results suggest adequate psychometric properties of the Spanish version of the VPSQ and its usefulness in identifying women with a personality style that increases the risk of developing postpartum depression. PMID- 21052751 TI - Bacterial ferrochelatase turns human: Tyr13 determines the apparent metal specificity of Bacillus subtilis ferrochelatase. AB - Ferrochelatase catalyzes the insertion of Fe(2+) into protoporphyrin IX. The enzymatic product heme (protoheme IX) is a well-known cofactor in a wide range of proteins. The insertion of metal ions other than Fe(2+) occurs rarely in vivo, but all ferrochelatases that have been studied can insert Zn(2+) at a good rate in vitro. Co(2+), but not Cu(2+), is known to be a good substrate of the mammalian and Saccharomyces cerevisiae ferrochelatases. In contrast, Cu(2+), but not Co(2+), has been found to be a good substrate of bacterial Bacillus subtilis ferrochelatase. It is not known how ferrochelatase discriminates between different metal ion substrates. Structural analysis of B. subtilis ferrochelatase has shown that Tyr13 is an indirect ligand of Fe(2+) and a direct ligand of a copper mesoporphyrin product. A structure-based comparison revealed that Tyr13 aligns with a Met residue in the S. cerevisiae and human ferrochelatases. Tyr13 was changed to Met in the B. subtilis enzyme by site-directed mutagenesis. Enzymatic measurements showed that the modified enzyme inserted Co(2+) at a higher rate than the wild-type B. subtilis ferrochelatase, but it had lost the ability to use Cu(2+) as a substrate. Thus, the B. subtilis Tyr13Met ferrochelatase showed the same metal specificity as that of the ferrochelatases from S. cerevisiae and human. PMID- 21052752 TI - Oral cancer in men and women: are there differences? AB - INTRODUCTION: Because female user habits for tobacco and alcohol are changing and the female incidence of oral squamous cell carcinoma (SCC) has increased, the aim of the study was to evaluate the possible differences between male and female patients suffering from oral SCC. PATIENTS AND METHODS: The files of 159 male and 119 female patients with oral SCC, who were treated between 1999 and 2008 with a minimum follow-up time of 12 months, were evaluated retrospectively. Special attention was paid to tobacco and alcohol use, TN status, recurrence, and metastases rate, as well as to patients without the mentioned risk factors. RESULTS: A higher female median age (65.36 vs. 61.04 years) and female predominance was found in the group of patients older than 70 years, with a gender distribution of 53:46. Out of 23 female patients with oral maxillary SCC, 15 (65%) were without the risk factors of tobacco and alcohol, and from the 16 male patients suffering from oral maxillary carcinoma, only three (19%) were without the mentioned risk factors. CONCLUSION: In summary, compared to earlier studies, there was a higher proportion of females in (1) the group without the risk factors of tobacco and alcohol, (2) those with SCC of the hard plate and maxillary alveolus, and (3) in patients older than 70 years. There are fewer differences between metastases and recurrence rates. Further studies should be performed in female patients without risk factors and in maxillary cancer with emphasis on the human papilloma virus and infiltration rates. PMID- 21052753 TI - Different techniques for mesh application give the same abdominal muscle strength. AB - PURPOSE: This study investigates abdominal muscle strength after surgery for giant hernia with the onlay, sublay or intraperitoneal (IPOM) method. Theoretically, placement of the mesh may result in different possibilities regarding function and postoperative physical activity related to abdominal muscle function. METHOD: Twenty-four patients operated for large ventral hernias using the onlay, sublay or IPOM technique were evaluated 1 year following surgery for abdominal wall strength using Biodex system 4. RESULTS: Despite the different surgical techniques used, no differences were observed in abdominal wall strength between the groups. CONCLUSION: The postoperative strength of abdominal wall muscles is independent of the method used for reconstruction of large abdominal wall hernia, and the choice of surgical technique should be directed by anatomical circumstances. PMID- 21052754 TI - Closed-loop bird-computer interactions: a new method to study the role of bird calls. AB - In the field of songbird research, many studies have shown the role of male songs in territorial defense and courtship. Calling, another important acoustic communication signal, has received much less attention, however, because calls are assumed to contain less information about the emitter than songs do. Birdcall repertoire is diverse, and the role of calls has been found to be significant in the area of social interaction, for example, in pair, family, and group cohesion. However, standard methods for studying calls do not allow precise and systematic study of their role in communication. We propose herein a new method to study bird vocal interaction. A closed-loop computer system interacts with canaries, Serinus canaria, by (1) automatically classifying two basic types of canary vocalization, single versus repeated calls, as they are produced by the subject, and (2) responding with a preprogrammed call type recorded from another bird. This computerized animal-machine interaction requires no human interference. We show first that the birds do engage in sustained interactions with the system, by studying the rate of single and repeated calls for various programmed protocols. We then show that female canaries differentially use single and repeated calls. First, they produce significantly more single than repeated calls, and second, the rate of single calls is associated with the context in which they interact, whereas repeated calls are context independent. This experiment is the first illustration of how closed-loop bird-computer interaction can be used productively to study social relationships. PMID- 21052755 TI - Epilepsia partialis continua in children with fulminant subacute sclerosing panencephalitis. AB - Various inflammatory diseases of central nervous system, including subacute sclerosing panencephalitis, could cause epilepsia partialis continua. Two boys with epilepsia partialis continua with onset in terminal phase of atypical subacute sclerosing panencephalitis have been reported. Children were not vaccinated against measles, and the second case had history of measles at an early age. In both cases, the onset of subacute sclerosing panencephalitis was characterized by altered behavior and cognitive decline with very fast mental and neurological deterioration. One boy was suffering from complex partial seizures and myoclonic jerks synchronous with periodic electroencephalographic pattern. Diagnosis was proved by increased titers of antimeasles antibodies in both serum and cerebrospinal fluid. In terminal phase of the disease, epilepsia partialis continua of localized group of the muscles was diagnosed, with good response to intravenous infusion of midazolam. Surface electroencephalographic recordings during epilepsia partialis continua did not show the epileptic discharges. During the terminal phase of the disease, no other type of seizures and movement disorders were recognized, except epilepsia partialis continua. In spite of the treatment, period from the onset of disease to death lasted less than 3 months, suggesting very fulminant course of subacute sclerosing panencephalitis. PMID- 21052756 TI - Association of melanoma and natalizumab therapy in the Italian MS population: a second case report. AB - There is debate about a possible association between natalizumab treatment and higher risk of melanoma. Here we report a case of melanoma in a patient who developed melanoma after 77 infusions of natalizumab, without known risk factors. Pharmacovigilance programs of new drugs can help to monitor adverse events in patients at risk. PMID- 21052757 TI - Risk factors for, and clinical relevance of, faecal extended-spectrum beta lactamase producing Escherichia coli (ESBL-EC) carriage in neutropenic patients with haematological malignancies. AB - The purpose of this study was to assess the risk factors for, and the clinical relevance of, faecal carriage by extended-spectrum beta-lactamase producing Escherichia coli (ESBL-EC) in neutropenic cancer patients (NCP). An observational prospective multicentre cohort study was conducted over 2 years at two teaching hospitals. Patients with acute leukaemia or undergoing stem cell transplantation were included during neutropenia episodes. Rectal swabs were obtained at hospital admission and weekly thereafter until discharge or death. ESBL-EC colonized episodes were compared with non-colonized episodes. ESBL-EC strains were studied by PCR and isoelectric focusing, and molecular typing was performed by pulsed field gel electrophoresis (PFGE). Among 217 episodes of neutropenia, the prevalence of ESBL-EC faecal carriage was 29% (14% at hospital admission). Multivariate analysis identified previous antibiotics as the only independent risk factor for ESBL-EC faecal colonization (OR 5.38; 95% CI 2.79-10.39). Analysis of ESBL-EC isolates revealed a polyclonal distribution with CTX-M predominance (81.3%). E. coli bacteraemia was mainly caused by non-ESBL producing strains and its rate was similar in both groups (13% vs. 11%). We found no association between ESBL-EC carriage and an increased risk of ESBL-EC bacteremia or a negative influence on other clinical outcomes, including length of hospitalisation, early and overall mortality rates. ESBL-EC faecal colonization is frequent in NCP but difficult to identify by epidemiological or clinical features on presentation. Prior antibiotic therapy is the major associated risk factor. In this setting colonization does not appear to have a significant clinical relevance. Thus, routine testing for ESBL-EC faecal carriage does not seem to be beneficial. PMID- 21052758 TI - Identification and expression of liver-specific genes after LPS challenge in amphioxus: the hepatic cecum as liver-like organ and "pre-hepatic" acute phase response. AB - Liver is present in all vertebrates and central to many physiological processes including processing of nutrients from ingested food, plasma protein synthesis, hormone production, and detoxification. However, its evolutionary origin remains open to date. Liver is also the principal organ of acute phase response (APR) but when the vertebrate-like APR regulatory network emerges during the chordate evolution is unknown. By combining global genome survey and qRT-PCR data sets, here, we clearly demonstrate the presence of the 58 vertebrate (zebrafish) liver specific genes in amphioxus (hepatic cecum-specific genes) that are expressed in a tissue-specific manner in the hepatic cecum, the homolog of liver. Among these 58 hepatic cecum-specific genes, 52 genes respond to lipopolysaccharide challenge, which show similar expression profiles in both zebrafish and amphioxus. In addition, searching for binding sites for HNF and APR-associated transcription factors in promoter sequences for all the 58 hepatic cecum-specific genes and the 52 APR-related genes suggests that both HNF factors and APR associated transcription factors in amphioxus form regulatory networks similar to those observed in zebrafish, regulating the hepatic cecum-specific genes and APR related genes, respectively, via binding to their binding sites in the promoter regions. These similarities in liver/hepatic cecum-specific genes, APR, and regulatory networks between amphioxus and zebrafish supports the idea that hepatic cecum in amphioxus is the "pre-hepatic" organ homologous to vertebrate liver and acts as an immunological organ, playing an important role in APR. PMID- 21052759 TI - Structure, function, and engineering of enzymes in isoflavonoid biosynthesis. AB - Isoflavonoids are a large group of plant natural products and play important roles in plant defense. They also possess valuable health-promoting activities with significant health benefits for animals and humans. The isoflavonoids are identified primarily in leguminous plants and are synthesized through the central phenylpropanoid pathway and the specific isoflavonoid branch pathways in legumes. Structural studies of some key enzymes in the central phenylpropanoid pathway shed light on the early stages of the (iso)flavonoid biosynthetic process. Significant impact has also been made on structural studies of enzymes in the isoflavonoid branch pathways. Structures of isoflavonoid-specific NADPH-dependent reductases revealed how the (iso)flavonoid backbones are modified by reduction reactions and how enzymes specifically recognize isoflavonoids and catalyze stereo-specific reductions. Structural studies of isoflavonoid methyltransferases and glycosyltransferases revealed how isoflavonoids are further decorated with methyl group and sugars in different methylation and glycosylation patterns that determine their bioactivities and functions. In combination with mutagenesis and biochemical studies, the detailed structural information of these enzymes provides a basis for understanding the complex biosynthetic process, enzyme catalytic mechanisms, and substrate specificities. Structure-based homology modeling facilitates the functional characterization of these large groups of biosynthetic enzymes and their homologs. Structure-based enzyme engineering is becoming a new strategy for synthesis of bioactive isoflavonoids and also facilitates plant metabolic engineering towards improvement of quality and production of crop plants. PMID- 21052760 TI - Poor outcome of sphincter repair: an evacuation problem? AB - INTRODUCTION: Colorectal transport in idiopathic fecal incontinence has scarcely been studied, and it remains to be investigated in patients with fecal incontinence and anal sphincter lesion. The aim of the present study was to compare colorectal transport during defecation in patients with idiopathic fecal incontinence and patients with fecal incontinence due to anal sphincter lesions with transport in healthy volunteers. METHOD: Five women with idiopathic fecal incontinence (median age 72 years, range: 58-78 years) and five women with an obstetric sphincter lesion (median age 42 years, range: 28-63 years), four of whom had had previous anal sphincter repair, were compared with nine healthy female volunteers (median age 53 years, range 32-57 years). Colorectal scintigraphy was performed to assess colorectal emptying at defecation as well as segmental antegrade and retrograde transport during defecation. Segmental colorectal transit times were determined using radio-opaque markers. RESULTS: Median colorectal emptying time at defecation was significantly lower in the sphincter lesion group compared with the healthy volunteers (P = 0.009). At defecation, median antegrade transport time from the ascending colon was significantly lower in the sphincter lesion group than in the healthy group (P = 0.02). The median segmental transit time from the rectosigmoid colon was higher in the group with a sphincter lesion than in the healthy group (P = 0.05). There were no statistically significant differences between the group with idiopathic fecal incontinence and the healthy volunteers. CONCLUSION: Patients with fecal incontinence due to sphincter lesions, but not those with idiopathic fecal incontinence, have reduced transport from the cecum/ascending colon and from the rectosigmoid colon at defecation. PMID- 21052761 TI - Gravity receptor aging in the CBA/CaJ strain: a comparison to auditory aging. AB - The CBA/CaJ mouse strain is commonly used as a control as it has no known genetic mutations affecting the inner ear, maintains hearing sensitivity throughout life, and serves as a background for creating new genetic strains. The purpose of the present study was to characterize the effects of age and gender on gravity receptor function and compare functional changes between auditory and vestibular modalities. Vestibular-evoked potentials (VsEPs), auditory brainstem responses (ABRs), and distortion product otoacoustic emissions were measured in 131 mice. VsEP thresholds deteriorated an average of 0.39 dB re: 1.0 g/ms per month and at the oldest ages (18-23 months old) showed an average loss of 49% of VsEP dynamic range. No significant gender differences were found for VsEPs. ABR thresholds increased by an average of 1.35, 1.38, and 1.15 dB pe SPL per month for ABR stimulus frequencies of 8, 16, and 32 kHz, respectively, demonstrating an average decrease in auditory dynamic range of 25-35% at advanced ages. Both modalities declined with age. Age-related decreases in gravity receptor sensitivity should be considered when using the CBA/CaJ strain for vestibular studies. PMID- 21052762 TI - Extralabyrinthine manifestations of DFNA9. AB - DFNA9 is an autosomal dominant cause of non-syndromic adult-onset sensorineural hearing loss with associated variable vestibular dysfunction caused by mutations in the COCH gene. DFNA9 has previously been characterized by the presence of unique histopathologic features limited to the cochlear and vestibular labyrinth. This report describes newly discovered extralabyrinthine findings within the middle ear in DFNA9 and discusses their implications. The histopathologic anatomy of extralabyrinthine structures was reviewed in 12 temporal bones from seven individuals with DFNA9 and compared with age-matched controls. All temporal bones with DFNA9 had abnormal deposits within the tympanic membrane, incudomalleal joint, and incudostapedial joint. Hematoxylin and eosin stain and Movat's pentachrome stain both revealed different staining patterns of the extralabyrinthine deposits compared with the intralabyrinthine deposits suggesting that the composition of the deposits varies with location. The deposits within the tympanic membrane resembled cartilage morphologically and stained positively for aggrecan, an extracellular matrix protein found in cartilage. However, the cellular component of the tympanic membrane deposits did not stain with immunomarkers for chondrocytes (s100 and connective tissue growth factor). These novel findings in DFNA9 have implications for the phenotypic expression of the disorder and the clinical workup of adult-onset sensorineural hearing loss. PMID- 21052763 TI - Serum ferritin correlates with activity of anti-MDA5 antibody-associated acute interstitial lung disease as a complication of dermatomyositis. AB - Dermatomyositis (DM) is occasionally complicated by interstitial lung disease. Acute/subacute interstitial pneumonia (A/SIP) with DM is intractable and life threatening. Clinically amyopathic dermatomyositis (C-ADM) is also reported to be complicated with A/SIP, especially in those patients with anti-melanoma differentiation-associated gene 5 (MDA5) antibody. In the present cases, we indicate that serum ferritin level correlated with activity of A/SIP with DM. Two patients, a 65-year-old woman and a 30-year-old woman, were diagnosed with anti MDA5 antibody-associated A/SIP with DM. Serum ferritin was high, 1600 and 770 mg/dl, respectively, on admission. Immunosuppressive therapy ameliorated A/SIP in both cases. Similarly, serum ferritin was also decreasing. However, A/SIP was recurrent and progressive, and serum ferritin was also increasing again in one case. In conclusion, serum ferritin correlates with disease activity of anti-MDA5 antibody-associated A/SIP with DM. Intensity of treatment may be decided according to serum ferritin level. PMID- 21052764 TI - Efficacy of combination therapy of anti-TNF-alpha antibody infliximab and methotrexate in refractory entero-Behcet's disease. AB - It is often difficult to manage refractory gastrointestinal tract complications of Behcet's disease (entero-BD) by conventional therapy. In this study, we assessed the short- and long-term efficacy and safety of the combination therapy of infliximab, an anti-tumor-necrosis-factor (TNF)-alpha antibody, and methotrexate in ten patients with refractory entero-BD refractory to conventional therapies. The short- (weeks) and long-term (by 2 years) effects of infliximab at 3-5 mg/kg body weight every 8 weeks on the clinical course and intestinal manifestations were assessed by abdominal computed tomography (CT) and colonoscopy. The primary endpoint was the rate of disappearance of ileocecal ulceration at 12 months of therapy. All patients showed improvement of gastrointestinal symptoms and disease-associated complications within 4 weeks. Furthermore, the rate of disappearance of ileocecal ulcerations was 50% (5/10 patients) at 6 months and 90% (9/10 patients) at 12 months, and, therefore 90% of patients were satisfied with the primary endpoint. Furthermore, corticosteroid dose was significantly reduced from 22.0 to 1.8 mg/day at 24 months. No severe adverse effects were observed during the 24 months of follow-up. We provide evidence for the rapid and excellent efficacy of infliximab in patients with refractory entero-BD and that the combination of infliximab and methotrexate brings about long-term alleviation of entero-BD and excellent tolerability. PMID- 21052765 TI - Tracking the heterogeneous distribution of amyloid spherulites and their population balance with free fibrils. AB - The analysis of amyloidogenic systems reveals the appearance of distinct states of aggregation for amyloid fibrils. For different proteins and under specific experimental conditions, amyloid spherulites are recognized as a significant component occurring in several protein model systems used for in vitro fibrillation studies. In this work we have developed an approach to characterize solutions containing a mixture of amyloid spherulites and individual fibrils. Using bovine insulin as the model system, sedimentation kinetics for the amyloid aggregates were followed using a combination of UV-Vis spectroscopy and cross polarized optical microscopy. Spherulites were identified as the species undergoing sedimentation. A simple mathematical approach allows the description of the kinetics in terms of decay time/rate distribution. Moreover, based on the sedimentation kinetics, a rough estimate of the balance between amyloid spherulites and individual fibrils can be provided. Fitting the experimental data with the proposed physico-chemical approach shows self-consistent results in reasonable agreement with quantitative imaging analysis previously reported. Our results provide new physical insights into the analysis of amyloidogenic systems, providing a method to characterize the heterogeneous distribution of amyloid spherulites and simultaneously distinguish spherulites and free fibril populations. Importantly, the method can be generally applied to the characterization of polydisperse solutions containing optically traceable spherical particles in the micrometric range. PMID- 21052766 TI - Evidence for a nitrate-independent function of the nitrate sensor NRT1.1 in Arabidopsis thaliana. AB - NRT1.1 is a putative nitrate sensor and is involved in many nitrate-dependent responses. On the other hand, a nitrate-independent function of NRT1.1 has been implied, but the clear-cut evidence is unknown. We found that NRT1.1 mutants showed enhanced tolerance to concentrated ammonium as sole N source in Arabidopsis thaliana. This unique phenotype was not observed in mutants of NLP7, which has been suggested to play a role in the nitrate-dependent signaling pathway. Our real-time PCR analysis, and evidence from a literature survey revealed that several genes relevant to the aliphatic glucosinolate-biosynthetic pathway were regulated via a nitrate-independent signal from NRT1.1. When taken together, the present study strongly suggests the existence of a nitrate independent function of NRT1.1. PMID- 21052767 TI - Nuclear DNA fragmentation during cell death of short-lived ray tracheids in the conifer Pinus densiflora. AB - One key event in the programmed cell death is nuclear DNA fragmentation. We investigated the timing of nuclear DNA fragmentation during the cell death of short-lived ray tracheids in Pinus densiflora using the terminal deoxynucleotidyl transferase-mediated dUTP nick-end labeling (TUNEL) assay. Fluorescence due to TUNEL was detected only in deformed nuclei that lacked obvious chromatin in ray tracheids that were adjacent to ray tracheids that no longer contained nuclei. Our observations revealed that nuclear DNA fragmentation occurred only at the final stage of cell death in ray tracheids in situ. PMID- 21052768 TI - Heart rate recovery following maximal arm and leg-ergometry. AB - INTRODUCTION: Different exercise modes result in different heart rate recovery (HRR) patterns which could be related to the greater vagal reactivation following arm compared to leg-ergometry. METHODS: Heart rate recovery was calculated following maximal arm and leg-ergometry. RESULTS: HRR-1 following maximal arm ergometry was significantly higher than HRR-1 post maximal and sub-maximal leg ergometry. CONCLUSION: This may be of clinical significance to individuals relying on their upper body for locomotion. PMID- 21052769 TI - Inspiratory muscle weakness is associated with autonomic cardiovascular dysfunction in patients with type 2 diabetes mellitus. AB - INTRODUCTION: Diabetic autonomic neuropathy is a complication of diabetes mellitus (DM) that can cause cardiovascular and respiratory abnormalities. The association between respiratory muscle weakness and autonomic cardiovascular neuropathy has not yet been studied. The aims of the present study were to assess respiratory muscle strength, pulmonary function, and heart rate (HR) variability in diabetic patients with and without diabetic autonomic neuropathy. MATERIALS AND METHODS: Twenty-four patients with type 2 DM were divided into two groups: those without diabetic autonomic neuropathy (C group, n = 12); and those with diabetic autonomic neuropathy (DAN group, n = 12). We evaluated pulmonary function, maximum static inspiratory pressure (PImax), maximum static expiratory pressure (PEmax), and HR variability. RESULTS: The results of the pulmonary function tests were similar in both the groups. PImax was lower in the DAN group (80 +/- 24 vs. 102 +/- 24 cmH(2)O, p = 0.03), but PEmax was similar in both the groups. RR intervals (878 +/- 122 vs. 743 +/- 88 ms; p < 0.01), standard deviation of RR intervals (28 +/- 11 vs. 14 +/- 4 ms; p < 0.01) and squared root of the sum of successive differences of squared RR intervals (15 +/- 6 vs. 8 +/- 5 ms; p < 0.01) were higher in the C group. In the DAN group, resting HR was higher (82 +/- 10 vs. 69 +/- 9 bpm; p = 0.01) than in the C group. There was a positive correlation between PImax and RR intervals (r = 0.452, p = 0.02) and a negative correlation between PImax and resting HR (r = -0.482, p = 0.01), and PImax and DM duration (r = -0.463, p = 0.02). CONCLUSION: Patients with type 2 DM and autonomic neuropathy showed reduced respiratory muscle strength and less HR variability. Respiratory muscle weakness may be associated with autonomic dysfunction in these patients. PMID- 21052770 TI - Trends in maternal mortality in Switzerland among Swiss and foreign nationals, 1969-2006. AB - OBJECTIVES: To test whether maternal mortality was higher among immigrant women than Swiss women. METHODS: All maternal deaths and live births in Switzerland from 1969 to 2006 from official vital statistics were considered. We calculated maternal mortality ratios (MMRs) in four time intervals (1969-1979, 1980-1989, 1990-1999, 2000-2006) for both Swiss and immigrant women overall, and for Italian, Spanish and Turkish women. We also computed the odds ratios and 95% confidence intervals of maternal mortality over the four time periods, considering maternal deaths as cases, and live births as controls. RESULTS: From 1969 to 2006 there were 279 maternal deaths, 204 of Swiss women and 75 of immigrant women. Women's age, marital status and cause of death were similar in the two groups. For immigrant women, the crude odds ratio of a pregnancy ending with maternal death, not homogeneous across the four periods, was 4.38 (95% CI 1.88-10.55) in 2000-2006. CONCLUSIONS: Immigrant women have a higher risk of maternal mortality than Swiss women. A closer scrutiny of risk factors and quality of care is necessary to identify opportunities for prevention. PMID- 21052771 TI - Aspects of self differ among physically active and inactive youths. AB - OBJECTIVES: The aim of this paper was to explore connection between aspects of self and levels of physical activity among adolescents. METHODS: An international sample of 501 elementary school students (mean age 14.7 +/- 0.9 years, 48.5% males) from the Slovak and Czech Republics completed the Self-competence/Self liking Scale, the Rosenberg's Self-esteem Scale, the Self-efficacy Scale and a question on their physical activity. Respondents were divided into three groups: (1) no physical activity; (2) infrequent physical activity; (3) everyday physical activity. Data were explored with one-way analysis of variance (ANOVA) separately for each gender. RESULTS: Boys with no physical activity had lower self-liking and social self-efficacy in comparison with boys with everyday physical activity. Girls with no physical activity had lower positive self-esteem, self-liking, self competence, general and social self-efficacy and higher negative self-esteem in comparison with girls with infrequent and everyday physical activity. CONCLUSION: Regular physical activity is connected with psychological aspects of self among adolescents, especially girls. Incorporating physical activity into the life of youths on a regular basis might lead to the enhancement of their feelings of self worth and self-efficacy. PMID- 21052772 TI - Coping with low-quality diets: a first account of the feeding ecology of the southern gentle lemur, Hapalemur meridionalis, in the Mandena littoral forest, southeast Madagascar. AB - Malagasy primates of the genus Hapalemur are exceptional in their exhibition of specialisations allowing for a folivorous diet despite their small body size. Members of this group are well known for their preference for specific parts of woody bamboo, the primary food resource throughout much of their range. The southern gentle lemur (H. meridionalis), however, inhabits littoral forests that contain little or no woody bamboo. Similar to its closely related congener, the Alaotran gentle lemur (H. alaotrensis), the question is raised as to how these lemurs subsist in this ecological context. The aim of this study was to gain an initial understanding of the ecological niche of the southern gentle lemur in the threatened ecosystem of the littoral forest of southeastern Madagascar. Lemurs were habituated and observed over a 3-month period during the austral winter, allowing for collection of both continuous and instantaneous focal data on their feeding ecology. Preferred food species were identified and collected, and biochemical analyses determined macronutrient and secondary compound values for consumed food items. The diet of the southern gentle lemur was found to be of low nutritional quality, as evaluated through the low protein-to-fibre ratio, especially when compared with other folivores. This lemur is also unique in spending a majority of its time grazing on terrestrial grasses (family Poaceae) during the resource-poor winter months. Our data indicate that Hapalemur spp. possess a behavioural flexibility, and possibly, digestive abilities, higher than previously thought for an animal of its small body size. PMID- 21052773 TI - Fractionated stereotactic reirradiation and concurrent temozolomide in patients with recurrent glioblastoma. AB - The aim of this paper is to evaluate the efficacy of fractionated stereotactic radiotherapy (FSRT) and concomitant temozolomide (TMZ) as a salvage treatment option in patients with recurrent glioblastoma (GBM). Between May 2006 and December 2009, 36 patients with recurrent GBM received FSRT plus concomitant TMZ at University of Rome La Sapienza, Sant' Andrea Hospital. All patients had Karnofsky performance score >=60 and were previously treated with standard conformal radiotherapy (RT) (60 Gy) with concomitant and adjuvant TMZ for 6-12 cycles. The median time interval between primary RT and reirradiation was 14 months. At the time of recurrence, all patients received FSRT plus concomitant daily TMZ at the dose of 75 mg/m(2), given 7 days per week from the first day of RT. Radiation dose was 37.5 Gy delivered in 15 fractions over 3 weeks. Median overall survival after FSRT was 9.7 months, and the 6- and 12-month survival rates were 84 and 33%, respectively. The median progression-free survival (PFS) was 5 months, and 6- and 12-month PFS rates were 42 and 8%, respectively. In univariate analysis, KPS (P = 0.04), the interval between primary RT and reirradiation (P = 0.02), and O6-methylguanine-DNA-methyltransferase (MGMT) methylation status at the time of diagnosis (P = 0.009) had an effect on survival; however, in multivariate analysis, only MGMT methylation was statistically significant (P = 0.03). In general, FSRT was well tolerated and the treatment was completed in all patients. Neurological deterioration due to radiation-induced necrosis occurred in three patients (8%). FSRT plus concomitant TMZ is a feasible treatment option associated with survival benefits and low risk of complications in selected patients with recurrent GBM. The potential advantages of combined chemoradiation schedules in patients with recurrent GBM need to be explored in future studies. PMID- 21052774 TI - The role of chemotherapy in pediatric clival chordomas. AB - The purpose of this retrospective study was to review the role of chemotherapy in the treatment, management and outcome of children diagnosed with clival chordomas. The medical records of six pediatric chordoma patients diagnosed at Childrens Hospital Los Angeles between 1995 and 2005 were reviewed. Of the six patients reviewed, all underwent an initial surgical resection. Following resection, three received a combination of chemotherapy and radiation therapy, two received chemotherapy alone and one patient refused both forms of therapy; this patient expired of progressive tumor. One patient developed acute monoblastic leukemia (M5a subtype) and died of intracranial hemorrhage during induction chemotherapy, 39 months after initial diagnosis. MRI of brain and spine showed disease progression shortly before his death. Two patients who received chemotherapy only after surgery, one patient who received chemotherapy at relapse following irradiation and one patient who received irradiation followed by chemotherapy are alive with stable radiographic abnormalities at a median follow up of 9 years from diagnosis (range: 6-13 years). Chemotherapeutic agents included ifosfamide and etoposide in all four surviving patients. Chemotherapy with ifosfamide and etoposide may have a role in the treatment of pediatric clival chordomas when used alone or in combination with irradiation. PMID- 21052775 TI - Radiotherapy followed by adjuvant temozolomide with or without neoadjuvant ACNU CDDP chemotherapy in newly diagnosed glioblastomas: a prospective randomized controlled multicenter phase III trial. AB - A prospective randomized controlled multicenter phase III trial was conducted to evaluate the effects of neoadjuvant chemotherapy with nimustine (ACNU)-cisplatin (CDDP) when used in conjunction with radiotherapy plus adjuvant temozolomide in patients with newly diagnosed glioblastoma. The study population was randomly assigned into one treatment and one control group. Both groups received radiotherapy followed by six cycles of adjuvant oral temozolomide (150-200 mg/m(2)) for 5 days every 28 days after surgery. Prior to radiotherapy, the treatment group also received two cycles, 6 weeks apart, of neoadjuvant chemotherapy with ACNU (40 mg/m(2)/day) and CDDP (40 mg/m(2)/day) infused continuously for 72 h. The primary end-point was median survival time. The study has closed after interim analysis with a total of 82 patients (48.8% of target number) due to unacceptable high frequency of toxicity profiles in spite of the promising actuarial survival outcome. Median survival time was 28.4 months [90% confidence interval (CI), 21.1 months to not available] in the treatment group and 18.9 months (90% CI, 17.1-27.4 months) in the control group (P = 0.2). The 2 year survival rate and progression-free survival time were 50.9% and 6.6 months (90% CI, 3.5-9.5 months) in the treatment group and 27.8% and 5.1 months (90% CI, 3.8-8.8 months) in the control group. Grade 3 or 4 toxicity was documented in 26 (68.4%) patients in the treatment group, including three neutropenic fever and one death from sepsis, while grade 3 or 4 toxicity occurred in 6 patients (15.8%) in the control group. The high frequency of serious hematological toxicity with ACNU-CDDP neoadjuvant chemotherapy followed by radiotherapy and adjuvant temozolomide limits its usage as primary treatment for glioblastoma. Future studies should aim to identify a subpopulation at reduced risk for ACNU-CDDP toxicity so that the potential of this protocol can be realized. PMID- 21052776 TI - Radiographic patterns of relapse in glioblastoma. AB - Glioblastoma (GBM) pathologically is defined as an infiltrative glioma and salvage therapy with bevacizumab is believed to increase the incidence of diffuse and distant invasion as assessed radiographically. Eighty adult patients with glioblastoma were treated with surgery followed by radiotherapy (RT) and concurrent and adjuvant temozolomide (TMZ). At first recurrence, 80 patients were treated with single agent bevacizumab. At time of progression, 57 patients were treated with bevacizumab and a cytotoxic chemotherapy, cytotoxic chemotherapy alone or on an investigational trial. Magnetic resonance imaging (MRI) were analyzed at four time points in each patient; at presentation, at first, second and third recurrence. Four patterns of radiographic disease were assessed, local (unifocal disease), distant (second lesion noncontiguous with primary lesion), multifocal (>2 lesions including leptomeningeal dissemination) and diffuse. At presentation 87.5% of glioblastoma were local, 6.25% distant, 3.75% multifocal and 2.5% diffuse. At first recurrence following progression on RT/TMZ and before initiation of bevacizumab, 80% were local, 7.5% distant, 6.25% multifocal (including 1 with CSF dissemination) and 6.25% diffuse. At second recurrence following progression on bevacizumab, 71.25% were local, 8.75% distant, 8.75% multifocal (2/7 with CSF dissemination) and 11.25% were diffuse. At third recurrence (57 patients evaluable), 71.25% were local, 7.0% distant, 7.0% multifocal and 14.0% were diffuse. Survival following progression on bevacizumab did not differ by pattern of radiographic recurrence. A majority of adult patients with GBM at diagnosis manifest MRI-defined local disease and maintain this pattern notwithstanding multiple recurrences and treatment with bevacizumab. PMID- 21052777 TI - An economical method for producing stable-isotope labeled proteins by the E. coli cell-free system. AB - Improvement of the cell-free protein synthesis system (CF) over the past decade have made it one of the most powerful protein production methods. The CF approach is especially useful for stable-isotope (SI) labeling of proteins for NMR analysis. However, it is less popular than expected, partly because the SI labeled amino acids used for SI labeling by the CF are too expensive. In the present study, we developed a simple and inexpensive method for producing an SI labeled protein using Escherichia coli cell extract-based CF. This method takes advantage of endogenous metabolic conversions to generate SI-labeled asparagine, glutamine, cysteine, and tryptophan, which are much more expensive than the other 16 kinds of SI-labeled amino acids, from inexpensive sources, such as SI-labeled algal amino acid mixture, SI-labeled indole, and sodium sulfide, during the CF reaction. As compared with the conventional method employing 20 kinds of SI labeled amino acids, highly enriched uniform SI-labeling with similar labeling efficiency was achieved at a greatly reduced cost with the newly developed method. Therefore, our method solves the cost problem of the SI labeling of proteins using the CF. PMID- 21052778 TI - Molecular basis of photochromism of a fluorescent protein revealed by direct 13C detection under laser illumination. AB - Dronpa is a green fluorescent protein homologue with a photochromic property. A green laser illumination reversibly converts Dronpa from a green-emissive bright state to a non-emissive dark state, and ultraviolet illumination converts it to the bright state. We have employed solution NMR to understand the underlying molecular mechanism of the photochromism. The detail characterization of Dronpa is hindered as it is metastable in the dark state and spontaneously converts to the bright state. To circumvent this issue, we have designed in magnet laser illumination device. By combining the device with a 150-mW argon laser at 514.5 nm, we have successfully converted and maintained Dronpa in the dark state in the NMR tube by continuous illumination during the NMR experiments. We have employed direct-detection of (13)C nuclei from the carbon skeleton of the chromophore for detailed characterization of chromophore in both states of Dronpa by using the Bruker TCI cryoprobe. The results from NMR data have provided direct evidence of the double bond formation between C(alpha) and C(beta) of Y63 in the chromophore, the beta-barrel structure in solution, and the ionized and protonated state of Y63 hydroxyl group in the bright and dark states, respectively. These studies have also revealed that a part of beta-barrel around the chromophore becomes polymorphic only in the dark state, which may be critical to make the fluorescence dim by increasing the contribution of non-emissive vibrational relaxation pathways. PMID- 21052779 TI - GFT projection NMR spectroscopy for proteins in the solid state. AB - Recording of four-dimensional (4D) spectra for proteins in the solid state has opened new avenues to obtain virtually complete resonance assignments and three dimensional (3D) structures of proteins. As in solution state NMR, the sampling of three indirect dimensions leads per se to long minimal measurement time. Furthermore, artifact suppression in solid state NMR relies primarily on radio frequency pulse phase cycling. For an n-step phase cycle, the minimal measurement times of both 3D and 4D spectra are increased n times. To tackle the associated 'sampling problem' and to avoid sampling limited data acquisition, solid state G Matrix Fourier Transform (SS GFT) projection NMR is introduced to rapidly acquire 3D and 4D spectral information. Specifically, (4,3)D (HA)CANCOCX and (3,2)D (HACA)NCOCX were implemented and recorded for the 6 kDa protein GB1 within about 10% of the time required for acquiring the conventional congeners with the same maximal evolution times and spectral widths in the indirect dimensions. Spectral analysis was complemented by comparative analysis of expected spectral congestion in conventional and GFT NMR experiments, demonstrating that high spectral resolution of the GFT NMR experiments enables one to efficiently obtain nearly complete resonance assignments even for large proteins. PMID- 21052780 TI - Acquired factor V inhibitors: a systematic review. AB - The occurrence of an inhibitor against coagulation factor V (FV) is a rare but challenging condition, which may span from asymptomatic laboratory abnormalities to potentially life-threatening bleeding. The onset of FV inhibitors has been associated most frequently in the past with the patients' exposure to topical bovine thrombin administered during surgery procedures. However, since this preparation is no longer used, in this systematic review we have only focused on non-bovine thrombin-related FV inhibitor cases. PMID- 21052781 TI - Levosimendan reduces plasma cell-free DNA levels in patients with ischemic cardiomyopathy. AB - Heart failure (HF) is a condition associated with the apoptosis and cell death of both cardiac myocytes and cardiac non-myocytes. DNA fragments released from programmed cell death or acute cellular injury are the main sources of disease associated elevation of cell-free (cf) DNA. We hypothesized that cfDNA could be a relevant marker of cardiac apoptosis in HF patients that could be affected by the improvement of myocardial performance. To test our hypothesis, we measured plasma cfDNA in 19 patients with ischemic HF and severe left ventricular (LV) systolic dysfunction before and 12 h after completion of levosimendan infusion. Echocardiographic and biochemical markers of LV diastolic pressure and LV systolic function were also assessed. In accordance with previous observations levosimendan improved echocardiographic and biochemical indices of LV function. Plasma cfDNA was significantly reduced in HF patients post-levosimendan treatment (median: 89.4, interquartile range: 87.1 to median: 25.9, interquartile range: 12.3, P = 0.028). Notably, in 15/19 patients there was a reduction in cfDNA levels post-levosimendan infusion; while in 12/19 patients, a more than 50% reduction in plasma cfDNA was observed. Since cfDNA is a marker of tissue injury and apoptosis these results indicate that improvement of LV function has a potential impact on cell preservation and survival. Further studies are needed to substantiate our promising results regarding the role of plasma cfDNA as a marker of HF. PMID- 21052782 TI - ARABIDILLO proteins have a novel and conserved domain structure important for the regulation of their stability. AB - ARABIDILLO proteins are F-box-Armadillo (ARM) proteins that regulate root branching in Arabidopsis. Many F-box proteins in plants, yeast and mammals are unstable. In plants, the mechanism for this instability has not been fully investigated. Here, we show that a conserved family of plant ARABIDILLO-related proteins has a unique domain structure consisting of an F-box and leucine-rich repeats (LRRs) followed by ARM-repeats. The LRRs are similar to those found in other plant and animal F-box proteins, including cell cycle proteins and hormone receptors. We demonstrate that the LRRs are required for ARABIDILLO1 function in vivo. ARABIDILLO1 protein is unstable: we show that ARABIDILLO1 protein is associated with ubiquitin and is turned over by the proteasome. Both the F-box and LRR regions of ARABIDILLO1 appear to enable this turnover to occur. Application of known lateral root-regulating signals has no effect on ARABIDILLO1 stability. In addition, plants that lack or overexpress ARABIDILLO proteins respond normally to known lateral root-regulating signals. Thus, we suggest that the signal(s) regulating ARABIDILLO stability in vivo may be either highly specific or novel. The structural conservation between ARABIDILLOs and other plant and animal F-box proteins suggests that the stability of other F-box proteins may be controlled by similar mechanisms. PMID- 21052783 TI - Characterization of a CLE processing activity. AB - Proteins containing a conserved motif known as the CLE domain are found widely distributed across land plants. While the functions of most CLE proteins are unknown, specific CLE proteins have been shown to control shoot meristem, root and vascular development. This has been best studied for CLV3 which is required for stem cell differentiation at shoot and flower meristems. In vivo evidence indicates that the CLE domain is the functional region for CLV3, and that it is proteolytically processed from the CLV3 precursor protein. But the mechanism and activity responsible for this processing is poorly understood. Here we extend analysis of an in vitro CLE processing activity and show that in vitro cleavage occurs at Arg70, exactly matching in vivo maturation. We provide evidence that related processing activities are present in multiple tissues and species. We show that efficient protease recognition can occur with as little as four residues upstream of the CLE domain, and that the conserved arginine at position +1 and conserved acidic residues at positions -2 and/or -3 are required for efficient cleavage. Finally, we provide evidence that the N-terminal processing enzyme is a secreted serine protease while C-terminal processing may occur via a progressive carboxypeptidase. PMID- 21052784 TI - Arabidopsis lox3 lox4 double mutants are male sterile and defective in global proliferative arrest. AB - Fertility and flower development are both controlled in part by jasmonates, fatty acid-derived mediators produced via the activity of 13-lipoxygenases (13-LOXs). The Arabidopsis thaliana Columbia-0 reference genome is predicted to encode four of these enzymes and it is already known that one of these, LOX2, is dispensable for fertility. In this study, the roles of the other three 13-LOXs (LOX3, LOX4 and LOX6) were investigated in single and double mutants. Four independent lox3 lox4 double mutants assembled with different mutated lox3 and lox4 alleles had fully penetrant floral phenotypes, displaying abnormal anther maturation and defective dehiscence. The plants were no longer self-fertile and pollen was not viable. Fertility in the double mutant was restored genetically by complementation with either the LOX3 or the LOX4 cDNAs and biochemically with exogenous jasmonic acid. Furthermore, deficiency in LOX3 and LOX4 causes developmental dysfunctions, compared to wild type; lox3 lox4 double mutants are taller and develop more inflorescence shoots and flowers. Further analysis revealed that developmental arrest in the lox3 lox4 inflorescence occurs with the production of an abnormal carpelloid flower. This distinguishes lox3 lox4 mutants from the wild type where developmentally typical flower buds are the terminal inflorescence structures observed in both the laboratory and in nature. Our studies of lox3 lox4 as well as other jasmonic acid biosynthesis and perception mutants show that this plant hormone is not only required for male fertility but also involved in global proliferative arrest. PMID- 21052785 TI - The utility of microsatellite DNA markers for the evaluation of area-wide integrated pest management using SIT for the fruit fly, Bactrocera dorsalis (Hendel), control programs in Thailand. AB - The oriental fruit fly, Bactrocera dorsalis (Hendel), is a key pest that causes reduction of the crop yield within the international fruit market. Fruit flies have been suppressed by two Area-Wide Integrated Pest Management programs in Thailand using Sterile Insect Technique (AW-IPM-SIT) since the late 1980s and the early 2000s. The projects' planning and evaluation usually rely on information from pest status, distribution, and fruit infestation. However, the collected data sometimes does not provide enough detail to answer management queries and public concerns, such as the long term sterilization efficacy of the released fruit fly, skepticism about insect migration or gene flow across the buffer zone, and the re-colonisation possibility of the fruit fly population within the core area. Established microsatellite DNA markers were used to generate population genetic data for the analysis of the fruit fly sampling from several control areas, and non-target areas, as well as the mass-rearing facility. The results suggested limited gene flow (m < 0.100) across the buffer zones between the flies in the control areas and flies captured outside. In addition, no genetic admixture was revealed from the mass-reared colony flies from the flies within the control area, which supports the effectiveness of SIT. The control pests were suppressed to low density and showed weak bottleneck footprints although they still acquired a high degree of genetic variation. Potential pest resurgence from fragmented micro-habitats in mixed fruit orchards rather than pest incursion across the buffer zone has been proposed. Therefore, a suitable pest control effort, such as the SIT program, should concentrate on the hidden refuges within the target area. PMID- 21052786 TI - Prevalence of B chromosomes in Orthoptera is associated with shape and number of A chromosomes. AB - We analyze the prevalence of B chromosomes in 1,601 species of orthopteran insects where chromosome number and shape are known. B chromosomes have been reported in 191 of these species. Bs are not uniformly distributed among orthopteran superfamilies, with evident hotspots in the Pyrgomorphoidea (32.3% of species carrying Bs), Grylloidea (14.9%), Acridoidea (14.6%) and Tetrigoidea (14.3%). As expected under the theory of centromeric drive, we found a correlation between B chromosome presence and A chromosome shape-Bs are more frequent in karyotypes with more acrocentric A chromosomes. We also found that Bs are less common in species with high chromosome numbers and appear to be most common at the modal chromosome number (2n = 24). Study effort, measured for each genus, was not associated with B prevalence, A chromosome shape or A chromosome number. Our results thus provide support for centromeric drive as an important and prevalent force in the karyotypic evolution of Orthoptera, just as it appears to be in mammals. We suggest that centromeric drive may provide a mechanistic explanation for White's principle of karyotypic orthoselection. PMID- 21052787 TI - Ameliorants to immobilize Cd in rice paddy soils contaminated by abandoned metal mines in Korea. AB - The cadmium (Cd) content of rice grain grown in metal-contaminated paddy soils near abandoned metal mines in South Korea was found to exceed safety guidelines (0.2 mg Cd kg-1) set by the Korea Food and Drug Administration (KFDA). However, current remediation technologies for heavy metal-contaminated soils have limited application with respect to rice paddy soils. Laboratory and greenhouse experiments were conducted to assess the effects of amending contaminated rice paddy soils with zerovalent iron (ZVI), lime, humus, compost, and combinations of these compounds to immobilize Cd and inhibit Cd translocation to rice grain. Sequential extraction analysis revealed that treatment with the ameliorants induced a 50-90% decrease in the bioavailable Cd fractions when compared to the untreated control soil. When compared to the control, Cd uptake by rice was decreased in response to treatment with ZVI + humus (69%), lime (65%), ZVI + compost (61%), compost (46%), ZVI (42%), and humus (14%). In addition, ameliorants did not influence rice yield when compared to that of the control. Overall, the results of this study indicated that remediation technologies using ameliorants effectively reduce Cd bioavailability and uptake in contaminated rice paddy soils. PMID- 21052788 TI - Screening of Cucumis sativus as a new arsenic-accumulating plant and its arsenic accumulation in hydroponic culture. AB - Phytoextraction is a remediation technology with a promising application for removing arsenic (As) from soils and waters. Several plant species were evaluated for their As accumulation capacity in hydroponic culture amended with As. Cucumis sativus (cucumber) displayed the highest tolerance against As among 4 plants tested in this study (corn, wheat, sorghum and cucumber). The germination ratio of Cucumis sativus was more than 50% at the high concentration of 5,000 mg-As/l. In Cucumis sativus grown in a solution contaminated with 25 mg-As/l, the accumulated As concentrations in the shoot and root were 675.5 +/- 11.5 and 312.0 +/- 163.4 mg/kg, respectively, and the corresponding values of the translocation and bioaccumulation factors for As were 1.9 +/- 0.9 and 21.1 +/- 8.4, respectively. These results indicate Cucumis sativus is to be a candidate plant for phytoextraction of As from soils and water. PMID- 21052789 TI - Src family kinase inhibitor PP2 efficiently inhibits cervical cancer cell proliferation through down-regulating phospho-Src-Y416 and phospho-EGFR-Y1173. AB - Tyrosine (Y) kinases inhibitors have been approved for targeted treatment of cancer. However, their clinical use is limited to some cancers and the mechanism of their action remains unclear. Previous study has indicated that PP2, a selective inhibitor of the Src family of non-receptor tyrosine kinases (nRTK), efficiently repressed cervical cancer growth in vitro and in vivo. In this regard, our aims are to explore the mechanism of PP2 on cervical cancer cell growth inhibition by investigating the suppressive divergence among PP1, PP2, and a negative control compound PP3. MTT results showed that three compounds had different inhibitory effects on proliferation of two cervical cancer cells, HeLa and SiHa, and PP2 was most efficient in a time- and dose-dependent manner. Moreover, we found 10 MUM PP2 down-regulated pSrc-Y416 (P < 0.05), pEGFR-Y845 (P < 0.05), and -Y1173 (P < 0.05) expression levels, while 10 MUM PP1 down-regulated pSrc-Y416 (P < 0.05) and pEGFR-Y845 (P < 0.05), but not pEGFR-Y1173; 10 MUM PP3 down-regulated only pEGFR-Y1173 (P < 0.05). PP2 could modulate cell cycle arrest by up-regulating p21(Cip1) and p27(Kip1) in both HeLa and SiHa cells and down regulating expression of cyclin A, and cyclin dependent kinase-2, -4 (Cdk-2, -4) in HeLa and of cyclin B and Cdk-2 in SiHa. Our results indicate that Src pathway and EGFR pathway play different roles in the proliferation of cervical cancer cells and PP2 efficiently reduces cervical cancer cell proliferation by reduction of both Src and EGFR activity. PMID- 21052790 TI - Association of aorta intima permeability with myosin light chain kinase expression in hypercholesterolemic rabbits. AB - The development of hypercholesterolemia is a multifactorial process in which elevated plasma cholesterol levels play a central role. This study analyzed the variability of the expression and activity of myosin light chain kinase (MLCK) and endothelial permeability in the artery wall of rabbits after feeding the animals with a normal or a high-cholesterol diet. Hypercholesterolemia was induced by a high-cholesterol diet for 4 weeks. Aortas were removed and analyzed for endothelial permeability and MLCK expression. Samples of the arterial media were analyzed for MLCK activity and expression. A selective MLCK inhibitor 1-(5 iodonaphthalene-1-sulfonyl)-1H-hexahydro-1,4-diazepine hydrochloride (ML7) were used in hypercholesterolemia rabbit (1 mg/kg body weight). The aortas of high cholesterol diet rabbits showed an increase in MLCK expression and activity (nearly threefold compare with control) as well as endothelial permeability. ML7 inhibit MLC phosphorylation and MLCK activity (nearly twofold compare with control) and endothelial permeability stimulated by cholesterol. These results indicate for the first time that hypercholesterolemia may be associated with MLCK expression and activity through which endothelial permeability is increased. PMID- 21052791 TI - Reduction of blood cholesterol and ischemic injury in the hypercholesteromic rabbits with modified resveratrol, longevinex. [corrected] AB - The present study examined the efficacy of using longevinex, a commercially available resveratrol formulation, to lower blood cholesterol in hypercholesteromic rabbits. New Zealand white rabbits were randomly divided into two groups (n = 6 per group), one group was given high cholesterol diet for 3 months while the other group fed regular diet served as control. The high cholesterol diet fed group was further subdivided into two groups (n = 6 per group), one group was given longevinex resveratrol while the other group given vehicle only served as control. Longevinex was given by gavaging up to a period of 6 months. Longevinex-treated rabbits exhibited lowering of plasma cholesterol level. Inhibition of arterial plaque formation was noticed even after 1 month. Longevinex-treated hearts demonstrated improved ventricular recovery when isolated working hearts were subjected to 30 min of ischemia followed by 2 h of reperfusion. Aortic flow and developed pressure during post-ischemic reperfusion period were significantly higher for the longevinex-treated hearts compared to those in control group of hearts. Myocardial infarct size was also lower in the treated group compared to that for the untreated group. These results indicate cholesterol-lowering ability of longevinex, which appears to reflect in its ability to protect the hypercholesteromic hearts from ischemic reperfusion injury. PMID- 21052792 TI - Characterization of the chemically deposited hydroxyapatite coating on a titanium substrate. AB - Bioactive hydroxyapatite (HA) coating on titanium (Ti) implant can be used as a drug delivery device. A controlled release of drug around the implant requires the incorporation of drug into the coating material during the coating process. HA coating was prepared using a two-step procedure in conditions suitable for simultaneous incorporation of the protein-based drug into the coating material. Monetite coating was deposited on Ti substrate in acidic condition followed by the transformation of the monetite coating to HA. X-ray diffraction (XRD) confirmed the formation of the monetite phase at the first step of the coating preparation, which was transformed into HA at the second step. Fourier transform infrared spectroscopy demonstrated typical bands of a crystallized carbonated HA with A- and B-type substitution, which was confirmed by the XRD refinement of the structural parameters. Scanning electron microscope was used to observe the morphology of monetite and HA coatings. Adhesion of the coatings was measured using a scratch tester. The critical shearing stress was found to be 84.20 +/- 1.27 MPa for the monetite coating, and 44.40 +/- 2.39 MPa for the HA coating. PMID- 21052793 TI - Synthesis and characterization of gelatin nanoparticles using CDI/NHS as a non toxic cross-linking system. AB - Gelatin nanoparticles, cross-linked by a mixture of a water soluble carbodiimide (CDI) and N-hydroxysuccinimide (NHS) as a non-toxic cross-linking system, was prepared. The conventional two step desolvation method with acetone as the non solvent was used. The mean size and size distribution as well as the morphology of the formed nanoparticles were evaluated and compared with those of nanoparticles cross-linked by glutaraldehyde (GA) as the most commonly used cross linking agent. Furthermore, intrinsic viscosities of the nanoparticles cross linked by CDI/NHS and GA were measured and compared under various conditions. The results showed the formation of smoother and more homogeneous nanoparticles with smaller size when CDI/NHS used as cross-linking agent under the same synthesis condition. Moreover, nanoparticles encapsulating paracetamol as a model drug were produced by the two different cross-linking agents and were characterized for drug entrapment and loading efficiencies and in vitro drug release. Both drug entrapment and loading efficiencies was higher in the CDI/NHS cross-linked nanoparticles; however, the release kinetics was comparable to that of nanoparticles cross-linked with GA. The differences in the characteristics of CDI/NHS and GA cross-linked nanoparticles were attributed to the different nature of network structures formed by the two cross-linking agents. On the whole, these results suggested that CDI/NHS cross-linked nanoparticles have high potential to be used for drug delivery application in preference to the nanoparticles synthesized by toxic cross-linking agents. PMID- 21052794 TI - Effects of different cross-linking conditions on the properties of genipin-cross linked chitosan/collagen scaffolds for cartilage tissue engineering. AB - A cross-linking reagent is required to improve mechanical strength and degradation properties of biopolymers for tissue engineering. To find the optimal preparative method, we prepared diverse genipin-cross-linked chitosan/collagen scaffolds using different genipin concentrations and various cross-linking temperatures and cross-linking times. The compressive strength increased with the increasing of genipin concentration from 0.1 to 1.0%, but when concentration exceeded 1.0%, the compressive strength decreased. Similarly, the compressive strength increased with the increasing of temperature from 4 to 20 degrees C, but when temperature reached 37 degrees C, the compressive strength decreased. Showing a different trend from the above two factors, the effect of cross-linking time on the compressive strength had a single increasing tendency. The other results also demonstrated that the pore size, degradation rate and swelling ratio changed significantly with different cross-linking conditions. Based on our study, 1.0% genipin concentration, 20 degrees C cross-linking temperature and longer cross-linking time are recommended. PMID- 21052795 TI - Heparin-functionalized collagen matrices with controlled release of basic fibroblast growth factor. AB - Tissue engineering scaffolds with controlled long-term release of growth factors are constructed in an attempt to mimic the intelligent ability of the extracellular matrix (ECM) to release endogenous growth factors. In this study, collagen sponges (Collagen group) were modified by N-(3-dimethylaminopropyl)-N ethylcarbodiimide hydrochloride (EDC) and N-hydroxysuccinimide (NHS) crosslinking (EDC/NHS group) and heparin immobilization (EDC/NHS-H group), and subsequently seeded with human umbilical vein endothelial cells (HUVECs). Native and modified sponges were pre-adsorbed with basic fibroblast growth factor (bFGF) to evaluate the sustained release and bioactive maintenance of bFGF from the sponges. We found that modified collagen matrices permitted HUVECs to proliferate and migrate well and to distribute uniformly. The EDC/NHS-H group exhibited an excellent sustained-release profile and bioactive maintenance of the pre-adsorbed bFGF as compared with the Collagen and EDC/NHS groups. These results suggest that heparin functionalized collagen matrices can support a controlled release of bFGF and thus, have potential as a tissue engineering scaffold. PMID- 21052796 TI - Evaluation of an osteostimulative putty in the sheep spine. AB - The objective of this study is to evaluate local response to a bioactive glass based composite putty (NovaBone Putty) in a vertebral body defect model in sheep, as compared to NovaBone, a bioactive glass particulate. Two time periods were used for the study, 6 and 12 weeks. Empty defects were also used as a control. In comparing the three test groups, the relative amount of new bone for both grafted defects was substantially greater than for the empty controls (P < 0.05). At 6 weeks, the bone formation was 42% for NovaBone Putty, 27% for NovaBone and 1.2% for the ungrafted empty defect. At 12 weeks, the bone formation was 51.4% for NovaBone Putty, 47.3% for NovaBone and 5.1% for the empty defect. NovaBone Putty, the test material, had greater bone content than the NovaBone, both of which were significantly greater than the empty control. PMID- 21052797 TI - Lipophilicity and its relationship with passive drug permeation. AB - In this review, we first summarize the structure and properties of biological membranes and the routes of passive drug transfer through physiological barriers. Lipophilicity is then introduced in terms of the intermolecular interactions it encodes. Finally, lipophilicity indices from isotropic solvent systems and from anisotropic membrane-like systems are discussed for their capacity to predict passive drug permeation across biological membranes such as the intestinal epithelium, the blood-brain barrier (BBB) or the skin. The broad evidence presented here shows that beyond the predictive power of lipophilicity parameters, the various intermolecular forces they encode allow a mechanistic interpretation of passive drug permeation. PMID- 21052798 TI - Statistical signal processing technique for identification of different infected sites of the diseased lungs. AB - Accurate Diagnosis of lung disease depends on understanding the sounds emanating from lung and its location. Lung sounds are of significance as they supply precise and important information on the health of the respiratory system. In addition, correct interpretation of breath sounds depends on a systematic approach to auscultation; it also requires the ability to describe the location of abnormal finding in relation to bony structures and anatomic landmark lines. Lungs consist of number of lobes; each lung lobe is further subdivided into smaller segments. These segments are attached to each other. Knowledge of the position of the lung segments is useful and important during the auscultation and diagnosis of the lung diseases. Usually the medical doctors give the location of the infection a segmental position reference. Breath sounds are auscultated over the anterior chest wall surface, the lateral chest wall surfaces, and posterior chest wall surface. Adventitious sounds from different location can be detected. It is common to seek confirmation of the sound detection and its location using invasive and potentially harmful imaging diagnosis techniques like x-rays. To overcome this limitation and for fast, reliable, accurate, and inexpensive diagnose a technique is developed in this research for identifying the location of infection through a computerized auscultation system. PMID- 21052799 TI - Intergroup contact and evaluations of race-based exclusion in urban minority children and adolescents. AB - There is a dearth of published research on the role of intergroup contact on urban US ethnic minority children's and adolescents' evaluations of racial exclusion. The current investigation examined these issues in a sample of low income minority 4th, 7th, and 10th grade (N = 129, 60% female) African American and Latino/a students attending predominately racial and ethnic minority US urban public schools. Using individual interviews, participants were presented with scenarios depicting three contexts of interracial peer exclusion (lunch at school, a sleepover party, and a school dance). Novel findings were that intergroup contact was significantly related to low-income urban ethnic minority youth's evaluations of the wrongfulness of race-based exclusion and their awareness of the use of stereotypes to justify racial exclusion. Further, significant interactions involving intergroup contact, context, age, and gender were also found. Findings illustrated the importance of intergroup contact for ethnic minority students and the complexity of ethnic minority children's and adolescents' judgments and decision-making about interracial peer exclusion. PMID- 21052800 TI - The role of religiosity in the relationship between parents, peers, and adolescent risky sexual behavior. AB - Research has documented a negative relationship between religion and risky sexual behavior. Few studies, however, have examined the processes whereby religion exerts this effect. The present study develops and tests a model of various mechanisms whereby parental religiosity reduces the likelihood of adolescents' participation in risky sexual behavior (early sexual debut, multiple sexual partners, and inconsistent condom use). Structural equation modeling, using longitudinal data from a sample of 612 African American adolescents (55% female), provided support for the model. The results indicated that parental religiosity influenced adolescent risky sexual behavior through its impact on authoritative parenting, adolescent religiosity, and adolescent affiliation with less sexually permissive peers. Some mediating mechanisms differed by the gender of the respondent, suggesting a "double-standard" for daughters but not for sons. Findings also indicated the importance of messages about sexual behavior that are transmitted to adolescents by their peers. Theoretical and policy implications of the findings are discussed. PMID- 21052801 TI - Depression and its influencing factors among mothers of children with birth defects in China. AB - Families of children affected by birth defects suffer from a significant psychological burden across the lifespan, but there have been few studies on this topic in China. Our goal was to assess depression among mothers of children with birth defects (MCBD) and to explore factors influencing depression among MCBD in China. A total of 154 mothers of affected children aged 0-3 years old (MCBD) and 321 mothers of healthy children (MHC) in the same age range took part in the study. The Center for Epidemiologic Studies Depression Scale was used to assess maternal depression, and logistic regression models were used to explore the factors influencing depression among MCBD. MCBD were more depressed than MHC and birth defects were associated with maternal depression after demographic variables were controlled. Poverty was the most important predictor of depression among MCBD. Appropriate interventions for depressed mothers are essential and should focus on poor families. PMID- 21052802 TI - Comparing type of health insurance among low-income children: a mixed-methods study from Oregon. AB - We employed a mixed-methods study of primary data from a statewide household survey and in-person interviews with parents to examine-quantitatively and qualitatively-whether low-income children experienced differences between public and private insurance coverage types. We carried out 24 in-depth interviews with a subsample of respondents to Oregon's 2005 Children's Access to Healthcare Study (CAHS), analyzed using a standard iterative process and immersion/crystallization cycles. Qualitative findings guided quantitative analyses of CAHS data that assessed associations between insurance type and parental-reported unmet children's health care needs. Interviewees uniformly reported that stable health insurance was important, but there was no consensus regarding which type was superior. Quantitatively, there were only a few significant differences. Cross sectionally, compared with private coverage, public coverage was associated with higher odds of unmet specialty care needs (odds ratio [OR] 3.54; 95% confidence interval [CI] 1.52-8.24). Comparing full-year coverage patterns, those with public coverage had lower odds of unmet prescription needs (OR 0.60, 95% CI 0.36 0.99) and unmet mental health counseling needs (OR 0.24, 95% CI 0.10-0.63), compared with privately covered children. Low-income Oregon parents reported few differences in their child's experience with private versus public coverage. PMID- 21052803 TI - The paternal component of the "healthy migrant" effect: fathers' natality and infants' low birth weight. AB - This study examines the predictors of birth outcomes among women of European and African ancestry and considers the birthplace of the babies' fathers (foreign born vs. native born) as a protective factor. This is a secondary data analysis of 146,431 singleton births among women of European and African ancestry, both native-born and foreign-born, in a 21 birth hospital region of Central New York State from 1996 to 2003. Foreign born fathers were found to have 15% fewer low birth weight infants than US-born fathers, after controlling for the race and birthplace of the mother, tobacco use and Medicaid. Although this secondary data analysis does not allow us to determine the social determinants of the better birth outcomes among infants of foreign born fathers, it does demonstrate that fathers matter and that foreign born fathers are associated with reduced low birth weight in their infants. PMID- 21052804 TI - Findings from an assessment of state Title V workforce development needs. AB - To describe results of a 2008 assessment of Title V workforce competencies and training needs at the state level, and examine preferences and barriers related to available education and training opportunities. A web-based survey was administered May through August, 2008 to Maternal and Child Health (MCH) and Children and Youth with Special Health Care Needs (CYSHCN) program leaders in all 50 states, and U.S. jurisdictions. Forty-nine MCH (96%) and 44 CYSHCN (86%) programs and four territories completed surveys. A major focus of the survey related to competencies in six core domains: Public Health/Title V Knowledge Base, Communication, Critical Thinking, Management Skills, Family Centered Care and Medical Home, and Leadership Development. The top training needs identified by state Title V programs fall into the global category of critical thinking, including skills in MCH data synthesis and translation, in program evaluation, and in systems thinking. The need to enhance personal rather than organizational leadership skills was emphasized. Blended learning approaches (graduate education), and national conferences with skills building workshops (continuing education) were identified as preferred training modalities. Barriers to training included lack of career opportunities, insufficient agency support, and inability to take leave (graduate education), and travel restrictions, release time limitations, costs, and limited geographic access (continuing education). Both the focus of training and preferred training modalities differed from previous MCH workforce survey findings. Given the changing needs expressed by state Title V leaders as well as their training preferences, it is important that current and future graduate education and continuing education approaches be better aligned to meet these needs and preferences. PMID- 21052805 TI - Determinants of synaptic integration and heterogeneity in rebound firing explored with data-driven models of deep cerebellar nucleus cells. AB - Significant inroads have been made to understand cerebellar cortical processing but neural coding at the output stage of the cerebellum in the deep cerebellar nuclei (DCN) remains poorly understood. The DCN are unlikely to just present a relay nucleus because Purkinje cell inhibition has to be turned into an excitatory output signal, and DCN neurons exhibit complex intrinsic properties. In particular, DCN neurons exhibit a range of rebound spiking properties following hyperpolarizing current injection, raising the question how this could contribute to signal processing in behaving animals. Computer modeling presents an ideal tool to investigate how intrinsic voltage-gated conductances in DCN neurons could generate the heterogeneous firing behavior observed, and what input conditions could result in rebound responses. To enable such an investigation we built a compartmental DCN neuron model with a full dendritic morphology and appropriate active conductances. We generated a good match of our simulations with DCN current clamp data we recorded in acute slices, including the heterogeneity in the rebound responses. We then examined how inhibitory and excitatory synaptic input interacted with these intrinsic conductances to control DCN firing. We found that the output spiking of the model reflected the ongoing balance of excitatory and inhibitory input rates and that changing the level of inhibition performed an additive operation. Rebound firing following strong Purkinje cell input bursts was also possible, but only if the chloride reversal potential was more negative than -70 mV to allow de-inactivation of rebound currents. Fast rebound bursts due to T-type calcium current and slow rebounds due to persistent sodium current could be differentially regulated by synaptic input, and the pattern of these rebounds was further influenced by HCN current. Our findings suggest that active properties of DCN neurons could play a crucial role for signal processing in the cerebellum. PMID- 21052806 TI - The return-to-work coordinator role: qualitative insights for nursing. AB - Introduction Few studies have examined the role of RTW Coordination from the perspective of RTW Coordinator's. Furthermore there is little health specific literature on returning injured nurses to work despite the critical workforce shortages of these professionals. The study aimed to examine barriers and facilitators identified by the RTW Coordinator to returning injured nurses to work and influences on specific health sector or geographic location. The study sought to gain insights into the professional backgrounds and everyday work practices of RTW Coordinators. METHOD Five focus groups were conducted in metropolitan and rural areas of NSW, Australia. Twenty-five RTW Coordinators from 14 different organisations participated in the study. The focus groups included participants representing different health sectors (aged, disability, public and private hospital and community health). RESULTS The data analysis identified information pertaining to the qualifications and backgrounds of RTW Coordinators; the role of RTW Coordinators' within organisational structures; a range of technical knowledge and personal qualities for RTW Coordination and important elements of the case management style used to facilitate RTW. CONCLUSIONS The findings identified a wide range of professional backgrounds that RTW Coordinators bring to the role and the impact of organisational structures on the ability to effectively undertake RTW responsibilities. The study found that interpersonal skills of RTW Coordinators may be more important to facilitate RTW than a healthcare background. A collaborative case management style was also highlighted and the difficulties associated with juggling conflicts of interest, multiple organisational roles and the emotional impact of the work. PMID- 21052807 TI - The concept of work ability. AB - INTRODUCTION: The concept of "work ability" is central for many sciences, especially for those related to working life and to rehabilitation. It is one of the important concepts in legislation regulating sickness insurance. How the concept is defined therefore has important normative implications. The concept is, however, often not sufficiently well defined. AIM AND METHOD The objective of this paper is to clarify, through conceptual analysis, what the concept can and should mean, and to propose a useful definition for scientific and practical work. RESULTS Several of the defining characteristics found in the literature are critically scrutinized and discussed, namely health, basic standard competence, occupational competence, occupational virtues, and motivation. These characteristics are related to the work tasks and the work environment. One conclusion is that we need two definitions of work ability, one for specific jobs that require special training or education, and one for jobs that most people can manage given a short period of practice. Having work ability, in the first sense, means having the occupational competence, the health required for the competence, and the occupational virtues that are required for managing the work tasks, assuming that the tasks are reasonable and that the work environment is acceptable. In the second sense, having work ability is having the health, the basic standard competence and the relevant occupational virtues required for managing some kind of job, assuming that the work tasks are reasonable and that the work environment is acceptable. CONCLUSION These definitions give us tools for understanding and discussing the complex, holistic and dynamic aspects of work ability, and they can lay the foundations for the creation of instruments for evaluating work ability, as well as help formulate strategies for rehabilitation. PMID- 21052808 TI - A novel fluorescent cesium ion-selective optode membrane based on 15-crown-5 anthracene. AB - An optode system based on a plasticized polymer membrane containing cesium ion selective fluoroionophore and lipophilic anions for the determination of cesium ions has been developed. In this work, 15-crown-5 derivative including anthracene was used as a fluoroionophore. Emission intensity of the optode membrane incorporating 15-crown-5-anthracene was measured at 500 nm with excitation at 360 nm in the presence of Tris-HCl buffer solution. Under optimum experimental condition, the relative fluorescence intensity was linear with the concentration of cesium ion in the range of 1.0 * 10(-4) M to 1.0 * 10(-1) M and the detection limit was obtained 4.2 * 10(-5) M, as defined by LOD=3 * S(b)/m (where S(b)=standard deviation of blank signal and, m=slope of the calibration curve). The effect of pH of sample solution on the fluorescent response, the selectivity, response time and reproducibility of the optode membrane were also discussed. The fluorescent optode system shows a high selectivity and sensitivity for cesium ion with respect to other cations such as K(+), Na(+) and Li(+). PMID- 21052809 TI - Spectrofluorimetric assessment of metoclopramide hydrochloride using terbium doped in PMMA matrix optical sensor. AB - A new, simple and accurate spectrofluorimetric method for the determination of metoclopramide hydrochloride was developed. The metoclopramide hydrochloride can remarkably enhance the luminescence intensity of the Tb(3+) ion doped in PMMA matrix at lambda(ex)=360 nm in methanol at pH 6.9. The intensity of the emission band at 545 nm of Tb(3+) ion doped in PMMA matrix is increased due to the energy transfer from metoclopramide hydrochloride to Tb(3+) in the excited stated. The effect of different parameters, e.g., pH, temperature, Tb(3+) concentration, foreign ions that control the fluorescence intensity of the produced ion associate was critically investigated. The calibration curve of the emission intensity at 545 nm shows linear response of metoclopramide over a concentration range of 5 * 10(-5)-5.0 * 10(-8) M with detection limit of 8.7 * 10(-10) M. The method was used successfully for the determination of metoclopramide in pharmaceutical preparations and human serum. The average recovery of 99.48% with standard deviation of 0.32% and 96.98% with standard deviation of 0.4%, of pharmaceutical preparations and human serum respectively, were obtained which compared will with the results obtained from standard LC method of average recovery 99.04% and standard deviation of 0.6% and average recovery of 98.19% with standard deviation of 0.6% of pharmaceutical preparations and human serum, respectively. PMID- 21052810 TI - Highly selective fluorescent recognition of pyrophosphate in water by a new chemosensing ensemble. AB - A new chemosensing ensemble that displays sensitive and selective fluorescent recognition of pyrophosphate in water at pH 7.4 has been developed. The ensemble is constructed by a copper complex (receptor) and eosin Y (indicator), the constructed ensemble is capable of highly selectively discriminate pyrophosphate from other common existing anions such as CH(3)COO(-), HSO(4)(-), NO(3)(-), H(2)PO(4)(-), HPO(4)(2-), PO(4)(3-), NCS(-), I(-), Cl(-), Br(-), F(-) as well as some structurally similar carboxylates such as citrate, tartrate, oxalate, malonate, succinate and glutarate. PMID- 21052811 TI - Spectral investigations on N-(2-methylthiophenyl)-2-hydroxy-1-naphthaldimine by silver nanoparticles: quenching. AB - The photo-physical properties of N-(2-methylthiophenyl)-2-hydroxy-1 naphthaldimine (NMTHN) on silver nano particles have been investigated using optical absorption and fluorescence emission techniques. Silver nanoparticles of different sizes have been prepared by two different methods. The increases in size of the silver nanoparticles cause a decrease in the quenching of fluorescence of NMTHN. Stern-Volmer quenching constants and the association constants have also been calculated. PMID- 21052812 TI - Sexual partner concurrency of urban male and female STD clinic patients: a qualitative study. AB - Partner concurrency (i.e., overlapping sexual partnerships) facilitates the spread of STDs, including HIV. The present study explored the context of and motivations for partner concurrency among patients recruited from an urban STD clinic. Eight focus groups were conducted with 59 patients (47% women; 77% African American). Qualitative analyses revealed five motivational themes related to the occurrence of concurrent partnerships for men and women. Participants reported these partnerships tend to occur: when people believe that sexual partners are unfaithful or cannot be trusted; when sexual satisfaction is low; when patients report the need for different partners to fulfill multiple needs; in retaliation for a partner's concurrency; and when people wish to maintain a sexual relationship with an ex-partner who is the parent of a shared child. Four additional themes unique to men were identified. Men reported that they had multiple partners because this practice supports their sense of masculinity and is consistent with familial modeling and community norms, and because having multiple partners is "in a man's nature." Men also mentioned that the imbalance in the number of women-to-men in their sexual network facilitates partner concurrency. These findings can help prevention practitioners and researchers to develop interventions to reduce risk associated with partner concurrency. PMID- 21052813 TI - APA Guidelines ignored in development of diagnostic criteria for pedohebephilia. PMID- 21052814 TI - Face perception in the mind's eye. AB - Perceptual filling-in occurs when visual stimuli are recognized in impoverished viewing conditions. Whether missing information is filled-in during face perception and which stages might be involved in this process are still unresolved questions. Because an identity can be brought to mind by seeing eyes only, we hypothesized that missing information might be filled-in from a memory trace for the whole face identity. We presented participants with faces in phase 1 and later we presented eyes-only in phase 2. For some of these eyes in phase 2, the whole face had been presented in the previous phase, for others identical eyes had been presented. Event-related potentials (ERPs) revealed an N170 component that was more negative when eyes were preceded by a whole face in the previous phase compared to eyes preceded by identical eyes-only. A more positive going late positive complex (LPC) was also found, suggesting enhanced retrieval of face memory representations when eyes were preceded by whole faces. Our results show that pre-existing representations of face identity can influence early stages of visual encoding, 170 ms after stimulus onset. These effects may reflect top-down modulation by memory on visual recognition processes by filling in the missing facial information. PMID- 21052815 TI - Reduction in arsenic intake from water has different impacts on lung cancer and bladder cancer in an arseniasis endemic area in Taiwan. AB - BACKGROUND: Excessive arsenic intake has a detrimental effect on human health, as reflected in an increase in cancer incidence. In an area on the southwest coast of Taiwan, arsenic intake from well water since the 1920s had caused an exceptionally high mortality from cancer. Municipal water has become available to people living in the arseniasis endemic area since the early 1970s. This study explored the impacts of reduction in arsenic intake from water on lung cancer and bladder cancer in the arseniasis endemic area in Taiwan. METHODS: Chart records of 23,013 patients diagnosed with bladder cancer and 93,633 patients with lung cancer from 1979 to 2003 were retrieved from the Taiwan Cancer Registry Center. We used the age-period-cohort model to study the changes in the incidence of lung cancer and bladder cancer in the arseniasis endemic area and the rest of Taiwan. RESULTS: Three decades after municipal water supply to the arseniasis and black foot disease endemic area (BFDEA), we saw a marked decrease in the incidence of both bladder cancer and lung cancer in the area, especially for those in the later cohorts. The relative risk (RR) of getting a bladder cancer for people living in BFDEA when compared with those in the rest of Taiwan has dropped from 20 for the early cohorts to 5 for the late cohorts. As to lung cancer, the RR has decreased from 8 to between 1.5 and 2. CONCLUSION: Reduction in arsenic intake from water has a positive impact on the incidence of both lung and bladder cancer; however, while RR for lung cancer has dropped to below 2, RR for bladder cancer remained at around 5. The difference may be because (1) there are other risk factors beside the well-water intake or (2) bladder cancer may have longer latency period for excessive arsenic exposure than lung cancer. More studies are required to understand the causes behind the difference in RR for these two types of cancer. PMID- 21052816 TI - Physical activity and endogenous sex hormones in postmenopausal women: to what extent are observed associations confounded or modified by BMI? AB - OBJECTIVES: To investigate associations between physical activity and endogenous sex hormones after menopause with a special focus on confounding and effect modification by body mass index (BMI). METHODS: A cross-sectional study among 1,260 postmenopausal women was conducted. Generalized linear models were used to compare levels of total leisure-time physical activity, sports activities, bicycling, and walking with levels of sex hormones and sex-hormone-binding globulin (SHBG). RESULTS: Higher sports activity levels were significantly associated with lower levels of estrone and total and free testosterone in multivariate adjusted models. After additional adjustment for BMI, associations with estrone and free testosterone were attenuated; the association with total testosterone remained unchanged. No physical activity variable was significantly related to total and free estradiol, androstenedione, or SHBG. We did not observe effect modification by BMI. CONCLUSIONS: Sports activities may lead to lower levels of estrone and testosterone in postmenopausal women. While effects on estrone and free testosterone seem to be largely mediated by BMI, effects on total testosterone appear to be mainly independent of BMI. The BMI-independent effects on these hormones (especially on total testosterone) could at least partly explain why physical activity has been frequently reported to be preventive for postmenopausal breast cancer, even after accounting for BMI. PMID- 21052817 TI - Common polymorphisms in methylenetetrahydrofolate reductase gene are associated with risks of cervical intraepithelial neoplasia and cervical cancer in women with low serum folate and vitamin B12. AB - OBJECTIVE: We evaluated associations between folate, vitamin B12, and the methylenetetrahydrofolate reductase (MTHFR) gene, and risk of cervical intraepithelial neoplasia (CIN) and cervical cancer. METHODS: This multicenter case-control study enrolled 927 Korean women (440 controls, 165 patients with CIN 1, 167 patients with CIN 2/3, and 155 patients with cervical cancer, aged 20-75 years). RESULTS: Patients with cervical cancer had significantly lower median serum folate and vitamin B12 concentrations vs. controls. Higher serum folate was significantly associated with lower cervical cancer risk (p for linear trend = 0.0058) with a trend for a lower CIN risk after multivariate adjustment. Low folate and the MTHFR 677 C > T variant were associated with a higher risk for CIN2/3 and cervical cancer vs. wild-type or heterozygous genotypes with high folate [OR, 2.39 (1.18-4.85) and 3.19 (1.43-7.13)]. Low vitamin B12 and the MTHFR 677 C > T variant also were associated with a higher risk for CIN 2/3 and cervical cancers [OR, 2.52 (1.17-5.42) and 2.40 (1-5.73)] vs. wild-type or heterozygous status with high vitamin levels. CONCLUSION: Serum folate concentration is inversely associated with the risk of cervical cancer, and the MTHFR variant genotype may increase CIN and cervical cancer risk in women with low folate or vitamin B12 status. PMID- 21052818 TI - The roles of ultraviolet-B irradiance, vitamin D, apolipoprotein E epsilon4, and diet in the risk of prostate cancer. PMID- 21052819 TI - A comparison of cancer screening practices in cancer survivors and in the general population: the Korean national health and nutrition examination survey (KNHANES) 2001-2007. AB - OBJECTIVE: This study aimed to describe cancer screening rates for second primary cancer among cancer survivors in Korea, and to compare these rates with those of two control groups: individuals without a history of cancer but with other chronic diseases, and individuals without a history of cancer and without other chronic diseases. METHODS: The study is a cross-sectional analysis of 15,556 adults >= 30 years old who participated in the 2001, 2005, and 2007 Korean National Health and Nutrition Examination Surveys (KNHANES). The prevalence of breast, cervical, gastric, and colorectal cancer screening examinations according to national guidelines was assessed and compared to two control groups. RESULTS: Screening rates among cancer survivors were 48.5, 54.7, 34.7, and 28.6% for breast, cervical, gastric, and colorectal cancer screening, respectively. Cancer survivors showed higher screening rates for all four cancer sites compared with both control groups, but breast cancer screening was only statistically significant after adjusting gender, age, marital status, education, income, working status, health insurance, smoking and drinking status, and self-reported health status. CONCLUSIONS: Cancer survivors were more likely than individuals without a cancer history to obtain screening examinations according to recommended guidelines. Still, screening rates even among survivors were suboptimal, emphasizing the need for a more systematic approach to second primary cancer screening and prevention. PMID- 21052820 TI - Behavioral health services "Don't work for us": cultural incongruities in human service systems for Alaska Native communities. AB - Community psychology emphasizes the importance of context in the study of people's lives, and culture influences this in profound ways. To develop programs that effectively address diverse communities' problems, it is essential to recognize how Euro-American human service systems are understood and responded to by the many different people being served by them. The article describes how some broadly defined social services-conceptualized and implemented within a Euro American framework-are ill suited for the everyday realities of Alaska Native villages. The cultural discontinuities are illustrated through ethnographic vignettes. The article concludes with suggestions for developing more culturally responsive ways to conceive of and do programming for Alaska Native and possibly other Indigenous and minority communities. PMID- 21052821 TI - (De)colonizing culture in community psychology: reflections from critical social science. AB - Since its inception, community psychology has been interested in cultural matters relating to issues of diversity and marginalization. However, the field has tended to understand culture as static social markers or as the background for understanding group differences. In this article the authors contend that culture is inseparable from who we are and what we do as social beings. Moreover, culture is continually shaped by socio-historical and political processes intertwined within the globalized history of power. The authors propose a decolonizing standpoint grounded in critical social science to disrupt understandings of cultural matters that marginalize others. This standpoint would move the field toward deeper critical thinking, reflexivity and emancipatory action. The authors present their work to illustrate how they integrate a decolonizing standpoint to community psychology research and teaching. They conclude that community psychology must aim towards intercultural work engaging its political nature from a place of ontological/epistemological/methodological parity. PMID- 21052822 TI - Interdisciplinary linkage of community psychology and cross-cultural psychology: history, values, and an illustrative research and action project on intimate partner violence. AB - An analysis of the respective organizational histories, missions, and scholarly activity of the International Association for Cross-Cultural Psychology and the Society for Community Research and Action was conducted in order to inform the development of interdisciplinary linkages between members of the two organizations. The analysis revealed many points of shared values and actions, as well as some important differences. Both scholarly organizations developed out of a similar historical and cultural zeitgeist in the late 1960s and early 1970s. The missions emphasize the role of culture/diversity in psychological phenomena, adopting an interdisciplinary orientation, the value of collaboration, the importance of research method and ethics, and the value of action research. However, community psychology generally lacks an adequate treatment of cultural phenomena while cross-cultural psychology often fails to draw on community and participatory methods useful for understanding culture in context. These common roots and differences are examined. Finally, we describe a community based, participatory research and intervention project to address intimate partner violence among Latinos and European-Americans living in Oregon. Analysis of the research process and on some of our initial findings illustrates challenges and potential benefits of an interdisciplinary, cultural community psychology. PMID- 21052823 TI - Proximal outcomes matter: a multilevel examination of the processes by which coordinating councils produce change. AB - Communities are engaged in efforts to create a coordinated response to intimate partner violence. Though coordinating councils are commonly employed vehicles for such efforts, research provides only equivocal support regarding their effectiveness. These mixed findings may reflect methodological and conceptual challenges. Specifically, there is an over-reliance on conceptualizing council effectiveness in terms of distal outcomes (e.g., behavior change), rather than the intermediary processes by which councils affect change. A direct assessment of councils' proximal outcomes may highlight change mechanisms. To that end, this study investigates the extent to which councils impact proximal outcomes and examines the processes through which proximal outcomes are interrelated and linked to distal community change. Study findings suggest that perceived proximal outcomes do significantly predict variability in perceived distal community change across councils. Specifically, promotion of social capital and institutionalized change predict achievement of distal community change, and promotion of social capital also predicts achievement of institutionalized change. PMID- 21052824 TI - The red road to wellness: cultural reclamation in a Native First Nations community treatment center. AB - This article explores how Native American cultural practices were incorporated into the therapeutic activities of a community-controlled substance abuse treatment center on a "First Nations" reserve in the Canadian north. Analysis of open-ended interviews with nineteen staff and clients-as contextualized by participant observation, program records, and existing ethnographic resources yielded insights concerning local therapeutic practice with outpatients and other community members. Specifically, program staff adopted and promoted a diverse array of both western and Aboriginal approaches that were formally integrated with reference to the Aboriginal symbol of the medicine wheel. Although incorporations of indigenous culture marked Lodge programs as distinctively Aboriginal in character, the subtle but profound influence of western "therapy culture" was centrally evident in healing activities as well. Nuanced explication of these activities illustrated four contributions of cultural analysis for community psychology. PMID- 21052825 TI - Neighborhood protective effects on depression in Latinos. AB - Neighborhood social ecologies may have protective effects on depression in Latinos, after adjusting for demographic risk factors, such as nativity and length of stay in the US. This study examines the effects of neighborhood collective efficacy and linguistic isolation on depression in a heterogeneous urban Latino population from 1,468 adult respondents in Los Angeles County. We used multilevel models to analyze how major depression is associated with socioeconomic background, length of stay in the U.S., neighborhood collective efficacy and linguistic isolation among Latinos. A significant cross-level interaction effect was found between collective efficacy and foreign-born Latinos who resided in the US >= 15 years. We report cross-level interaction effects between linguistic isolation and nativity for U.S.-born and nativity and duration of residence for foreign-born Latinos who had lived in the U.S. at least 15 years. The moderating effects reported in this study suggest that the benefits of neighborhood collective efficacy and linguistic isolation vary by Latino subgroup and are conceptually discrete forms of social capital and offer insights for community based interventions. PMID- 21052826 TI - Effects of treadmill exercise combined with MK 801 treatment on neuroblast differentiation in the dentate gyrus in rats. AB - N-methyl-D-aspartate receptor (NR) is involved in activity-dependent synaptic plasticity, such as associative long-term potentiation, and in related central functions, such as learning and memory. In this study, we observed effects of treadmill exercise on NR1 and doublecortin (DCX, a marker for neuroblast differentiation) in the subgranular zone of the dentate gyrus (DG). At 6 weeks of age, rats were put on a treadmill with or without running for 1 h/day for 5 consecutive days at 22 m/min for 5 weeks. Exercise increased NR1 immunoreactivity and protein level in the hippocampus. To identify the correlations between NR and neuroblasts, we intraperitoneally administered a NR antagonist, MK-801, to the exercised rats. MK-801 treatment reduced NR1 protein level in the hippocampus of the exercised rats. In addition, in the MK-801-treated group, the number of DCX cells was significantly decreased in the subgranular zone of the DG. These results suggest that NR may be one of the important factors that modulate neuroblast differentiation during exercise in rats. PMID- 21052827 TI - Dual neuroprotective and neurotoxic effects of cannabinoid drugs in vitro. AB - Either protective or toxic effects of cannabinoids on cell survival have been reported extensively in the literature; however, the factors that determine the direction of the effect are still obscured. In this study we have used the neuroblastoma cell line N18TG2 that expresses CB1 cannabinoid receptors to investigate several factors that may determine the consequences of exposure to cannabinoid agonists. Cells that were grown under optimal, stressful, or differentiating conditions were exposed to cannabinoid agonists and then assayed for cell viability by measuring MTT, LDH, and caspase-3 activity. Various cannabinoid agonists (CP 55,940, ?9-THC, HU-210, and WIN 55,212-2) failed to affect cell viability when the cells were grown under optimal conditions. On the other hand, the same agonists significantly reduced cell viability when the cells were grown under stressful conditions (glucose- and serum-free medium), while enhancing the viability of cells grown in differentiation medium (0.5% serum and 1.5% DMSO). The toxic/protective profile was not dependent on the type or the concentration of the cannabinoid agonist that was applied. The cannabinoid agonist CP 55,940 similarly affected the non-neuronal HEK-293 cells that were grown under stressful conditions only when they expressed CB1 receptors. Our results shed light on the conflicting reports regarding the protective or toxic effects of cannabinoids in vitro and indicate that cannabinoids may activate different intracellular signaling mechanisms, depending on the state of the cell, thus leading to different physiological consequences. PMID- 21052828 TI - False positive mammograms in Europe: do they affect reattendance? PMID- 21052829 TI - Developing and piloting a form for student assessment of faculty professionalism. AB - One of the impediments to teaching professionalism is unprofessional behavior amongst clinical teachers. No method of reliably assessing the professional behavior of clinical teachers has yet been reported. The aim of this project was to develop and pilot such a tool. Thirty-four desirable professional behaviors in clinical teachers were identified. Medical students (n = 13) and medical educators (n = 30) rated their importance and validity. Based on the ratings, 16 behaviors in 4 dimensions were included in an assessment form that was piloted in the Department of Pediatrics at McGill University, with medical students (n = 94) rating the professionalism of their clinical faculty (n = 20). One hundred and ninety forms were returned with between 1 and 22 evaluations per faculty member. Scores ranged from 25 to 48 (maximum rating = 48, mean score = 42.7, SD = 6.29). A generalizability analysis was conducted; internal consistency was 0.89, and reliability for a mean of 8.23 ratings per faculty member was 0.53. Inter-rater reliability for one item was 0.11, potentially due to the context specificity of behavior or low frequency of unprofessional behaviors. Exploratory factor analysis revealed 3 factors with eigen values over 1. Assessment of the professionalism of clinical teaching faculty appears to be feasible, acceptable and reasonably reliable. The explicit evaluation of professional conduct in clinical faculty could encourage the maintenance of professional behaviors and potentially decrease the effects of negative role modeling and positively affect the hidden and informal curricula. PMID- 21052830 TI - Atorvastatin neutralises the thrombin-induced tissue factor expresion in endothelial cells via geranylgeranyl pyrophosphate. AB - Statins may have beneficial effects in atherogenesis given their antithrombotic properties involving non-lipid mechanisms that modify endothelial function of tissue factor induction by thrombin. In this study, we investigate the effect of atorvastatin on tissue factor (TF) activity in thrombin-stimulated endothelial cells and its regulation through mevalonate or its derivatives. First subculture of human umbilical endothelial cells was used for this study. Cells were treated with thrombin and atorvastatin for different time intervals and dosage. Tissue factor activity was measured as Factor Xa generation induced by Tissue Factor Factor VIIa complex on confluent cells. Our results show that atorvastatin prevents the thrombin-induced up-regulation of tissue factor activity in a concentration-dependent manner. Mevalonate and geranylgeranyl pyrophosphate reversed this inhibitory effect of atorvastatin on tissue factor activity, while the presence of farnesyl pyrophosphate did not prevent the atorvastatin effect on thrombin-induced tissue factor activity. Rho-kinase inhibitor did not affect the thrombin stimulation of tissue factor activity. High amount of hydrophobic isoprenoid groups decreases the thrombin-induced TF activity and may promote endothelial cell anti-thrombotic action. Rho kinase pathways do not have a major role in the thrombin-mediated TF activity. The inhibitory effect of atorvastatin on thrombin-induced TF activity was partially reversed by MVA and GGPP but not FPP. PMID- 21052831 TI - Isolation of heat shock-induced Nicotiana tabacum transcription promoters and their potential as a tool for plant research and biotechnology. AB - Transcription promoters of heat shock protein (HSP) genes have been used to control the expression of heterologous proteins in plants and plant cells. To obtain a strong HSP promoter that is functionally active in Nicotiana tabacum BY 2 cells, we set out to identify a promoter of an endogenous gene showing high activation of expression by heat. An N. tabacum BY-2 cell culture was treated for 8 h at 37 degrees C and the cell protein extract analyzed by two-dimensional electrophoresis. A major spot was identified by mass spectrometry as belonging to the small HSP family. The promoter regions and the 5' and 3' untranslated regions of two genes, NtHSP3A and NtHSP3B, with sequences fitting the protein identified were cloned and fused to a hybrid reporter gene coding for beta-glucuronidase (GUS) and a yellow fluorescent protein. These constructs were introduced into N. tabacum BY2 cells by Agrobacterium tumefaciens-mediated transformation. Both promoters conferred similar heat-induced GUS expression. In the best heat shock condition, GUS activity was increased 200 fold and reached 285 pmol min(-1) MUg protein(-1). Up-scaling in a 4-l bioreactor resulted in similar heat-induced expression. The NtHSP3A promoter was then used to drive the expression of NtPDR1, a plasma membrane transporter belonging to the pleiotropic drug resistance family. No expression was observed at 25 degrees C, while, at 37 degrees C, expression was similar to that obtained using a strong constitutive promoter. These data show that the HSP promoters isolated are useful for high heat-induced expression in N. tabacum BY-2 cells. PMID- 21052832 TI - Effect of depression on recovery from PTSD. AB - It has been suggested that the treatment strategy needs to be reviewed and changed if depression occurs in patients with posttraumatic stress disorder (PTSD). We analyzed data extracted from the Marmara Epidemiological Survey (MES) which had examined 683 survivors at 3 years after a devastating earthquake. Fifty three cases (40.5%) out of the 131 cases with PTSD had also been diagnosed with MDD. Comorbid PTSD and MDD group has significantly lower rates of recovery from PTSD in comparison to PTSD without MDD (26.4% vs. 47.4% respectively). Rates of past psychiatric disorder and past traumatic experience were significantly more frequent among the comorbid group. Moreover, comorbidity of PTSD and MDD was clearly associated with greater psychological distress, more severe PTSD, and diminished perceived social support. Past psychiatric disorder, General Health Questionnaire (GHQ-12) and Multidimensional Scale of Perceived Social Scale (MSPSS) total scores succeeded in predicting the comorbidity of PTSD and MDD significantly. PMID- 21052833 TI - Maternal depression and child psychopathology: a meta-analytic review. AB - Although the association between maternal depression and adverse child outcomes is well established, the strength of the association, the breadth or specificity of the outcomes, and the role of moderators are not known. This information is essential to inform not only models of risk but also the design of preventive interventions by helping to identify subgroups at greater risk than others and to elucidate potential mechanisms as targets of interventions. A meta-analysis of 193 studies was conducted to examine the strength of the association between mothers' depression and children's behavioral problems or emotional functioning. Maternal depression was significantly related to higher levels of internalizing, externalizing, and general psychopathology and negative affect/behavior and to lower levels of positive affect/behavior, with all associations small in magnitude. These associations were significantly moderated by theoretically and methodologically relevant variables, with patterns of moderation found to vary somewhat with each child outcome. Results are interpreted in terms of implications for theoretical models that move beyond main effects models in order to more accurately identify which children of depressed mothers are more or less at risk for specific outcomes. PMID- 21052834 TI - Enrollment and attendance in a parent training prevention program for conduct problems. AB - Low levels of enrollment and attendance in parent training programs present major problems for researchers and clinicians. The literature on enrollment and attendance in prevention programs is especially limited, and these constructs may be particularly difficult to address in this context. Further, most previous research has not made the distinction between enrollment and attendance. This study describes predictors of enrollment and attendance in a behavioral parent training program intended to prevent conduct problems in preschoolers. Information was gathered from 106 preschoolers, their parents, and their teachers. Parent socioeconomic status (SES), single parent status, ethnicity, child externalizing behavior, parent depressive symptoms, and parent social support were investigated as possible predictors of families' enrollment and attendance. Only 48% of the families that had already provided informed consent and completed demographic questionnaires actually enrolled in the parent training program; parents with lower incomes and lower levels of social support were less likely to enroll. In addition, African-American and Puerto Rican families were less likely to enroll than Caucasian families. The average attendance rate for enrolled parents was 61%; dual parents and parents with children evidencing externalizing behavior problems attended more parent training sessions. Parent depression was not associated with enrollment or attendance. Significant relationships were maintained when controlling for other predictors including SES and when accounting for center-level variance. In addition, three distinct patterns of attendance were observed, which may have practical implications related to retention strategies. PMID- 21052835 TI - Cost reduction associated with restriction policy on dispensing intravenous esomeprazole in Lebanon. AB - OBJECTIVES: To assess the impact of the pharmacist on cost through simple implementation of restriction policy on IV drug usage during pharmacy dispensing procedure. SETTING: In-patient floors of a Hospital. METHODS: All medication orders for IV esomeprazole, received at the pharmacy during a 24-month period, were reviewed for appropriate IV route of administration. Two separate time intervals, pre- and post- implementation of restriction dispensing policy, were used to determine cost impact of pharmacy intervention. MAIN OUTCOME MEASURE: The cost difference between pre- and post-restriction periods. RESULTS: During the pre-restriction period, the majority of esomeprazole IV vials were dispensed to patients able to tolerate oral medications and who were admitted to non-intensive care units. The average monthly consumption of IV esomeprazole was 1,439 vials in the pre-restriction period as compared to 346 vials in the post-restriction period. Therefore, the associated cost was reduced by an average of $21,233 per month. CONCLUSION: Even though the clinical role of pharmacy practice in Middle Eastern countries is limited, this study highlighted the impact of the pharmacist on cost through the implementation of restriction policy during dispensing procedure, leading to a cost reduction by four folds. PMID- 21052836 TI - Introduction of pharmaceutical expertise in a palliative care team in Sweden. AB - OBJECTIVE: This paper presents for the first time the inclusion of dispensing pharmacists, a special group of pharmacy professionals, in a Swedish palliative care team. It also presents the drug stock management in the medication room of the clinical area and the improvement of drug logistics. In addition to a dispensing pharmacist, a pharmacist was included in this part of the project as well. SETTING: The palliative care team at ASIH Langbro Park, Sweden. METHOD: The intervention with the dispensing pharmacists as new members of the interdisciplinary palliative team was evaluated by a questionnaire to the staff. An inventory of the different drugs in stock was performed in March 2006 and in April 2007, respectively. The inventory turnover rate was determined and the drug consumption for the last 6 months of 2005 and 2006, respectively, was also analysed. MAIN OUTCOME MEASURES: The questionnaire used rating scales allowing participants to rate the questions/statements. The number of different drugs and drug packages in stock were recorded during the inventories. Drug costs were calculated and the inventory turnover rate was determined by dividing the annual cost of drugs by the value of the inventory. Drug consumption was analysed using the Xplain statistical programme, a statistical tool from Apoteket AB. RESULTS: The overall impression of the dispensing pharmacists was positive. The staff reported advantages in having a dispensing pharmacist present at ASIH not only for the drug logistics, but also for drug-related queries. The inventory of the drug stock and the drug-handling process resulted in a 14% reduction of product numbers and a 36% reduction in the tied-up capital for drugs in stock. The inventory turnover rate increased from 6.7 to 9.5. A 7% reduction of medication costs was also observed when comparing the last 6 months of 2006 with the costs in 2005. CONCLUSION: The principal result of this project is that inclusion of pharmaceutical expertise on a palliative care team can be a valuable asset for the team in pharmaceutical issues and of great benefit for stock management, including cost savings and improvement of drug logistics. PMID- 21052837 TI - Clinical applications of pharmacogenomics guided warfarin dosing. AB - AIM OF THE REVIEW: To assess the state of the literature concerning pharmacogenomic testing in patients requiring vitamin K antagonists, specifically warfarin. METHOD: We conducted a literature search of MEDLINE and International Pharmaceutical Abstracts using the following words: warfarin, pharmacogenetic, and pharmacogenomic. The search results were reviewed by the authors and papers concerning pharmacogenomic testing in warfarin dosing were procured and reviewed. Additionally bibliographies of papers procured were also examined for other studies. The authors focused on clinical trials concerning the use of pharmacogenomic testing in warfarin dosing. RESULTS: Although numerous studies have demonstrated that a significant portion of warfarin dosing variability can be explained by genetic polymorphisms, few prospective studies have been conducted that examine the integration of this information in practical dosing situations. Those that have, have shown that using pharmacogenomic information improves initial dosing estimates and decreases the need for frequent clinic visits and laboratory testing. Data showing a reduction in serious bleeding events is sparse. Cost-effectiveness analyses have generally shown a small but positive effect with pharmacogenomic testing in patients receiving warfarin. CONCLUSION: Several studies have shown that pharmacogenomic testing for warfarin dosing is more accurate that other dosing schemes. Pharmacogenomic testing improves time to a therapeutic international normalized ratio while requiring fewer dosing adjustments. Patients who require higher or lower than usual doses seem to benefit the most. The cost-effectiveness of pharmacogenomic testing as well as preventing of outcomes such as bleeding or thrombosis are not yet elucidated. Pharmacists, especially those in a community setting can play a role in this new technology by educating prescribers and patients concerning pharmacogenomic testing, and by developing and using dosing protocols that incorporate its use. PMID- 21052838 TI - Severe akathisia as a side effect of metoclopramide. AB - CASE DESCRIPTION: A case of severe metoclopramide-induced akathisia in a breast cancer patient being treated with chemotherapy is presented, eventually culminating in hospital admission. In retrospect, this adverse effect was not recognized for several weeks because the prescription had not been properly recorded in the chart, the patient initially denied using the drug, and extensive psychological adjustment difficulties were also present. CONCLUSION: Movement disorders as an adverse effect of metoclopramide have been described on a regular basis over the past decades. Case reports such as this confirm there is under recognition of adverse effects and emphasize the need to take a comprehensive medication history and recognize well known side effects of medications such as metoclopramide. PMID- 21052839 TI - Robust QCT/FEA models of proximal femur stiffness and fracture load during a sideways fall on the hip. AB - Clinical implementation of quantitative computed tomography-based finite element analysis (QCT/FEA) of proximal femur stiffness and strength to assess the likelihood of proximal femur (hip) fractures requires a unified modeling procedure, consistency in predicting bone mechanical properties, and validation with realistic test data that represent typical hip fractures, specifically, a sideways fall on the hip. We, therefore, used two sets (n = 9, each) of cadaveric femora with bone densities varying from normal to osteoporotic to build, refine, and validate a new class of QCT/FEA models for hip fracture under loading conditions that simulate a sideways fall on the hip. Convergence requirements of finite element models of the first set of femora led to the creation of a new meshing strategy and a robust process to model proximal femur geometry and material properties from QCT images. We used a second set of femora to cross validate the model parameters derived from the first set. Refined models were validated experimentally by fracturing femora using specially designed fixtures, load cells, and high speed video capture. CT image reconstructions of fractured femora were created to classify the fractures. The predicted stiffness (cross validation R (2) = 0.87), fracture load (cross-validation R (2) = 0.85), and fracture patterns (83% agreement) correlated well with experimental data. PMID- 21052840 TI - Granulocyte macrophage-colony stimulating factor shows anti-apoptotic activity in neural progenitor cells via JAK/STAT5-Bcl-2 pathway. AB - Recently, many studies have shown that granulocyte macrophage-colony stimulating factor (GM-CSF) has anti-apoptotic activity and regulates the expression of anti apoptotic genes including Bcl-2 family proteins in neuronal cells in vitro and in vivo. This study investigated detailed mechanism of GM-CSF involved in its anti apoptotic activity and regulation of Bcl-2 expression in neural progenitor cells (NPCs) as a model. NPCs were cultured from the brain of E13 ICR mouse. When NPCs were treated with staurosporine at 1 MUM, apoptosis occurred in more than 30% of cells in TUNEL assay. However, apoptosis was significantly inhibited by pre treatment with GM-CSF at 10 ng/ml. Under the same experimental condition, the expression of both Bcl-2 and Bcl-xl was clearly induced by GM-CSF regardless of staurosporine treatment in RT-PCR and Western blot analyses. GM-CSF was shown to induce the expression of Bcl-2 and Bcl-xl via Janus tyrosine kinase (JAK) but not via phosphatidylinositol 3-kinase (PI3K) or RAS-mitogen activated protein kinase kinase-1 (MEK-1) using specific signal pathway inhibitors. Further analyses showed that the expression of Bcl-2 and Bcl-xl was induced by GM-CSF via signal transducers and activators of transcription 5 (STAT5) and STAT3, respectively. In addition, JAK/STAT5-Bcl-2 pathway but not JAK/STAT3-Bcl-xl pathway was responsible for the anti-apoptotic activity of GM-CSF in NPCs in TUNEL assay. To our knowledge, this study is the first report that shows differential roles of Bcl-2 and Bcl-xl, and their regulation mechanism involved in the anti-apoptotic activity of GM-CSF in NPCs. PMID- 21052841 TI - Intra-uterine growth restriction is associated with increased apoptosis and altered expression of proteins in the p53 pathway in villous trophoblast. AB - Intrauterine growth restriction (IUGR) affects 3-8% of pregnancies and is associated with altered cell turnover in the villous trophoblast, an essential functional cell type of the human placenta. The intrinsic pathway of apoptosis, particularly p53, is important in regulating placental cell turnover in response to damage. We hypothesised that expression of proteins in the p53 pathway in placental tissue would be altered in IUGR. Expression of constituents of the p53 pathway was assessed using real-time PCR, Western blotting and immunohistochemistry. p53 mRNA and protein expression was increased in IUGR, which localised to the syncytiotrophoblast. Similar changes were noted in p21 and Bax expression. There was no change in the expression of Mdm2, Bak and Bcl-2. The association between altered trophoblast cell turnover in IUGR and increased p53 expression is reminiscent of that following exposure to hypoxia. These observations provide further insight into the potential pathogenesis of IUGR. Further research is required to elicit the role and interactions of p53 and its place in the pathogenesis of IUGR. PMID- 21052842 TI - Combining cardiac magnetic resonance and computed tomography coronary calcium scoring: added value for the assessment of morphological coronary disease? AB - To investigate prospectively, in patients with suspicion of coronary artery disease (CAD), the added value of coronary calcium scoring (CS) as adjunct to cardiac magnetic resonance (CMR) for the diagnosis of morphological coronary stenosis in comparison to catheter angiography (CA). Sixty consecutive patients (8 women; 64 +/- 10 years) referred to CA underwent CMR (1.5 T) including perfusion and late gadolinium-enhancement imaging as well as CS with computed tomography. Diagnostic performance was evaluated for CMR and CS separately, and for both methods combined, with CA as reference standard. Best CS threshold combined with a specificity >90% to predict significant stenosis in patients without abnormalities on CMR was determined from receiver operator characteristics (ROC) analysis. Abnormal CMR results were considered to indicate significant stenosis regardless of CS; CS above threshold reclassified patients to have CAD regardless of CMR. CA identified 104/960 (11%) coronary segments with coronary artery stenosis >50% in 36/60 (60%) patients. ROC revealed an area-under the-curve of 0.83 (95%CI: 0.68-0.99) with the best CS threshold of 495 Agatston score (sensitivity 50%). CMR depicted 128/960 (13%) myocardial segments with abnormalities in 31/60 (52%) patients. Sensitivity, specificity, negative (NPV) and positive predictive value (PPV) of CMR were 78, 88, 72 and 90%. When adding CS to CMR, sensitivity and NPV increased to 89 and 83%, while specificity and PPV slightly decreased to 83 and 89%. Accuracy of the combined approach (87%) was significantly (P < 0.05) higher than that of CMR (82%) alone. Adding CS to CMR improves the accuracy for the detection of morphological CAD. PMID- 21052843 TI - An efficient protocol for genetic transformation of Platycodon grandiflorum with Agrobacterium rhizogenes. AB - The balloon flower (Platycodon grandiflorum) is a popular traditional medicinal plant used in Korea to treat conditions such as bronchitis, asthma, tuberculosis, diabetes, and inflammatory diseases. Recently, immunopharmacological research identified triterpenoid and saponin as important active compounds in P. grandiflorum. To study and extract these compounds and other metabolites from P. grandiflorum, a technique was developed for producing hairy root cultures, which are a reliable source of plant compounds. To achieve this, the activity of Agrobacterium rhizogenes was exploited, which can transfer DNA segments into plant genomes after infecting them. In this study, the A. rhizogenes strain R1000 was determined that had the highest infection frequency (87.5%) and induced the most hairy roots per plant, and the concentration of antibiotics (75 mg/l kanamycin) was elucidated for selection after transformation. Wild-type and transgenic hairy roots contained various phenolic compounds, although both of them had similar concentrations of phenolic compounds. In the future, the protocols described here should be useful for studying and extracting valuable metabolites such as phenolic compounds from P. grandiflorum hairy root cultures. PMID- 21052844 TI - Selenium inhibits high glucose-induced cyclooxygenase-2 and P-selectin expression in vascular endothelial cells. AB - Selenium as a component of glutathione peroxidase may be beneficial in insulin resistance, hence potentially may modify the risk of diabetes and cardiovascular disease. The aim of our study was to evaluate whether selenium can also alter high glucose (HG), advanced glycation end products (AGE), high insulin (HI) and H2O2-induced expression of cyclooxygenase (COX)-2 and P-selectin. Human umbilical vein endothelial cells (HUVECs) were pretreated with selenium and stimulated by HG, AGE, HI and H2O2. Selenium significantly inhibited HG, AGE, HI and H2O2 induced expression of COX-2 and P-selectin. Moreover, selenium also inhibited HG, AGE, HI and H2O2-induced activation of p38 mitogen-activated protein kinase (p38 MAPK), which indicated that the preventive effects of selenium on COX-2 and P selectin may be associated with p38. Our results indicated that selenium supplementation can reduce HG, AGE, HI and H2O2-induced expression of COX-2 and P selectin by inhibition of the p38 pathway. PMID- 21052845 TI - Lack of association between methylenetetrahydrofolate reductase gene A1298C polymorphism and breast cancer susceptibility. AB - Published data on the association between methylenetetrahydrofolate reductase gene (MTHFR) A1298C polymorphism and breast cancer risk are inconclusive. To derive a more precise estimation of the relationship, a meta-analysis was performed. Medline, PubMed, Embase, and Web of Science were searched. Crude ORs with 95% CIs were used to assess the strength of association between the MTHFR A1298C polymorphism and breast cancer risk. The pooled ORs were performed for co dominant model (AC vs. AA, CC vs. AA), dominant model (CC+AC vs. AA), and recessive model (CC vs. AC+AA), respectively. A total of 26 studies including 12,244 cases and 15,873 controls were involved in this meta-analysis. Overall, no significant associations were found between MTHFR A1298C polymorphism and breast cancer risk when all studies pooled into the meta-analysis (AC vs. AA: OR=0.99, 95% CI 0.94-1.05; CC vs. AA: OR 0.99, 95% CI 0.90-1.09; dominant model: OR=0.99, 95% CI 0.95-1.04; and recessive model: OR=0.98, 95% CI 0.90-1.08). In the subgroup analysis by ethnicity or study design, still no significant associations were found for all comparison models. In conclusion, this meta-analysis suggests that the MTHFR A1298C polymorphism may be not associated with breast cancer development. However, large sample and representative population-based studies with homogeneous breast cancer patients and well matched controls are warranted to confirm this finding. PMID- 21052846 TI - Navigating in the landscape of care: a critical reflection on theory and practise of care and ethics. AB - The theory and practise of care is defined and enacted differently in different national as well as cultural contexts, illuminating how differently constructed the personal and societal structures in Europe are. A common trait is however that care work paid or non-paid, private or public is identified with women. To navigate in the landscape of care and ethics requires taking into account the constitutive relation between one's identity, embodiment and position. The author suggests conceiving care as an existential condition of life demanded from all human beings. This will free care from the identification with women and pave a way towards a more gender equal and just society with less gender segregation in the labour market and at the arena of education. PMID- 21052847 TI - Public accountability and sunshine healthcare regulation. AB - The lack of economic sustainability of most healthcare systems and a higher demand for quality and safety has contributed to the development of regulation as a decisive factor for modernisation, innovation and competitiveness in the health sector. The aim of this paper is to determine the importance of the principle of public accountability in healthcare regulation, stressing the fact that sunshine regulation-as a direct and transparent control over health activities-is vital for an effective regulatory activity, for an appropriate supervision of the different agents, to avoid quality shading problems and for healthy competition in this sector. Methodologically, the authors depart from Kieran Walshe's regulatory theory that foresees healthcare regulation as an instrument of performance improvement and they articulate this theory with the different regulatory strategies. The authors conclude that sunshine regulation takes on a special relevance as, by promoting publicity of the performance indicators, it contributes directly and indirectly to an overall improvement of the healthcare services, namely in countries were citizens are more critical with regard to the overall performance of the system. Indeed, sunshine regulation contributes to the achievement of high levels of transparency, which are fundamental to overcoming some of the market failures that are inevitable in the transformation of a vertical and integrated public system into a decentralised network where entrepreneurialism appears to be the predominant culture. PMID- 21052848 TI - Measurement invariance of the 16-item social distress scale. AB - PURPOSE: The SD-16 is a 16-item instrument assessing social distress in cancer patients. In addition to work underlining the scale's reliability, validity and clinical utility, recent research has also determined minimally important differences (MID) for the instrument. The aim of this study was to assess whether item locations remained stable over time, in order to support the longitudinal use of the instrument. METHODS: Data were collated from cancer patients (N = 123) who had completed the SD-16 at four time points (baseline, 6 months, 1 and 2 years). The Partial Credit Model (Rasch analysis) was applied to the data and item fit evaluated against published criteria. Measurement invariance of item location and person measures over time was assessed using analysis of variance. RESULTS: Good item fit was found with the exception of one item ("work"), which demonstrated misfit at two time points. No statistically significant differences were found for item locations. As anticipated, there were changes over time in patients' scores, particularly in the first 6 months. CONCLUSIONS: The instrument can be used longitudinally to accurately assess changes in cancer patients' social distress. PMID- 21052849 TI - Validation of the FACT-G scale for evaluating quality of life in cancer patients in Colombia. AB - PURPOSE: To validate the FACT-G scale for measuring quality of life of patients with cancer in Colombia. METHODS: The analysis included factor analysis, confirmatory analysis, Rasch analysis, convergent validity, internal consistency (473 patients diagnosed with cancer), test-retest reliability (97 patients evaluated at two different time points) and sensitivity to change (25 patients evaluated before and after an intervention). RESULTS: A four-factor structure has been found ("Physical well-being", "Social-family well-being", "Functional well being" and "Emotional well-being"). Two subscales ("Emotional well-being" and "Social-family well-being") have misfitting items. Cronbach's alpha was 0.89 for the whole scale. None of the items had significant impact on the scale's alpha when removed. Lin's concordance correlation coefficient indicated test-retest reliability (rho c: 0.64-0.76) adequate to the uses of the tool. Regarding sensitivity to change, repeated measures analysis demonstrated significant change of the score after an intervention [F(3, 72) = 39.89, P = 0.000]. Except for the domain "Social-family well-being", Pearson's correlation coefficient between equivalent domain scores on FACT-G and the EORTC QLQC-30 ranged from 0.5 to 0.7. CONCLUSIONS: The FACT-G scale measures a four-factor construct. Results indicate that the FACT-G scale is an instrument that performs consistently over time, with evidence of responsiveness. The finding of misfitting items in two subscales ("Social-family well-being", and "Emotional well-being") imposes caution in interpreting the scores of these domains. PMID- 21052850 TI - An analysis of the efficacy of serial screening for familial nasopharyngeal carcinoma based on Markov chain models. AB - Treatment of nasopharyngeal carcinoma (NPC) can be improved by early detection of the disease as treatment outcome worsens with disease's progression. This can be achieved with a mass screening program using Epstein Barr virus (EBV) serology and nasopharyngoscopy. The efficacy of any screening strategy should be evaluated before putting it into practice. Such evaluation is ideally performed with simulation as time and cost often preclude the evaluation by randomized trial. This study simulated and compared the outcomes of 4 screening strategies over a period of 12 years: (A) Annual screening, (B) biennial screening, (C) triennial screening, and (D) triennial screening for participants tested EBV negative and annual screening once the participants are tested EBV positive. Progression of the disease was divided into 4 phases and calculated by applying Markov chain model. Parameters of the transition matrix and probabilities were estimated using data from previous screening results of 1,072 family members of NPC patients. The early detection rates with strategies A, B, C and D are 88, 79, 71 and 87% respectively. The 5-year overall survival with screening is 10-12% higher than that without and is the highest with strategies A and D. Strategy D, however, requires only 64% screening tests compared with strategy A and has almost identical resultant disease stage distribution to strategy A. We concluded that strategy D offered the highest efficacy for NPC screening of family members of NPC patients among the four strategies studied. PMID- 21052851 TI - Colorectal cancer: no longer the issue in familial adenomatous polyposis? AB - Familial adenomatous polyposis (FAP) is a dominantly inherited colorectal cancer (CRC) syndrome with an untreated lifetime prevalence of CRC close to 100% and extracolonic manifestations (ECM) of increasing clinical significance. This study examined the effect of systematic callup and prophylactic colectomy on FAP survival. Patients diagnosed, treated and followed-up at our institution were analysed. 'Callups' were those identified via the callup system; 'probands' were those identified by other means. Proportions were analysed by Chi-squared or Fischer's exact test. Mortality rates were indirectly standardised to the UK population. Survival curves from birth were estimated by Kaplan-Meier. A total of 439 patients (293 callups, 146 probands) were analysed. Crude mortality rates (CMRs) of callups and probands were 4.85 per 1,000 person years (PY) and 9.71 per 1,000 PY, respectively-a rate ratio of 0.50 (95% CI 0.34-0.72, P = 0.0001). The standardised mortality ratio (SMR) of callups was non-significantly lower than probands (4.12 vs. 4.70). Callups experienced non-significantly lower age-band specific SMR up to 45 years. More probands died of CRC (42.4 vs. 22.5%, P = 0.025), whereas more callups died of ECM (30.6 vs. 13.4%, P = 0.027). Median survival was 64 years for callups and 60 years for probands; survival curves did not differ significantly (P = 0.253). The crude mortality rate of callups is approximately half that of probands. As fewer callups die of CRC, a greater proportion die of ECMs. Callups experienced non-significantly reduced mortality up to 45 years. Whilst the FAP callup system reduces CRC risk, mortality attributable to ECMs needs to be addressed. PMID- 21052853 TI - Strain rate-dependent viscohyperelastic constitutive modeling of bovine liver tissue. AB - The mechanical response of most soft tissue is considered to be viscohyperelastic, making the development of accurate constitutive models a challenging task. In this article, we present a constitutive model for bovine liver tissue that utilizes a viscous dissipation potential, and use it to model the response of bovine liver tissue at strain rates ranging from 0.001 to 0.04 s( 1). On the material modeling front of this study, the free energy is assumed to depend on the right Cauchy-Green deformation tensor, whereas a separate rate dependent viscous potential is posited to characterize viscoelasticity. This viscous dissipation component is a function of the time rate of change of the right Cauchy-Green deformation tensor. On the experimental front, no-slip uniaxial compression experiments are conducted on bovine liver tissue at various strain rates. A numerical correction approach is used to account for the no-slip edge conditions, and the constitutive model is fit to the resulting corrected stress-strain data. The complete derivation of the material model, its implementation in the finite element software package ABAQUS, and a validation study are presented in this article. The results show that bovine liver tissue exhibits a strong strain-rate dependence even at the low strain rates considered here and that the proposed constitutive model is able to accurately describe this response. PMID- 21052854 TI - Accelerometer's position independent physical activity recognition system for long-term activity monitoring in the elderly. AB - Mobility is a good indicator of health status and thus objective mobility data could be used to assess the health status of elderly patients. Accelerometry has emerged as an effective means for long-term physical activity monitoring in the elderly. However, the output of an accelerometer varies at different positions on a subject's body, even for the same activity, resulting in high within-class variance. Existing accelerometer-based activity recognition systems thus require firm attachment of the sensor to a subject's body. This requirement makes them impractical for long-term activity monitoring during unsupervised free-living as it forces subjects into a fixed life pattern and impede their daily activities. Therefore, we introduce a novel single-triaxial-accelerometer-based activity recognition system that reduces the high within-class variance significantly and allows subjects to carry the sensor freely in any pocket without its firm attachment. We validated our system using seven activities: resting (lying/sitting/standing), walking, walking-upstairs, walking-downstairs, running, cycling, and vacuuming, recorded from five positions: chest pocket, front left trousers pocket, front right trousers pocket, rear trousers pocket, and inner jacket pocket. Its simplicity, ability to perform activities unimpeded, and an average recognition accuracy of 94% make our system a practical solution for continuous long-term activity monitoring in the elderly. PMID- 21052855 TI - A generalized calibration procedure for in vivo transit dosimetry using siemens electronic portal imaging devices. AB - A practical and accurate generalized in vivo dosimetry procedure has been implemented for Siemens linacs supplying 6, 10, and 15 MV photon beams, equipped with aSi electronic portal imaging devices (EPIDs). The in vivo dosimetry method makes use of correlation ratios between EPID transit signal, s (t) (0) (TPR,w,L), and phantom mid-plane dose, D (0)(TPR,w,L), as functions of phantom thickness, w, square field dimensions, L, and tissue-phantom ratio TPR(20,10). The s (t) (0) (TPR,w,L) and D (0)(TPR,w,L) values were defined to be independent of the EPID sensitivity and monitor unit calibration, while their dependence on TPR(20,10) was investigated to determine a set of generalized correlation ratios to be used for beams with TPR(20,10) falling in the examined range. This way, other radiotherapy centers can use the method with no need to locally perform the whole set of measurements in solid water phantoms, required to implement it. Tolerance levels for 3D conformal treatments, ranging between +/-5 and +/-6% according to tumor type and location, were estimated for comparison purposes between reconstructed isocenter dose, D (iso), and treatment planning system (TPS) computed dose D (iso,TPS). Finally a dedicated software, interfaceable with record and verify (R&V) systems used in the centers, was developed to obtain in vivo dosimetry results in less than 2 min after beam delivery. PMID- 21052856 TI - Quantification of bradykinesia during clinical finger taps using a gyrosensor in patients with Parkinson's disease. AB - This study aims to develop a quantitative measure of bradykinesia which can be conveniently used during clinical finger taps test in patients with Parkinson's disease. A miniature, light-weight gyrosensor free from gravitational artifact was used for measurement of finger taps in order to impose minimal constraint on patients. Forty Parkinson's disease patients and 14 age-matched control subjects participated in the experiments. Subjects' finger taps in both right and left hands were scored by two independent neurologists according to the unified Parkinson's disease rating scale and were also measured by a gyrosensor. Four performance indices were derived from the gyrosensor signal of the index finger. All indices showed significant differences between control and patients (P < 0.001) and also significant correlations with the clinical finger taps score (r = -0.73 to -0.80, P < 0.001). ANOVA showed significant differences in all indices among different finger taps scores (P < 0.001), and post hoc tests showed significant differences in indices between most pairs of non-neighboring and part of neighboring pairs of finger taps scores (P < 0.01). The results suggest that indices from a gyrosensor can be used as quantitative measures of bradykinesia during the finger taps test. PMID- 21052857 TI - Principal components analysis to evaluate ventilatory variability: comparison of athletes and sedentary men. AB - The present work quantifies, through principal components analysis (PCA) the relationships among the variability of breath-by-breath ventilatory parameters [minute-ventilation (VE), tidal volume (Vt), and respiratory rate (FR)] during a maximal progressive exercise test. The results show that the first and second eigenvalues of the covariant matrix contains almost 90% of the variables' variance possible to see through the PCA, which means that the problem can be reduced by a two-dimensional analysis. The results show a close similarity between the global variability in two groups test, athletes and sedentary (control). For the athletes group, the parameter Vt is responsible for the high VE variability values while in the sedentary group the FR is more relevant for VE variability. The result improves the knowledge about respiratory variability during exercise, showing that Vt's and FR's variabilities contribute in different ways to global ventilation variability during a maximal cardiopulmonary exercise test in athletes and sedentary men. PMID- 21052859 TI - Radiofrequency ablation versus surgical resection for hepatocellular carcinoma in Childs A cirrhotics-a retrospective study of 1,061 cases. AB - INTRODUCTION: The long-term outcomes of radiofrequency ablation (RFA) vs. surgical resection in cirrhotic patients with hepatocellular carcinoma (HCC) remain controversial. One thousand sixty-one cirrhotic HCC patients were included into a retrospective study. Four hundred thirteen received RFA and 648 received surgical resection. RESULTS: Overall (OS), recurrence-free (RFS), and tumor-free survival (TFS) were compared between the two groups and in subgroup analyses. The 5-year OS and corresponding RFS as well as DFS were significantly higher in the surgical resection group compared with the RFA group (p < 0.001, p < 0.001, p < 0.001). In subgroup analyses of solitary HCC <=3 cm, there was no significant difference in RFS between the two groups (p = 0.719). Nonetheless, surgical resection was superior to RFA for OS and TFS in this subgroup as well as for OS, RFS, and TFS in subgroup analyses for solitary lesions 3 cm < HCC < 5 cm and multifocal HCC. Serum AFP was the only significant predicting factor for all survival analyses. CONCLUSIONS: When treating Childs A cirrhotic patients with solitary HCC larger than 3 cm but less than 5 cm, or with two or three lesions each less than 5 cm, surgical resection provides a better survival than RFA. When treating Childs A cirrhotics with solitary HCC <= 3 cm, RFA has a comparable RFS to surgical resection, but RFA is less invasive. PMID- 21052860 TI - Clinical study reflections: another view: Commentary on: "Raising suspicions with the Food and Drug Administration: detecting misconduct". AB - Federal regulations are the minimum requirements for conducting clinical studies. Some innovation would improve the situation of many involved in these studies, including: study subjects, those who monitor studies, and clinical investigators as well as Institutional Review Boards. Respecting patient and whistle-blower input; appreciating research staff contributions; and implementing a systems and partnership approach would foster quality and advance clinical research. PMID- 21052861 TI - Jonathan Osborne (1794-1864) and his recognition of conduction aphasia in 1834. AB - In 1833 an accomplished 26-year-old linguist suffered a non-paralytic stroke. After he recovered, though he could utter a variety of syllables with ease, he spoke an unintelligible jargon that caused him to be mistaken as a foreigner. He was examined repeatedly over the course of a year by Jonathan Osborne (1794 1864), a Dublin physician and professor of materia medica, who found that the patient understood whatever was said to him, that he could read and write fluently, but had difficulty repeating words read to him or in reading aloud. Osborne recommended that he learn to speak English, his natural language, de novo and over 8 months measured his considerable improvement. To explain the patient's singular difficulty in repeating spoken words Osborne argued it was 'highly probable that, having been conversant with five languages, the muscular apparatus ranged among them, forming a kind of polyglot jargon [that was] wholly unintelligible' and the patient was 'unable to penetrate into and select the contents of the store according as the [words] were required'. The discrepancy between comprehension and repetition was later termed conduction aphasia. PMID- 21052862 TI - Periconceptional folic acid prevents miscarriage in Irish families with neural tube defects. AB - BACKGROUND: Miscarriages occur to excess in sibships with neural tube defects (NTDs) and among maternal versus paternal relatives in NTD families. Folic acid prevents most NTDs. Its potential to prevent miscarriages has been controversial. AIM: We evaluated the relationship of maternal line and periconceptional folic acid with miscarriage. METHODS: First cousins in Irish families with NTDs were interviewed about pregnancy outcomes and the health of their offspring. RESULTS: Miscarriages were not more frequent among pregnancies of maternal versus paternal first cousins. Folic acid intake during early pregnancy significantly reduced the risk of miscarriage from 15.7 to 9.6%, for an adjusted odds ratio of 0.37 (95% confidence interval 0.19, 0.72, p = 0.005). CONCLUSIONS: Folic acid during pregnancy was associated with a reduction of approximately 60% in miscarriages. Miscarriages are common-one in every eight pregnancies in this study. If incorporated into pre-pregnancy counseling, these results could have significant public health impact. PMID- 21052863 TI - Effect of biosurfactants on laccase production and phenol biodegradation in solid state fermentation. AB - The effects of two biosurfactants, tea saponin (TS) and rhamnolipid (RL), on the production of laccase and the degradation of phenol by P. simplicissimum were investigated in solid-state fermentation consisting of rice straw, rice bran, and sawdust. Firstly, the effects of phenol on the fermentation process were studied in the absence of surfactants. Then, a phenol concentration of 3 mg/g in the fermentation was selected for detailed research with the addition of biosurfactants. The results showed that TS and RL at different concentrations had stimulative effects on the enzyme activity of laccase. The highest laccase activities during the fermentation were enhanced by 163.7%, 68.2%, and 23.3% by TS at concentrations of 0.02%, 0.06%, and 0.10%, respectively. As a result of the enhanced laccase activity, the efficiency of phenol degradation was also improved by both biosurfactants. RL caused a significant increase of fungal biomass in the early stage of the fermentation, while TS had an inhibitory effect in the whole process. These results indicated that RL could mitigate the negative effects of phenol on fungal growth and consequently improve laccase production and phenol degradation. TS was potentially applicable to phenol-polluted solid-state fermentation. PMID- 21052865 TI - Unmet clinical needs in the management of patients with splanchnic vein thrombosis. PMID- 21052864 TI - Consistent changes in intracranial pressure waveform morphology induced by acute hypercapnic cerebral vasodilatation. AB - BACKGROUND: Intracranial pressure (ICP) remains a pivotal physiological signal for managing brain injury and subarachnoid hemorrhage (SAH) patients in neurocritical care units. Given the vascular origin of the ICP, changes in ICP waveform morphology could be used to infer cerebrovascular changes. Clinical validation of this association in the setting of brain trauma, and SAH is challenging due to the multi-factorial influences on, and uncertainty of, the state of the cerebral vasculature. METHODS: To gain a more controlled setting, in this articel, we study ICP signals recorded in four uninjured patients undergoing a CO2 inhalation challenge in which hypercapnia induced acute cerebral vasodilatation. We apply our morphological clustering and analysis of intracranial pressure (MOCAIP) algorithm to identify six landmarks on individual ICP pulses (based on the three established ICP sub-peaks; P1, P2, and P3) and extract 128 ICP morphological metrics. Then by comparing baseline, test, and post test data, we assess the consistency and rate of change for each individual metric. RESULTS: Acute vasodilatation causes consistent changes in a total of 72 ICP pulse morphological metrics and the P2 sub-region responds to cerebral vascular changes in the most consistent way with the greatest change as compared to P1 and P3 sub-regions. CONCLUSIONS: Since the dilation/constriction of the cerebral vasculature resulted in detectable consistent changes in ICP MOCIAP metrics, by an extended monitoring practice of ICP that includes characterizing ICP pulse morphology, one can potentially detect cerebrovascular changes, continuously, for patients under neurocritical care. PMID- 21052866 TI - GnRH secretion is inhibited by adiponectin through activation of AMP-activated protein kinase and extracellular signal-regulated kinase. AB - Adipokines produced from adipose tissues participate in regulation of reproduction, energy homeostasis, food intake, and neuroendocrine function in the hypothalamus. We have previously reported that adiponectin significantly reduced GnRH secretion from GT1-7 hypothalamic GnRH neuron cells. In this study, we further investigated the inhibition of GnRH secretion by adiponectin in vivo and found that extracellular signal-regulated kinase (ERK) was inhibited and AMPK activated. Furthermore, we found that activated AMPK by adiponectin reduced ERK phosphorylation, which possibly impaired GnRH secretion in GT1-7 cells. PMID- 21052867 TI - STRU-cloning: a fast, inexpensive and efficient cloning procedure applicable to both small scale and structural genomics size cloning. AB - We have developed a Single-Tube Restriction-based Ultrafiltration (STRU) cloning procedure that updates traditional ligation-dependent cloning to challenge the newer, faster and more efficient ligation-free techniques and could make it the method of choice. STRU-cloning employs centrifugal filter units with membrane of suitable cut off to remove small unwanted DNA fragments created during restriction of plasmids or PCR products. Heat inactivation, of restriction enzymes, followed by DNA ligation is then performed on the filtrate. By removing the agarose gel electrophoresis DNA purification step from the traditional protocol, which is time consuming and is known to be the cause of ligation problems, STRU-cloning becomes fast, very efficient, inexpensive and offers the highest degree of cloning flexibility by using restriction sites and can be performed in a single tube. This novel agarose gel-free cloning procedure provides benefits for both small and large scale cloning projects. Unlike traditional cloning it can be easily implemented as a fully automated process at very low costs. PMID- 21052869 TI - Clinical profile of somatoform disorders in children. AB - OBJECTIVE: To study the clinical profile, socio-demographic features, psychosocial stressors and outcome of somatoform disorders in children METHODS: Children up to the age of 18 year presenting with unexplained physical symptoms over a period of 6 months were evaluated. A detailed history and physical examination was carried out. Appropriate investigations were undertaken to exclude organic causes. Diagnosis was made according to DSM-IV-TR criteria. RESULTS: Forty-five children were diagnosed with somatoform disorders during the study period. The prevalence was 0.5% and 0.9% among outdoor and indoor patients, respectively. Conversion disorder (48.9%) was the commonest followed by other somatoform disorders (26.7%). Pseudoseizures and fainting attacks in conversion disorder and pain abdomen and general body pain in somatoform disorder were the commonest symptoms. Male to female ratio was 2.2:1. Urban children (25) were represented more than rural children (20). Stress factors were identified in 71.1% patients, which included fear of school or examinations. Thirty-three patients (73.3%) remained asymptomatic after counseling whereas, 8 patients (17.6%) had relapse requiring further counseling. Four patients (8.8%) showed no improvement and needed psychiatric evaluation. CONCLUSIONS: Early referral to mental health professional is required to avoid unnecessary investigations and delay in diagnosis of somatoform disorders in children. PMID- 21052868 TI - Review: Pharmacogenetic aspects of the effect of cytochrome P450 polymorphisms on serotonergic drug metabolism, response, interactions, and adverse effects. AB - The field of pharmacogenetics contains a wealth of potential for the enhancement of clinical practice by providing a more effective match between patient and drug, consequently reducing the probability of an adverse drug reaction. Although a relatively novel concept in the forensic context, pharmacogenetics has the capability to assist in the interpretation of drug related deaths, particularly in unintentional drug poisonings where the cause of death remains unclear. However, the complex pharmacology of the drugs when subjected to genetic variations in metabolism makes interpretation of the expected response and adverse events difficult. Many possess multiple metabolic pathways, narrow therapeutic indices and active metabolites or enantiomers which may be eliminated via different pathways to the parent drug. A number of these drugs, which are metabolised primarily by the CYP450 system, are also associated with serotonin syndrome, or serotonin toxicity, especially when used concomitantly with other serotonin active drugs which rely on the same metabolic pathways for drug elimination. A comprehensive understanding of polymorphic drug metabolism and its expected outcomes is therefore essential when interpreting the involvement of drugs in adverse reactions. This review examines the genetically variable CYP450 mediated metabolism of a number of serotonin-active drugs that are often implicated in cases of serotonin toxicity, to assess the impact of pharmacogenetics on drug metabolism, response, interactions and adverse effects. PMID- 21052870 TI - Efficient generation of schwann cells from human embryonic stem cell-derived neurospheres. AB - Schwann cells (SC), the glial cells of peripheral nerves, are involved in many diseases including Charcot Marie Tooth and neurofibromatosis, and play a pivotal role in peripheral nerve regeneration. Although it is possible to obtain human SC from nerve biopsies, they are difficult to maintain and expand in culture. Here we describe an efficient system for directing the differentiation of human embryonic stem cells (hESC) into cells with the morphological and molecular characteristics of SC. Neurospheres were generated from hESC using stromal cell induction and grown under conditions supportive of SC differentiation. After 8 weeks, hESC-derived SC expressed characteristic markers GFAP, S100, HNK1, P75, MBP and PMP-22, and were observed in close association with hESC-derived neurites. ~60% of the cells were double-immunostained for the SC markers GFAP/S100. RT-PCR analysis confirmed the expression of GFAP, S100, P75, PMP-22 and MBP and demonstrated expression of the SC markers P0, KROX20 and PLP in the cultures. Expression of CAD19 was observed in 2 and 4 week cultures and then was down-regulated, consistent with its expression in SC precursor, but not mature stages. Co-culture of hESC-derived SC with rat, chick or hESC-derived axons in compartmentalized microfluidic chambers resulted in tight association of the SC with axons. Apparent wrapping of the axons by SC was occasionally observed, suggestive of myelination. Our method for generating SC from hESC makes available a virtually unlimited source of human SC for studies of their role in nerve regeneration and modeling of disease. PMID- 21052871 TI - Human stem cell cultures from cleft lip/palate patients show enrichment of transcripts involved in extracellular matrix modeling by comparison to controls. AB - Nonsyndromic cleft lip and palate (NSCL/P) is a complex disease resulting from failure of fusion of facial primordia, a complex developmental process that includes the epithelial-mesenchymal transition (EMT). Detection of differential gene transcription between NSCL/P patients and control individuals offers an interesting alternative for investigating pathways involved in disease manifestation. Here we compared the transcriptome of 6 dental pulp stem cell (DPSC) cultures from NSCL/P patients and 6 controls. Eighty-seven differentially expressed genes (DEGs) were identified. The most significant putative gene network comprised 13 out of 87 DEGs of which 8 encode extracellular proteins: ACAN, COL4A1, COL4A2, GDF15, IGF2, MMP1, MMP3 and PDGFa. Through clustering analyses we also observed that MMP3, ACAN, COL4A1 and COL4A2 exhibit co-regulated expression. Interestingly, it is known that MMP3 cleavages a wide range of extracellular proteins, including the collagens IV, V, IX, X, proteoglycans, fibronectin and laminin. It is also capable of activating other MMPs. Moreover, MMP3 had previously been associated with NSCL/P. The same general pattern was observed in a further sample, confirming involvement of synchronized gene expression patterns which differed between NSCL/P patients and controls. These results show the robustness of our methodology for the detection of differentially expressed genes using the RankProd method. In conclusion, DPSCs from NSCL/P patients exhibit gene expression signatures involving genes associated with mechanisms of extracellular matrix modeling and palate EMT processes which differ from those observed in controls. This comparative approach should lead to a more rapid identification of gene networks predisposing to this complex malformation syndrome than conventional gene mapping technologies. PMID- 21052872 TI - The stem cell niche should be a key issue for cell therapy in regenerative medicine. AB - Recent advances in stem cell research have highlighted the role played by such cells and their environment (the stem cell niche) in tissue renewal and homeostasis. The control and regulation of stem cells and their niche are remaining challenges for cell therapy and regenerative medicine on several tissues and organs. These advances are important for both, the basic knowledge of stem cell regulation, and their practical translational applications into clinical medicine. This article is primarily concerned with the mesenchymal stem cells (MSCs) and it reviews the current aspects of their own niche. We discuss on the need for a deeper understanding of the identity of this cell type and its microenvironment in order to improve the effectiveness of any cell therapy for regenerative medicine. Ex vivo reproduction of the conditions of the natural stem cell niche, when necessary, would provide success to tissue engineering. The first challenge of regenerative medicine is to find cells able to replace and/or repair the lost function of tissues and organs by disease or aging and the trophic and immunomodulatory effects recently found for MSCs open up for new opportunities. If MSCs are pericytes, as it has been proposed, perhaps it may explain the ubiquity of these cells and their possible role in miscellaneous repairs throughout the body opening for new chances for extensive tissue repair. PMID- 21052873 TI - Promoting breastfeeding among obese women and women with gestational diabetes mellitus. AB - Breastfeeding has many health benefits for women and their babies, but particularly if the woman is obese and/or had a pregnancy affected with gestational diabetes mellitus (GDM). Women who have had GDM are at high risk for developing metabolic syndrome or type 2 diabetes, and their offspring are at greater risk for these metabolic disorders both in childhood and later in adulthood. There is considerable evidence that breastfeeding may attenuate these risks. The aim of this article is to present the most recent evidence on what is known about how breastfeeding can mitigate the adverse metabolic effects of obesity and GDM on both mother and child, and describe best practices that can support and sustain breastfeeding, particularly in racial/ethnic communities at risk. PMID- 21052874 TI - Diabetes and depression. AB - In a context of the potentially epidemic nature of both diabetes mellitus and depression, and the negative effects reported in cases of comorbidity, this review suggests that the association of the two conditions is multifaceted. Increased risks of prevalent depression and incident depression among diabetic patients have been reported in community studies. Even more consistent is the finding supporting psychosomatic hypotheses regarding the increased risk of diabetes among depressed patients. A recent relevant finding is the increased risk of diabetes reported in depression that is commonly found in the community, namely nonsevere, persistent, untreated depression. In view of the negative implications of the comorbidity of depression and diabetes, the suggestion that all clinically relevant cases of depression found in the community should be treated seems logical. However, new studies seem mandatory to document the efficacy of treatment of depression and the safety of antidepressant use in cases of comorbidity. PMID- 21052875 TI - 1H, 13C, 15N resonance assignment of the chitin-binding protein CBP21 from Serratia marcescens. AB - The 18.8 kDa chitin-binding protein CBP21 from Serratia marcescens has been isotopically labeled and recombinantly expressed. In this paper, we report the (1)H, (13)C, (15)N resonance assignment of CBP21. PMID- 21052876 TI - 1H, 13C and 15N chemical shift assignments for the human Pitx2 homeodomain and a R24H homeodomain mutant. AB - The homeodomain is one of the most important eukaryotic DNA-binding motifs and has been identified in over one thousand proteins. Homeodomain proteins play critical roles in diverse biological processes, including cell differentiation and cell pattern formation. The human Pitx2 homeodomain binds several different DNA sequences and is a pivotal component of both the TGF-beta and Wnt/beta catenin signaling pathways. As the recognition of specific DNA sequences represents an essential biochemical function of all DNA-binding proteins, we have chosen the Pitx2 homeodomain model to investigate the mechanisms that convey biological specificity in these protein-DNA interactions. Here, we report complete chemical shift assignments of the human Pitx2 homeodomain and the R24H mutation that induces ring dermoid of the cornea syndrome. PMID- 21052877 TI - Diagnosis of allergy and asthma in childhood. AB - Childhood asthma is a widespread health problem because of its epidemic prevalence, as asthma affects more than 300 million people worldwide. Results from cross-sectional and cohort studies show that asthma starts in childhood in a large proportion of cases. A proper diagnosis is easier to make in adults and school-age children, as permanent changes in lung development, the strong impact of environmental factors on the airways, the immunologic maturity process, and the use of some diagnostic tools make asthma more difficult to diagnose in preschool children. This period of a child's life is an interesting challenge for pediatricians and specialists. The aim of the present review is to analyze the current knowledge regarding making an early and accurate asthma diagnosis and therefore deciding on the correct treatment to gain control over asthma symptoms and minimize health risks. PMID- 21052878 TI - HER2 blockade: is combination therapy better than monotherapy? PMID- 21052879 TI - Graft failure in cord blood transplantation successfully treated with short-term reduced-intensity conditioning regimen and second allogeneic transplantation. AB - Graft failure (GF) remains a major problem in cord blood transplantation (CBT). In 36 adult patients undergoing CBT at our hospital between July 2003 and December 2009, six patients developed GF (primary, n = 5; secondary, n = 1). All six patients underwent second stem cell transplantation (SCT). Three patients had acute myeloid leukemia, one had acute lymphoblastic leukemia, one had chronic myeloid leukemia, and one had aplastic anemia. Five patients were complicated with sepsis before the second SCT. The median elapsed time from first CBT to the diagnosis of primary GF was 27 days. Secondary GF was diagnosed on day 567. The median elapsed time from primary GF to second SCT was 9 days. In the patient with secondary GF, the elapsed time was 35 days. Cord blood grafts were used in 5 patients and a matched sibling donor in one patient. All 6 patients underwent second transplantation following a modified '1-day'-based preparative regimen consisting of fludarabine (30 mg/m(2), 1 day, n = 2; 2 days, n = 1; 3 days, n = 3), cyclophosphamide (2 g/m(2)), and total body irradiation (2 Gy). All patients achieved neutrophil engraftment, and the median elapsed time from second SCT to engraftment was 35 days. Four patients remain alive between 5 and 38 months after second SCT. '1-day'-based short-term conditioning may be a promising salvage regimen. PMID- 21052880 TI - Preparation and characterization of a novel co-processed excipient of chitin and crystalline mannitol. AB - A co-processed excipient was prepared from commercially available crystalline mannitol and alpha-chitin using direct compression as well as spray, wet, and dry granulation. The effect of the ratio of the two components, percentage of lubricant and particle size, on the properties of the prepared co-processed excipient has been investigated. alpha-Chitin forms non-hygroscopic, highly compactable, disintegrable compacts when co-processed with crystalline mannitol. The compaction properties of the co-processed mannitol-chitin mixture were found to be dependent upon the quantity of mannitol added to chitin, in addition to the granulation procedure used. Optimal physicochemical properties of the excipient, from a manufacturing perspective, were obtained using a co-processed mannitol chitin (2:8, w/w) mixture prepared by wet granulation (Cop-MC). Disintegration time, crushing strength, and friability of tablets, produced from Cop-MC using magnesium stearate as a lubricant, were found to be independent of the particle size of the prepared granules. The inherent binding and disintegration properties of the compressed Cop-MC are useful for the formulation of poorly compressible, high-strength, and low-strength active pharmaceutical ingredients. The ability to co-process alpha-chitin with crystalline mannitol allows chitin to be used as a valuable industrial pharmaceutical excipient. PMID- 21052881 TI - An enhanced bunionectomy model as a potential tool for early decision-making in the development of new analgesics. AB - BACKGROUND: bunionectomy has been used as a model of postoperative pain for opioids and nonsteroidal anti-inflammatory drugs/cyclooxygenase-2 inhibitors with a fast onset of analgesia. The present study was conducted to assess whether the utility of the model can be broadened in assessing the efficacy of analgesics with diverse mechanisms and pharmacokinetic profiles in drug development and to enhance the sensitivity of a bunionectomy model. METHODS: this was a single center, randomized, double-blind, placebo-controlled, three-arm, parallel group methodology study to evaluate the effects of pregabalin and naproxen sodium on postoperative pain following bunionectomy. Patients (n=100) were randomized 1:1:1 to three treatments (administered 1 hour before and at defined intervals after surgery): pregabalin 300 mg before surgery and 150 mg every 8 hours; naproxen sodium 550 mg before surgery and 550 mg every 12 hours; or placebo in a double dummy fashion. Primary endpoints were patient-controlled analgesic (PCA) hydromorphone consumption and the time to first PCA hydromorphone use postsurgery over 24 hours. RESULTS: of the 100 patients randomized, 96 completed the study. Relative to placebo, pregabalin and naproxen sodium, respectively, reduced PCA hydromorphone consumption by 51% (P=0.005) and 65% (P<0.001) and increased the median time to first use of PCA hydromorphone by 1.5 hours (P=0.004) and 3.7 hours (P<0.001). Both drugs significantly (P<0.050) decreased use of oral opioid rescue medication over 24-48 hours postsurgery relative to placebo. Although there were no statistically significant differences between naproxen sodium and pregabalin in opioid consumption and global evaluation of medication, overall naproxen sodium appeared to be more effective at reducing pain. CONCLUSIONS: the model provided a sensitive method for evaluating efficacy of compounds with diverse mechanisms and pharmacokinetic profiles. The robustness of the enhanced pain model renders bunionectomy pain a valuable tool to assess novel analgesic compounds in small numbers of subjects early in drug development. PMID- 21052882 TI - Scientific considerations for generic synthetic salmon calcitonin nasal spray products. AB - Under the Abbreviated New Drug Application pathway, a proposed generic salmon calcitonin nasal spray is required to demonstrate pharmaceutical equivalence and bioequivalence to the brand-name counterpart or the reference listed drug. This review discusses two important aspects of pharmaceutical equivalence for this synthetic peptide nasal spray product. The first aspect is drug substance sameness, in which a proposed generic salmon calcitonin product is required to demonstrate that it contains the same active ingredient as that in the brand-name counterpart. The second aspect is comparability in product- and process-related factors that may influence immunogenicity (i.e., peptide-related impurities, aggregates, formulation, and leachates from the container/closure system). The comparability of these factors helps to ensure the product safety, particularly with respect to immunogenicity. This review also highlights the key features of in vitro and/or in vivo studies for establishing bioequivalence for a solution nasal spray containing a systemically acting salmon calcitonin. PMID- 21052883 TI - Stem cell update: highlights from the 2010 Lugano Stem Cell Meeting. AB - The 2010 edition of the Lugano Stem Cell Meeting, under the auspices of the Swiss center of excellence in cardiovascular diseases "Cardiocentro Ticino" and the Swiss Stem Cell Foundation, offered an update on clinical, translational, and biotechnological advances in regenerative science and medicine pertinent to cardiovascular applications. Highlights from the international forum ranged from innate mechanisms of heart repair, safety, and efficacy of ongoing and completed clinical trials, novel generations of stem cell biologics, bioengineered platforms, and regulatory processes. In the emerging era of regenerative medicine, accelerating the critical path from discovery to product development will require integrated multidisciplinary teams to ensure timely translation of new knowledge into validated algorithms for practice adoption. PMID- 21052884 TI - Interdigitating dendritic cell sarcoma following adult liver transplantation: case report and literature review. AB - Interdigitating dendritic cell sarcoma is an extremely rare neoplasm derived from professional antigen presenting cells. We report an unusual case of such a tumor occurring in a 61-year-old woman who had undergone orthotopic liver transplantation for stage IVA2 primary hepatocellular carcinoma with a raised preoperative alpha-fetoprotein level, followed by tacrolimus-based immunosuppressive therapy. During her subsequent management, the tacrolimus blood levels ranged from 7.9 ng/mL to 16.1 ng/mL. Physical examination revealed bilateral neck and left axillary lymphadenopathy. No evidence of either chronic hepatitis B virus or Epstein-Barr virus could be detected in serum. An excisional biopsy of a right neck lymph node was performed. Microscopically, the normal architecture was diffusely effaced by a proliferation of spindled to ovoid cells arrayed in a fascicular, ill-defined whorled pattern and small lymphocytes were admixed in varying numbers with the tumor cells. Immunohistochemical studies showed that the tumor cells were positive for S100 protein, vimentin and CD68. Based on these findings, the case was diagnosed as an interdigitating dendritic cell sarcoma. The patient unfortunately had no response to 2 cycles of CHOP chemotherapy (cyclophosphamide, doxorubicin, vincristine, and prednisone), and died of wide spread disease 6 months after the original biopsy. We propose that tacrolimus-based immunosuppression was associated with the development of interdigitating dendritic cell sarcoma after liver transplantation in this case. PMID- 21052886 TI - Increasing physical activity in Belgian type 2 diabetes patients: a three-arm randomized controlled trial. AB - BACKGROUND: Pedometer-based physical activity programs have been typically delivered in a group format by a behavioral expert. An alternative strategy that builds on existing interactions is delivery through individual consultation by a general practitioner (GP). These two delivery strategies have not been directly compared. PURPOSE: To compare effectiveness of a 12-week physical activity (PA) intervention for type 2 diabetes patients delivered by a trained GP via an individual consultation or as group delivery by a behavioral expert. METHOD: Sixty-seven primary care participants (mean age = 67.4 years, 70% male) from three Belgian general practices were randomized into three different treatment arms: (1) individual consultation (n = 22) with three PA contacts with the patient's GP; (2) group counseling (n = 21) with three PA group sessions delivered by a behavioral expert; and (3) a control arm (n = 24) receiving no intervention. Participant inclusion criteria were <=80 years; 25-35 kg/m2; <=12% HbA1c and reporting no PA limitations. Outcome measures were pedometer-determined steps/day, self-reported PA, and health parameters (weight, body mass index, waist circumference, total cholesterol, fasting glucose, and HbA1c). RESULTS: Group counseling participants increased 1,706 steps/day over baseline significantly (p <= 0.05) more than other treatment arms. Moreover, they increased their self-reported PA (+82 min/day), while control arm participants showed a decrease in PA (p <= 0.05). Participants of the individual consultation had a decrease in waist circumference (-1.4 cm) and HbA1c (-0.32%) and a lower increase in total cholesterol (+7.2 mg/dl) compared to the other treatment arms (all p <= 0.05). CONCLUSION: Group counseling in type 2 diabetes patients improved PA, whereas individual consultations had an impact on some health outcomes on the short-term. PMID- 21052887 TI - [Hydatic cyst of the heart at the Constantine Erriadh teaching hospital (Algeria). A retrospective study from 2008 to 2009]. AB - The hydatic disease is cosmopolitan and is due to the development of the larva of a small tapeworm called Echinococcus granulosus. Although rare, today, there are many cases of hydatic cyst of the heart. Echocardiography and other physical examinations reveal the fluid collection and also specify its exact location on the heart. Our study focused on patients undergoing surgery for hydatic cysts of the heart during the years 2008 and 2009 in Erriadh teaching hospital, where we have collected seven cases over this period. The young average age of patients, 18 years, favors a high incidence. The sex ratio is 0.14. All the heart walls and cavities were the site of hydatic development. In addition, serology for primitive cardiac hydatidosis provided very low levels of antibodies. The cystic echinococcosis remains a scourge. The cardiac localization remains a very severe disease. The management of patients is very complex. The hydatic cyst of the heart affects teenagers and young adults. It is the main cause for long-term, major complications. In patients from endemic areas and for any patient who developed hydatidosis, it is essential to conduct a systematic search for localization by cardiac echocardiography. This simple measure can manage patients more quickly and avoid complications with socioeconomic consequences. PMID- 21052888 TI - Elevated neutrophil to lymphocyte ratio predicts poor prognosis in nasopharyngeal carcinoma. AB - Elevated neutrophil to lymphocyte ratio (NLR) has been reported to be associated with worse survival in many malignancies, whereas its role in nasopharyngeal carcinoma (NPC) remains unclear. We retrospectively reviewed 363 consecutively, newly diagnosed, non-disseminated, and biopsy-proven NPC patients. Disease specific survival (DSS), distant metastasis-free survival (DMFS), and locoregional recurrence-free survival (LRFS) rates were compared according to NLR level. Multivariate analysis was performed to assess the prognostic value of NLR. The 5-year DSS, DMFS, and LRFS rates for patients with elevated or non-elevated NLR (> or <=3.73) were 59.6% vs. 76.6% (p = 0.03), 69.7% vs. 86.6% (p = 0.002), and 78.5% vs. 87.3% (p = 0.105), respectively. For patients with locoregionally advanced disease, NLR was not only an independent prognostic factor, but also a predictor of response to chemoradiotherapy. The 5-year DSS, DMFS, and LRFS rates for patients with elevated or non-elevated NLR were 47.2% vs. 73.7% (p < 0.001), 59.2% vs. 85.1% (p < 0.001), and 72.3% vs. 84.6% (p = 0.041), respectively. Compared with radiation alone, chemoradiotherapy significantly improved DSS and LRFS for patients with non-elevated NLR, but not for those with elevated NLR. Pre treatment NLR is a strong prognostic factor for NPC patients. For patients with locoregionally advanced disease, NLR might also be a useful indicator for selection of treatment strategies. PMID- 21052889 TI - Suppression of bladder cancer growth in mice by adeno-associated virus vector mediated endostatin expression. AB - Novel treatment strategies such as gene therapy are warranted in view of the failure of current treatment approaches to cure a high percentage of patients with advanced bladder cancers. Testing of the hypothesis that blocking the angiogenic switch may keep tumour growth in check has been facilitated by the discovery of endogenous inhibitors of angiogenesis and has also added another research dimension to the field of cancer gene therapy. Consequently, the concept of targeting the tumour vasculature with anti-angiogenic agents has emerged as an attractive new strategy in the treatment of cancer. Targeted biological therapies that selectively interfere with tumour angiogenesis could improve survival among patients with bladder cancer. Endostatin is a tumour-derived angiogenesis inhibitor and is the first endogenous inhibitor of angiogenesis to be indentified in a matrix protein. Gene therapy represents an attractive approach to treat cancers and other chronic diseases. The development of an effective delivery system is absolutely critical to the usefulness and safety of gene therapy. At present, the adeno-associated virus (AAV) vector has the most promising potential in view of its non-pathogenicity, wide tropisms and long-term transgene expression in vivo. Gene therapy studies using different serotypes of recombinant AAV (rAAV) as delivery vehicles have proved rAAVs to be an effective modality of cancer gene therapy. In the present study, an IgG fragment was inserted at the start of the sequence coding for endostatin with the aim of enabling continuous secretion of endostatin the serum. We also investigated the suppression effect of AAV-mediated endostatin expression on endothelial cells and in mice xenograft models of bladder cancer. Our data demonstrates that rAAV-endostatin controlled tumour cell growth and achieves strong anti-tumour efficacy in vivo. PMID- 21052890 TI - Prognostic value of Wnt inhibitory factor-1 expression in hepatocellular carcinoma that is independent of gene methylation. AB - Recently, Wnt inhibitory factor-1 (WIF-1) was found to be epigenetically inactivated in several solid tumors, but the biological and clinical relevance of WIF-1 methylation and expression status in hepatocellular carcinoma (HCC) are still unclear. In the present study, reverse transcription polymerase chain reaction (PCR) and methylation-specific PCR were used to examine the WIF-1 expression and methylation in HCC cell lines. In addition, methylation and expression status of WIF-1 in 105 HCC cases were correlated with clinicopathological parameters and prognosis after tumor resection. WIF-1 was expressed in one HCC cell line and L02, both of which were not methylated in promoter region. DNA hypermethylation of WIF-1 promoter was identified in the other four HCC cell lines without WIF-1 expression. In neoplastic and non neoplastic tissue samples, the rates of WIF-1 methylation were 61.9% and 37.1% (P = 0.001), respectively. WIF-1 was significantly downregulated in neoplastic tissues at messenger ribonucleic acid (mRNA) level, as compared to adjacent non neoplastic tissues (P = 0.006). A significant inverse association was observed between WIF-1 methylation of and WIF-1 expression (P 0.017, R = -0.232). Methylation of WIF-1 was not associated with patient survival. In contrast, patients whose tumors exhibited negative WIF-1 mRNA expression had lower rates of overall survival. These findings suggested that aberrant methylation of WIF-1 is a common event in hepatocarcinogenesis. In addition, expression, but not methylation, of WIF-1 is a predictor of good outcome in patients undergoing resection of HCC. PMID- 21052891 TI - Downregulation of NDRG1 promotes invasion of human gastric cancer AGS cells through MMP-2. AB - The N-myc downstream-regulated gene-1 (NDRG1) has recently been proposed as a metastasis suppressor, but its precise role remains unclear. To investigate whether NDRG1 can indeed influence the metastasis progress, expression of endogenous NDRG1 was knocked down in human AGS gastric adenocarcinoma cells using RNA interference. Stable NDRG1 "silenced" transfectants showed similar growth rates as their control counterparts. By contrast, invasive ability in Matrigel invasion activity and Gelatinolytic activity by matrix metalloproteinase-2 (MMP 2) were markedly increased in NDRG1 "silenced" cells. Moreover, re-expression of NDRG1 by recombinant adenovirus Ad-NDRG1 in NDRG1 "silenced" cells inhibited the increased invasive ability. Further study, we found the induction of MMP-2 by downregulation of NDRG1 was mediated by MT1-MMP. Altogether, our results imply that NDRG-1 could play a key role in the regulation of cellular invasion and metastasis, which may involve the upregulation of matrix metalloproteinases. PMID- 21052892 TI - How to face organ shortage in liver transplantation in an area with low rate of deceased donation. AB - Despite advances in patient selection, surgical technique, immunosuppression, and peri-operative management, the need for liver replacement exceeds organ availability. Moreover, in Italy, where the overall rate of cadaver donation is 21 donors per million per year, there are areas of the country, such as Sicily, where the rate of cadaver donation is 9.3 donors per million per year. In fact, this ongoing shortage of organs has led surgeons to develop innovative techniques in an attempt to expand the donor pool, and clinicians are continually modifying criteria to accept organs, particularly the previously defined expanded or marginal donor organs, which are now defined as extended criteria donor. Rarely, in certain specific settings alternative strategies based on the appropriate donor-recipient match allowed the use of grafts that otherwise would have been discarded due to anatomic anomalies. The organ shortage becomes more problematic in the scenario of re-transplantation where the use of a limited resource such as a liver graft must be weighed against the risk of a more difficult surgery. PMID- 21052893 TI - Laparoscopic versus open distal pancreatectomy in pancreatic tumours: a case control study. AB - Laparoscopic distal pancreatectomy has become an increasingly used procedure in the surgical treatment of benign or borderline cystic and endocrine tumours. The feasibility and safety of this technique is well known but its results when compared with open distal pancreatectomy were rarely reported in literature. Data from 22 consecutive patients who underwent laparoscopic distal pancreatectomy were recorded in a prospective database from January 2006 to January 2010. These patients were matched with 22 patients who underwent open distal pancreatectomy from January 2000 to December 2005, regarding age, gender, American Society of Anesthesiologists score, pancreatic pathology. Intraoperative parameters and postoperative outcome were compared between the two groups. Blood loss, amount of analgesic drugs administered, postoperative mortality and morbidity and pancreatic fistula rate were similar in laparoscopic and open groups. Tumour size was significantly smaller in laparoscopic group (2.0 +/- 3.3 vs. 5.0 +/- 4.2 cm; P = 0.038). Operative time was significantly shorter in open group (145 +/- 49 vs. 225 +/- 83 min, P = 0.045). Time to adequate oral intake and length of postoperative hospital stay were significantly better in laparoscopic group than in open group (3.0 +/- 0.8 vs. 4.0 +/- 0.7 days; P = 0.030 and 8.0 +/- 1.3 vs. 11.0 +/- 3.0 days; P = 0.011, respectively). Laparoscopic distal pancreatectomy is a feasible and safe surgical approach as well as open distal pancreatectomy. PMID- 21052894 TI - Hepatic metastases from breast cancer. AB - The prognosis of patients with hepatic metastasis from breast cancer treated with systemic or regional chemotherapy is disappointing. When technically feasible, liver resection offers the best results. Eighteen patients out of 22 submitted to laparotomy underwent radical liver resection. Median follow-up from liver resection was 36 months. The median time interval between breast cancer diagnosis and disease recurrence was 35 months. Median disease-free survival and overall survival from liver resection were 66 and 74 months, respectively. Median survival time from breast cancer surgery was 88.5 months. Surgical treatment of liver metastases should be carried out on young and older patients alike when site of metastases is the liver alone. Neoadjuvant treatment and preoperative diagnostic laparoscopy should be planned in future experience. PMID- 21052895 TI - First experience for the laparoscopic treatment of parastomal hernia with the use of Parietex composite mesh. AB - Parastomal herniation is a postoperative complication after colostomy or ileostomy with an incidence rate of 0-48.1%. Its repair is indicated in approximately 10-15% of cases; however, this surgery has been associated with a significant degree of morbidity and recurrence. We describe, for the first time in literature, an experience using a new technique, and a new type of mesh. This new type of laparoscopic parastomal hernia repair appears to be easier and faster. The follow-up was about 240 days. Our preliminary experience in using this technique and mesh appears to be possibly leading to a reduction of recurrences and complications. Randomized multicenter studies are required to assess the true effectiveness of the technique. PMID- 21052896 TI - Indocyanine green angiography findings in initial acute pretreatment Vogt Koyanagi-Harada disease in Japanese patients. AB - PURPOSE: Indocyanine green angiography (IA) is a highly sensitive method to evaluate choroidal inflammatory lesions. We present standardized IA findings of initial acute Vogt-Koyanagi-Harada (VKH) disease in Japanese patients before therapeutical intervention. METHODS: Medical records of patients with VKH disease at Tokyo Medical and Dental University Hospital and Miyata Eye Hospital were retrospectively analyzed. We analyzed six IA signs: choroidal perfusion inhomogeneity, early hyperfluorescent stromal vessels, hypofluorescent dark dots (HDDs), fuzzy or lost pattern of large stromal vessels, disc hyperfluorescence, and diffuse late choroidal hyperfluorescence. RESULTS: Ten patients from the two hospitals were studied. The most constant findings present in all eyes were early hyperfluorescent stromal vessels, HDDs, and either fuzzy or lost pattern of large stromal vessels. Disc hyperfluorescence was present in 18 eyes. Choroidal perfusion inhomogeneity was seen in six patients, and diffuse late choroidal hyperfluorescence was seen to a certain degree in all eyes. CONCLUSIONS: Four of the analyzed signs, including early hyperfluorescent stromal vessels, HDDs, fuzzy or lost pattern of large stromal vessels, and disc hyperfluorescence were consistent findings in Japanese VKH patients. Because the primary lesion is situated in the choroid, IA is the method of choice to monitor disease activity in VKH disease. PMID- 21052897 TI - Change in anterior chamber depth following combined pars plana vitrectomy, phacoemulsification, and intraocular lens implantation using different types of intraocular lenses. AB - PURPOSE: To examine whether the type of intraocular lens (IOL) used in combined pars plana vitrectomy, phacoemulsification, and IOL implantation affects the changes in anterior chamber depth over time. METHODS: A retrospective review was carried out on data from 70 eyes of 70 patients who underwent combined vitrectomy and cataract surgery. Vitrectomy using a 23-gauge system was performed on 66 eyes and using a 25-gauge system on four eyes. The implanted IOLs were the HOYA VA 65BB lens in 38 eyes (6.5-mm group) and the ETERNITY X-70 lens in 32 eyes (7-mm group). Anterior chamber depth was measured using a PENTACAM analyzer before surgery and 1 week, 1 month, and 3 months after surgery. RESULTS: In the 7-mm group, no differences were found in anterior chamber depth between eyes with and without fluid-gas exchange at any point of time after surgery. In the 6.5-mm group, eyes undergoing fluid-gas exchange showed an increase in anterior chamber depth between 1 week and 1 month after surgery. In eyes undergoing fluid-gas exchange, anterior chamber depth 1 week after surgery was shallower in the 6.5-mm group than in the 7-mm group. CONCLUSION: Different types of three-piece IOLs showed different degrees of shift due to fluid-gas exchange. PMID- 21052898 TI - Efficacy of diagnostic criteria for the differential diagnosis between obstructive meibomian gland dysfunction and aqueous deficiency dry eye. AB - PURPOSE: To evaluate diagnostic criteria for obstructive meibomian gland dysfunction (MGD) using three parameters (symptom score, lid margin abnormality score, and meibomian gland morphologic change scores) for differentiating obstructive MGD from aqueous deficiency dry eye (ADDE). METHODS: Twenty-five eyes of 25 patients (mean age, 66.6 years) diagnosed with obstructive MGD and 15 eyes of 15 patients (mean age, 61.3 years) diagnosed with ADDE were analyzed. Ocular symptoms were scored from 0 to 14 according to the number of symptoms. Lid margin abnormality was scored from 0 to 4 according to the number of abnormalities. Meibomian gland changes were scored from 0 to 6 using noncontact meibography (meibo-score). Superficial punctate keratopathy was scored from 0 to 3. Meibum was graded from 0 to 3 according to volume and quality. Tear film break-up time was measured consecutively three times after instillation of fluorescein, and the median value was adopted. Tear film production was evaluated using the Schirmer test. RESULTS: Ocular symptom and lid margin abnormality scores and tear film break-up time did not differ significantly between the obstructive MGD and ADDE groups. The meibum score and meibo-score were significantly higher in the obstructive MGD group than in the ADDE group. The Schirmer value was significantly lower in the ADDE group than in the obstructive MGD group. When obstructive MGD was diagnosed on the basis of three scores (ocular symptom score, lid margin abnormality score, and meibo-score) all being abnormal, the sensitivity and specificity for differentiating between obstructive MGD and ADDE were 68.0% and 80%, respectively. CONCLUSIONS: Although the criteria were moderately reliable for differentiating patients with obstructive MGD from those with ADDE when the diagnosis of obstructive MGD was made on the basis of three abnormal scores, they do not provide comprehensive diagnostic tools for differentiating MGD, ADDE, and healthy individuals. We need to add other parameters such as the Schirmer test value and the meibum score to the diagnostic criteria to enhance their reliability for differentiating MGD and ADDE. PMID- 21052899 TI - Retinal macroaneurysm associated with ocular sarcoidosis. AB - PURPOSE: To characterize retinal macroaneurysm, which although rare, has been reported as a specific complication of ocular sarcoidosis. METHODS: Ninety-seven sarcoidosis patients with intraocular inflammation diagnosed at the Uveitis Clinic of Tokyo Medical University Hospital between 1997 and 2006 were analyzed retrospectively. RESULTS: Retinal macroaneurysm was found in nine eyes of seven patients (7.2%). The mean patient age at onset was 61 years, similar to the reported onset age in patients with macroaneurysm not associated with ocular sarcoidosis. Most aneurysms developed in the chronic phase, and not in the early phase, of ocular sarcoidosis. Two patients (29%) were affected bilaterally. Five of nine eyes (56%) had multiple lesions. Unlike retinal macroaneurysm not associated with sarcoidosis, which is usually solitary and unilateral, rates of bilateral and multiple lesions were high. CONCLUSIONS: The clinical features of retinal aneurysm associated with ocular sarcoidosis are considerably different from those of unilateral macroaneurysm not associated with sarcoidosis. PMID- 21052900 TI - Usefulness of anterior chamber depth measurement for efficacy assessment of steroid pulse therapy in patients with Vogt-Koyanagi-Harada disease. AB - PURPOSE: To clarify the usefulness of measuring anterior chamber depth by the IOLMaster for early-stage assessment of the therapeutic effect of steroid pulse therapy in patients with Vogt-Koyanagi-Harada (VKH) syndrome with active uveitis. METHODS: Seven patients with VKH syndrome (three men and four women) participated in the study (14 eyes). All patients had exudative retinal detachment in addition to iritis, and received steroid pulse therapy: infusion of methylprednisolone (1000 mg * 3 days) followed by tapering oral administration of prednisolone (40, 30, 20, 15, 10, and 5 mg/day) over a week. Corrected visual acuity, manifest spherical equivalent, anterior chamber flare, axial length, and anterior chamber depth were measured before and after the pulse therapy. Anterior chamber flare was measured using a laser flare-cell meter, and axial length and anterior chamber depth were measured using the IOLMaster. RESULTS: After 1 week of steroid pulse therapy, anterior chamber depth significantly increased from the initial value of 2.94 +/- 0.34 mm to 3.12 +/- 0.38 mm (Wilcoxon signed-rank test, P = 0.002). After 1 month of steroid pulse therapy, significant changes were observed in corrected visual acuity (P = 0.01), manifest spherical equivalent (P = 0.002), anterior chamber flare (P = 0.03), axial length (P = 0.02), and anterior chamber depth (P = 0.002). CONCLUSION: Measurement of anterior chamber depth using the IOLMaster is useful for early-stage assessment of the effect of steroid pulse therapy in patients with VKH syndrome who develop active uveitis. Change in anterior chamber depth is the most sensitive indicator of inflammatory activity in patients with this syndrome. PMID- 21052901 TI - Capsule wrinkling during capsulorhexis in patients with primary angle-closure glaucoma and cataract. AB - PURPOSE: To evaluate the frequency of capsule wrinkling and associated factors during capsulorhexis in patients with primary angle-closure glaucoma (PACG) undergoing cataract surgery. METHODS: This prospective study enrolled 91 eyes of 91 patients (26 eyes with PACG, 65 control eyes) who underwent cataract surgery. Capsule wrinkling during capsulorhexis was determined intraoperatively. The PACG group was evaluated for factors associated with capsule wrinkling such as intraocular pressure (IOP) on presentation, history of acute attacks, previous laser or trabeculectomy surgery, iris atrophy, peripheral anterior synechiae, and posterior synechiae. RESULTS: The frequency of capsule wrinkling was higher in the PACG group (7/26, 26.9%) than in the control group (0/65, 0%) (P < 0.0001). Among the PACG patients, capsule wrinkling was associated with a higher IOP on presentation (54.71 +/- 16.15 mmHg) than in patients without capsule wrinkling (32.95 +/- 11.21 mmHg) (P = 0.001). Iris atrophy was significantly related to capsule wrinkling (P = 0.028), and the location of iris atrophy correlated with that of capsule wrinkling in 80% of cases (4/5). CONCLUSIONS: Capsule wrinkling during capsulorhexis was a significant finding in some patients with cataract and PACG, especially when the IOP on presentation was high and iris atrophy was present. PMID- 21052902 TI - Effects of brimonidine 0.2%-timolol 0.5% fixed-combination therapy for glaucoma. AB - PURPOSE: To evaluate the effects of brimonidine 0.2%-timolol 0.5% fixed combination therapy in the treatment of patients with glaucoma. METHODS: To measure the main treatment effects of a fixed combination of brimonidine 0.2% timolol 0.5%, we conducted three small studies: (1) a comparison of diurnal intraocular pressure (IOP) at baseline and at 6 months after brimonidine/timolol fixed-combination therapy (n = 81); (2) an assessment of the effects of one drop of the brimonidine/timolol fixed combination on diurnal IOP (n = 25); and (3) the effects of brimonidine/timolol fixed-combination therapy on the anterior ocular segment over time (n = 64). RESULTS: (1) After 6 months of treatment with the brimonidine/timolol fixed combination, the mean diurnal IOP in primary open-angle glaucoma (POAG) decreased from 21.4 +/- 2.0 to 14.5 +/- 3.1 mmHg (32.2%, P < 0.001), and the mean diurnal IOP in normal-tension glaucoma (NTG) decreased from 13.5 +/- 2.8 to 10.9 +/- 2.0 mmHg (19.3%, P < 0.001). (2) After instillation with one drop of the brimonidine/timolol fixed combination, the IOP in the instilled eye was lowest at 9:00 p.m. and had decreased by 1.7 mmHg compared with the baseline IOP in the instilled eye. The difference in IOP between the instilled eye and untreated fellow eye was largest at 3:00 p.m., measuring 1.7 mmHg (P = 0.011). (3) Brimonidine/timolol fixed-combination therapy caused significant miosis over time (P = 0.003). CONCLUSIONS: The results of this study suggest that brimonidine/timolol fixed-combination therapy has beneficial IOP-lowering effects and significant effects on pupil size. PMID- 21052903 TI - Effect of signal strength on reproducibility of peripapillary retinal nerve fiber layer thickness measurement and its classification by time-domain optical coherence tomography. AB - PURPOSE: To assess the effect of signal strength (SS) on reproducibility of peripapillary retinal nerve fiber layer (RNFL) thickness measurement (measurement agreement) and its color-coded classification (classification agreement) by time domain optical coherence tomography (OCT). METHODS: Two consecutive Stratus OCT scans with the Fast RNFL protocol were performed in 658 participants. Intraclass correlations and the linear-weighted kappa coefficient were calculated as indicators of RNFL measurement and classification agreement in participants grouped according to the difference in SS between consecutive OCT scans (interscan SS difference). RESULTS: Groups with a larger interscan SS difference (= 2) had lower measurement agreement than those with a smaller interscan SS difference (0 or 1) for the temporal quadrant and total average RNFL. Classification agreement for the nasal quadrant was lower in the groups with a larger interscan SS difference (= 2) than in those with a smaller interscan SS difference. The tendency of SS to affect classification and measurement agreement remained similar in the group with thinner RNFL thickness (<=85 MUm), but not in the group with thicker RNFL. CONCLUSIONS: Careful attention should be paid when comparing two or more OCT scans for RNFL thickness measurement or its color-coded classification as the agreement may be sensitive to SS differences. PMID- 21052904 TI - Inner neural retina loss in central retinal artery occlusion. AB - PURPOSE: To report morphologic retinal changes and visual outcomes in acute and chronic central retinal artery occlusion (CRAO). METHODS: We reviewed ten eyes of ten patients with CRAO (age, 65.3 +/- 10.2 years) and measured retinal thicknesses at the central fovea and the perifovea using optical coherence tomography (OCT) over 8 +/- 4 months. RESULTS: During the acute phase (within 10 days), the mean inner retinal thicknesses were 148% and 139% of normal values at 1 mm nasal and temporal to the fovea. They decreased to 22% and 11% of normal inner retinal thickness during the chronic phase (3 months or later). The retinal thickness at the perifovea decreased linearly until 3 months but was stable during the chronic phase. In contrast, the foveal thickness increased slightly in the acute phase but was equivalent to the normal level during the chronic phase. As a result of inner retinal atrophy, the foveal pit was shallow during the chronic phase. The final visual acuity was correlated positively with retinal thickness at the perifovea during the chronic CRAO phase. CONCLUSION: OCT showed that inner retinal necrosis with early swelling and late atrophy occurred in CRAO. The fovea and outer retina appeared to be excluded from ischemic change. The residual inner retina at the perifovea determined the final visual outcomes. PMID- 21052905 TI - Influence of macular microcirculation and retinal thickness on visual acuity in patients with branch retinal vein occlusion and macular edema. AB - PURPOSE: To investigate perifoveal capillary blood flow velocity and retinal thickness at the central fovea in patients with branch retinal vein occlusion (BRVO) and macular edema and to assess their relation with visual acuity and visual prognosis. METHODS: Eighteen patients with BRVO and 16 healthy volunteers were compared. Perifoveal capillary blood flow velocity was measured on fluorescein angiograms with a scanning laser ophthalmoscope by the tracing method. Retinal thickness was measured at the central fovea by optical coherence tomography. Best-corrected visual acuity (BCVA) was determined. RESULTS: BCVA differed significantly between patients and controls (P < 0.0001). Among patients, BCVA was negatively correlated with perifoveal capillary blood flow velocity by univariate analysis (r = -0.7916, P < 0.0001), and positively correlated with retinal thickness at the central fovea (r = 0.8970, P < 0.0001). Multivariate analysis showed that retinal thickness at the central fovea was the only independent determinant of BCVA (P < 0.0001). CONCLUSIONS: In patients with BRVO, BCVA was more strongly influenced by retinal thickness at the central fovea than by perifoveal capillary blood flow velocity. PMID- 21052906 TI - Microperimetric determination of retinal sensitivity in areas of dissociated optic nerve fiber layer following internal limiting membrane peeling. AB - PURPOSE: To investigate the effects of a dissociated optic nerve fiber layer (DONFL) on retinal sensitivity. METHODS: The medical records of 17 eyes with an idiopathic macular hole that underwent vitrectomy and internal limiting membrane (ILM) peeling were examined. All patients underwent a complete ophthalmic examination, color fundus photography, and argon blue-filter photography to determine whether a DONFL was present. The retinal function was assessed by comparing the mean retinal sensitivities in the DONFL area to those in the surrounding normal retina by static microperimetry >3 months after the surgery. The retinal sensitivities of eight regions nearest the fovea and all at the same distance from it were determined in all patients. We divided the retinal sensitivities in the two areas, that is, inside and outside the area of the DONFL, and compared each mean value. RESULTS: Following the initial vitrectomy, the macular holes of all eyes were closed. The mean retinal sensitivities in the area of the DONFL did not differ significantly from those in the surrounding areas (P = 0.60). CONCLUSIONS: DONFL associated with ILM peeling does not alter retinal function in the area of the DONFL as it does with a nerve fiber layer defect. PMID- 21052907 TI - Relative peripheral refraction in patients with horizontal strabismus. AB - PURPOSE: To determine whether relative peripheral refraction (RPR) in strabismic children is different from that in normal children. METHODS: We recruited 25 consecutive patients with comitant horizontal strabismus (mean +/- SD age, 10.1 +/- 2.6 years) as subjects and 37 children who had no ophthalmic disease except for refractive errors as controls. Cycloplegic refraction was performed with an autorefractometer while the subjects looked at one of five targets horizontally aligned within +/- 30 degrees . RPR was calculated by subtracting refraction in the primary position from that obtained at each gaze position. RESULTS: Children with either esotropia or exotropia had small myopic RPR on average, whereas the controls showed significant hyperopic RPR. In children with exotropia, a wide intersubject difference in RPR was found in the nasal retina. These profiles of RPR were observed in both dominant and nondominant eyes. CONCLUSIONS: The results of this study indicate that children with horizontal strabismus have different RPR than normal controls. Defocus in the peripheral retina associated with the misalignment of the eyes during near work might be the reason for the differences, considering the visual regulation mechanism of eye shape. PMID- 21052908 TI - Comparing myopic progression of urban and rural Taiwanese schoolchildren. AB - PURPOSE: To compare myopic progression rates in Taiwanese schoolchildren between urban and rural areas. METHODS: Several longitudinal studies of myopic progression were performed in urban and rural areas. Five primary schools, four junior high schools, and two senior high schools were selected from both urban and rural areas. Ages ranged from 7 to 18 years. The refractive state of each student was measured with an autorefractometer under cycloplegia. RESULTS: Mean myopic progression in primary school children (ages 7 to 12) in the urban areas was around 0.20 D/year for boys and 0.27 D/year for girls. The mean myopic progression rate in urban children from primary to junior high school age (ages 10 to 15) was 0.43 D/year for boys and 0.50 D/year for girls, faster than that in rural children (0.24 and 0.31 D/year, respectively). The average progression rate was fastest in children in junior high school (ages 13 to 15), around 0.45 D/year in urban areas and 0.28 D/year in rural areas. In senior high schools (ages 16 to 18), myopic progression slowed to 0.17 D/ year in boys and 0.33 D/year in girls. Myopic progression in all groups was faster in myopic eyes than in emmetropic or hyperopic eyes. CONCLUSIONS: The average myopic progression in urban areas was greater than that in rural areas. Environmental factors such as urban development and academic grade level may be important contributing factors to myopic progression. PMID- 21052909 TI - Outcomes of levator resection in blepharoptosis patients with grafted corneas. AB - PURPOSE: To present the surgical results of, and postoperative complications after, resection of the levator aponeurosis as a treatment for aponeurotic blepharoptosis in patients with grafted corneas. METHODS: Nine eyes with grafted corneas displaying aponeurotic blepharoptosis were investigated. Undercorrective resection of levator aponeurosis was performed on all nine patients. The margin reflex distance 1 (MRD1) values prior to the operation and at 7 days and 6 months after the operation were compared. The postoperative MRD1 values of patients with both poor and fair levator function were also evaluated. Differences in visual acuity and visual field before and after surgery were also assessed. RESULTS: The MRD1 values at 7 days and 6 months after the surgery were higher than before surgery, and there was no difference between the MRD1 values of patients with poor and fair levator function at these time points. Levator function 6 months after surgery improved compared with that before surgery. Neither visual acuity nor the visual field changed after the blepharoptosis surgery. There was no preoperative corneal problem in any patient, and postoperative corneal erosion in some patients resolved with only conservative care. CONCLUSIONS: In blepharoptosis patients with grafted corneas, the undercorrection of blepharoptosis by levator resection showed satisfactory surgical results regardless of levator function status (poor or fair), and did not adversely affect the survival of grafted corneas. PMID- 21052910 TI - Pharmacological dissection of multifocal electroretinograms of rabbits with Pro347Leu rhodopsin mutation. AB - PURPOSE: To determine whether photoreceptor degeneration in transgenic (Tg) rabbits carrying the Pro347Leu rhodopsin mutation alters the neural activity of the middle and inner retinal neurons. METHODS: Multifocal electroretinograms (mfERGs) were recorded from eight 12-week-old Tg rabbits both before and after intravitreal injection of the following: tetrodotoxin citrate (TTX), N-methyl-DL: -aspartic acid (NMDA), 2-amino-4-phosphonobutyric acid (APB), and cis-2,3 piperidine-dicarboxylic acid (PDA). Digital subtraction of the mfERGs recorded after the drugs were administered from those recorded before was used to extract the components that were eliminated by these drugs. Eight agematched, wild-type (WT) rabbits were studied with the same protocol. RESULTS: There was no reduction in the amplitude of the cone photoreceptor response of the mfERGs in Tg rabbits. Both the first positive and the first negative waves of the ON-bipolar cell responses were significantly larger in the Tg than in the WT rabbits. Late negative waves of the ON-bipolar cell response were recorded only in the WT rabbits. The first negative wave of the inner retinal responses was larger in the Tg than in the Wt rabbits. The late positive waves were seen mainly in the WT rabbits. CONCLUSIONS: The ON-bipolar cell and inner retinal responses were altered at the early stage of photoreceptor degeneration in Tg rabbits despite the preservation of the cone photoreceptor responses. PMID- 21052911 TI - Repeated transchoroidal implantation and explantation of compound subretinal prostheses: an exploratory study in rabbits. AB - PURPOSE: For human trials with retinal prostheses it is mandatory to develop procedures to safely explant and possibly reimplant the devices. This prompted us to investigate in a small exploratory study the safety of repeated transchoroidal implantation and explantation procedures of complex subretinal devices in laboratory animals. METHODS: Repeated transchoroidal surgery was performed in four rabbits. The rabbits were examined by clinical examination and funduscopy. Function was assessed by electroretinography and cortical recordings following light and subretinal electrical stimulation. Sections of the retina and of the implantation channel were examined by light microscopy. RESULTS: Using the same access route, repeated transchoroidal subretinal implantation surgery was successfully performed in all cases. Fixation of implants was stable for up to 13 months; retinas remained attached at all examination dates. Electroretinograms and visual evoked cortical potential proved retinal and visual pathway integrity. Subretinal electrical stimulation elicited retinal and cortical responses. While retinal morphology at earlier stages was found to be essentially unaltered, atrophic disorganization in the region of the subretinal channel was observed after 10 months and after subretinal electrical stimulation. CONCLUSIONS: Repeated transchoroidal surgery can be safely performed for implantation, explantation, and reimplantation of subretinal devices in rabbits. With modifications, we believe the technique can be applied in human surgery. PMID- 21052912 TI - Aggravation of conjunctival early-phase reaction by Staphylococcus enterotoxin B via augmentation of IgE production. AB - PURPOSE: To investigate whether Staphylococcus enterotoxin B (SEB) affects the early-phase reaction (EPR) in experimental conjunctivitis. METHODS: Nc/Nga mice were sensitized to ragweed (RW) or phosphate-buffered saline (PBS) in alum. The mice were subsequently treated three times a day with eye drops adulterated with SEB or vehicle on postimmunization days 29 to 31. On postimmunization day 32, the mice were administered eye drops adulterated with RW, and the EPR was evaluated. Ninety minutes after the RW challenge, the eyes were harvested for histological evaluation of degranulation of mast cells, and blood was drawn for subsequent measurement of serum antibody levels. RESULTS: The total EPR score was significantly higher in the RW-sensitized mice than in the PBS-sensitized mice. Among the RW-sensitized mice, the SEB-treated mice had significantly higher EPR scores than did the vehicle-treated mice. Treatment with SEB significantly increased the degranulated mast cells in the eyes of the RW-sensitized mice. Serum levels of RW-specific IgG1 and IgG2a were significantly higher in the RW sensitized mice than in the control mice. The total IgE level was significantly higher in the RW-sensitized, SEB-treated mice than in the other three groups of mice. CONCLUSIONS: Topical SEB treatment upregulated systemic IgE production, which may augment conjunctival EPR. PMID- 21052913 TI - Comparison of the influence of intracameral gentamicin, gatifloxacin, and moxifloxacin on the corneal endothelium in a rabbit model. AB - PURPOSE: To compare the effect of three intracameral antibiotics, gentamicin (GM), gatifloxacin (GFLX), and moxifloxacin (MFLX), on the rabbit corneal endothelium. METHODS: Twenty-four eyes from 18 rabbits were used. In the GM treatment group of 12 eyes, a dose of 20 mg/ml, 2 mg/ml, 200 MUg/ml, or 20 MUg/ml of GM was injected into the anterior chamber. In the GFLX and MFLX treatment groups were injected into the anterior chamber of three eyes. The central corneal thickness was measured. The eyes were then enucleated for observation under scanning electron microscopy. RESULTS: Three days after the intracameral injection, a significant difference in central corneal thickness was found between the GM 20 mg/ml group and the control group (P < 0.05), but not between any other groups. The damage rate at the endothelial cell level was 67% in the GM 20 mg/ml group, 56% in the GM 2 mg/ml group, 33% in the GM 200 MUg/ml group, 22% in the GM 20 MUg/ml group, 22% in the GFLX group, and 0% in the MFLX group. CONCLUSIONS: Intracameral GFLX or MFLX was almost nontoxic to the rabbit corneal endothelium, in contrast to the toxic results of intracameral GM 20 and 2 mg/ml. PMID- 21052914 TI - Protective effects of metallothionein I and II against metal- and ultraviolet radiation-induced damage in cultured lens epithelial cells. AB - PURPOSE: Few quantitative analyses of the induction of metallothioneins (MTs) have been conducted, and there are no reports on the contribution of MTs to the protective mechanism against ultraviolet (UV) radiation in the lens. In this study, we quantitatively analyzed the induction of MTs and analyzed the resulting protective effects against both metal- and UV radiation-induced damage in the cultured lens epithelial cell line, alphaTN4-1. METHODS: The induction profiles of MTs by ZnCl(2) treatment in alphaTN4-1 cells were analyzed by quantitative real-time reverse transcription polymerase chain reaction. The cells in which MTs were induced were either treated with high concentrations of ZnCl(2) or CdCl(2), or irradiated with UV-C, UV-B, or UV-A radiation, followed by analysis of cell viability. The (3)H-thymidine incorporation rate was used as an indicator of cell viability. RESULTS: mRNA expression of MT-I and MT-II, the main MT isoform classes, was induced by ZnCl(2) treatment in a dose-dependent manner. MT induction increased the protective effects against both metaland UV-A radiation induced cell damage. CONCLUSIONS: Our results suggest that MTs play an important role in the protection against damage induced by both toxic metals and UV-A radiation in lens epithelial cells. PMID- 21052915 TI - Chromosomal sharing in atypical cases of gelatinous drop-like corneal dystrophy. AB - PURPOSE: To present the phenotypic variability both among and within families in Japanese gelatinous drop-like corneal dystrophy (GDLD), and to study the genetic background of the variability. METHODS: Four Japanese families who suffer from bilateral corneal amyloidoses were studied by a molecular genetic method. All families included a patient whose clinical features alone could not be used to diagnose GDLD. In one family, obvious clinical differences were observed between the two members who were patients. Three families had members who suffered from atypical amyloidoses that had not been initially diagnosed as GDLD. For their final diagnoses and for the investigation of the genetic background of these phenotypes, the sequences of the entire TACSTD2 gene and the genotypes of some polymorphic markers close to the TACSTD2 gene were studied. RESULTS: Genetic analysis revealed that all the patients possessed a homozygous Q118X mutation in TACSTD2, a major founder mutation in Japanese GDLD. There were no differences in the entire sequence of TACSTD2 in these patients compared with other GDLD patients. Moreover, the genotyping of polymorphic markers near the TACSTD2 gene revealed that these patients shared the same founder chromosome as well as the TACSTD2 gene. CONCLUSION: In Japanese GDLD patients, phenotypic variability is observed both among and within families in spite of the allelic homogeneity of Q118X. Even in these atypical cases, the patients shared the same chromosomal region, received from a founder. PMID- 21052916 TI - Mycobacterium abscessus corneal ulcer following sutured clear corneal cataract incision. PMID- 21052917 TI - Late-onset spontaneous haptic disinsertion from a three-piece intraocular lens. PMID- 21052918 TI - Acquired resistance to infliximab against uveitis due to Behcet's disease after one year of administration. PMID- 21052919 TI - Aniridia associated with aphakia and secondary glaucoma. PMID- 21052920 TI - Punctate inner choroidopathy in mother and daughter. PMID- 21052921 TI - Spontaneous closure of idiopathic full-thickness macular holes in both eyes. PMID- 21052922 TI - Depolarizing focal macular electroretinogram pattern after photodynamic therapy in a patient with polypoidal choroidal vasculopathy. PMID- 21052923 TI - Vascular compressive optic neuropathy caused by hypertensive intracranial ophthalmic artery. PMID- 21052924 TI - Photoreceptor damage after prolonged gazing at a computer game display. PMID- 21052925 TI - Cap- and IRES-independent scanning mechanism of translation initiation as an alternative to the concept of cellular IRESs. AB - During the last decade the concept of cellular IRES-elements has become predominant to explain the continued expression of specific proteins in eukaryotic cells under conditions when the cap-dependent translation initiation is inhibited. However, many cellular IRESs regarded as cornerstones of the concept, have been compromised by several recent works using a number of modern techniques. This review analyzes the sources of artifacts associated with identification of IRESs and describes a set of control experiments, which should be performed before concluding that a 5' UTR of eukaryotic mRNA does contain an IRES. Hallmarks of true IRES-elements as exemplified by well-documented IRESs of viral origin are presented. Analysis of existing reports allows us to conclude that there is a constant confusion of the cap-independent with the IRES-directed translation initiation. In fact, these two modes of translation initiation are not synonymous. We discuss here not numerous reports pointing to the existence of a cap- and IRES-independent scanning mechanism of translation initiation based on utilization of special RNA structures called cap-independent translational enhancers (CITE). We describe this mechanism and suggest it as an alternative to the concept of cellular IRESs. PMID- 21052926 TI - Deciphering neuropharmacology: from basic neurobiology to new drug development. PMID- 21052927 TI - Epigenetic control of stem cell fate to neurons and glia. AB - How a cell fate is determined and how tremendously diverse cells are generated during development are interesting and intriguing questions to be solved before using the stem cells for therapeutic purpose. Recently, it has been suggested that epigenetic control by the histone modifying enzymes and non-coding RNAs play important roles in guiding stem cells to differentiate into neurons or glia. In this review, we discuss the recent outcomes and advances in understanding the histone modifying enzymes and non-coding RNAs during neural cell-type specification of stem cells. PMID- 21052928 TI - Effects of brain insults and pharmacological manipulations on the adult hippocampal neurogenesis. AB - During the last two decades, neurogenesis in the adult mammalian brain has been extensively investigated. Studies have indicated that two brain regions, the subgranular zone of the hippocampal dentate gyrus and the subventricular zone of the lateral ventricle, possess the most active progenitor cells that are capable of generating neurons throughout the lifespan of human beings. Adult hippocampal neurogenesis is the focus of this review. We intend to discuss the changes in the hippocampal neurogenesis caused by pathologic brain insults such as brain ischemia, traumatic brain injury, epileptic seizures, neurodegenerative disorder, and psychiatric diseases. Further, we discuss the stimulatory and inhibitory actions on adult hippocampal neurogenesis by biochemicals and pharmacological agents, including antidepressants, antipsychotics, agonists and antagonists of glutamate and GABA, adrenal corticoids, gonadal hormones, growth factors such as insulin-like growth factor I, erythropoietin, and drugs of abuse, including nicotine, alcohol, opiates, cocaine, methamphetamine, and 3,4 methylenedioxymethamphetamine ("ecstasy"). PMID- 21052929 TI - Heterogeneity in primary nociceptive neurons: from molecules to pathology. AB - Pain sensation (nociception) is an alarm system aiming to signal the presence of potentially or actually harmful stimuli. In our hazard-rich environment, pain initiates the necessary reactions to prevent or limit tissue damage in response to noxious inputs playing therefore a crucial survival role. Specialized noxious stimuli detectors, called primary nociceptive neurons or nociceptors transduce and convey pain information to the central nervous system. Unlike other sensory systems, pain sensation could be evoked by a vast range of external or internal stimuli. Nearly any of the environmental stimuli could be potentially noxious depending on their nature and/or intensity and/or duration. Early studies at the beginning of the 20th century identified a discrete number of nociceptive neuronal types according to their electrophysiological responses or their degree of myelination. However, the advent of molecular biology techniques revealed an extraordinary diversity among nociceptors. Such heterogeneity likely reflects the evolutionary adaptation required to respond to an extremely variety of circumstances. PMID- 21052930 TI - Endogenous lipid-derived ligands for sensory TRP ion channels and their pain modulation. AB - Environmental or internal noxious stimuli excite the primary sensory nerves in our body. The sensory nerves relay these signals by electrical discharges to the brain, leading to pain perception. Six transient receptor potential (TRP) ion channels are expressed in the sensory nerve terminals and play a crucial role in sensing diverse noxious stimuli. Cation influx through activated TRP ion channels depolarizes the plasma membrane, resulting in neuronal excitation and pain. Natural and synthetic compounds have been found to act on these sensory TRP channels to alter the nociception. Evidence is growing that lipidergic substances are also cable of modifying TRP ion channel activity by direct binding. Here, we focus on endogenously generated lipids that modulate the sensory TRP activities. Unsaturated fatty acids or their metabolites via lipoxygenase, cyclooxygenase or epoxygenase are able to modulate (activate, inhibit or potentiate) the function of specific TRPs. Isoprene lipids, diacylglycerol, resolvin, and lysophospholipids also show distinct activities on sensory TRP channels. Outcomes caused by the interactions between sensory TRPs and lipid ligands are also discussed. The knowledge we collected here implicates that information on lipidergic ligands may contribute to our understanding of peripheral pain mechanism and provide an opportunity to design novel therapeutic strategies. PMID- 21052931 TI - Current perspectives on the selective regulation of dopamine D2 and D3 receptors. AB - Among the characterized dopamine receptor subtypes, D2 receptor (D2R) and D3 receptor (D3R) are the main targets of neuroleptics that are currently in use. In particular, D3R is closely related to the etiology of schizophrenia and drug addiction. The spatial expression patterns of D2R and D3R are distinct in certain areas of the brain. D2R are heavily expressed in the regions responsible for motor functions, whereas D3R are more selectively expressed in the limbic regions, which are associated with cognitive and emotional functions. Therefore, disturbances in the motor and endocrine functions, which are the most serious problems caused by the current neuroleptics, are likely to result from the non selective blockade of D2R. Selective regulation of D3R is needed to separate the desired therapeutic activities from unwanted side effects that result from promiscuous blockade of other receptors. D2R and D3R possess high sequence homology and employ similar signaling pathways, and it is difficult to selectively regulate them. In this review, we discuss the signaling mechanisms, intracellular trafficking, and desensitization properties of D2R and D3R. In addition, the proteins interacting with D2R or D3R are discussed in relation to their roles in the regulation of receptor functions, followed by the current status of the development of selective D3R ligands. PMID- 21052932 TI - Inflammation and Alzheimer's disease. AB - Alzheimer's disease (AD) is the most common form of dementia. It is characterized by extracellular deposition of a specific protein, beta-amyloid peptide fibrils, and is accompanied by extensive loss of neurons in the brains of affected individuals. Although the pathophysiologic mechanism is not fully established, inflammation appears to be involved. Neuroinflammation has been known to play a critical role in the pathogenesis of chronic neurodegenerative disease in general, and in AD in particular. Numerous studies show the presence of a number of markers of inflammation in the AD brain: elevated inflammatory cytokines and chemokines, and accumulation of activated microglia in the damaged regions. Epidemiological studies have shown that long-term use of non-steroidal anti inflammatory drugs suppresses the progression of AD and delays its onset, suggesting that there is a close correlation between neuroinflammation and AD pathogenesis. The aim of this review is (1) to assess the association between neuroinflammation and AD through discussion of a variety of experimental and clinical studies on AD and (2) to review treatment strategies designed to treat or prevent AD. PMID- 21052933 TI - How to overcome retinal neuropathy: the fight against angiogenesis-related blindness. AB - The retina consists of neuronal cells of high metabolic activity that are supplied by an abundant vasculature. It is a main theme of ophthalmologic research, because retinopathies are common causes of blindness in all age groups: age-related macular degeneration in the elderly, diabetic retinopathy in the middle aged, and retinopathy of prematurity and retinoblastoma in children. Interestingly, angiogenesis underlies the pathogenesis of all these diseases, and breakdown of the blood-retinal barrier is also thought to play an important role before and throughout the process of new vessel formation. However, so far, most treatments have targeted angiogenesis only, especially vascular endothelial growth factor. Consideration of the restoration of the blood-retinal barrier should be required. In this review, we discuss the clinical manifestation, pathogenesis, and current treatment options for angiogenesis-related blindness. In addition, because of the recent introduction of novel strategies, we describe pathogenesis-based treatment options to treat angiogenesis-related blindness. PMID- 21052934 TI - Neurological S1P signaling as an emerging mechanism of action of oral FTY720 (fingolimod) in multiple sclerosis. AB - FTY720 (fingolimod, Novartis) is a promising investigational drug for relapsing forms of multiple sclerosis (MS), an autoimmune and neurodegenerative disorder of the central nervous system. It is currently under FDA review in the United States, and could represent the first approved oral treatment for MS. Extensive, ongoing clinical trials in Phase II/III have supported both the efficacy and safety of FTY720. FTY720 itself is not bioactive, but when phosphorylated (FTY720 P) by sphingosine kinase 2, it becomes active through modulation of 4 of the 5 known G protein-coupled sphingosine 1-phosphate (S1P) receptors. The mechanism of action (MOA) is thought to be immunological, where FTY720 alters lymphocyte trafficking via S1P1. However, MOA for FTY720 in MS may also involve a direct, neurological action within the central nervous system in view of documented S1P receptor-mediated signaling influences in the brain, and this review considers observations that support an emerging neurological MOA. PMID- 21052935 TI - Neuropsychopharmacological understanding for therapeutic application of morphinans. AB - Morphinans are a class of compounds containing the basic structure of morphine. It is well-known that morphinans possess diverse pharmacological effects on the central nervous system. This review will demonstrate novel neuroprotective effects of several morphinans such as, dextromethorphan, its analogs and naloxone on the models of multiple neurodegenerative disease by modulating glial activation associated with the production of a host of proinflammatory and neurotoxic factors, although dextromethorphan possesses neuropsychotoxic potentials. The neuroprotective effects and the therapeutic potential for the treatment of excitotoxic and inflammatory neurodegenerative diseases, and underlying mechanism of morphinans are discussed. PMID- 21052936 TI - Potential therapeutic agents against Alzheimer's disease from natural sources. AB - The average human life span in developed countries has increased to more than 80 years following rapid breakthrough and developments in modern medicine and science, resulting in prolonged life expectancy and increase in the population counts of the geriatric age group. This translates into a dramatic increase in disease burden of elderly patients suffering from senile disorders including neurodegenerative diseases, particularly Alzheimer's disease (AD). AD is characterized by the death of nerve cells in the cerebral cortex and is the most common subtype of dementia that affected 25 million people worldwide in 2000 and is expected to increase to 114 million by 2050. Despite the exponential growth in the number of AD patients, only acetylcholinesterase (AChE) inhibitors are being currently used to treat AD. It is well known that AChE inhibitors can alleviate the symptoms of AD but not halt the disease progression. Consequently, therapeutic agents against AD acting at various pathologic levels are needed. In the recent decade, natural products with anti-AD properties have attracted much attention. But very few natural products have been investigated in a scientifically justifiable method for these biological activities. Following a detailed research process, it is certain that natural products have a strong potential to develop biologically active compounds with new chemical structures. Many studies have been carried out to identify the naturally occurring anti-AD agents. This review article describes the molecular targets aiming at developing the anti-AD agents including the inhibition of AChE, inhibition of Abeta production by enhancing alpha-secretase (non-amyloidogenic pathway) or inhibiting beta- and gamma-secretases (amyloidogenic pathway), alleviating Abeta-induced neurotoxicity or reducing Abeta-induced neuroinflammation. In addition, this paper summarizes the potential of some of the natural products that might inhibit specific molecular targets and slow the progression of this disease. PMID- 21052937 TI - Neuroprotective phenolics in medicinal plants. AB - Neurodegenerative diseases, including Alzheimer's disease, Parkinson's disease, ischemia and traumatic injury are characterized by progressive neuronal loss and dysfunction. Many neuroprotective agents modulating cellular responses against noxious stimuli, such as oxidative stresses, thereby having anti-inflammatory and antiapoptotic activity have been studied to develop the therapeutics for neurodegenerative diseases. Recently, the phenolic compounds widely spread in medicinal plants have drawn attention as potential neuroprotective agents. In this review, naturally-occurring neuroprotective phenolics and their underlying mechanisms of neuroprotective actions are summarized. PMID- 21052938 TI - Site-directed mutagenesis of the toxin from the Chinese scorpion Buthus martensii Karsch (BmKAS): insight into sites related to analgesic activity. AB - This study utilized the E. coli expression system to investigate the role of amino acid residues in toxin from the Chinese scorpion--Buthus martensii Karsch (BmKAS). To evaluate the extent to which residues of the toxin core contribute to its analgesic activity, ten mutants of BmKAS were obtained by PCR. Using site directed mutagenesis, all of these residues were substituted with different amino acids. This study represents a thorough mapping and elucidation of the epitopes that form the molecular basis of the toxin's analgesic activity. Our results showed large mutant-dependent differences that emphasize the important roles of the studied residues. PMID- 21052939 TI - Synthesis and biological evaluation of 3,6-diaryl-7H-thiazolo[3,2-b] [1,2,4]triazin-7-one derivatives as acetylcholinesterase inhibitors. AB - Acetylcholinesterase (AChE) inhibitors played an important role in developing a cure for Alzheimer' s disease. In order to study on the influence of modifications at different groups and side chains on the AChE inhibitory ability and the active sites of 7H-thiazolo[3,2-b][1,2,4]triazin-7-one derivatives, fourteen 3,6-diaryl-7H-thiazolo[3,2-b][1,2,4]triazin-7-one derivatives were designed and synthesized. The study of AChE inhibitory activity was carried out using the Ellman colorimetric assay with huperzine-A as the positive control drug. Most of the target compounds exhibited more than 50% inhibition at 10 MUM. Some target compounds showed strong inhibition against AChE. The molecular fields analysis and preliminary structure-activity relationships are discussed. PMID- 21052940 TI - Phenolic compounds from Pueraria lobata protect PC12 cells against Abeta-induced toxicity. AB - Bioassay-guided fractionation of the EtOAc-soluble extract of Pueraria lobata based on the inhibition of Abeta-induced toxicity in PC12 cells resulted in the isolation of four known active compounds, genistein (8), biochanin A (9), sissotrin (10), and puerol B (11). Of these, genistein (8) and biochanin A (9) exhibited potent neuroprotective effects with ED(50) values of 33.7 and 27.8 MUM, respectively. In addition, a new coumestan, 2-(alpha,alpha dimethylallyl)coumestrol (1) was isolated and characterized, but proved to be inactive, as were additional seven known compounds. The structure of new compound 1 was determined using spectroscopic techniques. PMID- 21052941 TI - Leaf and stem of Vitis amurensis and its active components protect against amyloid beta protein (25-35)-induced neurotoxicity. AB - This study investigated a methanol extract from the leaf and stem of Vitis amurensis (Vitaceae) for possible neuroprotective effects on neurotoxicity induced by amyloid beta protein (Abeta) (25-35) in cultured rat cortical neurons and also for antidementia activity in mice. Exposure of cultured cortical neurons to 10 MUM Abeta (25-35) for 36 h induced neuronal apoptotic death. At concentrations of 1-10 MUg/mL, V. amurensis inhibited neuronal death, the elevation of intracellular calcium ([Ca(2+)](i)) and the generation of reactive oxygen species (ROS), all of which were induced by Abeta (25-35) in primary cultures of rat cortical neurons. Memory loss induced by intracerebroventricular injection of ICR mice with 16 nmol Abeta (25-35) was inhibited by chronic treatment with V. amurensis extract (50 and 100 mg/kg, p.o. for 7 days), as measured by a passive avoidance test. Amurensin G, r-2-viniferin and trans-E viniferin isolated from V. amurensis also inhibited neuronal death, the elevation of [Ca(2+)](i) and the generation of ROS induced by Abeta (25-35) in cultured rat cortical neurons. These results suggest that the neuroprotective effect of V. amurensis may be partially attributable to these compounds. These results suggest that the antidementia effect of V. amurensis is due to its neuroprotective effect against Abeta (25-35)-induced neurotoxicity and that the leaf and stem of V. amurensis have possible therapeutic roles for preventing the progression of Alzheimer's disease. PMID- 21052942 TI - Cholinesterase inhibitors from Cleistocalyx operculatus buds. AB - Five flavonoids, myricetin-3'-methylether 3-O-beta-D: -galactopyranoside (1), myricetin-3',5'-dimethylether 3-O-beta-D: -galactopyranoside (2), quercetin (3), kaempferol (4), and tamarixetin (5) were isolated from the buds of Cleistocalyx operculatus (Myrtaceae). The chemical structures of these compounds were determined on the basis of spectroscopic analyses, including 2D NMR. Their anti Alzheimer effects were evaluated via acetylcholinesterase (AChE) and butyrylcholinesterase (BChE) inhibitory activity assays. All five compounds 1-5 showed potential inhibitory activities against AChE with IC(50) values of 19.9, 37.8, 25.9, 30.4 and 22.3 MUM, respectively, while compounds 1, 3, 4 and 5 also possessed BChE inhibitory activity with IC(50) values of 152.5, 177.8, 62.5, and 160.6 MUM, respectively. PMID- 21052943 TI - Effect of oseltamivir on catecholamines and select oxidative stress markers in the presence of oligoelements in the rat brain. AB - The effect that osteltamivir has on the metabolism of catecholamines and oxidative damage in the brains of young patients remains unclear. The purpose of this study was to measure the effects of oseltamivir, in the presence of oligoelements, on biogenic amines and select oxidative biomarkers in the brains of uninfected, young rats under normal conditions. The study was conducted using male Wistar rats intraperitoneally treated for three days with either a control dose of 0.9 % NaCl, oseltamivir (50 mg/kg), oligoelements (50 MUL/rat), or oseltamivir (50 mg/kg) and oligoelements (50 MUL/rat). The brain tissue extracted from the treated rats was used to determine the concentrations of adrenaline, noradrenaline, and dopamine, as well as the levels of GSH, lipid peroxidation, and ATPase activity. An increase in the concentration of adrenaline and noradrenaline and in the level of GSH in the group treated with oligoelements (p < 0.001) was observed, while the group treated with oseltamivir and oligoelements, the levels of dopamine increased (p < 0.001), and in the groups treated with oligoelements alone or combination with oseltamivir a decrease in lipid peroxidation was observed (p < 0.001). The results of this study suggest that the consumption of oseltamivir and oligoelements induce biphasic changes in the metabolism of catecholamines; thereby, inducing a protective mechanism against oxidative damage in the brains of young rats. PMID- 21052944 TI - The regulation of blood glucose level in physical and emotional stress models: possible involvement of adrenergic and glucocorticoid systems. AB - This study was done to determine the effect of stress on blood glucose regulation in ICR mice. The stress was induced by the electrical foot shock-witness model. Blood glucose level was found to be increased in the electrical foot shock induced physical stress group. Furthermore, the blood glucose levels were also elevated in the emotional stress group in both physical and emotional stress groups. The blood glucose level reached maximum 30 min after stress stimulation and returned to normal level 2 h after stress stimulation in both physical and emotional stress groups. Subsequently, we observed that intraperitoneal injection of phentolamine (an alpha1-adrenergic receptor antagonist), yohimbine (an alpha2 adrenergic receptor antagonist) or RU486 (a glucocorticoid receptor blocker) significantly inhibited blood glucose level induced by both physical and emotional stress. The results of our study suggest that physical and emotional stress increases blood glucose level via activation of adrenergic and glucocorticoid system. PMID- 21052945 TI - The effects of daidzin and its aglycon, daidzein, on the scopolamine-induced memory impairment in male mice. AB - In this study, the effect of daidzin or daidzein isolated from Pueraria lobata on the memory impairments induced by scopolamine was assessed in male mice using the passive avoidance and the Morris water maze tasks. Administration of daidzin (5 mg/kg) or daidzein (5 mg/kg) significantly reversed the scopolamine (1 mg/kg) induced cognitive impairments in male mice as evidenced by the passive avoidance test (p < 0.05) and on the Morris water maze test (p < 0.05). Moreover, the ameliorating effects of daidzin or daidzein were antagonized by tamoxifen (1 mg/kg), the nonspecific estrogen receptor antagonist. These results indicate that daidzin or daidzein may be useful in cognitive impairment induced by cholinergic dysfunction, and this beneficial effect is mediated, in part, via estrogen receptor. PMID- 21052946 TI - The combination of naproxen and citral reduces nociception and gastric damage in rats. AB - It has been shown that the association of non-steroidal anti-inflammatory drugs with plant extracts can increase their antinociceptive activity, allowing the use of lower doses and, thus, limiting side effects. Therefore, the aim of this study was to examine the effects of the interaction between naproxen and citral on nociception and gastric injury in rats. Naproxen, citral, or combinations of naproxen and citral produced an antinociceptive effect. The administration of naproxen produced significant gastric damage, but this effect was not obtained with either citral or the naproxen-citral combination. The ED(50) value was estimated for the individual drugs and an isobologram was constructed. The derived theoretical ED(50) for the antinociceptive effect (423.8 mg/kg) was not significantly different from the observed experimental value (359.0 mg/kg); hence, the interaction between naproxen and citral mediating the antinociceptive effect is additive. These data suggest that the naproxen-citral combination interacts at the systemic level, produces minor gastric damage, and potentially has therapeutic advantages for the clinical treatment of inflammatory pain. PMID- 21052947 TI - Introduction to the hematopoietic growth factors. PMID- 21052948 TI - Early-acting hematopoietic growth factors: biology and clinical experience. AB - Secreted protein growth factors that stimulate the self-renewal, proliferation, and differentiation of the most primitive stem cells are among the most biologically interesting molecules and at least theoretically have diverse applications in the evolving field of regenerative medicine. Among this class of regulators, the early-acting hematopoietic growth factors and their cellular targets are perhaps the best characterized and serve as a paradigm for manipulating other stem cell based tissues. This chapter reviews the preclinical knowledge accumulated over ~40 years, since the discovery of the first such growth factor, and the clinical applications of those that, upon testing in humans, ultimately gained regulatory approval for the treatment of various hematological diseases. PMID- 21052949 TI - Granulocyte colony-stimulating factors. PMID- 21052950 TI - Erythropoiesis-stimulating agents. AB - Erythropoiesis is the process whereby erythroid progenitor cells differentiate and divide, resulting in increased numbers of red blood cells (RBCs). RBCs contain hemoglobin, the main oxygen carrying component in blood. The large number of RBCs found in blood is required to support the prodigious consumption of oxygen by tissues as they undergo oxygen-dependent processes. Erythropoietin is a hormone that when it binds and activates Epo receptors resident on the surface of cells results in stimulation of erythropoiesis. Successful cloning of the EPO gene allowed for the first time production of recombinant human erythropoietin and other erythropoiesis stimulating agents (ESAs), which are used to treat anemia in patients. In this chapter, the control of Epo levels and erythropoiesis, the various forms of ESAs used commercially, and their physical and biological properties are discussed. PMID- 21052951 TI - Thrombopoietin factors. AB - Megakaryopoiesis and thrombopoiesis are the central biological processes of platelet generation. Severe thrombocytopenia is a major morbidity and mortality factor in several diseases and represents a significant unmet medical need. Since the discovery of thrombopoietin (TPO) as the primary physiological regulator of megakaryopoiesis, a number of therapeutics have been developed for thrombocytopenia and been tested in preclinical models and human clinical trials. The TPO mimetics romiplostim (Nplate((r)) or AMG531) and eltrombopag (Promacta((r))) have recently been approved for the treatment of adult chronic idiopathic (immune) thrombocytopenic purpura (ITP) and are successful examples of these endeavors. This chapter will review scientific progress over the last 20 years on various thrombopoietic factors with an emphasis on the biology, physiology, and pharmacology of TPO, its cognate receptor, c-Mpl, and various TPO mimetics. PMID- 21052952 TI - Cyclic and chronic neutropenia. AB - Patients with severe chronic neutropenia have blood neutrophil level <0.5 * 10(9)/L, predisposing them to increased susceptibility to life-threatening bacterial infections. This chapter focuses on cyclic and congenital neutropenia, two very interesting and rare hematological conditions causing severe chronic neutropenia. Both disorders respond well to treatment with the myeloid growth factor, granulocyte colony-stimulating factor (G-CSF). This chapter describes the basic features of these diseases and addresses several current clinical issues regarding their diagnosis and management. Cyclic neutropenia is a rare, inherited autosomal dominant disorder due to mutations in the gene for neutrophil elastase (ELA-2 or ELANE). Usually these patients have regular oscillation of blood neutrophil counts with periods of severe neutropenia occurring every 21 days. During these periods, they have painful mouth ulcers, fevers, and bacterial infections. The most severe consequences are gangrene, bacteremia, and septic shock. Cyclic neutropenia patients respond well to treatment with granulocyte colony-stimulating factor (G-CSF) given by subcutaneous injections on a daily or alternate-day basis. Severe congenital neutropenia is also a rare hematological disease, but it is probably more common than cyclic neutropenia. Blood neutrophils are extremely low on a continuing basis; the levels may be <0.2 * 10(9)/L, and the risk of severe bacterial infections is even greater than in cyclic neutropenia. The majority of cases are due to autosomal dominant inheritance of mutations in the ELA-2 or ELANE gene. Less commonly, mutations in HAX-1, G6PC3, and other genes cause this disorder. Treatment with G-CSF is usually effective, but the dose of G-CSF required to normalize blood neutrophils varies greatly. Ten to thirty percent of severe congenital neutropenia patients evolve to develop acute myeloid leukemia, necessitating careful clinical monitoring. PMID- 21052953 TI - The myeloid growth factors. PMID- 21052954 TI - Meta-analysis of randomized controlled trials of granulocyte colony-stimulating factor prophylaxis in adult cancer patients receiving chemotherapy. PMID- 21052955 TI - Summary and comparison of myeloid growth factor guidelines in patients receiving cancer chemotherapy. AB - Chemotherapy-induced neutropenia and its complications are major dose-limiting toxicities of cancer chemotherapy. The myeloid growth factors have been shown to reduce the risk of neutropenic events across malignancies, regimens, and associated risk categories often enabling the delivery of greater chemotherapy dose intensity. Three different practice guidelines for the myeloid growth factors have recently been published by major professional organizations. A comprehensive review and comparison of the guidelines using a priori structured content criteria and a previously validated quality appraisal tool are reported. Consistency in the final recommendations from these guidelines is observed for primary prophylaxis with the colony-stimulating factors (CSFs) when the risk of febrile neutropenia is in the range of 20% or greater. There is also consistency in the recommendation that patients receiving regimens associated with lower risk should have CSF use guided by individual risk assessment. Critical quality appraisal indicates that the scope and purpose, stakeholder involvement, and applicability of the guidelines differ little. There is more emphasis on comprehensive literature reviews in the ASCO and EORTC guidelines while the NCCN guidelines are more current based on systematic annual updates. The clarity of presentation also favors the NCCN guidelines with recommendations generally presented as both text and algorithmic diagram. All three new or updated guidelines recommend prophylactic use of the myeloid growth factors in patients at greater than a 20% risk of febrile neutropenia and in those with important factors increasing individual risk of neutropenic complications. PMID- 21052956 TI - Granulocyte colony-stimulating factors and risk of acute myeloid leukemia and myelodysplastic syndrome. PMID- 21052957 TI - Do erythropoietic-stimulating agents relieve fatigue? A review of reviews. AB - Interest in the efficacy and potential deleterious consequences of treatment with erythropoietic-stimulating agents (ESAs) is very high. Recently, the ESAs have come under intense scrutiny as several clinical trials have shown their use to be associated with an increased risk of thrombosis, and a concern for increased mortality risk in oncology. In this context, attention to the effect of ESAs upon fatigue and other aspects of quality of life has tended to be lost. To aid inclusion of this endpoint in the important consideration of risks and benefits of ESA therapy, we summarize the many reviews that have been conducted on this topic. The ten selected reviews were all conducted systematically or were otherwise comprehensive. While these reviews acknowledge an overall positive fatigue or quality-of-life effect, some were equivocal about the meaningfulness or magnitude of the benefit. The overall evidence from these reviews supports a fatigue and overall quality-of-life benefit from treatment with ESAs that is unlikely to be due to chance. This information should be included in the risk/benefit consideration of these controversial agents. PMID- 21052958 TI - Randomized controlled trials of the erythroid-stimulating agents in cancer patients. PMID- 21052959 TI - Ten years of meta-analyses on erythropoiesis-stimulating agents in cancer patients. AB - BACKGROUND: Since erythropoiesis-stimulating agents (ESAs) were licensed in 1993, more than 70 randomized controlled trials and more than 20 meta-analyses and systematic reviews on their effectiveness were conducted. Here, we present a systematic review on the meta-analyses of trials evaluating ESAs in cancer patients. METHODS: We included all published meta-analyses of at least five randomized controlled trials that evaluated the effects of ESAs versus control in patients with any type of cancer or myelodysplastic syndrome. RESULTS: We included a total of 23 systematic reviews and meta-analyses (16 literature based and 7 based on individual patient data (IPD)) that assessed several outcomes. All 12 meta-analyses reporting on transfusion risks demonstrated that ESAs significantly reduce the risk of transfusions. Eleven meta-analyses (nine based on published data and two on IPD) evaluated thrombovascular events. An increased risk of thrombovascular events was observed in all but two meta-analyses (relative risks (RRs) ranging from 1.57 to 1.69). However, potential reporting and publication bias as well as detection bias call for a cautious interpretation of these results. Survival and mortality were evaluated in 18 meta-analyses, with the observed effect changing over time. While meta-analyses on studies conducted before 2002 showed beneficial effects of ESAs on survival, contrary results, i.e. worsened survival, was seen in meta-analyses including more recent studies. DISCUSSION: The results from several meta-analyses show that ESAs in cancer patients reduce the risk for red blood cell transfusions and increase the risk for thrombovascular events and mortality. The effect of ESAs on mortality risk in patients receiving chemotherapy remains unclear. In clinical practice, the benefits and risks of ESAs should be carefully considered and decisions should be made based on each patient's situation and preferences. PMID- 21052960 TI - Clinical practice guidelines for the use of erythroid-stimulating agents: ASCO, EORTC, NCCN. PMID- 21052961 TI - Thrombocytopenia and platelet transfusions in patients with cancer. AB - Platelet transfusions are a critical component of the supportive care for patients receiving intensive therapy for hematologic malignancies. The platelet count "triggering" prophylactic transfusion has decreased over the years, and studies comparing a prophylactic versus a therapeutic transfusion approach are in progress. The evidence supporting the need for platelet transfusions prior to different invasive procedures is reviewed. Lastly, studies evaluating the use of thrombopoietic stimulating agents to reduce hemorrhage and decrease the need for platelet transfusions are discussed. To date, there is no evidence that this approach is of clinical utility. PMID- 21052962 TI - Romiplostim. AB - Thrombocytopenia is a common clinical problem associated with a wide range of medical conditions including immune thrombocytopenia (ITP), chemotherapy-induced thrombocytopenia (CIT), hepatitis C-related thrombocytopenia, and myelodysplastic syndromes (MDS). Until recently, the only treatments for thrombocytopenia were to alleviate the underlying cause or to provide platelet transfusions. With the discovery and recent clinical availability of thrombopoietin (TPO) mimetics, a new treatment option has emerged. Two TPO mimetics are currently clinically available for treating ITP: romiplostim (an injectable peptide TPO mimetic) and eltrombopag (a non-peptide, orally available TPO mimetic). This chapter reviews the development, biology, and clinical trials with romiplostim. With few adverse effects, romiplostim is effective in raising the platelet count in over 80% of ITP patients, allowing them to discontinue other therapies, reduce the need for splenectomy, and improve their quality of life. Long-term theoretical side effects of romiplostim treatment include reticulin formation, thromboembolism, and antibody formation to romiplostim. A practical way of using romiplostim is provided: a higher starting dose of 3 mg/kg is recommended along with efforts to avoid withholding the dose. Future studies will assess the utility of romiplostim in CIT, hepatitis-C related thrombocytopenia, and MDS. PMID- 21052963 TI - Eltrombopag. AB - The current concepts and the management of ITP have significantly changed in the past decade. Decreased use of cytotoxic therapy and the introduction of new selective modalities of drug such as TPO-r mimetics are the landmarks of this change. Discovered in the middle of last decade, followed by experiments in mice and then approved in humans, Eltrombopag is the first TPO-r mimetic available. It has been used and validated in several clinical studies in different etiologies of thrombocytopenia, including primary ITP (chronic Immune ThrombocytoPenia) and secondary ITP, due to hepatitis C and more recently in bone marrow failure as myelodysplastic syndromes. Good tolerability and low side effects are the strengths of this drug, contrasted with issues regarding administration (it must be taken every day apart from specific meals containing high levels of calcium, which leads to problems with compliance). We review the first clinical studies with this agent, emphasizing the significant findings. PMID- 21052964 TI - The hematopoietic growth factors in acute leukemia: U.S. perspective. PMID- 21052965 TI - The hematopoietic growth factors in acute leukemia: a European perspective. AB - Acute myeloid leukemia (AML) and acute lymphoblastic leukemia (ALL) are malignant clonal disorders of the blood system requiring intensive and long-term cytotoxic treatment. Current chemotherapy protocols not only target the malignant cell, but are also highly toxic to normal hematopoietic cells as well. Leukemia patients thus experience prolonged times of neutropenia, thrombocytopenia, and anemia, which increase the risk for secondary complications like infections and bleeding. Twenty years ago leukemia patients were considered the ideal candidates to benefit from accelerated recovery of cytopenias by treatment with recombinant cytokines. Moreover, based on in vitro data, it was hypothesized that myeloid growth factors may sensitize AML cells to cytotoxic agents. Numerous clinical trials have documented the biologic activity of granulocyte and granulocyte macrophage growth factors to accelerate neutrophil recovery after chemotherapy. However, there is high-level evidence that these myeloid growth factors neither reduce the incidence of severe infections nor improve the outcome of AML patients. Evidence from ALL trials is mixed with some studies suggesting a reduction of severe infections by myeloid growth factors whereas others report no effect. Most studies of acute leukemia patients suggested that myeloid growth factors are safe to use, however, a negative impact on event-free survival was found in one trial and an increased risk for secondary AML was reported in pediatric ALL patients. Thrombopoietins have not led so far to a significant increase in platelet numbers in leukemia patients. Chemokine receptor antagonists are now being evaluated in clinical trials for synergistic effects with chemotherapy and will be discussed briefly. Cytokine development mirrors the great advances that have been achieved in the understanding of regulatory mechanisms in hematopoiesis. As this understanding grows, new drugs and new applications will emerge. PMID- 21052966 TI - The hematopoietic growth factors in the myelodysplastic syndromes. PMID- 21052967 TI - Hematopoietic growth factors in older cancer patients. PMID- 21052968 TI - The economics of the hematopoietic growth factors. PMID- 21052970 TI - [Diagnosis and treatment of varicose veins: part 2: therapeutic procedures and results]. AB - This is the second of two articles on the diagnosis and treatment of varicose veins. Primary varicosis is a congenital degenerative disease of the peripheral venous system of the lower extremities. Treatment is carried out according to an individualized concept which takes the incurability and progression of the disease into consideration. Conservative treatment with compression bandages is an option for all forms of varicosis and the accompanying complications. Veins can be specifically ablated by sclerotherapy of varices. In addition to high ligation and stripping mini-phlebectomy and subfascial endoscopic perforator surgery (SEPS) can also be performed. The indications in cases of SEPS should be extremely limited because of possible severe complications. Radiofrequency ablation (RFO) and endovenous laser therapy (ELT) are also available as endovenous therapy options. Information in the literature on recurrence rates of the various procedures is extremely variable and the reasons for recurrent varicosis are the subject of controversy. The data relating to the results of RFO and ELT are relatively good and both procedures show a significant improvement in quality of life and the venous clinical severity score (VCSS). PMID- 21052971 TI - [Validity criteria of a short test to assess speech and language competence in 4 year-olds]. AB - BACKGROUND: A psychometrically constructed short test as a prerequisite for screening was developed on the basis of a revision of the Marburger Speech Screening to assess speech/language competence among children in Hessen (Germany). PARTICIPANTS AND METHODS: A total of 257 children (age 4.0 to 4.5 years) performed the test battery for speech/language competence; 214 children repeated the test 1 year later. RESULTS: Test scores correlated highly with scores of two competing language screenings (SSV, HASE) and with a combined score from four diagnostic tests of individual speech/language competences (Reynell III, patholinguistic diagnostics in impaired language development, PLAKSS, AWST R). Validity was demonstrated by three comparisons: (1) Children with German family language had higher scores than children with another language. (2) The 3 month-older children achieved higher scores than younger children. (3) The difference between the children with German family language and those with another language was higher for the 3-month-older than for the younger children. CONCLUSION: The short test assesses the speech/language competence of 4-year-olds quickly, validly, and comprehensively. PMID- 21052972 TI - [Abrupt switch from extended-release oxcarbazepine to eslicarbazepine acetate]. AB - BACKGROUND: Eslicarbazepine acetate (ESL) was labelled for add-on treatment of adults with focal epilepsies in 2009. ESL is a derivative of carbamazepine and oxcarbazepine (OXC) that promises potentially better effectiveness. It has not yet been investigated how to switch from OXC to ESL and if this switch causes any clinical changes. MATERIAL AND METHODS: We replaced extended-release OXC by ESL abruptly according to a 1:1 ratio in 12 patients. Standardized tests and questionnaires addressing side effects, quality of life and alertness were performed immediately prior and 5 days after the switch. We also measured the serum levels of sodium and the common metabolite monohydroxy derivative. RESULTS: No problems occurred. Concerning the parameters investigated no significant differences were found. In 9 of 12 cases serum sodium levels fell without clinical consequences. CONCLUSION: The exchange of extended-release OXC by ESL is easy to perform. Clinically relevant alterations were not apparent immediately after the switch. Sodium serum level controls are recommended also with the use of ESL. PMID- 21052973 TI - [Will surgeons be replaced by technicians?]. PMID- 21052977 TI - Tissue-specific dysregulation of hexose-6-phosphate dehydrogenase and glucose-6 phosphate transporter production in db/db mice as a model of type 2 diabetes. AB - AIMS/HYPOTHESIS: Tissue-specific amplification of glucocorticoid action through 11beta-hydroxysteroid dehydrogenase type 1 (11beta-HSD1) affects the development of the metabolic syndrome. Hexose-6-phosphate dehydrogenase (H6PDH) mediates intracellular NADPH availability for 11beta-HSD1 and depends on the glucose-6 phosphate transporter (G6PT). Little is known about the tissue-specific alterations of H6PDH and G6PT and their contributions to local glucocorticoid action in db/db mice. METHODS: We characterised the role of H6PDH and G6PT in pre receptor metabolism of glucocorticoids by examining the production of the hepatic 11beta-HSD1-H6PDH-G6PT system in db/db mice. RESULTS: We observed that increased production of hepatic H6PDH in db/db mice was paralleled by upregulation of hepatic G6PT production and responded to elevated circulating levels of corticosterone. Treatment of db/db mice with the glucocorticoid antagonist RU486 markedly reduced production of both H6PDH and 11beta-HSD1 and improved hyperglycaemia and insulin resistance. The reduction of H6PDH and 11beta-HSD1 production by RU486 was accompanied by RU486-induced suppression of hepatic G6pt (also known as Slc37a4) mRNA. Incubation of mouse primary hepatocytes with corticosterone enhanced G6PT and H6PDH production with corresponding activation of 11beta-HSD1 and PEPCK: effects that were blocked by RU486. Knockdown of H6pd by small interfering RNA showed effects comparable with those of RU486 for attenuating the corticosterone-induced H6PDH production and 11beta-HSD1 reductase activity in these intact cells. Addition of the G6PT inhibitor chlorogenic acid to primary hepatocytes suppressed H6PDH production. CONCLUSIONS/INTERPRETATION: These findings suggest that increased hepatic H6PDH and G6PT production contribute to 11beta-HSD1 upregulation of local glucocorticoid action that may be related to the development of type 2 diabetes. PMID- 21052979 TI - Erratum to: ACL prosthesis: any promise for the future? PMID- 21052978 TI - Effects of fenofibrate on renal function in patients with type 2 diabetes mellitus: the Fenofibrate Intervention and Event Lowering in Diabetes (FIELD) Study. AB - AIMS/HYPOTHESIS: Fenofibrate caused an acute, sustained plasma creatinine increase in the Fenofibrate Intervention and Event Lowering in Diabetes (FIELD) and Action to Control Cardiovascular Risk in Diabetes (ACCORD) studies. We assessed fenofibrate's renal effects overall and in a FIELD washout sub-study. METHODS: Type 2 diabetic patients (n = 9,795) aged 50 to 75 years were randomly assigned to fenofibrate (n = 4,895) or placebo (n = 4,900) for 5 years, after 6 weeks fenofibrate run-in. Albuminuria (urinary albumin/creatinine ratio measured at baseline, year 2 and close-out) and estimated GFR, measured four to six monthly according to the Modification of Diet in Renal Disease Study, were pre specified endpoints. Plasma creatinine was re-measured 8 weeks after treatment cessation at close-out (washout sub-study, n = 661). Analysis was by intention-to treat. RESULTS: During fenofibrate run-in, plasma creatinine increased by 10.0 MUmol/l (p < 0.001), but quickly reversed on placebo assignment. It remained higher on fenofibrate than on placebo, but the chronic rise was slower (1.62 vs 1.89 MUmol/l annually, p = 0.01), with less estimated GFR loss (1.19 vs 2.03 ml min(-1) 1.73 m(-2) annually, p < 0.001). After washout, estimated GFR had fallen less from baseline on fenofibrate (1.9 ml min(-1) 1.73 m(-2), p = 0.065) than on placebo (6.9 ml min(-1) 1.73 m(-2), p < 0.001), sparing 5.0 ml min(-1) 1.73 m(-2) (95% CI 2.3-7.7, p < 0.001). Greater preservation of estimated GFR with fenofibrate was observed with baseline hypertriacylglycerolaemia (n = 169 vs 491 without) alone, or combined with low HDL-cholesterol (n = 140 vs 520 without) and reductions of >= 0.48 mmol/l in triacylglycerol over the active run-in period (pre-randomisation) (n = 356 vs 303 without). Fenofibrate reduced urine albumin concentrations and hence albumin/creatinine ratio by 24% vs 11% (p < 0.001; mean difference 14% [95% CI 9-18]; p < 0.001), with 14% less progression and 18% more albuminuria regression (p < 0.001) than in participants on placebo. End-stage renal event frequency was similar (n = 21 vs 26, p = 0.48). CONCLUSIONS/INTERPRETATION: Fenofibrate reduced albuminuria and slowed estimated GFR loss over 5 years, despite initially and reversibly increasing plasma creatinine. Fenofibrate may delay albuminuria and GFR impairment in type 2 diabetes patients. Confirmatory studies are merited. TRIAL REGISTRATION: ISRCTN64783481. PMID- 21052980 TI - Different knee joint loading patterns in ACL deficient copers and non-copers during walking. AB - PURPOSE: Rupture of the anterior cruciate ligament (ACL) causes changes in the walking pattern. ACL deficient subjects classified as copers and non-copers have been observed to adopt different post-injury walking patterns. How these different patterns affect the knee compression and shear forces is unresolved. Thus, the aim of the present study was to investigate how different walking patterns observed between copers, non-copers, and controls affect the knee compression and shear forces during walking. METHODS: Three-dimensional gait analyses were performed in copers (n = 9), non-copers (n = 10), and control subjects (n =19). The net knee joint moment, knee joint reaction forces, and the sagittal knee joint angle were input parameters to a biomechanical model that assessed the knee compression and shear forces. RESULTS: The results showed that the non-copers walked with significantly reduced knee compression and shear forces than the controls. The overall knee compression force pattern was similar between the copers and controls, although this variable was significantly increased at heel strike in the copers compared to both non-copers and controls. The peak shear force was significantly dependent on the peak knee extensor moment. This covariance was significantly different between groups meaning that at a given knee extensor moment the shear force was significantly reduced in the copers compared to controls. CONCLUSION: The different knee joint loading patterns observed between non-copers and copers reflected the different walking strategies adopted by these groups, which may have implications for the knee joint stability. The strategy adopted by the copers may resemble an effective way to stabilize the knee joint during walking after an ACL rupture and that the knee kinematics may play a key role for this strategy. It is clinically relevant to investigate if gait retraining would enable non-copers to walk as copers and thereby improve their knee joint stability. PMID- 21052981 TI - A 94% return to elite level football after ACL surgery: a proof of possibilities with optimal caretaking or a sign of knee abuse? PMID- 21052982 TI - Effects of aripiprazole, olanzapine, and haloperidol in a model of cognitive deficit of schizophrenia in rats: relationship with glutamate release in the medial prefrontal cortex. AB - RATIONALE: Disruption in cognition is characteristic of psychiatric illnesses such as schizophrenia. Studies of drugs that improve cognition might provide a better insight into the mechanisms underlying cognitive deficits. OBJECTIVES: We compared the effects of the antipsychotic drugs aripiprazole, olanzapine, and haloperidol on performance deficit in a test of divided and sustained visual attention, the five-choice serial reaction time task (5-CSRTT), which provides information on attentional functioning (accuracy of visual discrimination), response control (measured by anticipatory and perseverative responses) and speed. METHODS: The cognitive deficit was induced by infusion of the competitive NMDA receptor antagonist 3-(R)-2-carboxypiperazin-4-propyl-1-phosphonic acid (CPP) in the rat medial prefrontal cortex (mPFC). In vivo microdialysis was used to compare the effects of aripiprazole, olanzapine and haloperidol on CPP-induced glutamate (GLU) and serotonin (5-HT) release in the mPFC of conscious rats. RESULTS: Oral aripiprazole (1.0 and 3.0 mg/kg) and olanzapine (0.3 and 1.0 mg/kg), but not haloperidol (0.1 mg/kg), abolished the CPP-induced accuracy deficit and GLU release. Haloperidol and aripiprazole, but not olanzapine, reduced perseverative over-responding, while anticipatory responding was best controlled by olanzapine. However, these effects were not associated with changes in GLU release. No association was found between the effects of these antipsychotics on CPP-induced attentional performance deficits in the 5-CSRTT and 5-HT efflux. CONCLUSIONS: The data confirm that excessive GLU release in the mPFC is associated with attentional deficits. Thus, suppression of GLU release may be a target for the development of novel antipsychotic drugs with greater effect on some aspects of cognitive deficits. PMID- 21052983 TI - Number needed to treat analyses of drugs used for maintenance treatment of bipolar disorder. AB - RATIONALE: Due to the episodic and chronic nature of bipolar disorder (BD), maintenance therapy represents a critical part of treatment; however, there is a paucity of studies comparing effectiveness of available long-term treatments. OBJECTIVE: The aim of this study is to determine and compare the efficacy of pharmacological treatments for maintenance treatment of BD by means of the number needed to treat (NNT). METHODS: The efficacy of drugs used for maintenance treatment of BD, as emerging from the results of randomized controlled trials, was assessed using the size effect measure of NNT. PubMed searches were conducted on English-language articles published until May 2010 using the search terms "bipolar disorder," "mania," "mixed episode," or "bipolar depression," cross referenced with trial characteristic search phrases and generic names of medications. The search was supplemented by manually reviewing reference lists from identified publications. RESULTS: In 15 studies, aripiprazole, olanzapine, quetiapine, risperidone long-acting injection, lithium, lamotrigine, and divalproex proved effectiveness in terms of NNTs (>= 10% advantage over placebo) for prevention of relapse into any mood episode. Quetiapine, lithium, risperidone long-acting injection, aripiprazole, and olanzapine are effective in manic recurrence prevention. Lamotrigine, quetiapine, and lithium present significant NNTs for prevention of depressive relapses. CONCLUSIONS: All of the pharmacological agents assessed were effective in the prevention of any kind of mood episode; however, different efficacy profiles were found for prevention of manic and/or depressive relapses. The comparison of NNT values of the available agents may represent a useful tool in clinical settings, in order to facilitate implementation of long-term pharmacological interventions in patients with BD. PMID- 21052985 TI - Ultrastructural characterization of tryptophan hydroxylase 2-specific cortical serotonergic fibers and dorsal raphe neuronal cell bodies after MDMA treatment in rat. AB - RATIONALE: 3,4-Methylenedioxymethamphetamine (MDMA, "ecstasy") is a widely used recreational drug known to cause selective long-term serotonergic damage. OBJECTIVES: The aim of this study was to characterize the ultrastructure of serotonergic pericarya and proximal neurites in the dorsal raphe nucleus as well as the ultrastructure of serotonergic axons in the frontal cortex of adolescent Dark Agouti rats 3 days after treatment with 15 mg/kg i.p. MDMA. METHODS: Light microscopic immunohistochemistry and pre-embedding immunoelectron microscopy with a novel tryptophan hydroxylase-2 (Tph2) specific antibody, as a marker of serotonergic structures. RESULTS: Light microscopic analysis showed reduced serotonergic axon density and aberrant swollen varicosities in the frontal cortex of MDMA-treated animals. According to the electron microscopic analysis, Tph2 exhibited diffuse cytoplasmic immunolocalization in dorsal raphe neuronal cell bodies. The ultrastructural-morphometric analysis of these cell bodies did not indicate pathological changes or significant alteration in the cross-sectional areal density of any examined organelles. Proximal serotonergic neurites in the dorsal raphe exhibited no ultrastructural alteration. However, in the frontal cortex among intact fibers, numerous serotonergic axons with destructed microtubules were found. Most of their mitochondria were intact, albeit some injured axons also contained degenerating mitochondria; moreover, a few of them comprised confluent membrane whorls only. CONCLUSIONS: Our treatment protocol does not lead to ultrastructural alteration in the serotonergic dorsal raphe cell bodies and in their proximal neurites but causes impairment in cortical serotonergic axons. In these, the main ultrastructural alteration is the destruction of microtubules although a smaller portion of these axons probably undergo an irreversible damage. PMID- 21052986 TI - Do new generation flexible ureterorenoscopes offer a higher treatment success than their predecessors? AB - New generation flexible ureterorenoscopes offer an improved deflection mechanism and a stiffer sheath compared to their predecessors. We aimed to determine if these improvements in design lead to a higher efficacy in the treatment of nephrolithiasis. Ninety patients with upper urinary tract calculi were included into a retrospective analysis. Twenty-nine cases were treated with the conventional flexible ureterorenoscope (11274 AA, Karl Storz Endoscopy, Germany) and 61 cases were treated with the new generation device (Flex-X, Karl Storz Endoscopy). Patients' and stone characteristics, intraoperative data, treatment success and complications were retrieved from the charts and compared between the two groups. Preoperative data were comparable in both groups. Whereas stone access was also comparable (97 vs. 100%; n.s.), immediate treatment success was significantly higher for the new flexible scope (70 vs. 38%; p = 0.003). For the subgroup of lower pole stones, stone access was possible in 94 versus 100% (n.s.) and treatment success was 31 versus 69% (p = 0.0004) for the conventional and the new generation device, respectively. No major complications were observed, minor complications were comparable in both groups. Our study suggests an advantage of the new generation flexible ureterorenoscopes compared to their predecessors. They offer an increased stone free rate especially in the treatment of lower pole stones. It seems therefore advisable to switch to the latest generation flexible devices. PMID- 21052984 TI - Chronic escitalopram treatment restores spatial learning, monoamine levels, and hippocampal long-term potentiation in an animal model of depression. AB - RATIONALE: The neural basis of depression-associated cognitive impairment remains poorly understood, and the effect of antidepressants on learning and synaptic plasticity in animal models of depression is unknown. In our previous study, learning was impaired in the neonatal clomipramine model of endogenous depression. However, it is not known whether the cognitive impairment in this model responds to antidepressant treatment, and the electrophysiological and neurochemical bases remain to be determined. OBJECTIVES: To address this, we assessed the effects of escitalopram treatment on spatial learning and memory in the partially baited radial arm maze (RAM) task and long-term potentiation (LTP) in the Schaffer collateral-CA1 synapses in neonatal clomipramine-exposed rats. Also, alterations in the levels of biogenic amines and acetylcholinesterase (AChE) activity were estimated. RESULTS: Fourteen days of escitalopram treatment restored the mobility and preference to sucrose water in the forced swim and sucrose consumption tests, respectively. The learning impairment in the RAM was reversed by escitalopram treatment. Interestingly, CA1-LTP was decreased in the neonatal clomipramine-exposed rats, which was restored by escitalopram treatment. Monoamine levels and AChE activity were decreased in several brain regions, which were restored by chronic escitalopram treatment. CONCLUSIONS: Thus, we demonstrate that hippocampal LTP is decreased in this animal model of depression, possibly explaining the learning deficits. Further, the reversal of learning and electrophysiological impairments by escitalopram reveals the important therapeutic effects of escitalopram that could benefit patients suffering from depression. PMID- 21052987 TI - Toxicity of sediment-associated pesticides to Chironomus dilutus and Hyalella azteca. AB - Two hundred sediment samples were collected and their toxicity evaluated to aquatic species in a previous study in the agriculturally dominated Central Valley of California, United States. Pyrethroid insecticides were the main contributors to the observed toxicity. However, mortality in approximately one third of the toxic samples could not be explained solely by the presence of pyrethroids in the matrices. Hundreds of pesticides are currently used in the Central Valley of California, but only a few dozen are analyzed in standard environmental monitoring. A significant amount of unexplained sediment toxicity may be due to pesticides that are in widespread use that but have not been routinely monitored in the environment, and even if some of them were, the concentrations harmful to aquatic organisms are unknown. In this study, toxicity thresholds for nine sediment-associated pesticides including abamectin, diazinon, dicofol, fenpropathrin, indoxacarb, methyl parathion, oxyfluorfen, propargite, and pyraclostrobin were established for two aquatic species, the midge Chironomus dilutus and the amphipod Hyalella azteca. For midges, the median lethal concentration (LC50) of the pesticides ranged from 0.18 to 964 MUg/g organic carbon (OC), with abamectin being the most toxic and propargite being the least toxic pesticide. A sublethal growth endpoint using average individual ash-free dry mass was also measured for the midges. The no-observable effect concentration values for growth ranged from 0.10 to 633 MUg/g OC for the nine pesticides. For the amphipods, fenpropathrin was the most toxic, with an LC50 of 1-2 MUg/g OC. Abamectin, diazinon, and methyl parathion were all moderately toxic (LC50s 2.8-26 MUg/g OC). Dicofol, indoxacarb, oxyfluorfen, propargite, and pyraclostrobin were all relatively nontoxic, with LC50s greater than the highest concentrations tested. The toxicity information collected in the present study will be helpful in decreasing the frequency of unexplained sediment toxicity in agricultural waterways. PMID- 21052988 TI - Metabolic engineering of Escherichia coli for biotechnological production of high value organic acids and alcohols. AB - Confronted with the gradual and inescapable exhaustion of the earth's fossil energy resources, the bio-based process to produce platform chemicals from renewable carbohydrates is attracting growing interest. Escherichia coli has been chosen as a workhouse for the production of many valuable chemicals due to its clear genetic background, convenient to be genetically modified and good growth properties with low nutrient requirements. Rational strain development of E. coli achieved by metabolic engineering strategies has provided new processes for efficiently biotechnological production of various high-value chemical building blocks. Compared to previous reviews, this review focuses on recent advances in metabolic engineering of the industrial model bacteria E. coli that lead to efficient recombinant biocatalysts for the production of high-value organic acids like succinic acid, lactic acid, 3-hydroxypropanoic acid and glucaric acid as well as alcohols like 1,3-propanediol, xylitol, mannitol, and glycerol with the discussion of the future research in this area. Besides, this review also discusses several platform chemicals, including fumaric acid, aspartic acid, glutamic acid, sorbitol, itaconic acid, and 2,5-furan dicarboxylic acid, which have not been produced by E. coli until now. PMID- 21052989 TI - Production of aglycon protopanaxadiol via compound K by a thermostable beta glycosidase from Pyrococcus furiosus. AB - The production of compound K and aglycon protopanaxadiol (APPD) from ginsenoside Rd and ginseng root extract was performed using a recombinant beta-glycosidase from Pyrococcus furiosus. The activity for Rd was optimal at pH 5.5 and 95 degrees C with a half-life of 68 h at 95 degrees C. beta-Glycosidase converted Rb(1), Rb(2), Rc, and Rd to APPD via compound K. With increases in the enzyme activity, the productivities of compound K and APPD increased. The substrate concentration was optimal at 4.0 mM Rd or 10% (w/v) ginseng root extract; 4 mM of Rd was converted to 3.3 mM compound K with a yield of 82.5% (mol/mol) and a productivity of 2,010 mg l(-1) h(-1) at 1 h and was hydrolyzed completely to APPD with 364 mg l(-1) h(-1) after 5 h. Rb(1), Rb(2), Rc, and Rd at 3.9 mM in 10% ginseng root extract were converted to 3.1 mM compound K with 79.5% and 1,610 mg l(-1) h(-1) at 1.2 h and were hydrolyzed completely to APPD with 300 mg l(-1) h( 1) after 6 h. The concentrations and productivities of compound K and APPD in the present study are the highest ever reported. PMID- 21052990 TI - Propeptide of Bacillus subtilis amylase enhances extracellular production of human interferon-alpha in Bacillus subtilis. AB - The Gram-positive bacterium, Bacillus subtilis and related species are widely used industrially as hosts for producing enzymes. These species possess a high potential to produce secreted proteins into the culture medium. Nevertheless, the secretion of heterologous proteins by these species is frequently inefficient. In this study, the human interferon-alpha2b (hIFN-alpha2b) was used as a heterologous model protein, to investigate the effect of B. subtilis AmyE propeptide in enhancing the secretion of heterologous proteins in B. subtilis. We found that the secretion production and activity of hIFN-alpha2b with AmyE propeptide increased by more than threefold, compared to that without AmyE propeptide. The maximum amount of secreted hIFN-alpha2b with propeptide was 14.8 +/- 0.6 MUg ml-1. In addition, the pro-hIFN-alpha2b bioactivity reached 5.4 +/- 0.5 x 107 U mg-1, which is roughly the same level as that of the non-propeptide hIFN-alpha2b. These results indicated that AmyE propeptide enhanced the secretion of the hIFN-alpha2b protein from B. subtilis. This study provides a useful method to enhance the extracellular production of heterologous proteins in B. subtilis. PMID- 21052991 TI - Electrokinetic remediation and microbial community shift of beta-cyclodextrin dissolved petroleum hydrocarbon-contaminated soil. AB - Electrokinetic (EK) migration of beta-cyclodextrin (beta-CD), which is inclusive of total petroleum hydrocarbon (TPH), is an economically beneficial and environmentally friendly remediation process for oil-contaminated soils. Remediation studies of oil-contaminated soils generally prepared samples using particular TPHs. This study investigates the removal of TPHs from, and electromigration of microbial cells in field samples via EK remediation. Both TPH content and soil respiration declined after the EK remediation process. The strains in the original soil sample included Bacillus sp., Sporosarcina sp., Beta proteobacterium, Streptomyces sp., Pontibacter sp., Azorhizobium sp., Taxeobacter sp., and Williamsia sp. Electromigration of microbial cells reduced the biodiversity of the microbial community in soil following EK remediation. At 200 V m(-1) for 10 days, 36% TPH was removed, with a small population of microbial cells flushed out, demonstrating that EK remediation is effective for the present oil-contaminated soils collected in field. PMID- 21052992 TI - Characterization of extracellular polymeric substances from biofilm in the process of starting-up a partial nitrification process under salt stress. AB - In this study, the characteristics of extracellular polymeric substance (EPS) fractions of biofilm during the process of establishing a partial nitrification under salt stress were analyzed in terms of concentrations, molecular weight distribution, and three-dimensional excitation-emission matrix (EEM) fluorescence spectroscopy. A partial nitrification was formed successfully with a salinity of 1%. Results indicated that the amount of total EPS increased from 54.2 mg g-1 VSS 1 on day 1 to 99.6 mg g-1 VSS-1 on day 55 due to the NaCl concentration changed from 0 to 10.0 g L-1 in a biofilm reactor. The changes of loosely bound EPS (LB EPS) compounds under different salt concentrations appeared to be more significant than those of the tightly bound EPS. A clear release of polysaccharides in the LB-EPS fraction was detected during the enhancement of salinity. This was considered as a protective response of bacteria to the salinity. Three fluorescence peaks were identified in the EEM fluorescence spectra of the EPS fraction samples. Two peaks were assigned to the protein-like fluorophores, and the third peak was located at the excitation/emission wavelengths of 275 nm/425-435 nm of the spectra of EPS fractions till the salinity maintained constant at 1%. This information is valuable for understanding the characteristics of EPS isolated from biomass in a saline nitrogen removal system. PMID- 21052993 TI - Metabolic changes underlying the higher accumulation of glutathione in Saccharomyces cerevisiae mutants. AB - Molecular mechanisms leading to glutathione (GSH) over-accumulation in a Saccharomyces cerevisiae strain produced by UV irradiation-induced random mutagenesis were studied. The mutant accumulated GSH but also cysteine and gamma glutamylcysteine in concentrations that were several fold higher than in its wild type parent strain under all studied cultivation conditions (chemostat, fed batch, and turbidostat). Transcript analyses along with shotgun proteome quantification indicated a difference in the expression of a number of genes and proteins, the most pronounced of which were several fold higher expression of CYS3, but also that of GSH1 and its transcriptional activator YAP1. This together with the higher intracellular cysteine concentration is most likely the primary factor underlying GSH over-accumulation in the mutant. Comparative sequencing of GSH1 and the fed-batch experiments with continuous cysteine addition demonstrated that the feedback inhibition of Gsh1p by GSH was still operational in the mutant. PMID- 21052995 TI - Laparoscopic sleeve gastrectomy with ileal interposition (SGIT): a modified duodenal switch for resolution of type 2 diabetes mellitus in lesser obese patients (BMI < 35). PMID- 21052994 TI - Topoisomerase inhibitors modulate expression of melanocytic antigens and enhance T cell recognition of tumor cells. AB - While there are many obstacles to immune destruction of autologous tumors, there is mounting evidence that tumor antigen recognition does occur. Unfortunately, immune recognition rarely controls clinically significant tumors. Even the most effective immune response will fail if tumors fail to express target antigens. Importantly, reduced tumor antigen expression often results from changes in gene regulation rather than irrevocable loss of genetic information. Such perturbations are often reversible by specific compounds or biological mediators, prompting a search for agents with improved antigen-enhancing properties. Some recent findings have suggested that certain conventional chemotherapeutic agents may have beneficial properties for cancer treatment beyond their direct cytotoxicities against tumor cells. Accordingly, we screened an important subset of these agents, topoisomerase inhibitors, for their effects on antigen levels in tumor cells. Our analyses demonstrate upregulation of antigen expression in a variety of melanoma cell lines and gliomas in response to nanomolar levels of certain specific topoisomerase inhibitors. To demonstrate the ability of CD8+ T cells to recognize tumors, we assayed cytokine secretion in T cells transfected with T cell receptors directed against Melan-A/MART-1 antigen. Three days of daunorubicin treatment resulted in enhanced antigen expression by tumor cells, in turn inducing co-cultured antigen-specific T cells to secrete Interleukin-2 and Interferon-gamma. These results demonstrate that specific topoisomerase inhibitors can augment melanoma antigen production, suggesting that a combination of chemotherapy and immunotherapy may be of potential value in the treatment of otherwise insensitive cancers. PMID- 21052996 TI - Laparoscopic antireflux surgery in patients with throat symptoms: a word of caution. AB - BACKGROUND: A subset of patients undergoing laparoscopic fundoplication presents with atypical throat symptoms, and the benefit of surgery in these patients is debated. These patients can present with throat symptoms alone or in combination with typical reflux symptoms. We evaluated the clinical outcome in these patients and compared their outcomes with a larger group of patients who did not have throat symptoms before fundoplication. METHODS: Outcome data for 893 consecutive patients who underwent a laparoscopic fundoplication from January 2002 to June 2008 were collected prospectively and managed on a database. Ninety-three patients with atypical throat symptoms were identified, and divided into subgroups with (n=66) and without (n=27) typical reflux symptoms (heartburn and/or regurgitation), and outcomes were compared with patients (n=800) who didn't have throat symptoms. Symptoms were assessed with analog symptom scores for heartburn and dysphagia, as well as satisfaction with the surgical outcome. Case records for patients with throat symptoms were also reviewed to obtain more detail about specific throat symptoms and their resolution. RESULTS: Cough was the commonest atypical symptom, followed by sore throat. Heartburn scores improved following surgery in all patient groups. Dysphagia was more common 3 months after surgery in patients without throat symptoms, although there were no differences for dysphagia at later follow-up. Following surgery satisfaction scores were highest in patients with atypical throat symptoms who also had typical reflux symptoms, and the scores were lowest in patients who only had atypical throat symptoms. Nearly twice as many patients who had throat and reflux symptoms reported improvement or resolution of symptoms, compared to patients who only had throat symptoms. CONCLUSIONS: Fundoplication achieves a good outcome in patients with atypical throat symptoms who also report typical symptoms of reflux. However, surgeons should be cautious about operating on the subgroup of patients with objective evidence of gastroesophageal reflux who describe throat symptoms but do not report heartburn or regurgitation. In this subgroup, expectations of a good outcome should be minimized. PMID- 21052997 TI - Modular training in laparoscopic colorectal surgery maximizes training opportunities without clinical compromise. AB - BACKGROUND: Laparoscopic surgery is increasingly proposed as the gold standard technique for colorectal resections and is offered to greater numbers of patients. To meet the rising service demands, more trainees and established consultants need to learn the technique. We sought to establish whether it is feasible and safe to train on a large proportion of patients without adversely affecting clinical outcome or smooth running of clinical service. METHODS: Between September 2006 and July 2008, four senior trainees of the Wessex Specialist Registrar training rotation were involved in training in laparoscopic colorectal surgery. Major colorectal resections were separated into clearly defined modules for training purposes. Right and left hemicolectomies each comprised two modules, and low anterior resection comprised three modules. Prospective data on consecutive patients undergoing laparoscopic colorectal surgery were collected. Data included type of surgery, module of procedure performed by trainee or trainer, body mass index (BMI), conversion rates, median operative time, complications, length of hospital stay, and mortality. RESULTS: During the study period 227 colorectal resections were attempted laparoscopically. Of these, 216 (96%) proved suitable for training and 97% were completed laparoscopically. Some 23% of patients were American Society of Anesthesiologists score (ASA)>=3; 35% had a BMI>=28; 38% had a history of previous laparotomy. Trainees performed 96% (142/148) of right hemicolectomy modules, 99% (154/156) of left hemicolectomy modules, and 67% (128/192) of rectal resection modules. Each trainee was competent to do right and left hemicolectomy at the end of the training period. Four patients (2%) required further surgery for postoperative complications. Of the procedures completed by the trainees, 155/171 (91%) cancer resections were potentially surgically curative, and R0 resections were achieved in 99%. The readmission rate was 10% (22/216) and median length of hospital stay was 4 days. Postoperative mortality was zero. CONCLUSIONS: Using a modular approach it is possible to provide effective training during almost all laparoscopic colorectal resections while achieving good clinical outcomes for the patients. PMID- 21052998 TI - Surgery and global public health: the UNC-Malawi surgical initiative as a model for sustainable collaboration. AB - Addressing global health disparities in the developing world gained prominence during the first decade of the twenty-first century. The HIV/AIDS epidemic triggered much interest in and funding for health improvement and mortality reduction in low- and middle-income nations, particularly in sub-Saharan Africa. Alliances between U.S. academic medical centers and African nations were created through the departments of internal medicine and infectious disease. However, the importance of addressing surgical disease as part of global public health is becoming recognized as part of international health development efforts. We propose a novel model to reduce the global burden of surgical diseases in resource poor settings by incorporating a sustained institutional surgical presence with our residency training experience by placing a senior surgical resident to provide continuity of care and facilitate training of local personnel. We present the experiences of the University of North Carolina (UNC) Department of Surgery as part of the UNC Project in Malawi as an example of this innovative approach. PMID- 21052999 TI - Thirty-day morbidity and mortality of the laparoscopic ileal interposition associated with sleeve gastrectomy for the treatment of type 2 diabetic patients with BMI <35: an analysis of 454 consecutive patients. AB - BACKGROUND: The objective of this study was to evaluate the early results of the laparoscopic interposition of a segment of ileum associated with a sleeve gastrectomy (LII-SG) in order to treat patients with type 2 diabetes mellitus (T2DM) and BMI <35. Data regarding morbidly obese diabetic patients subjected to surgery has consistently been validated. To date, there is scarce information about morbidity and mortality related to the surgical treatment of a "true" typical diabetic population with BMI <35. METHODS: The procedures were performed in 454 patients (322 male, 132 female). Mean age was 53.6 +/- 8 years (range = 27 75). Mean BMI was 29.7 +/- 3.6 kg/m(2) (range = 19-34.8). All patients had the diagnosis of T2DM for at least 3 years. Insulin therapy was used by 45.6% of patients. Mean duration of T2DM was 10.8 +/- 5.9 years (range = 3-35). Mean hemoglobin A(1c) was 8.8 +/- 1.9%. Dyslipidemia was observed in 78.4%, hypertension in 64.8%, nephropathy in 28.6%, retinopathy in 32.6%, neuropathy in 34.6%, and coronary heart disease in 13%. RESULTS: There was no conversion to open surgery. All patients were evaluated postoperatively. Mortality was 0.4%. There were 29 major complications (6.4%) in 22 patients (4.8%) and 51 minor complications (11.2%). Reoperations were performed on 8 patients (1.7%). Twenty patients (4.4%) were readmitted to the hospital. Mean postoperative BMI was 25.8 +/- 3.5 kg/m(2). Mean fasting plasma glucose decreased from 198 +/- 69 to 128 +/- 67 mg/dl and mean postprandial plasma glucose decreased from 262 +/- 101 to 136 +/- 43 mg/dl. CONCLUSIONS: The laparoscopic ileal interposition associated with a sleeve gastrectomy was considered a safe operation with low rates of morbidity and mortality in a diabetic population with BMI < 35. An early control of postprandial glycemia was observed. PMID- 21053000 TI - [Calciphylaxis. A less well-known, clinically relevant disease]. AB - Calciphylaxis is a rare disease which has been increasingly reported in recent decades and has consequently shifted into the focus of clinical and scientific research. The clinical picture is characterized by extensive ischemic ulcerations of the skin and subcutis. Histologically, the small vessels in these lesions show prominent calcifications. Due to the extensive areas of ulceration and necrosis as well as frequently present comorbidities, patients with calciphylaxis are prone to infection and sepsis. In this work, we describe the case of a female kidney-transplant patient with vasculitis who, despite good graft function, developed a fulminant calciphylaxis of both thighs 4 years post transplantation and died of septic complications. The differential diagnoses as well as clinical procedures are described in detail in the case history. In the discussion, we give an overview of the current state of knowledge regarding the etiopathogenesis, risk factors, diagnostic measures and clinical management of calciphylaxis. PMID- 21053001 TI - [Systemic therapy of soft tissue sarcomas]. AB - The gold standard for the treatment of primary, resectable, high-grade soft tissue sarcomas is complete surgical removal followed by radiotherapy. In cases where preservation of function is not possible, preoperative treatment options should be considered. Systemic therapy is the treatment of choice for metastatic soft tissue sarcomas. The most active single agents include the anthracyclines doxorubicin and epirubicin, as well as ifosfamide. While combination chemotherapy yields higher response rates, this is at the cost of increased toxicity with no evidence of prolonged overall survival. Current treatment strategies focus on the development of specific treatments for well defined soft tissue sarcoma subtypes. The first and highly successful targeted therapy was seen with the introduction of imatinib in the treatment of gastrointestinal stromal tumors. PMID- 21053002 TI - [Cytologic diagnosis of sarcoma]. AB - Soft tissue swelling represents a common clinical sign of a variety of neoplastic and non-neoplastic lesions. Sarcoma is rarely a cause. Fine needle biopsy as a minimally invasive, economic and accurate method is well suited for the diagnosis of inflammatory/infectious processes as well as of recurrent and metastatic disease. Cytologic diagnosis of primary soft tissue tumors is also feasible. It requires close collaboration with other medical disciplines and incorporation of clinical, radiological and morphologic findings. Clinical data such as age, gender, size and topography are important parameters. The differentiation of cells and properties of the extracellular matrix supplies clues for the differential diagnosis and forms the starting point for immunohistochemical or molecular analysis (FISH, RT-PCR). This analysis may be performed on cytological smears, paraffin embedded material of the cell blocks or on frozen material. PMID- 21053003 TI - Chest computed tomography using iterative reconstruction vs filtered back projection (Part 1): Evaluation of image noise reduction in 32 patients. AB - OBJECTIVE: To assess noise reduction achievable with an iterative reconstruction algorithm. METHODS: 32 consecutive chest CT angiograms were reconstructed with regular filtered back projection (FBP) (Group 1) and an iterative reconstruction technique (IRIS) with 3 (Group 2a) and 5 (Group 2b) iterations. RESULTS: Objective image noise was significantly reduced in Group 2a and Group 2b compared with FBP (p < 0.0001). There was a significant reduction in the level of subjective image noise in Group 2a compared with Group 1 images (p < 0.003), further reinforced on Group 2b images (Group 2b vs Group 1; p < 0.0001) (Group 2b vs Group 2a; p = 0.0006). The overall image quality scores significantly improved on Group 2a images compared with Group 1 images (p = 0.0081) and on Group 2b images compared with Group 2a images (p < 0.0001). Comparative analysis of individual CT features of mild lung infiltration showed improved conspicuity of ground glass attenuation (p < 0.0001), ill-defined micronodules (p = 0.0351) and emphysematous lesions (p < 0.0001) on Group 2a images, further improved on Group 2b images for ground glass attenuation (p < 0.0001), and emphysematous lesions (p = 0.0087). CONCLUSION: Compared with regular FBP, iterative reconstructions enable significant reduction of image noise without loss of diagnostic information, thus having the potential to decrease radiation dose during chest CT examinations. PMID- 21053004 TI - Role of the left aortic arch and blood flows in embryonic American alligator (Alligator mississippiensis). AB - All embryonic and fetal amniotes possess a ductus(i) arteriosus(i) that allows blood to bypass the pulmonary circulation and the non-functional lungs. The central hemodynamic of embryonic reptiles are unique, given the additional systemic aorta that allows pulmonary circulatory bypass, the left aorta (LAo). The LAo exits in the right ventricle or 'pulmonary side' of reptilian hearts in both embryos and adults, but its functional significance in ovo is unknown. This study investigated the role of the LAo in embryonic American alligators by surgically occluding the LAo and measuring oxygen consumption and, in addition, measured hemodynamic responses to hypoxia in embryonic alligators. We measured systemic cardiac output and primary chorioallantoic membrane (CAM) artery blood flow for normoxic and hypoxic-incubated (10% O(2)) American alligator embryos (Alligator mississippiensis). Chronic blood flow (1-124 h) in the primary CAM artery for hypoxic-incubated embryos (92 +/- 26 ml min(-1) kg(-1)) was elevated when compared with normoxic-incubated embryos (29 +/- 14 ml min(-1) kg(-1), N = 6; P = 0.039). For hypoxic-incubated embryos, acute LAo blood flow (49.6 +/- 24.4 ml min(-1) kg(-1)) was equivalent to the combined flow of the three systemic great vessels that arise from the left ventricle, the right aorta, common carotid and subclavian arteries (43.6 +/- 21.5 ml min(-1) kg(-1), N = 5). Similarly, for normoxic-incubated embryos, LAo blood flow (27.3 +/- 6.6 ml min(-1) kg(-1)) did not statistically differ from the other three vessels (18.4 +/- 4.9 ml min(-1) kg(-1), N = 5). This study contains the first direct test of LAo function and the first measurements of blood flow in an embryonic reptile. These data support the hypotheses that embryonic alligators utilize the LAo to divert a significant amount of right ventricular blood into the systemic circulation, and that CAM blood flow increases following chronic hypoxic conditions. However, surgical occlusion of the LAo did not affect egg V(O) 2 supporting the hypothesis that the LAo of reptiles is not critical to maintain in ovo oxygen consumption. PMID- 21053005 TI - Impact of therapy with statins, beta-blockers and angiotensin-converting enzyme inhibitors on plasma myeloperoxidase in patients with coronary artery disease. AB - PURPOSE: The present study investigated whether therapy with statins, beta blockers and angiotensin-converting enzyme (ACE) inhibitors on admission affects the plasma level of myeloperoxidase (MPO) in patients with coronary artery disease (CAD). METHODS: This study included a consecutive series of 680 patients with angiographically confirmed CAD: 382 patients with stable CAD, 107 patients with unstable angina and 191 patients with ST-segment elevation acute myocardial infarction. Blood samples for MPO measurement were taken before angiography prior to heparin administration. RESULTS: On admission, 316 patients were receiving statins, 432 patients were receiving beta-blockers and 354 patients were receiving ACE inhibitors. MPO level was: 65.5 [48.8-101.6] MUg/L among patients on statin therapy versus 86.7 [56.0-159.9] MUg/L among patients without statin therapy (P < 0.001); 68.1 [50.1-105.1] MUg/L among patients on beta-blocker therapy versus 97.3 [56.0-181.9] MUg/L among patients without beta-blocker therapy (P < 0.001) and 65.5 [49.2-102.0] MUg/L among patients receiving ACE inhibitors versus 92.0 [56.1-171.1] MUg/L among patients not receiving ACE inhibitors on admission (P < 0.001). The MPO-lowering effect of these drugs was observed only in patients with acute coronary syndrome but not in patients with stable CAD. The multivariable analysis, adjusting for cardiovascular risk factors, clinical variables and concomitant therapy identified beta-blockers on admission as an independent correlate of lower MPO levels (P = 0.016). CONCLUSIONS: In patients with symptomatic CAD, beta-blocker therapy on admission was independently associated with lower levels of plasma MPO. Pre-admission therapy with statins, beta-blockers or ACE inhibitors reduced MPO levels in patients with acute coronary syndromes, but not in patients with stable CAD. PMID- 21053006 TI - The effect of a 4-week treatment with reboxetine on metabolic parameters of depressed inpatients. AB - In the present study, we examined several metabolic parameters in a group of 19 acutely depressed inpatients with major depression (DSM-IV) at baseline and investigated their development after 4 weeks of antidepressant treatment with reboxetine (8-12 mg per day). We performed oral glucose tolerance tests and additionally assessed free saliva cortisol and post-dexamethasone cortisol levels, as well as whole cholesterol, HDL- and LDL-cholesterol, triglycerides, free fatty acids, waist and hip circumference, heart rate, systolic and diastolic blood pressure. Furthermore, we evaluated the incidence of a metabolic syndrome and investigated the metabolic changes in depressed patients with and without a metabolic syndrome. We found 42.1% of patients to fulfil the criteria for a metabolic syndrome. Overall, reboxetine was well tolerated with essentially no side effects during the observation period. A 4-week treatment with reboxetine showed a beneficial effect on several metabolic parameters that was independent from treatment outcome and could therefore theoretically be attributed to the pharmacological profile of the drug. Due to the preliminary character of the present investigation, no conclusions about the clinical efficacy of reboxetine can be drawn. PMID- 21053007 TI - Comparison of endocanalicular laser dacryocystorhinostomy with and without endonasal procedures. AB - AIM: To evaluate the role of concomitant endonasal procedures in endocanalicular diode laser dacryocystorhinostomy (DCR). MATERIALS AND METHODS: This was a retrospective study, conducted on adult patients with primary acquired nasolacrimal duct obstruction. Thirty-one (seven bilateral) patients formed group 1 and 37 (five bilateral) patients group 2. Group 1 underwent endocanalicular laser DCR. In group 2, concomitant endonasal procedures, namely middle turbinectomy and endonasal mechanical enlargement of the neo-ostium were additionally performed. Mean follow-up period was 14.6 months for group 1, and 11.0 for group 2. RESULTS: Functional success was defined as the absence of epiphora, and anatomical success as the ability to irrigate the lacrimal system. Anatomic and functional success at each visit were compared using chi-square tests (p < 0.05). Total laser energies used for each case were compared using the Mann-Whitney U test (p < 0.05). Anatomical success rates were increased at the third month, sixth month and final visits. The increase was statistically significant. P value was 0.04 for the third and sixth month results comparison. Final anatomical success rates were 27/38 for group 1 and 39/42 for group 2 (p = 0.02). Final functional success rates were 25/38 patients in group 1 and 36/42 in group 2 (p = 0.07). Mean total laser energy used decreased from 300.0 to 165.0 joules (p < 0.001). CONCLUSIONS: Based on our increased anatomical success rates, concomitant endonasal procedures may help increase success rate in endocanalicular diode laser DCR cases. PMID- 21053008 TI - Intravitreal bevacizumab for treatment of serous macular detachment in central retinal vein occlusion. AB - PURPOSE: To report the long-term effect of intravitreal bevacizumab on serous macular detachment (SMD) in central retinal vein occlusion (CRVO). METHODS: Retrospective, interventional, noncomparative case series. Nineteen consecutive patients (19 eyes) with SMD secondary to CRVO were included. Primary outcomes were the change of the best-corrected visual acuity (BCVA) and the central foveal thickness (CFT) at final visit. Secondary outcome was the resolution of the SMD. RESULTS: The mean patient age was 65.6 years, and the mean follow-up time 21.6 months. Of the 19 eyes, 15 eyes were non-ischemic. The average number of bevacizumab injections was 5.9 from baseline to the final visit. Mean logMAR BCVA improved from 1.20 +/- 0.45 (20/317) to [Formula: see text] and mean CFT decreased from 918 +/- 280 MUm to [Formula: see text] at the final visit. The SMD resolved in 16 of the 19 eyes completely. No local or systemic complication was observed. CONCLUSION: In this retrospective case series, a significant improvement of the vision and resolution of the SMD was found after bevacizumab treatment for CRVO with SMD. Large case series are necessary to evaluate the role of the intravitreal bevacizumab treatment for CRVO associated with SMD. PMID- 21053009 TI - Do lichens have "memory" of their native nitrogen environment? AB - This study aimed to deepen the knowledge about intraspecific mechanisms regulating nitrogen tolerance in lichens to wet nitrogen deposition. Thalli of the nitrophilous lichen Xanthoria parietina were collected from environments with different nitrogen availabilities and immersed in 80 mL of ammonium sulphate (NH4)2SO4 solutions with distinct concentrations (0, 0.025, 0.05 and 0.25 M) for 5 h per day during 3 days in a week. After each soaking event, lichens were air dried. After each treatment, maximal PSII efficiency, localization of ammonium ions, concentrations of K+ and Mg2+ and thalli buffer capacity were determined. Our results show that lichens are marked by their native nitrogen environment, since there were important differences between the physiological responses of X. parietina thalli previously grown in an area with high nitrogen deposition (nitrogen emissions of ca. 13,000 t/year) and those previously grown in an unpolluted area (nitrogen emissions of ca. 500 t/year). Greater N availability seems to enable X. parietina to cope better with the effects of nitrogen pollution. PMID- 21053010 TI - Chloroplast actin filaments organize meshwork on the photorelocated chloroplasts in the moss Physcomitrella patens. AB - Cytoskeleton dynamics during phototropin-dependent chloroplast photorelocation movement was analyzed in protonemal cells of actin- and microtubule-visualized lines of Physcomitrella patens expressing GFP- or tdTomato-talin and GFP-tubulin. Using newly developed epi- and trans-microbeam irradiation systems that permit fluorescence observation of the cell under blue microbeam irradiation inducing chloroplast relocation, it was revealed that meshwork of actin filaments formed at the chloroplast-accumulating area both in the avoidance and accumulation movements. The structure disappeared soon when blue microbeam was turned off, and it was not induced under red microbeam irradiation that did not evoke chloroplast relocation movement. In contrast, no apparent change in microtubule organization was detected during the movements. The actin meshwork was composed of short actin filaments distinct from the cytoplasmic long actin cables and was present between the chloroplasts and plasma membrane. The short actin filaments emerged from around the chloroplast periphery towards the center of chloroplast. Showing highly dynamic behavior, the chloroplast actin filaments (cp-actin filaments) were rapidly organized into meshwork on the chloroplast surface facing plasma membrane. The actin filament configuration on a chloroplast led to the formation of actin meshwork area in the cell as the chloroplasts arrived at and occupied the area. After establishment of the meshwork, cp-actin filaments were still highly dynamic, showing appearance, disappearance, severing and bundling of filaments. These results indicate that the cp-actin filaments have significant roles in the chloroplast movement and positioning in the cell. PMID- 21053011 TI - Betaine aldehyde dehydrogenase genes from Arabidopsis with different sub-cellular localization affect stress responses. AB - Arabidopsis thaliana belongs to those plants that do not naturally accumulate glycine betaine (GB), although its genome contains two genes, ALDH10A8 and ALDH10A9 that code for betaine aldehyde dehydrogenases (BADHs). BADHs were initially known to catalyze the last step of the biosynthesis of GB in plants. But they can also oxidize metabolism-derived aminoaldehydes to their corresponding amino acids in some cases. This study was carried out to investigate the functional properties of Arabidopsis BADH genes. Here, we have shown that ALDH10A8 and ALDH10A9 proteins are targeted to leucoplasts and peroxisomes, respectively. The expression patterns of ALDH10A8 and ALDH10A9 genes have been analysed under abiotic stress conditions. Both genes are expressed in the plant and weakly induced by ABA, salt, chilling (4 degrees C), methyl viologen and dehydration. The role of the ALDH10A8 gene was analysed using T-DNA insertion mutants. There was no phenotypic difference between wild-type and mutant plants in the absence of stress. But ALDH10A8 seedlings and 4-week-old plants were more sensitive to dehydration and salt stress than wild-type plants. The recombinant ALDH10A9 enzyme was shown to oxidize betaine aldehyde, 4 aminobutyraldehyde and 3-aminopropionaldehyde to their corresponding carboxylic acids. We hypothesize that ALDH10A8 or ALDH10A9 may serve as detoxification enzymes controlling the level of aminoaldehydes, which are produced in cellular metabolism under stress conditions. PMID- 21053012 TI - Gene regulation patterns in triterpene biosynthetic pathway driven by overexpression of squalene synthase and methyl jasmonate elicitation in Bupleurum falcatum. AB - The root of Bupleurum falcatum L. (Apiaceae) has long been one of the most important traditional herbal medicines in Asian countries. A group of triterpene saponins (saikosaponins) are the major constituents of this plant. Squalene synthase (SS) may play a regulatory role in directing triterpene intermediates and sterol pathways. Here, we investigated the regulatory role of the squalene synthase (BfSS1) gene in the biosynthesis of phytosterol and triterpene in B. falcatum. BfSS1 mRNA accumulated ubiquitously in plant organs and markedly increased in roots after treatment with methyl jasmonate (MeJA), ABA and ethephon. Transgenic B. falcatum constructs overexpressing BfSS1 in the sense and antisense orientations were assembled using the Agrobacterium-mediated method. Transgenic roots overexpressing BfSS1 in the sense orientation resulted in enhanced production of both phytosterol and saikosaponins. Overexpression of the BfSS1 gene in the sense orientation increased the mRNA accumulation of downstream genes such as squalene epoxidase and cycloartenol synthase but unexpectedly decreased the mRNA levels of beta-amyrin synthase (beta-AS), a triterpene synthase mRNA. MeJA treatment of wild-type roots strongly stimulated beta-AS mRNA accumulation and saikosaponin production but suppressed phytosterol production. MeJA treatment of transgenic roots overexpressing BfSS1 in the sense orientation failed to stimulate beta-AS mRNA accumulation but still enhanced saikosaponin and phytosterol production. These results indicate that overexpression of BfSS1 in B. falcatum regulates more powerfully the downstream genes than elicitor (MeJA) treatment in triterpene and phytosterol biosynthesis. PMID- 21053014 TI - Larvicidal activity of selected plant hydrodistillate extracts against the house mosquito, Culex pipiens, a West Nile virus vector. AB - The larvicidal activity of hydrodistillate extracts from Chrysanthemum coronarium L., Hypericum scabrum L., Pistacia terebinthus L. subsp. palaestina (Boiss.) Engler, and Vitex agnus castus L. was investigated against the West Nile vector, Culex pipiens L. (Diptera: Culicidae). Yield and identification of the major essential oils from each distillation was determined by GC-MS analyses. The major essential oil component for each plant species was as follows: alpha-pinene for P. terebinthus palaestina, and H. scabrum (45.3% and 42.3%, respectively), trans beta-caryophyllene for V. agnus castus (22.1%), and borneol for C. coronarium (20.9%). A series of distillate concentrations from these plants (that ranged from 1 ppm to 500 ppm, depending on plant species) were assessed against late third to early fourth C. pipiens larvae at 1, 6, and 24 h posttreatment. In general, larval mortality to water treated with a distillate increased as concentration and exposure time increased. H. scabrum and P. terebinthus palaestina were most effective against the mosquito larvae and both produced 100% mortality at 250 ppm at 24-h continuous exposure compared with the other plant species. Larval toxicity of the distillates at 24 h (LC(50) from most toxic to less toxic) was as follows: P. terebinthus palaestina (59.2 ppm) > H. scabrum (82.2 ppm) > V. agnus castus (83.3 ppm) > C. coronarium (311.2 ppm). But when LC(90) values were compared, relative toxicity ranking changed as follows: H. scabrum (185.9 ppm) > V. agnus castus (220.7 ppm) > P. terebinthus palaestina (260.7 ppm) > C. coronarium (496.3 ppm). Extracts of native Turkish plants continue to provide a wealth of potential sources for biologically active agents that may be applied against arthropod pests of man and animals. PMID- 21053013 TI - Large-scale analysis of phosphorylated proteins in maize leaf. AB - Phosphorylation is an ubiquitous regulatory mechanism governing the activity, subcellular localization, and intermolecular interactions of proteins. To identify a broad range of phosphoproteins from Zea mays, we enriched phosphopeptides from Zea mays leaves using titanium dioxide microcolumns and then extensively fractionated and identified the phosphopeptides by mass spectrometry. A total of 165 unique phosphorylation sites with a putative role in biological processes were identified in 125 phosphoproteins. Most of these proteins are involved in metabolism, including carbohydrate and protein metabolism. We identified novel phosphorylation sites on translation initiation factors, splicing factors, nucleolar RNA helicases, and chromatin-remodeling proteins such as histone deacetylases. Intriguingly, we also identified phosphorylation sites on several proteins associated with photosynthesis, and we speculate that these sites may be involved in carbohydrate metabolism or electron transport. Among these phosphoproteins, phosphoenolpyruvate carboxylase and NADH: nitrate reductase (NR) which catalyzes the rate-limiting and regulated step in the pathway of inorganic nitrogen assimilation were identified. A conserved phosphorylation site was found in the cytochrome b5 heme-binding domain of NADH: nitrate reductase, suggesting that NADH: nitrate reductase is phosphorylated by the same protein kinase or highly related kinases. These data demonstrate that the pathways that regulate diverse processes in plants are major targets of phosphorylation. PMID- 21053015 TI - Kudoa iwatai and two novel Kudoa spp., K. trachuri n. sp. and K. thunni n. sp. (Myxosporea: Multivalvulida), from daily consumed marine fish in western Japan. AB - Infection of marine fish by certain myxosporean species of the genus Kudoa results in unsightly cyst formation in the trunk muscle or post-mortem myoliquefaction, causing a great economic loss to aquaculture industries, capture fisheries, and fish dealers. In addition, consumers encountering unsightly Kudoa cysts in fish fillets believe them to be unknown foreign materials acquired during processing. To identify prevalent Kudoa spp. encountered in daily life by the Japanese population, fresh fish slices (sashimi) or fish fillets with whitish spots were collected during a 7-month period (May to December 2008) at local markets in the city of Yamaguchi, western Japan. Kudoa cysts were found in three Japanese seaperches (Lateolabrax japonicus), two black sea bream (Acanthopagrus schlegelii), two Japanese jack mackerel (Trachurus japonicus), and one albacore (Thunnus alalunga). Kudoa iwatai was identified in all the examined Japanese seaperch and black sea bream from Japan's Inland Sea, as assessed by morphology and genetic analysis of the 18S and 28S ribosomal RNA gene (rDNA). Kudoa trachuri n. sp. from two Japanese jack mackerel fished in the Japanese Sea off Nagasaki and Kudoa thunni n. sp. from one albacore fished in the Pacific Ocean had a spore, which was semiquadrate in shape in apical views and ovoid in lateral views, with four equal shell valves and drop-like polar capsules. Scanning electron microscopy revealed that these three Kudoa species had different types of small projections at the apex of each valve. The 18S and 28S rDNA sequences of K. trachuri n. sp. and K. thunni n. sp. were found to be closely related to those of Kudoa crumena; however, these sequences were distinct in each of the species, which additionally exhibited different morphological features. PMID- 21053016 TI - Plant defences and the role of epibiosis in mediating within-plant feeding choices of seagrass consumers. AB - Within-plant variation in susceptibility to herbivory can significantly influence the ecological and evolutionary consequences of plant-herbivore interactions. Seagrasses are marine angiosperms characterised by substantial intra-individual differences in multiple traits, such as nutrients, chemical and structural defences and epibiotic load, all of which can strongly influence herbivore preferences. We quantified the within-plant feeding choices of the two main consumers of the temperate seagrass Posidonia oceanica--the fish Sarpa salpa and the sea urchin Paracentrotus lividus--and determined the plant traits that explained their foraging strategies. We found strong within-plant heterogeneity in both seagrass susceptibility to herbivory and chemical composition, but different consumers exhibited contrasting feeding choices. S. salpa preferred the most nutritious and chemically defended younger leaves, suggesting a full adaptation to consuming this macrophyte and a greater impact of this herbivore on the plant. In contrast, P. lividus consistently preferred the older leaves covered by epibionts, probably attenuating the relative impact of this consumer and helping to explain the weak effects usually recorded for this echinoid in undisturbed meadows. Artificial diet experiments showed that morphology and fine scale structural defences were the primary determinant of urchin feeding choices, with nutrient content and chemical defences being of secondary importance. Epibiosis did not strongly influence fish feeding, but it did have a strong 'shared-doom' effect on urchin consumption. This effect was driven by a distinct preference towards a mixed diet that included both host tissues and their epibiotic community. PMID- 21053017 TI - Intraspecific plant chemical diversity and its relation to herbivory. AB - Several aromatic plant species are well known for their high intraspecific variation in terpene composition. Within these species, different chemotypes can be distinguished, which are characterised by one major metabolite and distinct satellite compounds in lower abundance. Such intraspecific differences in plant quality should have major effects on herbivorous insects but may also be partly shaped by their feeding activities. In the present study, the effects of selected Tanacetum vulgare L. chemotypes on herbivore presence and preferences were investigated, and the naturally occurring diversity of T. vulgare was explored at a small spatial scale. A distinct distribution pattern of aphids and miners was found on different chemotypes of different origin of T. vulgare, with species specific preferences of different herbivorous species. Larvae of two generalist noctuid species performed worse on most chemotypes of T. vulgare than on other plant species. Furthermore, the specific terpene composition of T. vulgare influenced larval development of these two generalist species. The naturally occurring chemical diversity of T. vulgare plants in an area smaller than 3 km(2) was extremely high, exhibiting 14 different chemotypes. Several individual patches of T. vulgare consisted of more than one chemotype. In conclusion, the existing chemotypical pattern of T. vulgare plants leads to a species-specific distribution of herbivores but may in turn be the result of contrasting selection pressures of various specialist and generalist herbivores. PMID- 21053019 TI - Testing the interaction between environmental variation and dispersal strategy on population dynamics using a soil mite experimental system. AB - Dispersal can play an important role in both the local and regional dynamics of populations. Empirical studies have shown that the proportion of individuals dispersing is often density dependent, which may have implications for the effect of dispersal on populations. In this study, we manipulate the dispersal strategy of adults within two-patch laboratory populations of soil mites and compare the consequences of fixed (density-independent) and density-dependent dispersal in environments of constant and temporally varying resource availability. Effects of dispersal on population dynamics were dependent on the presence of environmental variation. Both dispersal strategies tended to spatially homogenize the population abundance of adults in a variable environment. However, the effect of environmental variation on mean adult abundance was greater with density dependent dispersal than with fixed dispersal. Adult dispersal did not affect juvenile or egg abundance. This study demonstrates the potential significance of density-dependent dispersal for population dynamics, but emphasizes the role of the environmental context. PMID- 21053020 TI - Nitrogen deposition, competition and the decline of a regionally threatened legume, Desmodium cuspidatum. AB - Increased nitrogen (N) deposition, resulting from the combustion of fossil fuels, production of synthetic fertilizers, growth of N(2)-fixing crops and high intensity agriculture, is one of the anthropogenic factors most likely to cause global biodiversity changes over the next century. This influence may be especially large in temperate zone forests, which are highly N limited and occur in regions with the highest levels of N deposition. Within these ecosystems, N(2) fixing plants, including legumes, may be more sensitive to N deposition than other plant species. Though it has long been recognized that the competitive edge conferred by N(2)-fixation diminishes with increasing soil N availability, the conservation implications of increased N deposition on native N(2)-fixers have received less attention. We focus on Desmodium cuspidatum, which has experienced dramatic population losses in the last 30-40 years in the northeastern United States. We explore competition between this regionally threatened legume and a common non-N(2)-fixing neighbor, Solidago canadensis, across a gradient of N deposition. Our data show that increased N deposition may be detrimental to N(2) fixers such as D. cuspidatum in two ways: (1) biomass accumulation in the non N(2)-fixer, S. canadensis, responds more strongly to increasing N deposition, and (2) S. canadensis competes strongly for available mineral nitrogen and can assimilate N previously fixed by D. cuspidatum, resulting in D. cuspidatum relying more heavily on energetically expensive N(2)-fixation when grown with S. canadensis. N deposition may thus reduce or eliminate the competitive advantage of N(2)-fixing species growing in N-limited ecosystems. PMID- 21053021 TI - Marking live conifer pollen for long-distance dispersal experiments. AB - Study of long-distance dispersal (LDD) theory requires a method for marking live LDD pollen. Such a method must complement the more intensive sampling methods involving molecular cytogenetics, proteomics, and genomics. We have developed a new method for marking live Pinus taeda pollen using two dyes, rhodamine 123 and aniline blue, dissolved in a sucrose solution. Marked and unmarked pollen were compared with respect to in vitro germination, storage, terminal velocity and in vivo pollen-tube penetration of ovules. We found that: (1) both types of marked pollen retained their capacity for germination, (2) both types of marked pollen had similar aerodynamic properties as unmarked pollen controls, (3) marked pollen retained its germination capacity for 48 h, and (4) of the marked pollen, only the aniline-marked pollen penetrated ovules during pollination. Germination declined rapidly for both types of marked pollen after 48 h and before 37 days at -20 degrees C storage, while the unmarked pollen lots retained 93% germination at all stages. Our method for marking live P. taeda pollen is feasible for tracing LDD pollen if released and deposited within 48 h of dye treatment. PMID- 21053022 TI - Response of two prairie forbs to repeated vole herbivory. AB - Vertebrate herbivores as diverse as ungulates, geese, and rabbits preferentially feed on plants that have previously experienced herbivory. Here, we ask whether smaller grassland "cryptic consumers" such as voles (Microtus ochrogaster and M. pennsylvanicus) preferentially clip (cut stems for access to leaves or seeds) or avoid previously clipped individuals of two tallgrass prairie species (Desmanthus illinoensis and Echinacea purpurea) within a growing season. Further, we ask how these plants respond to repeated clipping within a growing season, and whether the effects of this herbivory last into the subsequent growing season. Voles preferentially clipped stems of D. illinoensis and E. purpurea plants that had been previously clipped. The exception was indiscriminant clipping of stems of E. purpurea late in the growing season when its achenes, a favorite vole food, ripened. For D. illinoensis, repeated clipping resulted in a 59% reduction in biomass, 42% lower ratio of reproductive to vegetative biomass, and 57% fewer seeds produced per plant compared with unclipped plants. These effects lasted into the following growing season in which plants were protected from voles. In contrast, the only effect of repeated clipping for E. purpurea was that the number of achenes per plant was substantially reduced by three episodes of clipping. This effect did not carry over to the next growing season. Such differences in D. illinoensis and E. purpurea response to repeated stem clipping by voles offer insights into how these small rodents can effect major changes in composition and dominance in grassland communities. PMID- 21053023 TI - Foreword to special issue LeRoy Hahn. PMID- 21053024 TI - Perception of temperature and wind by users of public outdoor spaces: relationships with weather parameters and personal characteristics. AB - We aim to understand the relationship between people's declared bioclimatic comfort, their personal characteristics (age, origin, clothing, activity and motivation, etc.) and the atmospheric conditions. To attain this goal, questionnaire surveys were made concurrently with weather measurements (air temperature, relative humidity, solar and long-wave radiation and wind speed) in two open leisure areas of Lisbon (Portugal), during the years 2006 and 2007. We analysed the desire expressed by the interviewees to decrease, maintain or increase the values of air temperature and wind speed, in order to improve their level of comfort. Multiple logistic regression was used to analyse the quantitative relation between preference votes and environmental and personal parameters. The preference for a different temperature depends on the season and is strongly associated with wind speed. Furthermore, a general decrease of discomfort with increasing age was also found. Most people declared a preference for lower wind speed in all seasons; the perception of wind shows significant differences depending on gender, with women declaring a lower level of comfort with higher wind speed. It was also found that the tolerance of warmer conditions is higher than of cooler conditions, and that adaptive strategies are undertaken by people to improve their level of comfort outdoors. PMID- 21053025 TI - A new approach using the Pierce two-node model for different body parts. AB - This paper presents a new approach, in applying the Pierce two-node model, to predict local skin temperatures of individual body parts with good accuracy. In this study, local skin temperature measurements at 24 sites on the bodies of 11 human subjects were carried out in a controlled environment under three different indoor conditions (i.e. neutral, warm and cold). The neutral condition measurements were used to adjust the local skin set-points in the model for each body part. Additional modifications to the calculation algorithm were introduced corresponding to different body parts. The local core set-points were then calculated, using a line search method, as the input values that allow the model to predict the skin temperatures with maximum deviation of +/-0.1 degrees C for the neutral condition. The model predictability was verified for the other two indoor conditions, and the results show that the modified model predicts local skin temperatures with average deviation of +/-0.3 degrees C. PMID- 21053026 TI - The relationship between physical activity and low back pain outcomes: a systematic review of observational studies. AB - Although clinical guidelines advocate exercise and activity in the management of non-specific low back pain (NSLBP), the link between levels of physical activity and outcomes is unclear. This systematic review investigated the relationships between free living activity levels after onset of low back pain (LBP) and measures of pain, and disability in patients with NSLBP. Cohort and cross sectional studies were located using OVID, CINAHL, Medline, AMED, Embase, Biomed, PubMed-National Library of Medicine, Proquest and Cochrane Databases, and hand searches of reference lists. Studies were included if a statistical relationship was investigated between measures of free living physical activity (PA) in subjects with LBP and LBP outcome measures. Twelve studies (seven cohort and five cross-sectional) were included. One prospective study reported a statistically significant relationship between increased leisure time activity and improved LBP outcomes, and one cross-sectional study found that lower levels of sporting activity were associated with higher levels of pain and disability. All other studies (n = 10) found no relationship between measures of activity levels and either pain or disability. Heterogeneity of study designs, particularly in terms of activity measurement, made comparisons between studies difficult. These data suggest that the activity levels of patients with NSLBP are neither associated with, nor predictive of, disability or pain levels. Validated activity measurement in prospective research is required to better evaluate the relationships between PA and LBP. PMID- 21053027 TI - An MRI study of psoas major and abdominal large vessels with respect to the X/DLIF approach. AB - Extreme/direct lateral interbody fusion (X/DLIF) has been used to treat various lumbar diseases. However, it involves risks to injure the lumbar plexus and abdominal large vessels when it gains access to the lumbar spine via lateral approach that passes through the retroperitoneal fat and psoas major muscle. This study was aimed to determine the distribution of psoas major and abdominal large vessels at lumbar intervertebral spaces in order to select an appropriate X/DLIF approach to avoid nerve and large vessels injury. Magnetic resonance imaging scanning on lumbar intervertebral spaces was performed in 48 patients (24 males, 24 females, 54.2 years on average). According to Moro's method, lumbar intervertebral space was divided into six zones A, I, II, III, IV and P. Thickness of psoas major was measured and distribution of abdominal large vessels was surveyed at each zone. The results show vena cava migrate from the right of zone A to the right of zone I at L1/2-L4/5; abdominal aorta was located mostly to the left of zone A at L1/2-L3/4 and divided into bilateral iliac arteries at L4/5; Psoas major was tenuous and dorsal at L1/2 and L2/3, large and ventral at L3/4 and L4/5. Combined with the distribution of nerve roots reported by Moro, X/DLIF approach is safe via zones II-III at L1/2 and L2/3, and via zone II at L3/4. At L4/5, it is safe via zones I-II in left and via zone II in right side, respectively. PMID- 21053028 TI - Cost effectiveness of disc prosthesis versus lumbar fusion in patients with chronic low back pain: randomized controlled trial with 2-year follow-up. AB - This randomized controlled health economic study assesses the cost-effectiveness of the concept of total disc replacement (TDR) (Charite/Prodisc/Maverick) when compared with the concept of instrumented lumbar fusion (FUS) [posterior lumbar fusion (PLF) /posterior lumbar interbody fusion (PLIF)]. Social and healthcare perspectives after 2 years are reported. In all, 152 patients were randomized to either TDR (n = 80) or lumbar FUS (n = 72). Cost to society (total mean cost/patient, Swedish kronor = SEK, standard deviation) for TDR was SEK 599,560 (400,272), and for lumbar FUS SEK 685,919 (422,903) (ns). The difference was not significant: SEK 86,359 (-45,605 to 214,332). TDR was significantly less costly from a healthcare perspective, SEK 22,996 (1,202 to 43,055). Number of days on sick leave among those who returned to work was 185 (146) in the TDR group, and 252 (189) in the FUS group (ns). Using EQ-5D, the total gain in quality adjusted life years (QALYs) over 2 years was 0.41 units for TDR and 0.40 units for FUS (ns). Based on EQ-5D, the incremental cost-effectiveness ratio (ICER) of using TDR instead of FUS was difficult to analyze due to the "non-difference" in treatment outcome, which is why cost/QALY was not meaningful to define. Using cost-effectiveness probabilistic analysis, the net benefit (with CI) was found to be SEK 91,359 (-73,643 to 249,114) (ns). We used the currency of 2006 where 1 EURO = 9.26 SEK and 1 USD = 7.38 SEK. It was not possible to state whether TDR or FUS is more cost-effective after 2 years. Since disc replacement and lumbar fusion are based on different conceptual approaches, it is important to follow these results over time. PMID- 21053029 TI - Emergence of norovirus GII-4/2008 variant and recombinant strains in Seoul, Korea. AB - Recently, the emergence of a new NoV GII-4 variant strain every 2 or 3 years has been reported. One hundred seventeen NoV GII strains were detected by RT-PCR in children with AGE between August 2008 and February 2010. In phylogenetic analyses, GII-4 and GII-3 were the most frequently detected strains. The detection rate of the 2008 variant was similar to that of the 2006b variant in the winter seasons of 2009 and 2010. This study shows a changing pattern of a predominant GII-4/2006b variant to the 2008 variant, as well as a novel NoV recombinant strain, GII-6/GII-14, in Korea. PMID- 21053030 TI - Molecular epidemiological investigation of Marek's disease virus from Guangxi, China. AB - The predominant field strains of Marek's disease virus in Guangxi were clearly different from the vaccine strain CVI988/Rispens based on sequencing of the envelope glycoprotein I (gI), glycoprotein E (gE) and oncogenic meq genes. These differences may be partly responsible for the most recent outbreaks in Guangxi. PMID- 21053031 TI - Reassortment of American and Eurasian genes in an influenza A virus isolated from a great black-backed gull (Larus marinus), a species demonstrated to move between these regions. AB - The primary hosts for influenza A viruses are waterfowl, although gulls and shorebirds are also important in global avian influenza dynamics. Avian influenza virus genes are separated phylogenetically into two geographic clades, American and Eurasian, which is caused by the geographic separation of the host species between these two regions. We surveyed a gregarious and cosmopolitan species, the Great Black-backed Gull (Larus marinus), in Newfoundland, Canada, for the presence of avian influenza viruses. We have isolated and determined the complete genome sequence of an H13N2 virus, A/Great Black-backed Gull/Newfoundland/296/2008(H13N2), from one of these birds. Phylogenetic analysis revealed that this virus contained two genes in the American gull clade (PB1, HA), two genes in the American avian clade (PA, NA), and four genes in the Eurasian gull clade (PB2, NP, M, NS). We analyzed bird band recovery information and found the first evidence of trans-Atlantic migration from Newfoundland to Europe (UK, Spain and Portugal) for this species. Thus, great black-backed gulls could be important for movement of avian influenza viruses across the Atlantic Ocean and within North America. PMID- 21053032 TI - Molecular analysis of new isolates of Tomato leaf curl Philippines virus and an associated betasatellite occurring in the Philippines. AB - Three new begomovirus isolates and one betasatellite were obtained from a tomato plant exhibiting leaf curl symptom in Laguna, the Philippines. Typical begomovirus DNA components representing the three isolates (PH01, PH02 and PH03) were cloned, and their full-length sequences were determined to be 2754 to 2746 nucleotides. The genome organizations of these isolates were similar to those of other Old World monopartite begomoviruses. The sequence data indicated that PH01 and PH02 were variants of strain B of the species Tomato leaf curl Philippines virus, while PH03 was a variant of strain A of the species Tomato leaf curl Philippines virus. These isolates were designated ToLCPV-B[PH:Lag1:06], ToLCPV B[PH:Lag2:06], and ToLCPV-A[PH:Lag3:06], respectively. Phylogenetic analysis revealed that the present isolates form a separate monophyletic cluster with indigenous begomoviruses reported earlier in the Philippines. A betasatellite isolated from same sample belongs to the betasatellite species Tomato leaf curl Philippines betasatellite and designated Tomato leaf curl Philippines betasatellite-[Philippines:Laguna1:2006], ToLCPHB-[PH:Lag1:06]. When co inoculated with this betasatellite, tomato leaf curl Philippines virus induced severe symptoms in N. benthamiana and Solanum lycopersicum plants. Using a PVX mediated transient assay, we found that the C4 and C2 proteins of tomato leaf curl Philippines virus and the betaC1 protein of ToLCPHB-[PH:Lag1:06] function as a suppressor of RNA silencing. PMID- 21053033 TI - Characterization of H9N2 influenza viruses isolated from Dongting Lake wetland in 2007. AB - In 2007, a total of eight H9N2 influenza viruses were isolated from the water and fowl feces in Dongting Lake wetland, China. The genomes of the eight viruses were sequenced, and all eight gene segments were subjected to phylogenetic analysis. The results showed that all the isolates belonged to the same genotype, in which the HA, NA and NS gene segments were Chicken/Beijing/94-like; the PB2, PB1, PA and NP gene segments were Chicken/Shanghai/F/98-like; and the M gene was Quail/Hong Kong/G1/97-like. Animal experiments showed low pathogenicity of the selected viruses for chickens, although some chickens died after inoculation. The viruses showed no overt clinical signs in mice, but they could replicate in murine lungs prior to adaptation. PMID- 21053034 TI - Identification of novel 5-hydroxy-1H-indole-3-carboxylates with anti-HBV activities based on 3D QSAR studies. AB - Infection with hepatitis B virus (HBV) is a major cause of liver diseases such as cirrhosis and hepatocellular carcinoma. In our previous studies, we identified indole derivatives that have anti-HBV activities. In this study, we optimize a series of 5-hydroxy-1H-indole-3-carboxylates, which exhibited potent anti-HBV activities, using three-dimensional quantitative structure-activity relationship (3D QSAR) studies with comparative molecular field analysis (CoMFA) and comparative molecular similarity indices analysis (CoMSIA). The lowest energy conformation of compound 3, which exhibited the most potent anti-HBV activity, obtained from systematic search was used as the template for alignment. The best predictions were obtained with the CoMFA standard model (q (2) = 0.689, r (2) = 0.965, SEE = 0.082, F = 148.751) and with CoMSIA combined steric, electrostatic, hydrophobic and H-bond acceptor fields (q (2) = 0.578, r (2) = 0.973, SEE = 0.078, F = 100.342). Both models were validated by an external test set of six compounds giving satisfactory prediction. Based on the clues derived from CoMFA and CoMSIA models and their contour maps, another three compounds were designed and synthesized. Pharmacological assay demonstrated that the newly synthesized compounds possessed more potent anti-HBV activities than before (IC(50): compound 35a is 3.1 MUmol/l, compound 3 is 4.1 MUmol/l). Combining the clues derived from the 3D QSAR studies and from further validation of the 3D QSAR models, the activities of the newly synthesized indole derivatives were well accounted for. Furthermore, this showed that the CoMFA and CoMSIA models proved to have good predictive ability. PMID- 21053035 TI - Rituximab in cryoglobulinaemic vasculitis, evidence for its effectivity: a case report and review of literature. AB - Cryoglobulinaemia associated with systemic vasculitis mediated by immune complexes is a rare combination. These immune complexes are composed of immunoglobulins and precipitate when exposed to cold temperature. Cryoglobulinaemic vasculitis, treated or untreated, may lead to substantial morbidity and even mortality. Novel targeted therapies may well provide new therapeutic options following or perhaps even prior to the classical cytotoxic therapies. Systemic B cell depletion with rituximab, a chimeric monoclonal antibody against CD20 antigen, is commonly applied in patients with non-Hodgkin's lymphoma or in refractory rheumatoid factor-positive rheumatoid arthritis. Since B cell clones are the source of cryoglobulins, therapeutic effectiveness of rituximab in cryoglobulinaemic vasculitis may be expected. We describe a 72-year old woman with mixed cryoglobulinaemia type 2, who has successfully been treated with rituximab infusions after failing on prednisone and azathioprine. We reviewed the literature and found 142 cases of cryoglobulinaemic vasculitis, 138 mixed (type 2 or 3) and four, type 1. Rituximab was applied mostly after failure on other treatments. Significant reduction in levels of rheumatoid factor, cryoglobulins and IgM were reported after rituximab therapy. Of the total 142, cases 119 could be evaluated for the response on rituximab therapy, the other 23 cases only regarding side effects. Of the 119 evaluated patients, 71 (60%) had complete response; 28 (23%), partial response and 20 patients (17%), no response. Data were not blinded or placebo-controlled. Side effects were seen in 27 of the 142 patients. Occurrence of the side effects was associated with high baseline levels of cryoglobulins, with a high dose of rituximab infusion of 1,000 mg and with a high level of complement activation. Death was reported four times and was related with the disease. PMID- 21053036 TI - Prolonged anti-resorptive activity of zoledronic acid: evidence from postmenopausal osteopenic women and patients with Paget's disease of bone. PMID- 21053037 TI - Evaluation of nailfold capillaries in familial Mediterranean fever patients. AB - Familial Mediterranean fever (FMF) is an autosomal recessive disease characterized by recurrent inflammatory febrile attacks, abdominal, chest or joint pain, myalgia, and erysipelas-like skin lesions. Several types of vasculitis are associated with FMF such as polyarteritis nodosa and Henoch Schonlein purpura. We aimed to determine microvascular abnormalities in FMF patients via nailfold capillaroscopy using a dermoscope. Thirty-one FMF patients were assessed; capillary enlargement, tortuosity, avascular areas and microhemmoraghes were investigated. Capillary enlargement was found in five patients and microhemorrhages in one patient. Our study supports that nailfold capillary abnormalities, which are nonspecific, can be seen in FMF patients, but more studies are needed to clarify the importance of these findings. PMID- 21053038 TI - Osteopathy for musculoskeletal pain patients: a systematic review of randomized controlled trials. AB - The objective of this systematic review was to assess the effectiveness of osteopathy as a treatment option for musculoskeletal pain. Six databases were searched from their inception to August 2010. Only randomized clinical trials (RCTs) were considered if they tested osteopathic manipulation/mobilization against any control intervention or no therapy in human with any musculoskeletal pain in any anatomical location, and if they assessed pain as an outcome measure. The selection of studies, data extraction, and validation were performed independently by two reviewers. Studies of chiropractic manipulations were excluded. Sixteen RCTs met the inclusion criteria. Their methodological quality ranged between 1 and 4 on the Jadad scale (max = 5). Five RCTs suggested that osteopathy compared to various control interventions leads to a significantly stronger reduction of musculoskeletal pain. Eleven RCTs indicated that osteopathy compared to controls generates no change in musculoskeletal pain. Collectively, these data fail to produce compelling evidence for the effectiveness of osteopathy as a treatment of musculoskeletal pain. PMID- 21053039 TI - Effects of low-level laser therapy on expression of TNF-alpha and TGF-beta in skeletal muscle during the repair process. AB - The aim of the present study was to determine the effect of low-level laser therapy (LLLT) on the expression of TNF-alpha and TGF-beta in the tibialis anterior muscle of rats following cryoinjury. Muscle regeneration involves cell proliferation, migration and differentiation and is regulated by growth factors and cytokines. A growing body of evidence suggests that LLLT promotes skeletal muscle regeneration by reducing the duration of acute inflammation and accelerating tissue repair. Adult male Wistar rats (n = 35) were randomly divided into three groups: control group (no lesion, untreated, n = 5), cryoinjury without LLLT group (n = 15), and cryoinjury with LLLT group (n = 15). The injured region was irradiated three times a week using an AlGaInP laser (660 nm; beam spot 0.04 cm(2), output power 20 mW, power density 500 mW/cm(2), energy density 5 J/cm(2), exposure time 10 s). Muscle remodeling was evaluated at 1, 7 and 14 days (long-term) following injury. The muscles were removed and total RNA was isolated using TRIzol reagent and cDNA synthesis. Real-time polymerase chain reactions were performed using TNF-alpha and TGF-beta primers; GAPDH was used to normalize the data. LLLT caused a decrease in TNF-alpha mRNA expression at 1 and 7 days following injury and in TGF-beta mRNA expression at 7 days following cryoinjury in comparison to the control group. LLLT modulated cytokine expression during short-term muscle remodeling, inducing a decrease in TNF-alpha and TGF-beta. PMID- 21053040 TI - Peroperative optical autofluorescence biopsy--verification of its diagnostic potential. AB - The authors tested the diagnostic potential of the portable autofluorescence optical system that was developed in the preoperative evaluation of resection margins, and thus of the resection line safety in patients with low-positioned colorectal carcinoma. A total of 217 spectral measurements of the fluorescence properties of normal (117) and malignant (100) tissues in 19 patients with colorectal carcinoma were accomplished. The measured spectra thus acquired were then evaluated using logistic regression. Using the principal component method, the authors selected the 30 and 40 most significant wavelengths, respectively, which they then used to construct the logistic model. The model met the basic criteria of statistical significance. The classification power of the model was 79.7% (for 30 wavelengths) and 82.5% (for 40). Statistical discrimination was 0.88 and 0.91, respectively. These results confirm that the optical setup that we selected is suitable for the peroperative testing of the distal resection line. It is capable of differentiating with 90% confidence pathological tissue and thus of reliably guiding further histological processing. PMID- 21053041 TI - Comparison of vancomycin and teicoplanin trough serum levels in patients with infected orthopedic devices: new data for old therapies. AB - We compared retrospectively vancomycin and teicoplanin trough serum levels after loading doses and, subsequently, after high daily doses, in 52 patients (26 in each group) who had developed infections after implantation of an orthopedic device. The target trough serum level was > 25 mg/l. Trough levels were significantly higher at 2 days (+/-1) and 5 days (+/-1) in patients who received teicoplanin compared with patients who received a continuous perfusion of vancomycin (26.1 vs. 16 mg/l at day 2 +/- 1, P = 0.01; 27.8 vs. 19.9 mg/l at day 5 +/- 1, P = 0.01). One of the 26 patients taking vancomycin reached the target trough serum level by day 2 (+/-1), whereas 10 of the 26 patients taking teicoplanin reached the target by that time (P = 0.002). At day 5 (+/-1), 6/26 patients taking vancomycin reached the target, versus 13/26 patients taking teicoplanin (P = 0.04). However, physicians should remain cautious when administering teicoplanin empirically because of the higher MIC90 values observed for coagulase-negative staphylococci compared with vancomycin. PMID- 21053042 TI - Analysis of bone architecture sensitivity for changes in mechanical loading, cellular activity, mechanotransduction, and tissue properties. AB - Bone has an architecture which is optimized for its mechanical environment. In various conditions, this architecture is altered, and the underlying cause for this change is not always known. In the present paper, we investigated the sensitivity of the bone microarchitecture for four factors: changes in bone cellular activity, changes in mechanical loading, changes in mechanotransduction, and changes in mechanical tissue properties. The goal was to evaluate whether these factors can be the cause of typical bone structural changes seen in various pathologies. For this purpose, we used an established computational model for the simulation of bone adaptation. We performed two sensitivity analyses to evaluate the effect of the four factors on the trabecular structure, in both developing and adult bone. According to our simulations, alterations in mechanical load, bone cellular activities, mechanotransduction, and mechanical tissue properties may all result in bone structural changes similar to those observed in various pathologies. For example, our simulations confirmed that decreases in loading and increases in osteoclast number and activity may lead to osteoporotic changes. In addition, they showed that both increased loading and decreased bone matrix stiffness may lead to bone structural changes similar to those seen in osteoarthritis. Finally, we found that the model may help in gaining a better understanding of the contribution of individual disturbances to a complicated multi-factorial disease process, such as osteogenesis imperfecta. PMID- 21053043 TI - Identification of in vivo material and geometric parameters of a human aorta: toward patient-specific modeling of abdominal aortic aneurysm. AB - Recent advances in computational modeling of vascular adaptations and the need for their extension to patient-specific modeling have introduced new challenges to the path toward abdominal aortic aneurysm modeling. First, the fundamental assumption in adaptation models, namely the existence of vascular homeostasis in normal vessels, is not easy to implement in a vessel model built from medical images. Second, subjecting the vessel wall model to the normal pressure often makes the configuration deviate from the original geometry obtained from medical images. To address those technical challenges, in this work, we propose a two step optimization approach; first, we estimate constitutive parameters of a healthy human aorta intrinsic to the material by using biaxial test data and a weighted nonlinear least-squares parameter estimation method; second, we estimate the distributions of wall thickness and anisotropy using a 2-D parameterization of the vessel wall surface and a global approximation scheme integrated within an optimization routine. A direct search method is implemented to solve the optimization problem. The numerical optimization method results in a considerable improvement in both satisfying homeostatic condition and minimizing the deviation of geometry from the original shape based on in vivo images. Finally, the utility of the proposed technique for patient-specific modeling is demonstrated in a simulation of an abdominal aortic aneurysm enlargement. PMID- 21053044 TI - Numerical studies on alternative therapies for femoral head necrosis: A finite element approach and clinical experience. AB - Numerical investigations with regard to the subtrochanteric fracture risk induced by three alternative methods for the treatment of femoral head necrosis are outlined in this presentation. The traditional core decompression technique will be compared with minimal invasive multiple low diameter drillings and the implantation of an innovative tantalum implant. With emphasis to the newly introduced computational strategies and modeling approaches, the modeling of critical loading conditions as well as mesh convergence is outlined in detail. In addition to the immediate postoperative fracture risk, the long-term stability of the different approaches for treating femoral head necrosis is predicted by performing well-established bone remodeling simulation techniques. The computed results are augmented for results obtained from clinical experience. PMID- 21053046 TI - Genetic engineering of flavonoid pigments to modify flower color in floricultural plants. AB - Recent advances in genetic transformation techniques enable the production of desirable and novel flower colors in some important floricultural plants. Genetic engineering of novel flower colors is now a practical technology as typified by commercialization of a transgenic blue rose and blue carnation. Many researchers exploit knowledge of flavonoid biosynthesis effectively to obtain unique flower colors. So far, the main pigments targeted for flower color modification are anthocyanins that contribute to a variety of colors such as red, pink and blue, but recent studies have also utilized colorless or faint-colored compounds. For example, chalcones and aurones have been successfully engineered to produce yellow flowers, and flavones and flavonols used to change flower color hues. In this review, we summarize examples of successful flower color modification in floricultural plants focusing on recent advances in techniques. PMID- 21053045 TI - Viperin mRNA is a novel target for the human RNase MRP/RNase P endoribonuclease. AB - RNase MRP is a conserved endoribonuclease, in humans consisting of a 267 nucleotide RNA associated with 7-10 proteins. Mutations in its RNA component lead to several autosomal recessive skeletal dysplasias, including cartilage-hair hypoplasia (CHH). Because the known substrates of mammalian RNase MRP, pre ribosomal RNA, and RNA involved in mitochondrial DNA replication are not likely involved in CHH, we analyzed the effects of RNase MRP (and the structurally related RNase P) depletion on mRNAs using DNA microarrays. We confirmed the upregulation of the interferon-inducible viperin mRNA by RNAi experiments and this appeared to be independent of the interferon response. We detected two cleavage sites for RNase MRP/RNase P in the coding sequence of viperin mRNA. This is the first study providing direct evidence for the cleavage of a mRNA by RNase MRP/RNase P in human cells. Implications for the involvement in the pathophysiology of CHH are discussed. PMID- 21053047 TI - Characterization of a glycoside hydrolase family 42 beta-galactosidase from Deinococcus geothermalis. AB - A putative recombinant beta-galactosidase from Deinococcus geothermalis was purified as a single 79 kDa band of 42 U activity/mg using His-Trap affinity chromatography. The molecular mass of the native enzyme was a 158 kDa dimer. The catalytic residues E151 and E325 of beta-galactosidase from D. geothermalis were conserved in all aligned GH family 42 beta-galactosidases, indicating that this enzyme is also a GH family 42 beta-galactosidase. Maximal activity of the enzyme was at pH 6.5 and 60 degrees C. It has a unique hydrolytic activity for p nitrophenyl(pNP)-beta-D-galactopyranoside (k (cat)/K (m) = 69 s(-1) mM(-1)), pNP beta-D-fucopyranoside (13), oNP-beta-D-galactopyranoside (9.5), oNP-beta-D fucopyranoside (2.6), lactose (0.97), and pNP-alpha-L-arabinopyranoside (0.78), whereas no activity, or less than 2% of the pNP-beta-D-galactopyranoside activity, for other pNP- and oNP-glycosides. PMID- 21053048 TI - Cloning and characterization of Kluyveromyces marxianus Hog1 gene. AB - The mitogen-activated protein kinase Hog1 gene (Kmhog1) was isolated from Kluyveromyces marxianus strain NBRC 1777 by degenerate PCR and genome walking, and then disrupted to construct a mutant strain hog1?. The mutant was now more sensitive to acetic acid and its growth was nearly completely inhibited by 0.5 M NaCl (97%) and 10 mM H(2)O(2) (93%) as compared with the wild-type cells. However, neither strain grew at 47 degrees C. Kmhog1 may thus be required for adaptation to acetic acid, osmotic, and oxidative stress but is not involved in thermotolerance. PMID- 21053049 TI - High level expression of a truncated beta-mannanase from alkaliphilic Bacillus sp. N16-5 in Kluyveromyces cicerisporus. AB - A truncated alkaline beta-mannanase from alkaliphilic Bacillus sp. N16-5 (MAN330) was expressed and secreted in Kluyveromyces cicerisporus. The recombinant engineered strain for MAN330 production was stable during 80 generations, and the maximum yield of MAN330 reached 3,795 U/ml in 15 l fermenter. MAN330 exhibited similar pH optima, temperature optima, and substrate specificities to its full length protein (MAN493). However, stability of MAN330 was about 7% higher than that of MAN493 from pH 9-11. MAN330 had about 10% higher stability than MAN493 from 60 degrees C to 80 degrees C. PMID- 21053050 TI - High-level expression of Rhodotorula gracilis D-amino acid oxidase in Pichia pastoris. AB - By combining gene design and heterologous over-expression of Rhodotorula gracilis D-amino acid oxidase (RgDAO) in Pichia pastoris, enzyme production was enhanced by one order of magnitude compared to literature benchmarks, giving 350 kUnits/l of fed-batch bioreactor culture with a productivity of 3.1 kUnits/l h. P. pastoris cells permeabilized by freeze-drying and incubation in 2-propanol (10% v/v) produce a highly active (1.6 kUnits/g dry matter) and stable oxidase preparation. Critical bottlenecks in the development of an RgDAO catalyst for industrial applications have been eliminated. PMID- 21053051 TI - Challenges in the determination of the binding modes of non-standard ligands in X ray crystal complexes. AB - Despite its central role in structure based drug design the determination of the binding mode (position, orientation and conformation in addition to protonation and tautomeric states) of small heteromolecular ligands in protein:ligand complexes based on medium resolution X-ray diffraction data is highly challenging. In this perspective we demonstrate how a combination of molecular dynamics simulations and free energy (FE) calculations can be used to correct and identify thermodynamically stable binding modes of ligands in X-ray crystal complexes. The consequences of inappropriate ligand structure, force field and the absence of electrostatics during X-ray refinement are highlighted. The implications of such uncertainties and errors for the validation of virtual screening and fragment-based drug design based on high throughput X-ray crystallography are discussed with possible solutions and guidelines. PMID- 21053052 TI - Computer-aided drug design platform using PyMOL. AB - The understanding and optimization of protein-ligand interactions are instrumental to medicinal chemists investigating potential drug candidates. Over the past couple of decades, many powerful standalone tools for computer-aided drug discovery have been developed in academia providing insight into protein ligand interactions. As programs are developed by various research groups, a consistent user-friendly graphical working environment combining computational techniques such as docking, scoring, molecular dynamics simulations, and free energy calculations is needed. Utilizing PyMOL we have developed such a graphical user interface incorporating individual academic packages designed for protein preparation (AMBER package and Reduce), molecular mechanics applications (AMBER package), and docking and scoring (AutoDock Vina and SLIDE). In addition to amassing several computational tools under one interface, the computational platform also provides a user-friendly combination of different programs. For example, utilizing a molecular dynamics (MD) simulation performed with AMBER as input for ensemble docking with AutoDock Vina. The overarching goal of this work was to provide a computational platform that facilitates medicinal chemists, many who are not experts in computational methodologies, to utilize several common computational techniques germane to drug discovery. Furthermore, our software is open source and is aimed to initiate collaborative efforts among computational researchers to combine other open source computational methods under a single, easily understandable graphical user interface. PMID- 21053053 TI - Biased retrieval of chemical series in receptor-based virtual screening. AB - Using the kinases in the DUD dataset and an in-house HTS dataset from PI3K-gamma, receptor-based virtual screening experiments were performed using Glide SP docking. While significant enrichments were observed for eight of the nine targets in the set, more detailed analyses highlighted that much of the early enrichment (10-80%) is the result of retrieval of a single cluster of active compounds. This biased retrieval was not necessarily due to early enrichment of the cluster containing the co-crystallized ligand. Virtual screening validation studies could thus benefit from including cluster-based analyses to assess enrichment of diverse chemotypes. PMID- 21053054 TI - A significant relationship between mercury exposure from dental amalgams and urinary porphyrins: a further assessment of the Casa Pia children's dental amalgam trial. AB - Previous studies noted specific changes in urinary porphyrin excretion patterns associated with exposure to mercury (Hg) in animals and humans. In our study, urinary porphyrin concentrations were examined in normal children 8-18 years-old from a reanalysis of data provided from a randomized, prospective clinical trial that was designed to evaluate the potential health consequences of prolonged exposure to Hg from dental amalgam fillings (the parent study). Our analysis examined dose-dependent correlations between increasing Hg exposure from dental amalgams and urinary porphyrins utilizing statistical models with adjustments for the baseline level (i.e. study year 1) of the following variables: urinary Hg, each urinary porphyrin measure, gender, race, and the level of lead (Pb) in each subject's blood. Significant dose-dependent correlations between cumulative exposure to Hg from dental amalgams and urinary porphyrins associated with Hg body-burden (pentacarboxyporphyrin, precoproporphyrin, and coproporphyrin) were observed. Overall, 5-10% increases in Hg-associated porphyrins for subjects receiving an average number of dental amalgam fillings in comparison to subjects receiving only composite fillings were observed over the 8-year course of the study. In contrast, no significant correlations were observed between cumulative exposure to Hg from dental amalgams and urinary porphyrins not associated with Hg body-burden (uroporphyrin, heptacarboxyporphyrin, and hexacarboxyporphyrin). In conclusion, our study, in contrast to the no-effect results published from the parent study, further establishes the sensitivity and specificity of specific urinary porphyrins as a biomarker for low-level Hg body-burden, and also reveals that dental amalgams are a significant chronic contributor to Hg body-burden. PMID- 21053055 TI - Biodegradability of bacterial surfactants. AB - This work aimed at evaluating the biodegradability of different bacterial surfactants in liquid medium and in soil microcosms. The biodegradability of biosurfactants by pure and mixed bacterial cultures was evaluated through CO(2) evolution. Three bacterial strains, Acinetobacter baumanni LBBMA ES11, Acinetobacter haemolyticus LBBMA 53 and Pseudomonas sp. LBBMA 101B, used the biosurfactants produced by Bacillus sp. LBBMA 111A (mixed lipopeptide), Bacillus subtilis LBBMA 155 (lipopeptide), Flavobacterium sp. LBBMA 168 (mixture of flavolipids), Dietzia Maris LBBMA 191(glycolipid) and Arthrobacter oxydans LBBMA 201(lipopeptide) as carbon sources in minimal medium. The synthetic surfactant sodium dodecyl sulfate (SDS) was also mineralized by these microorganisms, but at a lower rate. CO(2) emitted by a mixed bacterial culture in soil microcosms with biosurfactants was higher than in the microcosm containing SDS. Biosurfactant mineralization in soil was confirmed by the increase in surface tension of the soil aqueous extracts after incubation with the mixed bacterial culture. It can be concluded that, in terms of biodegradability and environmental security, these compounds are more suitable for applications in remediation technologies in comparison to synthetic surfactants. However, more information is needed on structure of biosurfactants, their interaction with soil and contaminants and scale up and cost for biosurfactant production. PMID- 21053056 TI - Characterization of microbial community structure and population dynamics of tetrachloroethene-dechlorinating tidal mudflat communities. AB - Tetrachloroethene (PCE) and trichloroethene (TCE) are common groundwater contaminants that also impact tidal flats, especially near urban and industrial areas. However, very little is known about dechlorinating microbial communities in tidal flats. Titanium pyrosequencing, 16S rRNA gene clone libraries, and dechlorinator-targeted quantitative real-time PCR (qPCR) characterized reductive dechlorinating activities and populations in tidal flat sediments collected from South Korea's central west coast near Kangwha. In microcosms established with surface sediments, PCE dechlorination to TCE began within 10 days and 100% of the initial amount of PCE was converted to TCE after 37 days. cis-1,2-Dichloroethene (cis-DCE) was observed as dechlorination end product in microcosms containing sediments collected from deeper zones (i.e., 35-40 cm below ground surface). Pyrosequencing of bacterial 16S rRNA genes and 16S rRNA gene-targeted qPCR results revealed Desulfuromonas michiganensis-like populations predominanted in both TCE and cis-DCE producing microcosms. Other abundant groups included Desulfuromonas thiophila and Pelobacter acidigallici-like populations in the surface sediment microcosms, and Desulfovibrio dechloracetivorans and Fusibacter paucivorans-like populations in the deeper sediment microcosms. Dehalococcoides spp. populations were not detected in these sediments before and after incubation with PCE. The results suggest that tidal flats harbor novel, salt-tolerant dechlorinating populations and that titanium pyrosequencing provides more detailed insight into community structure dynamics of the dechlorinating microcosms than conventional 16S rRNA gene sequencing or fingerprinting methods. PMID- 21053057 TI - Host plant quality, spatial heterogeneity, and the stability of mite predator prey dynamics. AB - Population dynamics models suggest that both the over-all level of resource productivity and spatial variability in productivity can play important roles in community dynamics. Higher productivity environments are predicted to destabilize consumer-resource dynamics. Conversely, greater heterogeneity in resource productivity is expected to contribute to stability. Yet the importance of these two factors for the dynamics of arthropod communities has been largely overlooked. I manipulated nutrient availability for strawberry plants in a multi patch experiment, and measured effects of overall plant quality and heterogeneity in plant quality on the stability of interactions between the phytophagous mite Tetranychus urticae and its predator Phytoseiulus persimilis. Plant size, leaf N content and T. urticae population growth increased monotonically with increasing soil nitrogen availability. This gradient in plant quality affected two correlates of mite population stability, population variability over time (i.e., coefficient of variation) and population persistence (i.e., proportion of plant patches colonized). However, the highest level of plant quality did not produce the least stable dynamics, which is inconsistent with the "paradox of enrichment". Heterogeneity in plant productivity had modest effects on stability, with the only significant difference being less variable T. urticae densities in the heterogeneous compared to the corresponding homogeneous treatment. These results are generally congruent with metapopulation theory and other models for spatially segregated populations, which predict that stability should be governed largely by relative movement rates of predators and prey--rather than patch quality. PMID- 21053058 TI - Tissue inhibitor of metalloproteinases-1-induced scattered liver metastasis is mediated by hypoxia-inducible factor-1alpha. AB - The "protease web", representing the network of proteases, their inhibitors, and effector molecules, arises as a pivotal determinant of tissue homeostasis. Imbalances of this network, for instance caused by elevated host levels of tissue inhibitor of metalloproteinases-1 (TIMP-1), have been shown to increase the susceptibility of target organs to scattered metastasis by inducing the hepatocyte growth factor (HGF) pathway. Increased expression of the hypoxia inducible factor-1alpha-subunit (HIF-1alpha) is also associated with tumour progression and is also known to induce HGF-signaling via up-regulation of the HGF-receptor Met, namely under canonical stress conditions like lack of oxygen. Here, we aimed to identify a possible metastasis-promoting connection between TIMP-1, HIF-1alpha, and HGF-signaling. We found that HIF-1alpha and HIF-1 signaling were increased during liver metastasis of L-CI.5s T-lymphoma cells in TIMP-1 overexpressing syngeneic DBA/2 mice. In vitro, exposure of L-CI.5s cells to recombinant TIMP-1 revealed that TIMP-1 itself was able to induce HIF-1alpha and HIF-1-signaling. Knock-down of HIF-1alpha identified tumour cell-derived HIF 1alpha as mediator of this TIMP-1-induced invasiveness in vitro. In vivo, HIF 1alpha knock-down significantly impaired Met expression as well as Met phosphorylation and inhibited scattered liver metastasis. Furthermore, HGF dependent TIMP-1-promoted Met phosphorylation and HGF-dependent TIMP-1-induced invasiveness in vitro was mediated by HIF-1alpha. We conclude that elevated levels of TIMP-1 in the microenvironment of tumour cells can promote metastasis by inducing HIF-1alpha-dependent HGF-signaling. This connection between a protease inhibitor (TIMP-1) and a classically stress-related factor (HIF-1alpha) is a so far undiscovered impact of the "protease web" on tissue homeostasis with important implications for metastasis. PMID- 21053059 TI - The doctor(s) in house: an analysis of the evolution of the television doctor hero. AB - The medical drama and its central character, the doctor-hero have been a mainstay of popular television. House M.D. offers a new (and problematic) iteration of the doctor-hero. House eschews the generic conventions of the "television doctor" by being neither the idealized television doctor of the past, nor the more recent competent but often fallible physicians in entertainment texts. Instead, his character is a fragmented text which privileges the biomedical over the personal or emotional with the ultimate goal of scientifically uncovering and resolving instances of disease. This article examines the implicit and explicit messages in House M.D. and critically analyzes both the show and its lead character in relation to the traditional medical drama genre that highlights the "doctor-hero" as the central character. While at first House seems to completely violate narrative and generic norms, ultimately the program provides a new form that reinforces the presence of the doctor-hero, but highlights House's character as the central figure who is personally and interpersonally problematic but biomedically effective. PMID- 21053060 TI - Narrative medicine and healthcare reform. AB - Narrative medicine is one of medicine's most important internal reforms, and it should be a critical dimension of healthcare debate. Healthcare reform must eventually ask not only how do we pay for healthcare and how do we distribute it, but more fundamentally, what kind of healthcare do we want? It must ask, in short, what are the goals of medicine? Yet, even though narrative medicine is crucial to answering these pivotal and inescapable questions, it is not easy to describe. Many of its core claims go against the grain of common sense thinking about medicine. This article argues that the best way to understand narrative medicine is to tell a story that puts its emergence in historical context. PMID- 21053061 TI - Inflammation alters angiotensin converting enzymes (ACE and ACE-2) balance in rat heart. AB - Angiotensin converting enzymes (ACE) and more recently discovered ACE-2 are important proteins involved in the renin-angiotensin system. The balance between ACE and ACE-2 is important for the regulation of blood pressure and electrolyte homeostasis. Inflammatory diseases like rheumatoid arthritis are associated with increased risk for cardiovascular complications. We studied the effect of inflammation on the expression levels of ACE and ACE-2 in two groups (n = 4/group) of adjuvant arthritis (AA) and healthy (control) rats. The AA group received 0.2 ml of 50 mg ml(-1) of Mycobacterium butyricum suspended in squalene into the tail base. On day 12, rats were euthanized and their organs (hearts, liver, kidney, and intestine) were excised. The mRNA of ACE and ACE-2 were determined by real-time polymerase chain reaction. ACE and ACE-2 protein expression in rat heart was determined by Western blot. Inflammation resulted in 80% reduction of ACE-2 gene expression in rat heart. ACE-2/ACE expression ratio was significantly reduced from 0.7 +/- 0.4 in control rats to 0.07 +/- 0.09 in AA. Similarly, ACE-2/ACE protein expression ratio was also disrupted with a significant reduction in AA animals (6.7 +/- 4.8 vs. 0.9 +/- 05 in control and AA, respectively). ACE-2 has been found to provide negative feedback of renin angiotensin system and protection of the heart and kidneys. Disruption of the balance between ACE and ACE-2 observed in inflammation may be, at least in part, involved in the cardiovascular complications seen in patients with inflammatory diseases. PMID- 21053062 TI - Conserved cysteine residues within the attachment G glycoprotein of respiratory syncytial virus play a critical role in the enhancement of cytotoxic T-lymphocyte responses. AB - The cytotoxic T-lymphocyte (CTL) response plays an important role in the control of respiratory syncytial virus (RSV) replication and the establishment of a Th1 CD4+ T cell response against the virus. Despite lacking Major Histocompatibility Complex I (MHC I)-restricted epitopes, the attachment G glycoprotein of RSV enhances CTL activity toward other RSV antigens, and this effect depends on its conserved central region. Here, we report that RSV-G can also improve CTL activity toward antigens from unrelated pathogens such as influenza, and that a mutant form of RSV-G lacking four conserved cysteine residues at positions 173, 176, 182, and 186 fails to enhance CTL responses. Our results indicate that these conserved residues are essential for the wide-spectrum pro-CTL activity displayed by the protein. PMID- 21053063 TI - Genetic characterization of the Korean LATC06 rinderpest vaccine strain. AB - We sequenced the genome of LATC06 generated by in vitro passage in Vero cells of the lapinized-avianized (LA) strain and compared its sequence to those of other rinderpest viruses. The LATC06 genome consists of 15882 nucleotides. Its transcriptional regulatory control sequences (TRSs) at gene boundaries are identical to those of the Kabete O strain. Cleavage sites for generating F1/F2 proteins were identified in the same amino acid position (aa 108) as F proteins in LATC06, L13, RBT1, Kabete O, and RBOK strains. There are three predicted N glycosylation sites of H proteins in LA (Japan) and LATC06 strains. The six epitopes of H protein in the LA (Japan) strain that elicit immunodominant humoral responses are also found in the LATC06 strain. PMID- 21053064 TI - HIV-related behavioral studies of men who have sex with men in China: a systematic review and recommendations for future research. AB - HIV prevalence among men who have sex with men (MSM) has increased significantly in China since early 2000, with MSM accounting for 32.5% of the newly infected HIV cases in China in 2009. This study reviews a total of 33 studies published in English literature on MSM in China from 2000 to 2009. Existing studies indicated that frequently MSM in China were married (especially older MSM); a majority were migrants and self-identified as gay. A large and increasing proportion of MSM sought sexual partners online. Sexual risk behaviors among MSM were prevalent, including high rates of unprotected sex, concurrent sexual relationships with both men and women, and commercial sex. Illicit drug use, however, was relatively low among general Chinese MSM, but higher among those engaged in commercial sex with men. The existing literature suggests a lack of socio-behavioral studies, lack of intervention studies specifically targeting MSM, and lack of studies in smaller cities, towns, and rural areas. Future studies need to employ more rigorous research methodology, including longitudinal study design, multiple sampling methods, and common measurements of HIV-related risk behaviors. PMID- 21053065 TI - Abstracts of the 14th Annual Meeting of the Biofeedback Foundation of Europe. April 13-17, 2010. Rome, Italy. PMID- 21053066 TI - Predicting successful learning of SMR neurofeedback in healthy participants: methodological considerations. AB - Neurofeedback (NF) is a tool that has proven helpful in the treatment of various disorders such as epilepsy or attention deficit disorder (ADHD). Depending on the respective application, a high number of training sessions might be necessary before participants can voluntarily modulate the electroencephalographic (EEG) rhythms as instructed. In addition, many individuals never learn to do so despite numerous training sessions. Thus, we are interested in determining whether or not performance during the early training sessions can be used to predict if a participant will learn to regulate the EEG rhythms. Here, we propose an easy to use, but accurate method for predicting the performance of individual participants. We used a sample set of sensorimotor rhythm (SMR 12-15 Hz) NF training sessions (experiment 1) to predict the performance of the participants of another study (experiment 2). We then used the data obtained in experiment 2 to predict the performance of participants in experiment 1. We correctly predicted the performance of 12 out of 13 participants in the first group and all 14 participants in the second group; however, we were not able to make these predictions before the end of the eleventh training session. PMID- 21053067 TI - The effects of acute and chronic hypoxia on cortisol, glucose and lactate concentrations in different populations of three-spined stickleback. AB - The response of individuals from three different populations of three-spined sticklebacks to acute and chronic periods of hypoxia (4.4 kPa DO, 2.2 mg l-1) was tested using measures of whole-body cortisol, glucose and lactate. Although there was no evidence of a neuroendocrine stress response to acute hypoxia, fish from the population least likely to experience hypoxia in their native habitat had the largest response to low oxygen, with significant evidence of anaerobic glycolysis after 2 h of hypoxia. However, there was no measurable effect of a more prolonged period (7 days) of hypoxia on any of the fish in this study, suggesting that they acclimated to this low level of oxygen over time. Between-population differences in the analytes tested were observed in the control fish of the acute hypoxia trial, which had been in the laboratory for 16 days. These differences were not apparent among the control fish in the chronic exposure groups that had been held in the laboratory for 23 days, suggesting that these site-specific trends in physiological status were acclimatory. Overall, the results of this study suggest that local environmental conditions may shape sticklebacks' general physiological profile as well as influencing their response to hypoxia. PMID- 21053068 TI - Insulin and beta-adrenergic receptors inhibit retinal endothelial cell apoptosis through independent pathways. AB - Diabetic retinopathy results from altered insulin receptor signaling. Based on previous studies demonstrating an interaction between beta-adrenergic receptors and insulin signaling in hyperglycemic conditions, we hypothesized that beta adrenergic receptor stimulation and insulin stimulation would act synergistically to inhibit one of the hallmarks of diabetic retinopathy, namely retinal endothelial cell apoptosis. To test this hypothesis, human retinal endothelial cells were grown in high glucose (25 mM) medium and treated with a beta-1 adrenergic receptor agonist (xamoterol, 10 MUM) alone, insulin alone (10 nM) or xamoterol + insulin. We then assessed changes in the levels of insulin receptor, insulin-like growth factor (IGF-1) receptor, and Akt phosphorylation, as well as cleaved caspase 3. Xamoterol alone significantly decreased insulin receptor, IGF 1 receptor and Akt phosphorylation, whereas insulin alone increased insulin receptor, IGF-1 receptor, and Akt phosphorylation. Xamoterol significantly decreased apoptosis of retinal endothelial cells. This data suggests that both beta-adrenergic receptors and insulin can inhibit retinal endothelial cell apoptosis in hyperglycemic conditions, but inhibition occurs through independent pathways. These findings have implications for treatments of diabetic retinopathy. PMID- 21053069 TI - Modulation of PARP-1 and PARP-2 expression by L-carnosine and trehalose after LPS and INFgamma-induced oxidative stress. AB - Poly(ADP-ribose) polymerases (PARPs) play a crucial role in DNA damage surveillance through their nick sensor functions. Since PARPs' over activation leads to an excessive consumption of NAD(+) and ATP depletion, these enzymes also are involved in the early events of programmed cell death as well as in necrosis. In order to verify the protective action of L: -carnosine and trehalose against NO induced cell death, in the present study we examined their effects on the expression of PARP-1, PARP-2 and iNOS in primary rat astrocyte and oligodendrocyte cells, treated with lipopolysaccharide (LPS) and interferon gamma (INFgamma), through semi-quantitative PCR and western analysis. To further characterize the molecular mechanisms underlying L-carnosine and trehalose action, we measured cell viability, nitrite production and LDH release. The data obtained clearly demonstrate that in the stress model employed L-carnosine and trehalose down regulate PARP-1 and PARP-2 expression in both cell phenotypes, thus suggesting their possible application in clinical trials. PMID- 21053070 TI - Detection of urinary abnormalities in a community from northern Italy based on the World Kidney Day screening program. AB - BACKGROUND: Chronic kidney disease (CKD) is a worldwide health problem, and promotion of the World Kidney Day has improved general population education and awareness of renal illnesses aimed at ameliorating disease prevention. The Kidney Day was also an opportunity for us to investigate risk factors for CKD in an Italian population. PATIENTS AND METHODS: A total of 1,341 subjects from the general population living in the area of Ferrara, a town in the northeast of Italy, aged 50-70 years, were investigated. From each participant age, sex, smoking status, current antihypertensive medications, hypercholesterolemic and diabetic status, body mass index (BMI), waist circumference and blood pressure (BP) were obtained. All subjects underwent dipstick urinalysis for the evaluation of proteinuria, hematuria and leukocyturia. RESULTS: Fifteen percent of patients were diabetics, and 20% were smokers. Mean BMI was 26.9 +/- 4.3 kg/m(2), mean systolic BP was 133.7 +/- 18.7 mmHg and mean diastolic BP 78.1 +/- 9.9 mmHg. A total of 828 participants were not taking any antihypertensive drugs. In 24% of subjects, we found proteinuria, in 18% hematuria and in 16% leukocyturia. Proteinuria was significantly associated with age and diabetes, hematuria was associated with age, female sex and smoking status, and leukocyturia was associated with age and female sex. CONCLUSIONS: Urinary abnormalities are common in general population, and in many cases, various abnormalities overlap. These abnormalities could be associated with cardiovascular risk factors. We believe that our initiative, based on the experience of the World Kidney Day, could increase the awareness of general practitioners and general population of the risks of renal conditions. PMID- 21053071 TI - Prognostic factors identifying biochemical recurrence in patients with positive margins after radical prostatectomy. AB - BACKGROUND: There is a discrepancy in reporting biochemical recurrence (BCR) rates in patients with positive surgical margins (PSM) after a radical prostatectomy (RP), ranging between 19 and 61%. Our aim was to identify the parameters that contribute to the absence of BCR in patients with PSM by performing a multivariate analysis. METHODS: From a cohort of 1163 patients who underwent open RP over a 6-year period, 69 exhibited PSM. Of the 69, 39 had and 30 did not have a BCR during a 3-year follow-up. The analysis comprised preoperative and postoperative PSA serum levels, age, weight of the prostate, pathology tumor grade, time of BCR, number and location of PSM. RESULTS: In the univariate analysis, the weight of prostate was statistically significantly associated with the odds of BCR (P = 0.027, 95% CI 1.00-1.06). Bladder neck and lateral locations of PSM were negatively associated with BCR, without exhibiting statistical significance in the multivariate analysis. Age was negatively associated with the odds of BCR whereas preoperative PSA, stage and Gleason score were positively associated, but did not exhibit statistical significance in both uni- and multivariate analysis. CONCLUSIONS: A low weight prostate, younger age, bladder neck and lateral location of PSM seem to protect patients from having a BCR. On the other hand, preoperative PSA, stage of the disease and Gleason score do contribute to the occurrence of BCR. Lack of statistical significance in the above results could be attributed to the small number of patients due to the study's low PSM rate. PMID- 21053072 TI - Using urine microscopy and cytology for early detection of bladder cancer in male patients with lower urinary tract symptoms. AB - OBJECTIVES: Irritative urinary symptoms and micro-hematuria are the common findings in bladder cancer patients. In this study, we investigated the use of urine microscopy and cytological examination for early detection of bladder cancer in patients with lower urinary tract symptoms (LUTS). METHODS: Male patients presented with LUTS to urology clinic were enrolled. Voiding symptoms were evaluated with international prostate symptoms score, and urine samples were collected for microscopy and cytological examination. Cystoscopy was performed in patients with microscopic hematuria, suspicious/malignant cells in urine or at the time of transurethral resection of prostate. Subjects, who had no indication and did not receive cystoscopy, were followed up in clinic for progress of symptoms, including gross hematuria and occurrence of bladder cancer. RESULTS: Nine hundred and eighty-eight patients were enrolled during the period of 2005 2007. Fifty-two (5.26%) urine samples were documented as atypical, and 936 (94.7%) were negative. There was no suspicious or malignant cytology result in this series. Micro-hematuria was noticed in six patients (0.61%). The mean follow up time was 29.1 +/- 12.5 months. One (0.10%) patient had bladder cancer 44 months after the first visit in the cohort, who had micro-hematuria, atypical urine cytology, but normal cystoscopy before diagnosis. CONCLUSION: The prevalence rate of bladder cancer in male patients with LUTS is low. This study adds to information that microscopy and cytological examination are not useful to detect bladder cancer. Due to the economic concerns and burden of unnecessary investigations, the routine use of these tests is in doubt. PMID- 21053074 TI - Effectiveness of doxazosin on erectile dysfunction in patients with lower urinary tract symptoms. AB - OBJECTIVE: We evaluated the effectiveness of doxazosin on ED in patients with LUTS/BPH and ED by using symptom score scales. We also evaluated whether or not the presence of asymptomatic inflammatory prostatitis had an effect on the alteration in the symptom scores. PATIENTS AND METHODS: A total of 36 male patients were included in the study. For all the cases, "International Prostate Symptom Score" (IPSS), "National Health Institute Chronic Prostatitis Symptom Index" (NIH-CPSI) and "International Index of Erectile Function" (IIEF-5) were investigated, and the scores were calculated in the first visit. Doxazosin was given for 30 days, and at the second visit IPSS, NIH-CPSI and IIEF-5 scores, Qmax and PMR were once more analysed. Afterwards, the alterations of the scores between visits were statistically compared. RESULTS: Mean age of the 36 cases included in the study was 59.03 +/- 1.35. The alterations in parameters between 1st and 2nd visit were compared in the cases who used doxazosin and a statistically significant decrease in IPSS, NIH-CPSI scores and statistically significant increase in Qmax were observed. Besides, there was a statistically significant increase in IIEF-5 score. In addition, when the cases were divided into two groups patients with asymptomatic inflammatory prostatitis and without asymptomatic inflammatory prostatitis, there was not any difference in all scores. CONCLUSION: Doxazocin use in cases with LUTS/BPH and ED has an improving effect on ED as well as LUTS. Therefore, we believe that in the future, single agents or combined therapies might have a place in cases with LUTS/BPH and ED. PMID- 21053073 TI - Assessment of long-term quality of life in patients with orthotopic neobladder followed for more than 5 years. AB - INTRODUCTION: We analyzed the general health QOL (GH-GOL), urinary QOL, and sexual QOL in patients with orthotopic neobladder who were followed for more than 5 years. MATERIALS AND METHODS: Eighty-six (male 78, female 8) patients who underwent orthotopic neobladder and followed for more than 5 years were enrolled in this study. QOL regarding general health and urinary function were surveyed by SF-36 and ICSmaleSF, respectively. Sexual function was assessed by International Index of Erectile Function (IIEF-5). Satisfaction with urinary and sexual function was evaluated by visual analogue scale (VAS). RESULTS: On overall analysis by SF-36, 2 categories (role-physical functioning and role-emotional functioning) showed significantly lower scores, although bodily pain showed a better than average score for Japanese people of the same age. While patients who required clean intermittent catheterizaion (CIC) or had daytime incontinence presented worse scores in several categories on SF-36, the presence of enuresis did not affect SF-36 score. ICSmaleSF survey showed that voiding symptoms significantly impaired QOL in patients who required CIC and incontinence symptoms significantly impaired QOL in patients who had daytime incontinence and enuresis. With regard to sexual function, most patients (88%) had lost sexual function. On VAS, satisfaction with urinary function was 5.63, and sexual function was only 0.98. CONCLUSIONS: Although GH-QOL was generally well maintained, the presence of CIC or daytime incontinence impaired GH-QOL. Most were not satisfied with their level of sexual function 5 years after orthotopic neobladder construction. PMID- 21053075 TI - Biogerontology in Russia: from past to future. AB - The paper presents major steps of gerontology development in Russia. The issues of training in gerontology and geriatrics, institutional infrastructure within the Gerontological Society of the Russian Academy of Sciences and its activities have been considered therein. Some results of Russian researchers obtained during 2005-2010 have been summarized as well. Special attention is given to the prospects of gerontology in Russia. PMID- 21053076 TI - Hepatic epithelioid hemangioendothelioma. PMID- 21053077 TI - Rabeprazole impedes the development of reflux-induced esophageal cancer in a surgical rat model. AB - BACKGROUND: The role of proton pump inhibitors in Barrett's metaplasia and esophageal adenocarcinoma has been an area of controversy. AIMS: We evaluated the effectiveness of the proton pump inhibitor rabeprazole as a chemoprevention agent in a surgical rat reflux model of esophageal cancer. METHODS: The rat reflux model was created by performing a jejuno-esophagostomy on Sprague-Dawley rats. The surgery promoted the reflux of gastro-duodenal contents into the esophagus. Rabeprazole sodium (Eisai, Tokyo, Japan) was dissolved in 0.9% physiological saline to a desired concentration of 1.5% (W/V). Beginning 4 weeks post-surgery, all animals were administered either 0.2 ml per 100 g body weight injections of rabeprazole or equivalent injections of saline 3 days per week into the subcutaneous tissue of the back. Forty animals were killed 40 weeks after surgery and their esophagi were examined. Of these, 23 were included in the control group, while the remaining 17 were subjected to rabeprazole. RESULTS: While 74% (17/23) of the controls developed esophageal cancer, animals administered rabeprazole had an incidence of cancer of 29% (5/17) (p < 0.05, Fisher's exact test). Barrett's metaplasia was found on 100% (23/23) of the rats in the placebo group, but there was a protective effect in the rabeprazole group with 65% (11/17) of the rats displaying signs of Barrett's metaplasia (p < 0.05, Fisher's exact test). All of the rats developed proliferative hyperplasia. CONCLUSIONS: Rabeprazole protected against the development of esophageal cancer in a clinically relevant surgical reflux model. Rabeprazole warrants further investigation for potential clinical use as a chemoprevention agent. PMID- 21053078 TI - Intercellular space volume is mainly increased in the basal layer of esophageal squamous epithelium in patients with GERD. AB - BACKGROUND/AIMS: At present, the dilation of esophageal intercellular spaces (ICS) is considered an early morphologic marker of acid damage in patients with GERD. Nevertheless, previous electron microscopic (EM) studies had focused only on the suprabasal layer of squamous epithelium or did not nearly specify which layer of squamous epithelium was studied. Therefore, we aimed to assess the volumetric amount of the ICS in all layers of SE in patients with GERD. METHODS: In this study, 48 patients were prospectively included (NERD = 18, ERD = 17; Barrett's esophagus = 5, controls = 8). All patients with ERD and NERD had typical reflux symptoms, as assessed by a valid GERD questionnaire. ICS volume was assessed by electron microscopy in the superficial, prickle cell, and basal layers of esophageal squamous epithelium using the method of Weibel. RESULTS: ERD was associated with increased ICS volume in the basal layer (LA-A, p = 0.038; LA B, p = 0.005) and prickle cell layer (LA-A, p = 0.006; LA-B, p = 0.007) as compared to controls. Comparisons between NERD and ERD patients revealed more dilated ICS in the basal layer (LA-B, p = 0.007), prickle cell layer (LA-A, p = 0.008; LA-B, p = 0.001) and superficial layer (LA-B, p = 0.018) in patients with ERD. CONCLUSIONS: Not only the diameter but also the volume of the ICS is increased in patients with GERD. Furthermore, the dilation of ICS is present in all three layers of the SE, being more pronounced in the basal layer. These findings support the concept that the impairment of the esophagus begins in the deeper parts of the esophageal epithelium. PMID- 21053079 TI - Role of symptoms, trend of liver tests, and endotherapy in management of post cholecystectomy biliary leak. AB - AIM: Biliary leaks are widely reported complications of cholecystectomy, but standard management remains undecided. The objective of our study was to report the role of symptoms, biochemical tests, and ERCP in patients with a leak. MATERIALS AND METHODS: Twenty-one patients (8 M, 26-77 years) with suspected post cholecystectomy biliary leak were retrospectively studied. Symptoms and liver tests (LTs) after surgery were monitored. Trends of LTs were considered positive if increases at >48 h were seen. ERCP was performed in all patients. Findings at endoscopy and treatments were reported. Outcome results were obtained for all patients. RESULTS: Seventeen of 21 patients had persistent biliary leak at ERCP, because of direct injury (n = 10), accessory duct (n = 4), or cystic duct stump (n = 3). Eleven of 17 patients (six without symptoms), had distal obstruction because of surgical injury (n = 8), stone (n = 2), or cholangiocarcinoma (n = 1) and underwent stenting (n = 4), naso-biliary drainage, NBD (n = 3), or surgery (n = 4). Among the six patients without obstruction (four without symptoms), stenting was performed in two and NBD in four. The four patients without apparent leak underwent NBD. Impairment of LTs was present in ten out of eleven (91%) patients with obstruction versus six of ten (60%) without obstruction. No complications occurred after ERCP. During a median follow-up of 33 months (cholangiocarcinoma excluded) all but one remained asymptomatic. CONCLUSIONS: Symptoms and trend of LTs were not predictive of biliary obstruction in patients with a leak after cholecystectomy. Both endotherapy and surgery had favorable outcomes. PMID- 21053080 TI - Is more better? Outcome and dose of a universal drug prevention effectiveness trial. AB - Two evidence-based interventions, Life Skills Training and TimeWise, were combined in an effectiveness trial. Participants were predominately African American youth (N = 715; M (age) = 12). The study authors provide an empirical demonstration of the implications of incorporating dosage information in intervention outcome analyses. Study results showed no program-related benefits for drug use. Results indicated intervention-related benefits for assertiveness and anxiety management skills and drug use intentions as well as a reduction in detrimental leisure motivations. High program exposure and lesson coverage tended to be connected to intervention benefits. Study findings also documented ways that dosage information provides insight into interventions and their effects. PMID- 21053081 TI - Design and optimization of non-clogging counter-flow microconcentrator for enriching epidermoid cervical carcinoma cells. AB - Clogging failure is common for microfilters in living cells concentration; for instance, the CaSki Cell-lines (Epidermoid cervical carcinoma cells) utilizing the flat membrane structure. In order to avoid the clogging, counter-flow concentration units with turbine blade-like micropillar are proposed in microconcentrator design. Due to the unusual geometrical-profiles and extraordinary microfluidic performance, the cells blocking does not occur even at permeate entrances. A counter-flow microconcentrator was designed, with both processing layer and collecting layer arranged in terms of the fractal based honeycomb structure. The device was optimized by coupling Artificial Neuron Network (ANN) and Computational Fluid Dynamics (CFD). The excellent concentration ratio of a final microconcentrator was presented in numerical results. PMID- 21053082 TI - Lattice Boltzmann simulation of thermofluidic transport phenomena in a DC magnetohydrodynamic (MHD) micropump. AB - A comprehensive non-isothermal Lattice Boltzmann (LB) algorithm is proposed in this article to simulate the thermofluidic transport phenomena encountered in a direct-current (DC) magnetohydrodynamic (MHD) micropump. Inside the pump, an electrically conducting fluid is transported through the microchannel by the action of an electromagnetic Lorentz force evolved out as a consequence of the interaction between applied electric and magnetic fields. The fluid flow and thermal characteristics of the MHD micropump depend on several factors such as the channel geometry, electromagnetic field strength and electrical property of the conducting fluid. An involved analysis is carried out following the LB technique to understand the significant influences of the aforementioned controlling parameters on the overall transport phenomena. In the LB framework, the hydrodynamics is simulated by a distribution function, which obeys a single scalar kinetic equation associated with an externally imposed electromagnetic force field. The thermal history is monitored by a separate temperature distribution function through another scalar kinetic equation incorporating the Joule heating effect. Agreement with analytical, experimental and other available numerical results is found to be quantitative. PMID- 21053083 TI - Microfluidic blood filtration device. AB - Rapid decentralized biomedical diagnostics have become increasingly necessary in a medical environment of growing costs and mounting demands on healthcare personnel and infrastructure. Such diagnostics require low-cost novel devices that can operate at bedside or in doctor offices using small amounts of sample that can be extracted and processed on the spot. Thus, point-of-care sample preparation is an important component of the necessary diagnostic paradigm shift. We therefore introduce a microfluidic device which produces plasma from whole blood. The device is inexpensive, reliable, easy to fabricate, and requires only 3.5 kPa pressure to operate. The device is fully compatible with microfluidic diagnostic chips. The output 23-gauge microtube of the former can be directly plugged into the input ports of the latter allowing immediate applicability in practice as a sample-prep pre-stage to a variety of emergent microfluidic diagnostic devices. In addition, the shown approach of filter encapsulation in elastomer has principle importance as it is compatible with and applicable to microfluidic sample-prep integration with analytical stages within the same elastomeric chip. This can eventually lead to finger-prick blood tests in point of-care settings. PMID- 21053084 TI - Narrative responsibility and moral dilemma: A case study of a family's decision about a brain-dead daughter. AB - A brain death case is presented and reinterpreted using the narrative approach. In the case, two Japanese parents face a dilemma about whether to respect their daughter's desire to donate organs even though, for them, it would mean literally killing their daughter. We argue that the ethical dilemma occurred because the parents were confronted with two conflicting narratives to which they felt a "narrative responsibility," namely, the responsibility that drives us to tell, retell, and coauthor the (often unfinished) narratives of loved ones. We suggest that moral dilemmas arise not only from conflicts between moral justifications but also from conflicts between narratives and human relationships. PMID- 21053085 TI - The Serbian version of the Epworth Sleepiness Scale. AB - PURPOSE: The Epworth Sleepiness Scale (ESS) is extensively used for evaluating daytime sleepiness in patients with sleep apnea-hypopnea syndrome (SAHS). The aim of this study was to translate and validate the ESS in the Serbian language. METHODS: The Serbian version of the ESS (ESSs) was administered to 112 patients with symptoms of sleep disorder breathing referred to Sleep Center of the Institute for Pulmonary Diseases of Vojvodina, Sremska Kamenica, Serbia and 111 healthy controls. Test-retest reliability was tested in 19 healthy subjects. RESULTS: Patients referred to the Sleep center had significantly higher ESS scores compared to controls (9 vs. 4, p < 0.001). The difference was also present for each item separately, excluding item 5. The ESSs scores were significantly higher in patients with severe (median, 13.5; interquartile range (IQR), 10.3 17.8) compared to moderate (median, 9; IQR, 7.3-9.5; p = 0.005) and mild SAHS (median, 8; IQR, 5.5-9.7; p < 0.001). Item analysis demonstrated good internal consistency of the scale (Cronbach's alpha 0.88 in patients and 0.72 in healthy controls). Test-retest Spearman's correlation coefficient was 0.68 (p = 0.001). CONCLUSION: The Serbian version of the ESS demonstrated good internal consistency and test-retest reliability. The ESSs could be used for both clinical practice and research in Serbian population. PMID- 21053087 TI - A death in primary care. PMID- 21053088 TI - Sounds of Haiti. PMID- 21053089 TI - Teaching internal medicine residents to sustain their improvement through the quality assessment and improvement curriculum. AB - INTRODUCTION: Although sustainability is a key component in the evaluation of continuous quality improvement (CQI) projects, medicine resident CQI projects are often evaluated by immediate improvements in targeted areas without addressing sustainability. AIM/SETTING: To assess the sustainability of resident CQI projects in an ambulatory university-based clinic. PROGRAM DESCRIPTION: During their ambulatory rotation, all second year internal medicine residents use the American Board of Internal Medicine's Clinical Preventive Services (CPS) Practice Improvement Modules (PIM) to complete chart reviews, patient surveys, and a system survey. The residents then develop a group CQI project and collect early post data. Third year residents return to evaluate their original CQI project during an ambulatory rotation two to six months later and complete four plan-do study-act (PDSA) cycles on each CQI project. PROGRAM EVALUATION: From July 2006 to June 2009, 64 (100%) medicine residents completed the CQI curriculum. Residents completed six group projects and examined their success using early (2 to 6 weeks) and late (2 to 6 months) post-intervention data. Three of the projects demonstrated sustainable improvement in the resident continuity clinic. DISCUSSION: When residents are taught principles of sustainability and spread and asked to complete multiple PDSA cycles, they are able to identify common themes that may contribute to success of QI projects over time. PMID- 21053090 TI - Use of complementary and alternative medicine and self-rated health status: results from a national survey. AB - BACKGROUND: Despite the absence of conclusive evidence of effectiveness, complementary and alternative medicine (CAM) is used by 4 of 10 adults in the US; little is known about the association between CAM use and health status. OBJECTIVE: To determine the relation between CAM use and self-reported health status and health improvement over time. DESIGN AND PARTICIPANTS: We performed a secondary database analysis using data from the 2007 National Health Interview Survey of non-institutionalized US residents conducted by the National Center of Health Statistics of the Center for Disease Control. We identified CAM users and compared them to non-users. We used multivariable logistic regression to model the health status of respondents. We controlled for confounders including socio demographic, clinical, and behavioral factors. The models were evaluated for discrimination and calibration. MAIN MEASURES: The likelihood of respondents to report 'Excellent' current health and 'Better' health than in the prior year. KEY RESULTS: Based on 23,393 respondents, we found 37% of U.S. adults used complementary and alternative medicine and 63% did not use any CAM. Compared to those who did not use CAM, CAM users were more likely to rate their health as 'Excellent' (adjusted-odds ratio (AOR) = 1.14, 95% CI = [1.03,1.26]). Similarly, CAM users were more likely to report their health as 'Better' than in the prior year (AOR = 1.64, 95% CI = [1.49,1.83]). The c-statistics for the two models were 0.755 and 0.616, respectively. CONCLUSION: We found a significant association between CAM use and self-rated excellent health and health improvement over the prior year. Prospective trials are required to determine whether CAM use is causally related to excellent health status and better health than in the prior year. PMID- 21053091 TI - Does prevalence matter to physicians in estimating post-test probability of disease? A randomized trial. AB - BACKGROUND: The probability of a disease following a diagnostic test depends on the sensitivity and specificity of the test, but also on the prevalence of the disease in the population of interest (or pre-test probability). How physicians use this information is not well known. OBJECTIVE: To assess whether physicians correctly estimate post-test probability according to various levels of prevalence and explore this skill across respondent groups. DESIGN: Randomized trial. PARTICIPANTS: Population-based sample of 1,361 physicians of all clinical specialties. INTERVENTION: We described a scenario of a highly accurate screening test (sensitivity 99% and specificity 99%) in which we randomly manipulated the prevalence of the disease (1%, 2%, 10%, 25%, 95%, or no information). MAIN MEASURES: We asked physicians to estimate the probability of disease following a positive test (categorized as <60%, 60-79%, 80-94%, 95-99.9%, and >99.9%). Each answer was correct for a different version of the scenario, and no answer was possible in the "no information" scenario. We estimated the proportion of physicians proficient in assessing post-test probability as the proportion of correct answers beyond the distribution of answers attributable to guessing. KEY RESULTS: Most respondents in each of the six groups (67%-82%) selected a post test probability of 95-99.9%, regardless of the prevalence of disease and even when no information on prevalence was provided. This answer was correct only for a prevalence of 25%. We estimated that 9.1% (95% CI 6.0-14.0) of respondents knew how to assess correctly the post-test probability. This proportion did not vary with clinical experience or practice setting. CONCLUSIONS: Most physicians do not take into account the prevalence of disease when interpreting a positive test result. This may cause unnecessary testing and diagnostic errors. PMID- 21053092 TI - Bioavailability and concentration of heavy metals in the sediments and leaves of grey mangrove, Avicennia marina (Forsk.) Vierh, in Sirik Azini Creek, Iran. AB - The concentration and bioavailability of Ni, Cu, Cd, Zn, and Pb in the sediments and leaves of grey mangrove, Avicennia marina, were studied throughout Sirik Azini creek (Iran) with a view to determine heavy metals bioavailability, and two methods were used. Results show that Zn and Ni had the highest concentrations in the sediments, while Cd and Cu were found to have the lowest concentrations in the sediments. Compared to the mean concentrations of heavy metals in sedimentary rock (shales), Zn and Cu showed lower concentration, possibly indicating that the origin of these heavy metals is natural. A geo-accumulation index (Igeo) was used to determine the degree of contamination in the sediments. Igeo values for Zn, Cu, Pb, and Ni showed that there is no pollution from these metals in the study area. As heavy metal concentrations in leaves were higher than the bioavailable fraction of metals in sediments, it follows that bioconcentration factors (leaf/bioavailable sediment) for some metals were higher than 1. PMID- 21053093 TI - The mechanism of the molecular interaction between cerium (III) and ribulose-1,5 bisphosphate carboxylase/oxygenase (Rubisco). AB - The mechanism of the molecular interaction between Ce3+, a member of rare earth elements, and Rubisco in vitro is investigated. The carboxylase activity of Rubisco greatly increased under low concentrations of Ce3+ and decreased under high concentrations of Ce3+. The ultraviolet absorption spectra show that the various concentrations of Ce3+ treatment do not shift the characteristic peaks of Rubisco while the characteristic peak intensity of Rubisco increases with increasing Ce3+ concentration. The Rubisco-Ce3+ interactions also do not cause any noticeable change in the lambdamax of Rubisco fluorescence spectra. However, the fluorescence intensity of Rubisco is found quenched by the addition of Ce3+, which strongly suggests that Ce3+ could directly bind to the Rubisco protein. and the binding sites is estimated to 1.52 per protein. The binding between Ce3+ and Rubisco is also proved by extended X-ray absorption fine-structure essay; Ce3+ coordinated with eight oxygen atoms of Rubisco in first shells and six oxygen atoms in second shells. The results implied that Ce3+ might improve the microenvironment of Rubisco and, in turn, affected the carboxylase capacity of Rubisco greatly. PMID- 21053094 TI - Expression of the zinc transporters genes and metallothionein in obese women. AB - Research has investigated the participation of zinc transport proteins and metallothionein in the metabolism of this mineral. However, studies about the genetic expression of these proteins in obese patients are scarce. The study determined the expression of zinc transporter protein codifying genes (ZnT-1, Zip 1 and Zip-3) and of metallothionein in 55 obese women, aged between 20 and 56 years. The assessment of body composition was carried out using anthropometric measurements and bioelectrical impedance. Zinc intake was obtained by recording diet over a 3-day period, and the nutritional analysis was carried out using NutWin software version 1.5. The plasmatic and erythrocytary zinc were analyzed by atomic absorption spectrophotometry (lambda=213. 9 nm). The determination of mRNA expression of the zinc transporter proteins and metallothionein was carried out using blood, using the RT-PCR method. The mean values of body mass index were 37.9+/-5.5 kg/m2. The average intake of zinc was 9.4+/-2.3 mg/day. The analysis of the zinc plasma concentrations showed values of 58.4+/-10.9 MUg/dL. The mean values of zinc in the erythroytes were 38.7+/-9.1 MUg/g Hb. The metallothionein gene had a higher expression in the blood, when compared to zinc transporters ZnT 1, Zip-1, and Zip-3 (p=0.01). The study shows that there are alterations in the biochemical parameters of zinc in obese patients assessed, as well as higher expression of the codifying gene metallothionein, when compared to the investigated zinc transporters. PMID- 21053095 TI - Effect of different selemethionine forms and levels on performance of breeder hens and se distribution of tissue and egg inclusion. AB - A 2*2 factorial arrangement of treatments in randomized design was conducted to investigate the effect of different selenomethionine (SM) sources and levels on the productive performance of breeder hens and the Se distribution in the inclusion of eggs and serum and tissues of breeder hens and its offspring. A total of 480 Ling-Nan-Huang breeder hens, 48 weeks of age, were allocated to four treatments, each of which included three replicates of 40 hens. Pretreatment period was 2 weeks, and the experiment lasted 8 weeks. Two SM forms of DL-SM and L-SM were supplemented at 0.15 or 0.30 mg Se/kg into the basal diet. Results showed that the Se level of 0.15 mg/kg supplemented in the diet, compared to 0.30 mg/kg, significantly elevated the percentage of egg production (p<0.05), hatchability (p<0.01), and birthrate (p<0.01), whereas the Se level of 0.30 mg/kg led to a higher Se content in egg contents, serum, and all tissues (p<0.01). In addition, the form of DL-SM showed a significant increase in Se content of egg inclusion (p<0.01), serum (p<0.01), and all tissues (p<0.01, except breeder hens' pancreas and its offspring's liver and breast muscle). The birthrate and yolk Se content were markedly influenced by the interaction between Se source and Se level (p<0.01). The above results suggested that DL-SM, compared to L-SM, had a similar equal effect on the performance of breeder hens, but DL-SM was superior to L-SM with respect to selenium distribution in egg inclusion, serum, and tissues. PMID- 21053096 TI - Metallothionein as potential biomarker of cadmium exposure in Persian sturgeon (Acipenser persicus). AB - Metallothionein (MT) concentration in gills, liver, and kidney tissues of Persian sturgeon (Acipenser persicus) were determined following exposure to sublethal levels of waterborne cadmium (Cd) (50, 400, and 1,000 MUg l(-1)) after 1, 2, 4, and 14 days. The increases of MT from background levels were 4.6-, 3-, and 2.8 fold for kidney, liver, and gills, respectively. The results showed that MT level change in the kidney is time and concentration dependent. Also, cortisol measurement revealed elevation at the day 1 of exposure and followed by MT increase in the liver. Cd concentrations in the cytosol of experimental tissues were measured, and the results indicated that Cd levels in the cytosol of liver, kidney, and gills increased 240.71-, 32.05-, and 40.16-fold, respectively, 14 days after exposure to 1,000 MUg l(-1) Cd. The accumulation of Cd in cytosol of tissues is in the order of liver > gills > kidney. Pearson correlation coefficients showed that the MT content in kidney is correlated with Cd concentration, the value of which is more than in liver and gills. Thus, kidney can be considered as a tissue indicator in A. persicus for waterborne Cd contamination. PMID- 21053097 TI - Primary sellar neuroblastoma presenting with syndrome of inappropriate secretion of anti-diuretic hormone. AB - A 29-year-old Ethiopian man presented with marked bilateral visual loss, headache, hypopituitarism and significant hyponatraemia (115 mmol/L). A brain MRI scan demonstrated a large, lobulated, sellar and suprasellar mass, elevating the floor of the 3rd ventricle and compressing the optic chiasm. The patient underwent a transphenoidal resection of the mass followed by a craniotomy 10 days later. Histological examination demonstrated a Hyams' grade III neuroblastoma with ectopic expression of vasopressin. He underwent fractionated radiotherapy at a dose of 60 Gy in 30 fractions. Fourteen months after the onset, he is well with no neuroimaging evidence of tumour recurrence. His serum and urine sodium are completely normalised. PMID- 21053099 TI - A relationship between ABO blood groups and clinicopathologic characteristics of patients with gastric adenocarcinoma in China. AB - Little is known about the relationship between ABO blood groups and the clinicopathologic features in gastric adenocarcinoma patients of China. Whether the distribution of ABO blood groups in patients with gastric adenocarcinoma differs from that with benign diseases is also unknown. A total of 474 gastric adenocarcinoma patients and 404 with benign diseases were enrolled for the study. The relationship between patients' ABO blood groups and the clinicopathologic features was analyzed. The percentage of blood group AB was more common in men of gastric cancer than in benign diseases. The proportion of angiolymphatic invasion among patients with blood group O was significantly lower than those with other blood groups (P = 0.034). Proportion of tumors associated with estrogen receptor (ER), progestogen receptor (PR) and carcinoembryonic antigen (CEA) expression in blood type A was significantly higher than in other blood types (P = 0.002 for ER expression, 0.009 for PR expression, 0.003 for CEA expression). Proportion of tumors associated with serum CEA and CA19-9 elevation in blood type AB was significantly lower than in other blood types (P < 0.001 for serum CEA elevation, 0.005 for CA19-9 elevation). Though there was no significant difference between ABO blood groups and survival of the patients, patients with blood group B had a trend to show superiority in the survival. The significance of ABO blood group distribution might be associated with biological behavior of gastric adenocarcinoma patients. However, it was found not to be a prognosis factor for patients with gastric adenocarcinoma. PMID- 21053100 TI - Short interfering RNA directed against Slug blocks tumor growth, metastasis formation, and vascular leakage in bladder cancer. AB - In a previous study, we have identified Slug as selectively overexpressed in the highly invasive bladder cancer cells. Furthermore, Slug overexpression was correlated with metastasis in bladder cancer tissues. Here, we investigated the role and underlying mechanism of Slug in the process of growth, invasion and metastasis formation, and vascular leakage in a bladder cancer cell line T24. We knocked down in a bladder cancer cell line T24 by Slug siRNA, and the effects on invasion, metastasis, and proliferation were evaluated in vitro. A pseudometastatic model of bladder cancer in severe combined immunodeficient mice was used to assess the effects of Slug silencing on metastasis and angiogenesis development. Slug-specific siRNA in T24 cells led to a significant decrease in invasiveness and metastasis, proliferation rates, and angiogenesis in vitro. Slug specific siRNA induced strong changes in cell invasiveness mainly through a mechanism of up-regulation of epithelial markers E-cadherin expression. Interestingly, E-cadherin-specific siRNA attenuated Slug siRNA-induced Matrigel invasion. Moreover, Slug-specific siRNA induced strong changes in microvessel counts in angiogenesis mainly through decreased activity of MMP-2, but not through cadherin expression and decreased activity of MMP-9. Restoration of MMP-2 expression in the Slug-silenced T24 cells resulted in an increased cell angiogenesis. Moreover, Slug-specific siRNA significantly reduced tumor growth by approximately 60% and inhibited metastasis and angiogenesis in a xenograft mouse model. This was accompanied by an increased expression of E-cadherin and a decreased expression of MMP-2 in tumor tissues. These data suggest that Slug regulates tumor growth, invasion and metastasis, and angiogenesis through E cadherrin and MMPS passway. Blocking Slug signaling in bladder cancer may represent a novel strategy in the future to reduce metastatic disease burden in bladder cancer patients. PMID- 21053101 TI - Diversity of axin in signaling pathways and its relation to colorectal cancer. AB - Colorectal cancer is one of the commonest cancers in western world, while majority of cases of CRC are sporadic, a significant minority occurs as a result of inherited genetic mutations. Various studies have demonstrated that tumorigenesis of colon arises as a result of accumulation of multiple genetic alterations targeting the single signal transduction pathway. Genetic alteration of axin has been implicated in different cancers including colorectal cancers. Axin being a multidomain protein interacts with multiple proteins and acts as major scaffold protein in signaling pathways like c-jun/SAPK, TGF-beta, and Wnt. In different signaling pathways, axin utilizes different domains and hence exerts distinct roles. PMID- 21053102 TI - Feasibility of cetuximab given with a simplified schedule every 2 weeks in advanced colorectal cancer: a multicenter, retrospective analysis. AB - Cetuximab was approved using a weekly schedule, alone or in combination with chemotherapy (CT). However, many CT regimens in metastatic colorectal cancer (CRC) are delivered every 2 weeks (q2wks). Preliminary data suggested that a simplified schedule using cetuximab q2wks, 500 mg/m2 would be equivalent to the standard weekly administration. Medical data of all patients with advanced CRC who received cetuximab q2wks were retrospectively collected and checked for consistency by an independent monitor in 4 European centers. Ninety-one patients were treated between 2005 and 2007 when the K-RAS mutational status of tumors was not determined routinely. They received a median of 4 (0-5) previous drugs, including previous weekly cetuximab in 38.5% of patients. Cetuximab q2wks was associated with an irinotecan-based regimen in 85.7% of patients. The median number of cetuximab administrations was 6 (1-23). Skin toxicity was observed in 68.2% of evaluable patients (grade 3 in 15%). Only one grade 1 allergy was reported. In the 84 patients beyond first-line therapy, response rate was 29.3%. The median progression-free survival was 3.0 months (range 2.2-3.8), and median overall survival was 9.0 months (range 6.2-11.8). Cetuximab q2wks appears safe and effective in heavily pretreated patients and convenient in combination with q2wks CT schedules. PMID- 21053103 TI - The study of the combination detection of HPV-DNA and p16INK4a in cervical lesions. AB - The objective of this study is to detect the infection of human papillomavirus (HPV) and the expression of p16(INK4a) in cervical lesions and to investigate the interaction between hrHPV and p16(INK4a) for cervical lesions and its diagnostic efficiency. hrHPV-DNA was detected by the hybrid capture II (HC-II) system. Immunochemical method was used to detect the expression of p16(INK4a), and histopathologic test was performed to identify cervical lesions. chi(2) test and Spearman's rank correlation were used for statistical analysis. Additive effects model was used to analyze the interaction. The diagnostic sensitivity, specificity, positive predictive values, negative predictive values, accuracy, and the area under the receiver operating characteristic curve were calculated with SPSS 13.0. hrHPV and p16(INK4a) positive rate increased (P < 0.05) with histopathologic diagnosis increasing. The positive rates of hrHPV and p16(INK4a) in negative or chronic inflammation were statistically lower than that in cervical intraepithelial neoplasia (CIN)1, CIN2, CIN3, and squamous-cell carcinoma (SCC) (P < 0.05), respectively. There was a positive interaction between hrHPV and p16(INK4a), relative excess risk of interaction (RERI) was 52.49, attributable proportions of interaction (API) were 72.34%, and the synergy index (S) was 3.75. The specificity and AUC of combining hrHPV with p16(INK4a) were statistically higher than hrHPV or p16(INK4a) alone (P < 0.05). hrHPV and p16(INK4a) are useful markers for the early diagnosis of cervical lesions. A positive interaction between hrHPV and p16(INK4a) is seen. The combination of hrHPV and p16(INK4a) has a higher diagnostic accuracy than hrHPV or p16(INK4a) alone in diagnosis of cervical lesions. PMID- 21053104 TI - Down-regulation of miR-212 expression by DNA hypermethylation in human gastric cancer cells. AB - There has been few report discussing the expression and function of miR-212 in gastric cancer (GC). The aim of this pilot study was to investigate the expression of miR-212 in both gastric cancer tissues and gastric cancer cells and further explores the possible reasons for this change and the impact on the development of gastric cancer. qRT-PCR was used to detect the expression of miR 212 in primary GC tissues, adjacent normal tissues, gastric cancer cell lines BGC 823, SGC-7901, MKN-45, and normal gastric mucosa cell line GES. The expression of miR-212 was evaluated before and after treatment with methylation inhibitor-5-Aza 2'-deoxycitidine (5-Aza-dC), finally anti-miRNA and dual luciferase reporter assay were used to prove that MYC is a target gene of miR-212. The results showed that a significant reduction of miR-212 expression in GC tissues was observed compared to that in normal tissues (P = 0.002). At the same time, miR-212 expression level in normal gastric mucosa cell line GES was higher than that of in gastric cancer cell lines BGC-823, SGC-7901, and MKN-45 (P = 0.015, 0.008, 0.044, respectively). Computer sequence analysis showed the hypermethylation of CpG islands(CPI) in the promoter regions of miR-212 led to the lower expression of miR-212 in gastric cell strains (BGC-823 and SGC-7901). MiR-212 expression was significantly recovered after treatment with methylation inhibitor 5-Aza-dC (P = 0.016, 0.000, 0.015, respectively). Then, the results of AMOs transfection and dual luciferase reporter assay showed that Myc is a target of miR-212, which will be helpful to verify the function of miR-212 in carcinogenesis. The conclusion could be deduced from the study that decreased expression of miR-212 may be due to hypermethylation of CPI in gastric cancer cells, and miR-212 might act on the progression of gastric cancer through the potential target gene Myc. PMID- 21053106 TI - A potential novel therapeutic addition to statin therapy for dyslipidemia. PMID- 21053107 TI - Statins and renal disease: friend or foe? AB - The role of statins in the treatment and prevention of cardiovascular diseases, such as coronary artery disease, acute coronary syndromes, diabetes, or stroke, is well established. However, there are still some questions regarding the role of statins in patients with chronic kidney disease (CKD). Dyslipidemia is a known cardiovascular risk factor in individuals without CKD. In these patients, however, the relation of dyslipidemia to cardiovascular risk is complex, and the underlying pathobiological mechanisms are complex. Statins have proven to be highly effective in patients with initial stages of CKD; however, their effects in patients with advanced-stage CKD have been neutral despite a low-density lipoprotein cholesterol-lowering effect. In this review, we summarize the findings of the recent clinical trials of statins in renal disease and make recommendations for our patients. PMID- 21053108 TI - The need to examine metastatic tissue at the time of progression of breast cancer: is re-biopsy a necessity or a luxury? AB - Knowledge of estrogen receptor (ER), progesterone receptor (PgR) and human epidermal growth factor receptor-2 (HER2) status is necessary for determining the optimal treatment of breast cancer patients. At the same time, the discordance between marker profiles (ER/PR and HER2) of primary and metastatic breast cancer is well documented. Whether discordant cases are secondary to "clonal selection" in the face of targeted anti-estrogen or anti-HER2 therapy or whether they are a laboratory artifact is still debated; both scenarios are likely. This article outlines current modalities for ER, PR, and HER2 testing in primary breast carcinoma and its metastases and reviews prospective and retrospective studies that have addressed these issues, as well as recent advances in the field. PMID- 21053109 TI - Denosumab in breast cancer. AB - Bone destruction is mediated by osteoclasts, whose formation, function, and survival requires the receptor activator of NF-kB ligand (RANKL). Denosumab is a fully human monoclonal antibody to RANKL, thereby inhibiting osteoclast-mediated bone destruction, and blocks the vicious cycle of cancer-mediated bone disease. In breast cancer patients with bone metastases, denosumab was superior to zoledronic acid in delaying time to first on-study skeletal-related event (SRE; HR=0.82; P=0.01 superiority) and time to first and subsequent on-study SREs (HR=0.77; P=0.001). Overall survival, disease progression, and serious adverse events were similar between groups. PMID- 21053110 TI - Odontogenic epithelium: immunolabeling of Ki-67, EGFR and survivin in pericoronal follicles, dentigerous cysts and keratocystic odontogenic tumors. AB - The aim of this study was to evaluate the biological profile of odontogenic epithelium by immunolabeling of epidermal growth factor receptor (EGFR), Ki-67 and survivin in keratocystic odontogenic tumors (KOT), dentigerous cysts (DC), and pericoronal follicles (PF). Immunohistochemical analysis was performed in 13 KOTs, 14 DCs and 9 PFs. Immunolabeling was analyzed in the basal and suprabasal layers of KOTs and DCs, and in the islands of odontogenic epithelium and/or reduced enamel epithelium of PFs. KOTs showed the highest proliferation rate among the three groups, mainly in suprabasal layers. EGFR immunolabeling was observed mainly in the cytoplasm in basal and suprabasal layers of KOTs and in the suprabasal layer of DCs. Immunolabeling in both membrane and cytoplasm was greater in PFs. In PFs, membrane-only staining was observed. Survivin immunolabeling showed a greater percentage of positive cells (scoring +++) in the suprabasal layer of KOTs. In DCs, both layers showed similar percentages of cells scoring +++; PFs showed the highest percentage of these cells. In KOTs, epithelial cells showed stimulus-independent neoplastic proliferative characteristics, suggesting the presence of a suprabasal proliferative compartment, maintained by inhibition of apoptosis. In DCs, the basal layer seemed to proliferate in response to stimulus. Although PFs showed low proliferative activity, the expression of EGFR indicates that some cells have a high capacity to respond to stimuli, which could probably explain the origin of odontogenic lesions. PMID- 21053112 TI - Operated and adjacent segment motions for fusion versus cervical arthroplasty: a pilot study. AB - BACKGROUND: Anterior cervical discectomy and fusion (ACDF) represent the standard treatment for cervical spondylolytic radiculopathy and myelopathy. To achieve solid fusion, appropriate compressive loading of the graft and stability are essential. Fusion may lead to adjacent segment degeneration. Artificial discs have been introduced as motion-preserving devices to reduce the risk of fusion related complications. QUESTIONS/PURPOSES: We therefore asked: (1) Does the use of a plate reduce motion at the operated level and bone graft compression compared to fusion with bone graft alone; and (2) is adjacent-segment motion higher after fusion with a plate? METHODS: Motions and compressive loads in the graft were quantified for intact, C4-C5 ACDF without and with a plate, and total disc arthroplasty in human cadaver spines. RESULTS: At the surgery level all motions decreased for ACDF with a plate. The motions were similar to intact motions after total disc arthroplasty. The motions across the adjacent segment increased after fusion in all loading modes except lateral bending and were closer to the intact for the total disc arthroplasty case. The plate maintained a compressive load on the graft with a maximum increase in extension. CONCLUSIONS: Unlike fusion, the arthroplasty can restore motion to normal at the surgery and adjacent segments, compared to fusion cases. A cervical plate with a precompression of the graft provides enhanced stability and fusion due to improved compression. CLINICAL RELEVANCE: Our findings support the clinical observations that fusion may lead to the degeneration of the adjacent segments. Disc arthroplasty may be able to circumvent the adjacent segment degeneration. PMID- 21053113 TI - HSFs and regulation of Hsp70.1 (Hspa1b) in oocytes and preimplantation embryos: new insights brought by transgenic and knockout mouse models. AB - Gene encoding heat shock protein (Hsps) are induced following a thermal stress thanks to the activation of heat shock transcription factor (HSF) which interacts with heat shock elements (HSE) located within the sequence of Hsp promoters. This cellular and protective response (heat shock response (HSR)) is well known and evolutionarily conserved. Nevertheless, HSR does not function in all the cells produced during the life of a multicellular organism, e.g., early mouse embryos. Taking advantage of mouse transgenic and knockout models, we investigated the roles of trans (HSF 1 and 2) and cis (HSE) regulatory elements in the control of Hsp70.1 (Hspa1b) through several developmental steps from oocytes to blastocysts. Our studies confirm that, even in absence of any stress, HSF1 regulates Hsp70.1 in oocytes and early embryos. Our data emphasize the role of maternal and paternal HSFs in the developmentally regulated expression of Hsp70.1 observed when the zygotic genome activation occurs. Furthermore, in this unstressed developmental condition, affinity and binding to HSEs might be more permissive than in the stress response. Finally, submitting blastocyst to different stress conditions, we show that HSF2 is differentially required for Hsp expression and cell survival. Taken together, our findings indicate that the role of heat shock trans and cis regulatory elements evolve along the successive steps of early embryonic development. PMID- 21053114 TI - Different mechanisms between copper and iron in catecholamines-mediated oxidative DNA damage and disruption of gene expression in vitro. AB - Catechols produce reactive oxygen species (ROS) and induce oxidative DNA damage through reduction-oxidation reactions with metals such as copper. Here, we examined oxidative DNA damage by neurotransmitter catecholamines in the presence of copper or iron and evaluated the effects of this damage on gene expression in vitro. Dopamine induced strand breaks and base oxidation in calf thymus DNA in the presence of Cu(II) or Fe(III)-NTA (nitrilotriacetic acid). The extent of this damage was greater for Cu(II) than for Fe(III)-NTA. For the DNA damage induced by dopamine, the responsible reactive species were hydrogen peroxide and Cu(I) for Cu(II) and hydroxyl radicals and Fe(II) for Fe(III)-NTA. Cu(II) induced DNA conformational changes, but Fe(III)-NTA did not in the presence of dopamine. These differences indicate different modes of action between Cu and Fe-NTA with regard to the induction of DNA damage. Expression of the lacZ gene coded on plasmid DNA was inhibited depending on the extent of the oxidative damage and strand breaks. Endogenous catecholamines (dopamine, adrenaline, and noradrenaline) were more potent than catechols (no aminoalkyl side chains) or 3,4 dihydroxybenzylamine (aminomethyl side chain). These results suggest that the metal-mediated DNA damage induced by dopamine disrupts gene expression, and leukoaminochromes (further oxidation products of O-quinones having aminoethyl side chain) are involved in the DNA damage. These findings indicate a possibility that metal (especially iron and copper)-mediated oxidation of catecholamines plays an important role in the pathogenesis of neurodegenerative disorders including Parkinson's disease. PMID- 21053115 TI - IEC accelerator beam coordinate transformations for clinical Monte Carlo simulation from a phase space or full BEAMnrc particle source. AB - Monte Carlo simulation of clinical treatment plans require, in general, a coordinate transformation to describe the incident radiation field orientation on a patient phantom coordinate system. The International Electrotechnical Commission (IEC) has defined an accelerator coordinate system along with positive directions for gantry, couch and collimator rotations. In order to describe the incident beam's orientation with respect to the patient's coordinate system, DOSXYZnrc simulations often require transformation of the accelerator's gantry, couch and collimator angles to describe the incident beam. Similarly, versions of the voxelized Monte Carlo code (VMC(++)) require non-trivial transformation of the accelerator's gantry, couch and collimator angles to standard Euler angles alpha, beta, gamma, to describe an incident phase space source orientation with respect to the patient's coordinate system. The transformations, required by each of these Monte Carlo codes to transport phase spaces through a phantom, have been derived with a rotation operator approach. The transformations have been tested and verified against the Eclipse treatment planning system. PMID- 21053116 TI - Methodology for commissioning a brachytherapy treatment planning system in the era of 3D planning. AB - To describe the steps undertaken to commission a 3D high dose rate (HDR) brachytherapy treatment planning system (TPS). Emphasis was placed on validating previously published recommendations, in addition to checking 3D parameters such as treatment optimization and dose volume histogram (DVH) analysis. Commissioning was performed of the brachytherapy module of the Nucletron Oncentra MasterPlan treatment planning system (version 3.2). Commissioning test results were compared to an independent external beam TPS (Varian Eclipse v 8.6) and the previously commissioned Nucletron Plato (v 14.3.7) brachytherapy treatment planning system, with point doses also independently verified using the brachytherapy module in RadCalc (v 6.0) independent point dose calculation software. Tests were divided into eight categories: (i) Image import accuracy, (ii) Reconstruction accuracy, (iii) Source configuration data check, (iv) Dose calculation accuracy, (v) Treatment optimization validation, (vi) DVH reproducibility, (vii) Treatment export check and (viii) Printout consistency. Point dose agreement between Oncentra, Plato and RadCalc was better than 5% with source data and dose calculation protocols following the American Association of Physicists in Medicine (AAPM) guidelines. Testing of image accuracy (import and reconstruction), along with validation of automated treatment optimization and DVH analysis generated a more comprehensive set of testing procedures than previously listed in published recommendations. PMID- 21053117 TI - Mucosal delivery routes for optimal immunization: targeting immunity to the right tissues. AB - The mucosal immune system exhibits a high degree of anatomic compartmentalization related to the migratory patterns of lymphocytes activated at different mucosal sites. The selective localization of mucosal lymphocytes to specific tissues is governed by cellular "homing" and chemokine receptors in conjunction with tissue specific addressins and epithelial cell-derived chemokines that are differentially expressed in "effector" tissues. The compartmentalization of mucosal immune responses imposes constraints on the selection of vaccine administration route. Traditional routes of mucosal immunization include oral and nasal routes. Other routes for inducing mucosal immunity include the rectal, vaginal, sublingual, and transcutaneous routes. Sublingual administration is a new approach that results in induction of mucosal and systemic T cell and antibody responses with an exceptionally broad dissemination to different mucosae, including the gastrointestinal and respiratory tracts, and the genital mucosa. Here, we discuss how sublingual and different routes of immunization can be used to generate immune responses in the desired mucosal tissue(s). PMID- 21053118 TI - Classes and prediction of cell-penetrating peptides. AB - The classical view on how peptides enter cells has been changed due to the development in the research field of cell-penetrating peptides (CPPs). During the last 15 years, more than 100 peptide sequences have been published to enter cells and also to bring different biological cargoes with them. Here, we present an overview of CPPs, mainly trying to analyze their common properties yielding the prediction of their cell-penetrating properties. Furthermore, examples of recent research, ideas on classification and uptake mechanisms, as well as a summary of the therapeutic potential of CPPs are presented. PMID- 21053119 TI - Penetratin story: an overview. AB - Cell-penetrating peptides are short, often hydrophilic peptides that get access to the intracellular milieu. They have aroused great interest both in academic and applied research. First, cellular internalization of CPPs often involves the crossing of a biological membrane (plasma or vesicular), thus challenging the view of the nonpermeability of these structures to large hydrophilic molecules. Secondly, CPPs can drive the internalization of hydrophilic cargoes into cells, a rate-limiting step in the development of many therapeutic substances. Interestingly, the two mostly used CPPs, TAT and Penetratin peptides, are derived from natural proteins, HIV Tat and Antennapedia homeoprotein, respectively. The identification of the Penetratin peptide, summarized in this review, is intimately linked to the study of its parental natural protein. PMID- 21053120 TI - Testing membrane interactions of CPPs. AB - The chapter deals with some biophysical methods used for investigating CPP induced changes in membrane properties by spectroscopy methods such as fluorescence or NMR and methods used for probing CPP-induced leakage in membranes. Some useful model systems for biomembranes are described. These include large unilamellar phospholipid vesicles (LUVs) of well-defined size (diameter typically 100 nm). A protocol for the preparation of such vesicles is included. The leakage studies make use of LUVs with entrapped dye molecules. The NMR studies make use of mixed micelles (bicelles) as a membrane mimetic system, which can be oriented in the magnetic field of the spectrometer. PMID- 21053121 TI - Interactions of amphipathic CPPs with model membranes. AB - Due to the poor permeability of the plasma membrane, several strategies are designed to enhance the transfer of therapeutics into cells. Over the last 20 years, small peptides called Cell-Penetrating Peptides (CPPs) have been widely developed to improve the cellular delivery of biomolecules. These small peptides derive from protein transduction domains, chimerical constructs, or model sequences. Several CPPs are primary or secondary amphipathic peptides, depending on whether the distribution of their hydrophobic and hydrophilic domains occurs from their amino-acid sequence or through alpha-helical folding. Most of the CPPs are able to deliver different therapeutics such as nucleic acids or proteins in vitro and in vivo. Although their mechanisms of internalization are varied and controversial, the understanding of the intrinsic features of CPPs is essential for future developments. This chapter describes several protocols for the investigation of biophysical properties of amphipathic CPPs. Surface physics approaches are specifically applied to characterize the interactions of amphipathic peptides with model membranes. Circular dichroism and infra-red spectroscopy allow the identification of their structural state. These methods are exemplified by the analyses of the main biophysical features of the cell penetrating peptides MPG, Pep-1, and CADY. PMID- 21053122 TI - NMR studies of three-dimensional structure and positioning of CPPs in membrane model systems. AB - CPPs are generally short cationic peptides that have the capability to interact directly with membranes. Most CPPs attain a three-dimensional structure when interacting with bilayers, while they are more or less unstructured in aqueous solution. To understand the relationship between structure and the effect that CPPs have on membranes, it is of great importance to investigate CPPs with atomic resolution in a suitable membrane model. Nuclear magnetic resonance (NMR) is an excellent technique both for studying solution structures of peptides as well as for investigating their location within a model bilayer. This chapter outlines protocols for producing model membrane systems for NMR investigations as well as the basic NMR tools for determining the three-dimensional structure of CPPs and for investigating the details in lipid-peptide interactions, i.e., the localization of the CPP in the bilayer. PMID- 21053123 TI - Measurements of the intracellular stability of CPPs. AB - Nowadays, the analysis of the uptake and intracellular distribution of cell penetrating peptides mostly relies on fluorescence microscopy, using fluorescently labeled CPP analogs. However, fluorescence microscopy does not reveal to which degree fluorescence reflects the intact peptide or only breakdown products. Here, we introduce fluorescence correlation spectroscopy (FCS) as a powerful method to address peptide stability in cells and cell lysates. Measurements in lysates of cells incubated with peptide yield information on degradation of the total cellular peptide content. In combination with protease inhibitors, such measurements enable conclusions on trafficking pathways. Intracellular FCS measurements provide direct information on peptide degradation and association with cellular structures in intact cells. PMID- 21053124 TI - Tools for predicting binding and insertion of CPPs into lipid bilayers. AB - The ability to predict properties such as peptide binding and insertion into membranes is an important and time-saving asset in the design of new cell penetrating peptides (CPPs). Methods to predict those properties are described here, which make use of calculations performed with the Wimley-White hydrophobicity scales. In addition, electrostatic effects can be estimated in a way that provides acceptably close approximations in many cases. Finally, an estimate of the probability of insertion is also discussed. These procedures are illustrated by comparing the calculations with experiments on a few CPPs. PMID- 21053125 TI - Studies of proteoglycan involvement in CPP-mediated delivery. AB - Cell-penetrating peptides (CPPs) are widely used to deliver macromolecular cargoes to intracellular sites of action. Many CPPs have been demonstrated to rely on cell surface heparan sulfate proteoglycans (HSPGs) for efficient cellular entry and delivery. In this chapter, we describe methods for the study of PG involvement in CPP uptake. We provide descriptions of how to determine whether uptake of a CPP of interest is dependent on PGs. We also provide detailed protocols for the purification of PGs by anion-exchange chromatography as well as the characterization of the HSPG core protein composition of a cell line of interest. Finally, we present methods for modulating the expression level of specific HSPG core proteins as a means to determine the core protein specificity in the uptake of a particular CPP. PMID- 21053126 TI - Uptake kinetics of cell-penetrating peptides. AB - As our knowledge increases about the diversity in uptake mechanisms displayed by cell-penetrating peptides (CPP), the concept of CPP uptake kinetics becomes increasingly complex. Here, we present three different assays that can be used for studying different kinetic aspects of CPP-mediated delivery: intracellular accumulation and membranolytical effects, intracellular CPP-cargo detachment, and finally a functional readout of a biological action from the delivered cargo. Unlike the traditional end-point measurements that give a static postincubation readout, these assays are all dynamic, real-time, in situ measurements obtained during incubation. A combination of some (or all) of these different assays gives us not only interesting kinetic information about the uptake routes but also provides a simple and valuable methodology for the evaluation of potential drug candidates based on the chemical modification of CPPs by cargo attachment. PMID- 21053127 TI - Thermodynamics of lipid interactions with cell-penetrating peptides. AB - Cationic peptides are efficiently taken up by biological cells through different pathways, which can be exploited for delivery of intracellular drugs. For example, their endocytosis is known since 1967, and this typically produces entrapment of the peptides in endocytotic vesicles. The resulting peptide (and cargo) degradation in lysosomes is of little therapeutic interest. Beside endocytosis (and various subtypes thereof), cationic cell-penetrating peptides (CPPs) may also gain access to cytosol and nucleus of livings cells. This process is known since 1988, but it is poorly understood whether the cytosolic CPP appearance requires an active cellular machinery with membrane proteins and signaling molecules, or whether this translocation occurs by passive diffusion and thus can be mimicked with model membranes devoid of proteins or glycans. In the present chapter, protocols are presented that allow for testing the membrane binding and disturbance of CPPs on model membranes with special focus on particular CPP properties. Protocols include vesicle preparation, lipid quantification, and analysis of membrane leakage, lipid polymorphism ((31)P NMR), and membrane binding (isothermal titration calorimetry). Using these protocols, a major difference among CPPs is observed: At low micromolar concentration, nonamphipathic CPPs, such as nona-arginine (WR(9)) and penetratin, have only a poor affinity for model membranes with a lipid composition typical of eukaryotic membranes. No membrane leakage is induced by these compounds at low micromolar concentration. In contrast, their amphipathic derivatives, such as acylated WR(9) (C(14), C(16), C(18)) or amphipathic penetratin mutant p2AL (Drin et al., Biochemistry 40:1824-1834, 2001), bind and disturb lipid model membranes already at low micromolar peptide concentration. This suggests that the mechanism for cytosolic CPP delivery (and potential toxicity) differs among CPPs despite their common name. PMID- 21053128 TI - Calcium and membrane repair. AB - As more and more studies utilize cell-penetrating peptides to deliver pharmacologically interesting substances, there is a growing need to understand their effect on the plasma membrane. If a cell-penetrating peptide together with its cargo is to be used as a drug, it is necessary to understand how the conjugate interacts with the plasma membrane to enter the cell. A key regulator of the transportation network in the cell is calcium. This chapter describes five methods that can be employed for understanding how the plasma membrane reacts to the presence of cell-penetrating peptides and the involvement of calcium. PMID- 21053129 TI - Mapping of protein transduction pathways with fluorescent microscopy. AB - The number of various cargo delivered into cells by CPPs demonstrates the effective transport abilities of these short-peptidic sequences. Over the years of research, the translocation process of CPP-cargo complexes has been mapped to being of mostly endocytic nature, however, there is still no consensus on which of the endocytic routes is prevalent and to which extent the interplay between different modes of endocytosis is taking place. The intracellular trafficking of CPPs attached to a cargo molecule is even less understood. Therefore, the internalization and the subsequent intracellular targeting of complexes need clarification in order to define cellular destinations and improve the targeting of the cargo molecule to specific cellular compartments depending on the cargo attached to the transporting vector. This chapter focuses on describing the methods for visualizing the CPP-protein complexes in relation to different endocytic markers, for example transferrin (marker for clathrin-mediated endocytosis) and cholera toxin (ambiguous marker for clathrin-, caveolin-, and flotillin-mediated, but also clathrin- and caveolin-independent endocytosis) to determine the role of the respective pathways during entry to cells, and to different intracellular targets, for instance the lysosomal organelles or the Golgi apparatus. Additionally, antibody staining of respective endocytic vesicles following the internalization of CPP-protein complexes will be discussed. PMID- 21053130 TI - Insight into cell-entry mechanisms of CPPs by electron microscopy. AB - Despite the quickly widening application of cell-penetrating peptides (CPP) for the cellular delivery of various macromolecules, the cell entry mechanisms of these peptides have remained elusive so far. The basic features of the translocation of CPPs into cells have been mapped by fluorescence microscopy and activity-based assays revealing that endocytotic mechanisms are mainly responsible for the uptake at physiological temperature. However, the high concentration of CPP or the lowering of the incubation temperature below 10 degrees C (re)activates a nonvesicular cell entry mode. The fluorescence microscopy can hardly provide detailed information about the interaction of CPP molecules with the extracellular structures, the induced changes in the morphology of the plasma membrane, etc. Therefore, application of electron microscopy could help to shed light on the nature of nonvesicular uptake mechanism. Transmission electron microscopy (TEM) has been a valuable tool for the morphological characterization of biological material at high resolution. It can provide useful information at the ultrastructural level about the interaction and arrangement of CPPs on the cell surface, the entrapment in cellular organelles and the translocation to the cytoplasm. In this chapter, we present a method for the tagging of CPPs covalently with a 1.4 nm gold cluster and provide a flat-embedding protocol for the mapping of NanogoldTM-labeled CPPs in cultured cells by TEM. This method enables to retain the cell monolayers in their in situ orientation. The NanogoldTM tag is putatively not interfering with the uptake of CPPs and enables the production of specimens with excellent morphology and good contrast. PMID- 21053131 TI - Toxicity methods for CPPs. AB - CPPs have for numerous years been utilized as delivery vectors of various pharmaceutically interesting cargoes, both in vitro and in vivo. As CPPs are gradually approaching the bedsides, investigating toxicity associated with these highly interesting peptides becomes increasingly important and thorough initial assessment of cytotoxicity in vitro is a first step towards advancing these delivery vehicles in to the clinics. The present chapter describes protocols for four cytotoxicity assays in order to provide a toolbox for toxicity assessment of CPPs. The foci lie on membrane integrity (deoxyglucose leakage and propidium iodide assays) and cell viability (the MTT assay), but the chapter also provides a protocol for assessing an important parameter for future clinical applications, namely the hemolytic properties of CPPs. PMID- 21053132 TI - Comparison of CPP uptake methods. AB - In the last 15 years, an ever expanding pool of cell-penetrating peptides (CPPs) has been discovered and recently focus has shifted towards improving already existing CPPs by different modifications. Since the number of published peptide sequences with cell-penetrating ability is now reaching several hundreds, the consensus methods to compare the efficacy of these is clearly needed. Many research groups are evaluating the applicability of CPPs as drug delivery vectors, all having their preferred methods of assessing uptake and intracellular distribution. Even when applying the same method, the use of different cell lines, peptide concentrations, exposure conditions, etc. are complicating comparison of data between different groups. This book is a welcome contribution to the CPP research field, hopefully paving the way for standardized protocols to be used in the future. Some of the most common methods used to this date are presented and compared in this chapter. PMID- 21053133 TI - Characterization of cellular internalization pathways for CPP-mediated oligonucleotide delivery. AB - The methods for evaluating internalization pathways of cellular CPP-mediated ON delivery utilizing a pre-mRNA splice correction assay and fluorescence-based quantification are described. Examples for characterization of CPP uptake routes, employing various endocytosis inhibitors, and special treatment conditions are demonstrated. The methods are developed to characterize cellular delivery of pre mRNA splice switching peptide nucleic acids conjugated to CPPs by disulfide bond. PMID- 21053134 TI - Mimicry of protein function with cell-penetrating peptides. AB - Proteins are essential components of cellular processes inside cells, and their interactions between each other and with genes are important for the normal physiological functioning of cells as well as for disease states. Modulating protein interactions by different means can potentially control these interactions and restore normal function to diseased cells. The ways to do so are multiple, and such efforts often begin with knowledge of potential target proteins in order to devise mediators that retain the function of the original protein, i.e., mimic the protein functions. An alternative strategy is to utilize protein mimics to inhibit target proteins rather than restoring the activity of a protein. The vast majority of protein -mimics exploited to date have been designed to inhibit the activity of oncogenes or activate tumor suppressors for the purpose of tumor therapy. These protein mimics are usually based on small organic compounds or peptides, derived from interaction surfaces of the proteins, and in some cases, full proteins have been exploited. Although peptides and proteins are naturally highly specific and efficient inside cells, they suffer from low bioavailability resulting from their inability to enter cells. One strategy increasingly employed to facilitate the internalization of peptides and proteins has been to chemically conjugate them to cell-penetrating peptides (CPP) or to recombinantly express protein-CPP fusion constructs.This chapter provides an overview of some of the aspects of perturbing and mimicking protein interactions using peptides and proteins and CPP as transport vectors. PMID- 21053135 TI - Homeoprotein intercellular transfer, the hidden face of cell-penetrating peptides. AB - Cell-Penetrating Peptides (CPPs) are small peptides internalized by live cells, gaining access to their cytoplasm and intracellular organelles (i.e., mitochondria, nucleus) and are used as pharmacological tools. This is indeed a very important issue, fully justifying the efforts of several groups to better understand the mechanisms of peptide transduction and to verify if and how this strategy can be translated into therapeutic improvements. However, the discovery of peptide transduction is a consequence of that of a novel signaling mechanism based on the intercellular transfer of homeoprotein transcription factors. Indeed, the first and probably most popular CPPs (Tat and Penetratin) correspond to domains that drive TAT (HIV) and homeoprotein transcription factors into the cells. These findings have fostered several studies on transduction and allowed the design of "nonnatural" CPPs. As useful as they are, these lines of research have, in general, neglected the fact that protein transduction is a signaling mechanism, in its own right, with important physiological functions. In this chapter, I describe some of these functions and propose that this class of signaling molecules, in particular homeoproteins, may also be used as therapeutic agents. PMID- 21053136 TI - Pharmacology, biodistribution, and efficacy of GPCR-based pepducins in disease models. AB - G protein-coupled receptors (GPCR) are a superfamily of receptors that are vital in a wide array of physiological processes. Modulation of GPCR signaling has been an intensive area of therapeutic study, mainly due to the diverse pathophysiological significance of GPCRs. Pepducins are cell-penetrating lipidated peptides designed to target the intracellular loops of the GPCR of interest. Pepducins can function as agonists or antagonists of their cognate receptor, making them highly useful compounds for the study of GPCR signaling. Pepducins have been used to control platelet-dependent hemostasis and thrombosis, tumor growth, invasion, and angiogenesis, as well as to improve sepsis outcomes in mice. Pepducins have been successfully designed against a wide variety of GPCRs including the protease-activated receptors (PAR1, 2, 4), the chemokine receptors (CXCR1, 2, 4), the sphingosine-1-phosphate receptor (S1P3), the adrenergic receptor (ADRA1B), and have the potential to help reveal the functions of intractable GPCRs. Pharmacokinetic, pharmacodynamic, and biodistribution studies have showed that pepducins are widely distributed throughout the body except the brain and possess appropriate drug-like properties for use in vivo. Here, we discuss the delivery, pharmacology, and biodistribution of pepducins, as well as the effects of pepducins in models of inflammation, cardiovascular disease, cancer, and angiogenesis. PMID- 21053137 TI - Identification and characterization of tissue-specific protein transduction domains using peptide phage display. AB - Protein transduction domains (PTD) or cell-penetrating peptides (CPPs) are small peptides that are able to carry proteins, nucleic acid, and particles across the cellular membranes into cells. PTDs can be classified into three types: (1) positively charged, cationic peptides, comprised of homopolymers of arginine, ornithine, or lysine; (2) hydrophobic peptides, derived from leader sequences of secreted proteins, and cell-type specific peptides; (3) tissue-specific, mainly amphipathic peptides identified by screening of peptide displaying phage libraries. The cationic and hydrophobic PTDs can efficiently transduce a variety of cell types in culture and in vivo, but in a nonspecific manner. In contrast, the tissue-specific transduction domains have more restricted transduction properties and presumably transduce cells through a different mechanism. In this chapter, we described methods for screening peptide phage display libraries for cell and tissue-specific transduction peptides both in cell culture and in vivo and for functional analysis of transduction. PMID- 21053138 TI - Applications of cell-penetrating peptides as signal transduction modulators for the selective induction of apoptosis. AB - The discovery of cell-penetrating peptides (CPP) has provided the scientific community with relatively small and increasingly cost-effective molecular agents that readily cross the normally impermeable cell membrane. Thus, as either inert delivery vectors or biologically active agents, CPP can be used to selectively modulate intracellular signal transduction events. Indeed, the survival of many cancer cells is associated with alterations in the function of key intracellular signalling proteins. Accordingly, CPP constructs have been developed to access intracellular target loci in both normal and transformed cells. Thus, CPP are a novel, generic class of signal transduction modulator which can be utilized to specifically induce apoptosis in tumour cells as a potential therapeutic option. However, and particularly at higher concentrations, CPP can induce non-specific membrane perturbations, thus leading to cell death by necrotic mechanisms. This chapter, therefore, focuses on methodologies for the assessment of apoptotic events, including in situ TUNEL analysis, activation of caspase-3, and the MTT assay, whilst also discussing dual Annexin V and propidium iodide staining, an assay used for the quantification of cell populations undergoing apoptosis and/or necrosis. PMID- 21053139 TI - Splice redirection as a convenient assay to monitor CPP-ON efficiency and mechanism. AB - Several strategies based on synthetic oligonucleotides (ON) have been proposed to control gene expression. As for most biomolecules, however, delivery has remained a major roadblock for in vivo applications. Conjugation of steric-block neutral DNA mimics, such as peptide nucleic acids (PNA) or phosphorodiamidate morpholino oligonucleotides (PMO), to cell-penetrating peptides (CPP) has recently been proposed as a new delivery strategy. It is particularly suitable for sequence specific interference with pre-mRNA splicing, thus offering various applications in fundamental research and in therapeutics. The chemical synthesis of these CPP ON conjugates will be described as well as easy-to-implement assays to monitor cellular uptake, endosome leakage, and efficiency of splicing redirection. PMID- 21053140 TI - CPP-directed oligonucleotide exon skipping in animal models of Duchenne muscular dystrophy. AB - Antisense oligonucleotides (AOs) are effective splice switching agents and have potential as therapeutics via the exclusion or inclusion of specific target gene exons to ameliorate and modify disease progression. The leading example is Duchenne muscular dystrophy (DMD), a fatal muscle degenerative disease, where AO mediated skipping of specific DMD gene exons can restore the disrupted DMD open reading frame, leading to the production of functional dystrophin protein and ameliorate the DMD phenotype in animal models. Clinical proof-of-concept has recently been shown in two successful, independent Phase I clinical trials. These trials both followed local intramuscular treatments, and the challenge now is to develop and test systemic protocols, which will be required for treatment-aimed disease modification. Recently, a number of groups have demonstrated the promise of AOs directly conjugated to cell-penetrating peptides (CPPs) as having significant potential for systemic delivery and therapeutic correction in DMD animal models. Here, we review the background to this work and describe in detail the experimental protocols used in studies aimed at investigating CPP-conjugated AOs as systemic splice correcting agents in animal models of DMD. PMID- 21053141 TI - PTD-DRBD siRNA delivery. AB - A major hurdle in drug delivery today is for the drug to reach inside the cell to exert its biological effect. Many drug candidates are hydrophilic and are therefore not able to cross the hydrophobic plasma membrane, which serves to protect the cell from foreign molecules and pathogens. One promising drug candidate is the hydrophilic and negatively charged short-interfering RNA (siRNA), known to degrade target mRNA 1,000-fold more efficiently than small molecule drugs. The delivery capacity of small cationic peptides called protein transduction domains or cell-penetrating peptides, suggested them to be suitable delivery vehicles for siRNA. However, it has proven troublesome to utilize the PTD-siRNA conjugates for mRNA degradation due to the characteristics of siRNA, often resulting in precipitation and aggregation. This chapter describes a recently reported delivery strategy, PTD-DRBD fusion protein siRNA delivery, where a double-stranded RNA-binding domain expressed as a fusion protein together with three TAT PTDs binds the siRNA, thus masking the negatively charged backbone and preventing aggregation. This new protocol results in noncytotoxic mRNA degradation even more effective than lipofection. PMID- 21053142 TI - A non-covalent peptide-based strategy for siRNA delivery. AB - The development of short-interfering RNA (siRNA) has provided great hope for therapeutic targeting of specific genes responsible for pathological disorders. However, the poor cellular uptake of siRNA together with the low permeability of the cell membrane to negatively charged molecules, remain major obstacles to clinical development. So far there is no universal method for siRNA delivery as they all present several limitations. Several non-viral strategies have been proposed to improve the delivery of synthetic siRNAs in both cultured cells and in vivo. Cell-penetrating peptides (CPPs) or protein transduction domains (PTD) constitute very promising tools for non-invasive cellular import of siRNA and non covalent CPP/PTD-based strategies have been successfully applied for ex vivo and in vivo delivery of therapeutic siRNA molecules. We recently described a new peptide-based system, CADY, for efficient delivery of siRNA in both primary and suspension cell lines. CADY is a secondary amphiphatic peptide able to form stable non-covalent complexes with siRNA and to improve their cellular uptake independently of the endosomal pathway. This chapter describes easy to handle protocols for the use of the CADY-nanoparticle technology for the delivery of siRNA into both adherent and suspension cell lines. It will also highlight different critical points in the peptide/siRNA complex preparation and transfection protocols, in order to obtain siRNA-associated interfering response at low nanomolar concentration. PMID- 21053143 TI - Application of PepFect peptides for the delivery of splice-correcting oligonucleotides. AB - One oligonucleotide-based approach that appear very promising for the treatment of different genetic disorders are based on so-called splice-correcting oligonucleotides (SCOs) that are exploited to manipulate splicing patterns. In order to increase the bioavailability, cell-penetrating peptides (CPPs) have readily been covalently conjugated to SCOs to facilitate cellular internalization. While being a successful strategy for the delivery of uncharged oligonucleotides (ONs), it is extremely difficult to generate covalent conjugates between commonly used negatively charged ON analogs and cationic CPPs. Furthermore, high concentrations of ONs in the micromolar range are often needed to obtain biological responses, most likely as a result of endosomal entrapment of material. Therefore, exploring other vectorization methods using CPPs with endosomolytic properties are highly desired.A method of using stearyl modified CPP (i.e., TP10) analogs, named PepFect3 and PepFect4, are being described for the transfection of antisense SCOs using a simple one-step co-incubation procedure. These peptides form complexes with SCOs and efficiently promote cellular uptake by facilitating endosomal escape. This chapter describes the methods of how to form and characterize these nanoparticles and the cellular assay used to address the delivery. PMID- 21053144 TI - Internalization of nucleoside phosphates into live cells by complex formation with different CPPs and JBS-nucleoducin. AB - Nucleoside phosphates can bind to many functional proteins like G-proteins or other GTP-binding proteins in signal transduction or translation processes. Till now internalization of nucleoside phosphates into live cells remains a challenge. We study the internalization of a fluorescent-labelled deoxyuridine triphosphate into HeLa cells and other adhesion and suspension cells. We use different cell penetrating peptides and a cocktail suitable for formation of non-covalent complexes with the nucleotide. Internalization is observed by fluorescence microscopy, and the uptake efficiency is quantitatively estimated by fluorescence spectroscopy. The applied concentrations of CPPs and the cocktail were checked on cell viability (MTT test) and membrane integrity (bioluminescence test with peptidyl-luciferin), indicating that the CPPs and the complexes with the nucleotide are cytotoxic above certain concentrations. These concentrations depend on CPP and cell type and are the limiting factors for the cargo uptake. PMID- 21053145 TI - Enhanced cellular delivery of cell-penetrating peptide-peptide nucleic acid conjugates by photochemical internalization. AB - Cell-penetrating peptides (CPPs) have been widely used for a cellular delivery of biologically relevant cargoes including antisense peptide nucleic acids (PNAs). Although chemical conjugation of PNA to a variety of CPPs significantly improves the cellular uptake of the PNAs, bioavailability (antisense activity) is still limited by endocytotic entrapment. We have shown that this low bioavailability can be greatly improved by combining CPP-PNA conjugate administration with a photochemical internalization technique using photosensitizers such as aluminum phthalocyanine (AlPcS(2a)) or tetraphenylporphyrin tetrasulfonic acid (TPPS). Cellular uptake of the PNA conjugates were evaluated by using a sensitive cellular method with HeLa pLuc705 cells based on the splicing correction of luciferase gene by targeting antisense oligonucleotides to a cryptic splice site of the mutated luciferase gene. The cellular efficacy of CPP conjugates were evaluated by measuring luciferase activity as a result of splicing correction and was also confirmed by RT-PCR analysis of luciferase pre-mRNA. PMID- 21053146 TI - Identification of homing peptides using the in vivo phage display technology. AB - Each normal organ and pathological condition expresses a distinct set of molecules on their vasculature. These molecular signatures have been efficiently profiled using in vivo phage display technology. Using this technology, several peptides homing specifically to tumour blood vessels, lymphatic vessels, and/or tumour cells as well as to various normal organs have been isolated. Peptides homing to specific vascular addresses have revealed novel tissue-specific biomarkers of the normal and diseased vasculature. Tumour homing peptides have been successfully used to target therapies and imaging agents to tumours. In this review, we describe experimental setup for a combined ex vivo and in vivo screening procedure to select peptides homing to tumours. PMID- 21053147 TI - Measuring the action of CPP-siRNA conjugates in the lung. AB - Two of the most promising and complex areas in biologics development, either as research tools or potential therapeutics, are cell-penetrating peptides (CPPs) and RNA interference (RNAi) modulators. Consequently, the combined application of these technologies in pursuit of improved delivery profiles for RNAi cargoes presents its own unique challenges. Direct access to the targeted tissue is luxury not always available to the researcher; however, the example of lung presents an excellent opportunity for presenting methodologies relevant to understanding the local impact of CPP-conjugated RNAi modulators. This chapter therefore expands upon updated protocols established on the study of the function of endogenous RNAi and the utility of CPPs in the delivery of short interfering RNA (siRNA) to therapeutically relevant cells in the lung. Methods for sample collection, preservation, and processing are provided with a view to facilitate qualitative and quantitative analysis of delivery. In addition, a protocol for mapping siRNA delivery by in situ hybridisation is provided. PMID- 21053148 TI - Intracellular delivery of nanoparticles with CPPs. AB - Cell-penetrating peptides (CPPs), in particular TATp, have been widely used for intracellular delivery of various cargoes, both in vitro and in vivo. Modifications of nanoparticles with CPPs require either covalent or noncovalent approach. Here we describe various methods to attach CPP, such as TATp to surface of nanocarriers (such as liposomes and micelles), loading with drug or DNA and characterization of same for in vitro and in vivo applications. Due to nonselectivity of CPPs and wide distribution in nontarget areas, method for preparation of "smart" nanocarrier with hidden TATp function is also described. PMID- 21053149 TI - Multifunctional CPP polymer system for tumor-targeted pDNA and siRNA delivery. AB - Cell-penetrating peptides (CPPs) are a very interesting class of molecules to be introduced in gene and siRNA vectors. They can be used to overcome one of the biggest hurdles in gene and siRNA delivery in vitro and in vivo, the transfer across cell membranes. This chapter describes protocols for the synthesis and biological evaluation of a polylysine-based polymer. In this carrier system, melittin is used as CPP with a high activity to disrupt membranes. pH-Labile masking is applied to render the lytic activity specific for intracellular acidic endolysosomal organelles. PMID- 21053150 TI - Cell-penetrating penta-peptides and Bax-inhibiting peptides: protocol for their application. AB - The first series of cell-penetrating penta-peptides (CPP5s) were discovered as cytoprotective penta-peptides designed from the Bax-inhibiting domain of Ku70. Bax is an inducer of programmed cell death, and Ku70 is a multifunctional protein maintaining genomic stability and protecting cells from death by inhibiting the cytotoxic activity of Bax. Since these peptides bind and inhibit Bax, they are named Bax-inhibiting peptides (BIPs). The second series of CPP5s were developed by mutating BIP's amino acid sequences to abolish the Bax-binding activity. These peptides were used as negative control peptides to evaluate the Bax-inhibiting activity of BIPs. CPP5s are able to enter cells when they are added to the culture medium. The mechanism of cell entry of CPP5s is not yet understood. Numerous studies showed that BIP rescued cells from cytotoxic stresses both in cell culture and animal model, suggesting the therapeutic potential of BIP. Both BIPs and noncytoprotective CPP5s did not show significant toxicity even at 1.6 mM concentration in cell culture. Our recent study suggests that CPP5s has the protein transduction activity, though only green fluorescent protein (GFP) has been tested as a cargo protein. If CPP5s can deliver wide range of cargo molecules into the cell, CPP5s may be utilized as nontoxic drug delivery tool. In this article, we describe our laboratory's protocols of how to synthesize, store, and apply CPP5s for the examination of their activities of cell penetration and cytoprotection. PMID- 21053151 TI - PAIR technology: exon-specific RNA-binding protein isolation in live cells. AB - RNA-binding proteins (RBPs) are fundamental regulatory proteins for all forms of transcriptional and posttranscriptional control of gene expression. However, isolating RBPs is technically challenging for investigators. Currently, the most widely used techniques to isolate RBPs are in vitro biochemical approaches. Although these approaches have been useful, they have several limitations. One key limitation to using in vitro biochemical approaches is that RBP-RNA interactions are isolated under nonbiological conditions. Here we review a novel experimental approach to identify RBPs called peptide nucleic acid (PNA)-assisted identification of RBPs (PAIR) technology (Zielinski et al., Proc Natl Acad Sci USA 103:1557-1562, 2006). This technology has two significant advantages over traditional approaches. (1) It overcomes the in vitro limitation of biochemical approaches by allowing investigators to isolate RBP-RNA interactions under in vivo conditions. (2) This technology is highly mRNA specific; it isolates RBPs in an exon-specific manner. By selectively targeting alternatively spliced exons with PAIR technology, investigators can isolate splice variant-specific and mRNA region-specific (5-UTR and 3-UTR) RBP complexes for any mRNA of interest. PMID- 21053152 TI - Quantitation of cellular and topical uptake of luciferin-oligoarginine conjugates. AB - A major challenge confronting the further advancement of using molecular transporters conjugated to small molecular weight therapeutics in the clinic is the development of linkers that would allow for the controllable release of a free drug/probe only after cell entry. Development of assays that would allow for the rapid real-time quantification of transporter conjugate uptake and cargo release in cells and animals would greatly help in their development. In this chapter, we describe a imaging method that quantitatively measures transporter conjugate uptake and cargo release in real-time in both cell culture and animal models. PMID- 21053153 TI - Industrial-scale manufacturing of a possible oligonucleotide cargo CPP-based drug. AB - This chapter describes the manufacturing process to a certain level for a possible oligonucleotide cargo and a peptide API in a multi-kilogram scale from a manufacture's point of view. In the concluding remarks, possible conjugation methods will be discussed from an industrial-scale perspective. PMID- 21053154 TI - Application of a fusiogenic peptide GALA for intracellular delivery. AB - To enhance the cytosolic delivery of therapeutic drugs and genes, pH-sensitive and membrane fusiogenic peptides have been employed as additives for facilitating their endosomal escape. GALA is such a peptide composed of repeating sequences of Glu-Ala-Leu-Ala, which are designed to mimic the function of viral fusion protein sequences that mediate escape of virus genes from acidic endosomes to the cytosol. Recently, the peptide has been applied not only for improving the transfection efficiency of plasmid DNAs using cationic liposomes, but also as functional molecules of multifunctional envelope-type nanodevice (MEND). The advantage of employing this peptide was also exemplified by the cytosolic delivery of proteins via efficient endosomal escape of the GALA-cargo conjugates in the presence of cationic lipid complexes. This chapter provides protocols for the efficient cytosolic delivery of cargo molecules using the GALA peptide. PMID- 21053155 TI - Therapeutic applications of cell-penetrating peptides. AB - Since the discovery over 15 years ago of a protein transcription factor that possessed the ability to cross the plasma membrane, cell-penetrating peptides (CPPs) have been evaluated for the ability to transport diverse cargoes into cells, tissues, and organs. Certain CPPs have been used for the intracellular delivery of information-rich molecules to modulate protein-protein interactions and thereby inhibit key cellular mechanisms of disease. The ability to introduce drugs into cells allows the conventional biodistribution of drugs to be altered in order to favorably impact toxicity, patient compliance, and other treatment factors. In this monograph, we present the current status and future prospects for the application of CPPs to the development of human therapeutics. We discuss some of the advantages and disadvantages of using CPPs in the in vivo setting, and review the current status of a number of preclinical and human clinical studies of CPP-mediated delivery of therapeutics. These include CPP-conjugated moieties directed against a growing variety of targets and disease areas, including cancer, cardiology, pain, and stroke. Our discussion focuses on those therapeutics that have been tested in humans, including a CPP conjugate for the treatment of acute myocardial infarction. The promising results obtained in a number of these studies indicate that CPPs may have an important role in the development of novel therapeutics. PMID- 21053156 TI - Nonclinical and clinical experiences with CPP-based self-assembling peptide systems in topical drug development. AB - Considerations in rational designs of CPP-based transcutaneous delivery systems are described. Impact of design considerations of nonclinical and clinical results are presented in detail. PMID- 21053157 TI - Effect of resistance exercise on serum levels of growth factors in humans. AB - Studies have shown that, depending on intensity, endurance exercise increases neurotrophins and thereby induces neuroplasticity. However, data on the effect of acute resistance exercise at different intensities on neurotrophins is not yet available. Thus, we conducted 2 trials to determine the serum concentrations of brain-derived neurotrophic factor (BDNF), vascular endothelial growth factor (VEGF), and insulin-like growth factor (IGF-1) before and after a low or high intensity resistance exercise in 11 healthy humans. Exercise load was related to 3 repetitions of maximal effort isokinetic work involving knee extension under alternating concentric and eccentric conditions for muscle work at a velocity of 60 degrees s-1 registered during a familiarization session. The torque angle diagrams from these 3 repetitions were averaged and displayed as target curves in the test sessions, the intensity of resistance exercise was set at 40% (trial: R1) or 110% (trial: R2) of the averaged individual maximal effort curve, respectively. After resistance exercise, serum IGF-1 was increased significantly (p<0.01) by 28% in R1 and 16% in R2 compared to pre-exercise levels. Resistance exercise did not increase serum VEGF at any time point. Serum BDNF increased during exercise compared to post-exercise, but did not achieve significant difference from pre-exercise values. The present study shows that either low or high resistance exercise increases levels of IGF-1, but not of BDNF or VEGF. This finding is of importance for health promotion by means of resistance exercise because circulating serum IGF-1 has been demonstrated to mediate positive effects of exercise on brain functions. PMID- 21053158 TI - New chalcone and dimeric chalcones with 1,4-p-benzoquinone residue from Combretum yunnanense. AB - New chalcone and dimeric chalcones with 1,4- P-benzoquinone residue, combrequinone A (1), combrequinone B (2), and combrequinone C (3), along with three known compounds (4-6), were isolated from the ethanolic extract of the stems and leaves of Combretum yunnanense, and their structures were determined by spectroscopic analysis. Compounds 1-3 were evaluated for in vitro cytotoxicity against five human cancer cell lines: HL-60, SMMC-7721, A-549, MCF-7, and SW480. Compounds 1, 2 and 3 were found to be most potent against HL-60 acute leukemia cells, with IC50 values of 4.63, 4.07, and 1.26 uM, respectively. PMID- 21053159 TI - Outcome of augmentation cystoplasty and bladder substitution in a pediatric age group. AB - OBJECTIVE: Aim of the study was to evaluate the outcome of augmentation cystoplasty and bladder substitution in a pediatric age group. METHODS: Patient records of all children who underwent reconstructive bladder surgery between October 1999 and November 2007 were reviewed. Additionally, standardized interviews were performed to evaluate the postoperative outcome. RESULTS: Augmentation cystoplasty and bladder substitution were performed in 19 and 6 patients, respectively. 21 patients underwent continent catheterizable vesicostomy. Postoperative urodynamics revealed a significant increase in bladder volume (median 400 ml) as well as a significant improvement in bladder compliance (median 13.5 ml/cmH2O). 90% of the patients were reported to be socially continent. Renal function remained stable in 95% and decreased in 5% of the children. Major complications were lower urinary tract calculi (39%), stricture or insufficiency of the continent vesicostomy (28%), and intestinal obstruction (9%). No malignancies associated to bladder augmentation or substitution were detected yet. CONCLUSION: Augmentation cystoplasty and bladder substitution preserve renal function and provide urinary continence in most children with intractable lower urinary tract disease. However, the procedures remain associated with numerous complications. PMID- 21053160 TI - Down-regulation of lung Kruppel-like factor in the nitrofen-induced hypoplastic lung. AB - INTRODUCTION: Pulmonary hypoplasia is a primary cause of high morbidity and mortality in neonates with Congenital Diaphragmatic Hernia (CDH). However, the precise pathogenesis of PH associated with CDH is still not clearly understood. It has been recently reported that lung Kruppel-like factor (LKLF), a member of the Kruppel-like factor family of transcription factors, is predominantly expressed in lungs and plays an important role in lung morphogenesis and functional maturation. It has been reported that homozygous deletion of LKLF gene in mice results in reduced lung morphogenesis. It is further reported that chimeric mice derived from LKLF (-/-) embryonic stem cells exhibit delayed lung development especially in the later gestational stages. We therefore designed this study to test the hypothesis that the LKLF gene is down-regulated during later stages of lung development in nitrofen-induced hypoplastic lungs. MATERIAL AND METHODS: Pregnant rats were exposed to either olive oil or nitrofen on day 9 of gestation (D9). Fetal lungs were harvested on D15, D18, and D21 and divided into 3 groups:control, nitrofen without CDH(CDH(-)) and nitrofen with CDH(CDH(+)) (n=24 for each group). Real-time RT-PCR analysis was performed to investigate pulmonary gene expression levels of LKLF. Differences between the 3 groups at each time point were tested statistically and significance was accepted at p<0.05. Immunohistochemistry was also performed to evaluate LKLF protein expression and distribution. RESULTS: The relative mRNA expression levels of LKLF on D18 and D21 were significantly decreased (p<0.01) in CDH(-) and CDH(+) groups compared to controls. The gene expression levels of LKLF on D15 did not differ significantly between the nitrofen group and controls. Immunohistochemical study showed strong LKLF immunoreactivity on D18 and D21 in nitrofen-induced hypoplastic lung compared to controls, whereas no difference was seen on D15. CONCLUSIONS: Our results provide evidence for the first time that LKLF is down regulated in the later stages of lung development in nitrofen-induced hypoplastic lungs. These data suggest that the down-regulation of LKLF during this critical period of lung morphogenesis may impair lung development and maturation, resulting in pulmonary hypoplasia in the nitrofen CDH model. PMID- 21053161 TI - Clip migration in clipped intestinal non-perforating anastomosis (CINPA). AB - INTRODUCTION: Our studies of clipped intestinal non-perforating anastomosis (CINPA) of the small bowel in a rat model have demonstrated that all clips migrated from the outside to the inside of the small bowel during the healing process. The aim of this study was to describe how the clips migrate. MATERIAL AND METHODS: In male Sprague Dawley rats small bowel anastomoses were performed with single, non-perforating metal clips. Bowel specimens were obtained from the anastomosed region for histological examination. RESULTS: On the day of surgery all clips were situated in the serous membrane. On the first postoperative day, an intramural migration could be observed. By days 6, 8, and 10 no clip was found in the peritoneum. All egested clips were found either in the distal lumen of the bowel or in the solid feces. H&E staining of the anastomoses studies indicated that the bowel wall everts immediately after being cut and becomes more and more inverted during the first few days after being anastomosed. CONCLUSION: Our studies showed that the observed dynamic movement of the bowel wall determines the movement of clip migration from the serosal to the mucosal side of the small bowel. In pediatric surgery the observed clip migration would be an important precondition for employing clipped intestinal non-perforating anastomosis (CINPA) routinely. However, further studies are necessary before the technique can be applied in daily practice. PMID- 21053162 TI - Loss of heterozygosity on chromosome 11p15.5 and relapse in hepatoblastomas. AB - BACKGROUND: IGF2 is a tumor suppressor gene at locus 11p15. Many hepatoblastomas have loss of heterozygosity (LOH) at this locus. Earlier studies have not demonstrated any association between LOH and prognosis. Aim of the study was to evaluate the prognostic significance of LOH at 11p15.5 in hepatoblastomas. METHODS: DNA was isolated from normal liver and tumor tissue in 20 patients with hepatoblastoma. PCR was performed and cases were classified as LOH present, absent or non-informative. Patients' follow-up data was analyzed using Fischer's exact test and Kaplan-Meier survival analysis for relapse-free survival (RFS) in relation to LOH. Ethical clearance was obtained from the institutional ethics board. RESULTS: All cases were informative for at least one microsatellite marker used. 4 of the 20 cases (20%) had LOH at 11p15.5. One patient died in the immediate postoperative period. 5 of 19 patients relapsed (26%). Of 4 patients who had LOH, 3 (75%) relapsed, the time to relapse being 7, 7 and 9 months, respectively. Of the 15 cases without LOH, 2 (13.3%) relapsed. 4 patients had mixed epithelial and mesenchymal histology; 3 of them had LOH. The 2 groups with and without LOH were well matched. The RFS for patients with LOH (n=4) was 13% (mean survival time [MST]: 8.7 months; 95CI 6.7-10.7), while the RFS for cases without LOH (n=15) was 75% (MST: 100.7 months; 95CI 74.5-126.8). CONCLUSION: Mixed epithelial and mesenchymal histology is more frequently associated with LOH on chromosome 11p15.5 than pure epithelial histology. LOH on chromosome 11p15.5 is associated with a significantly increased incidence of relapse and a significantly shorter relapse-free survival in patients with hepatoblastoma. The risk of relapse is higher and the RFS lower both in standard-risk and high-risk patients with hepatoblastoma if they demonstrate the presence of LOH at 11p15.5. PMID- 21053163 TI - VEPTR (Vertical Expandible Prosthetic Titanium Rib) treatment for Jeune syndrome. PMID- 21053164 TI - Laparoscopic exploration diagnoses porocephalosis in children: 2 case reports and a review of the literature. PMID- 21053165 TI - [Damage control in trauma patients with hemodynamic instability]. AB - The term "Damage-control" is borrowed from naval terminology. It means the initial control of a damaged ship. Because of the lethal triad in multiple injured patients the classical concept of definitive surgically therapy in the acute phase of the injury has a high rate of complications such as exsanguination, sepsis, heart failure and multiple organ failure. The core idea of the damage control concept was to minimize the additional trauma by surgical operations in these critical patients in the first phase. This means temporary control of a hemorrhage and measures for stopping abdominal contamination. After 24 - 48 hours in the intensive care unit and correction of physiological disturbances further interventions are performed for definitively treatment of the injuries. Summarized, the damage control strategy comprises an abbreviated operation, intensive care unit resuscitation, and a return to the operating room for the definitive operation after hemodynamic stabilisation of the patient. PMID- 21053174 TI - [Pediatric imaging: "Bone scintigraphy involves considerable radiation burden" by R. Ronge in Fortschr Rontgenstr 2010:182]. PMID- 21053175 TI - [Radiology and the law - conflict of changes by the Berlin Medical Association on additional continuing education "Magnetic resonance tomography - specialty specific" with the Berlin continuing education regulation]. PMID- 21053176 TI - CT and MRI of diffuse lobar involvement pattern in liver pathology. AB - Focal, segmental, and diffuse liver pathologies have been described in the literature. This article describes a pattern in which liver pathology is confined to a lobe. This lobar pattern has not been described previously to our knowledge. Herein, we illustrate computed tomography (CT) and magnetic resonance imaging (MRI) findings of diffuse lobar involvement patterns in various liver conditions. Diffuse lobar involvement can be observed in benign (steatosis, hepatic iron overload, cholestasis, perfusion alterations, infarction, alveolar hydatid cysts, trauma, and hemangiomas) and primary malignant (hepatocellular carcinoma) pathologies. Diffuse lobar involvement in metastatic disease appears to be rare. Due in part to their potentially unusual appearances, the diagnosis of lobar pathologies using imaging can be challenging, and entities with lobar patterns can cause diagnostic confusion. Liver MRI can be used as a problem-solving tool for diffuse lobar pathologies detected on ultrasonography and CT. Inand out-of-phase MRI can help in the assessment of lobar fat accumulation. PMID- 21053177 TI - Genetic analysis of two new quantitative trait loci for ear weight in maize inbred line Huangzao4. AB - Ear weight is one of the most important agronomic traits considered necessary in maize (Zea mays L.) breeding projects. To determine its genetic basis, a population consisting of 239 recombinant inbred lines, derived from the cross Mo17 x Huangzao4, was used to detect quantitative trait loci (QTLs) for ear weight under two nitrogen regimes. Under a high nitrogen fertilization regime, one QTL was identified in chromosome bin 2.08-2.09, which explained 7.46% of phenotypic variance and an increase in ear weight of about 5.79 g, owing to an additive effect. Under a low nitrogen regime, another QTL was identified in chromosome bin 1.10-1.11; it accounted for 7.11% of phenotypic variance and a decrease of 5.24 g in ear weight, due to an additive effect. Based on comparisons with previous studies, these two QTLs are new loci associated with ear weight in maize. These findings contribute to our knowledge about the genetic basis of ear weight in maize. PMID- 21053178 TI - Improvements in cytological preparations for fluorescent in situ hybridization in Passiflora. AB - Cytological preparations for the fluorescent in situ hybridization (FISH) technique require cytoplasm-free metaphases, with well-spread chromosomes, for the localization of DNA sequences and chromosome mapping. We tested various procedures for FISH analysis of Passiflora cacaoensis, P. gardneri and hybrid F1 progeny of P. gardneri x P. gibertii. Two treatments with four enzymes and three incubation times were compared. The material was treated with 1.0 M HCl before enzymatic digestion. The following criteria were used to determine the quality of the metaphases: a) lack or presence of cytoplasm; b) well-spread chromosomes or with overlap; c) complete or incomplete chromosome number (2n). The enzyme Pectinex((r)) SP ULTRA gave the best performance, with the shortest incubation time. The best results were observed after 30 min of incubation; more than 70% of the metaphases did not have large amounts of cytoplasm or overlapping chromosomes, and about 75% maintained the chromosome number. FISH was carried out using a 45S rDNA probe (pTa71) labeled with biotin and detected with fluorescein isothiocyanate. Sites with strong staining and without nonspecific signals were observed. Our methodological adaptations allowed the preparation of metaphase slides of high quality for the FISH technique, with less time required for the preparation of samples. PMID- 21053179 TI - Simple sequence repeat-based assessment of genetic relationships among Prunus rootstocks. AB - Ten SSR loci, previously developed for Prunus, were analyzed to examine genetic relationships among 23 rootstock candidates for sweet and sour cherries, of the species P. avium, P. cerasus, P. mahaleb, and P. angustifolia. Five genotypes of P. laurocerasus, not used as rootstock, were included in the molecular analysis. The number of alleles per locus ranged from 8 to 12, with a mean of 9, while the number of microsatellite genotypes varied from 8 to 17, indicating that the SSRs were highly informative. The degree of heterozygosity (0.61) was high. Clustering analysis resulted in two main clusters. The first cluster was divided into two subclusters; the first subcluster consisted of P. avium and P. cerasus, and the second subcluster consisted of P. laurocerasus. The second cluster was divided into two subclusters. The first subcluster consisted of P. mahaleb genotypes and the second consisted of P. angustifolia genotypes. The reference rootstocks also clustered with their associated botanical species. Unweighted pair-group method with arithmetic mean analysis demonstrated that P. laurocerasus genotypes had less genetic variation and that P. avium genotypes were more closely related to P. cerasus. The SSR-based phylogeny was generally consistent with Prunus taxonomy information, suggesting the applicability of SSR analysis for genotyping and phylogenetic studies in the genus Prunus. PMID- 21053180 TI - Evidence for an association between haptoglobin and MnSOD (Val9Ala) gene polymorphisms in essential hypertension based on a Brazilian case-control study. AB - Essential hypertension is a complex and multifactorial trait; genetic and environmental factors interact to produce the final phenotype. Studies have demonstrated association of hypertension with varied gene polymorphisms. However, demonstration of common genetic causes in the general population remains elusive. We investigated a possible association between hypertension and haptoglobin, angiotensin I-converting enzyme (ACE), glutathione S-transferases GSTM1 and GSTT1, MnSOD (Val9Ala), CAT (-21A/T), and GPX1 (Pro198Leu) gene polymorphisms in an urban Brazilian population group from Brasilia. Although ACE has been reported to be one of the main polymorphisms associated with hypertension, we found no association with ACE's specific genotypes. However, a possible association with Hp1-1 and MnSOD Val/Ala genotypes suggests that, at least for the Brazilian population, polymorphisms related to oxidative stress should be more deeply investigated. PMID- 21053181 TI - Genetic relationships between selected Turkish mulberry genotypes (Morus spp) based on RAPD markers. AB - Mulberry (Morus spp, Moraceae) is an important horticultural crop in Turkey, which is one of the main world producers of mulberry fruit. We evaluated the genetic relationships among 26 mulberry genotypes selected for agronomic characteristics, using RAPD markers. A total of 367 DNA markers were generated with 34 random primers. The highest genetic similarity (0.80) was observed between Oltu58 (M. nigra) and Olur90 (M. nigra) genotypes. The genotypes Oltu3 (M. alba) and Oltu18 (M. rubra) were the most distant (0.36). We found that the RAPD technique is a useful tool to discriminate mulberry genotypes at both the intra- and interspecific level. This type of information will aid in accurate identification of useful genotypes for breeding programs. PMID- 21053182 TI - Clusters: who, what, where, how? PMID- 21053183 TI - A review of competencies developed for disaster healthcare providers: limitations of current processes and applicability. AB - INTRODUCTION: In order to prepare the healthcare system and healthcare personnel to meet the health needs of populations affected by disasters, educational programs have been developed by numerous academic institutions, hospitals, professional organizations, governments, and non-government organizations. Lacking standards for best practices as a foundation, many organizations and institutions have developed "core competencies" that they consider essential knowledge and skills for disaster healthcare personnel. PROBLEM: The Nursing Section of the World Association for Disaster and Emergency Medicine (WADEM) considered the possibility of endorsing an existing set of competencies that could be used to prepare nurses universally to participate in disaster health activities. This study was undertaken for the purpose of reviewing published disaster health competencies to determine commonalities and universal applicability for disaster preparedness. METHODS: In 2007, a review of the electronic literature databases was conducted using the major keywords: disaster response competencies; disaster preparedness competencies; emergency response competencies; disaster planning competencies; emergency planning competencies; public health emergency preparedness competencies; disaster nursing competencies; and disaster nursing education competencies. A manual search of references and selected literature from public and private sources also was conducted. Inclusion criteria included: English language; competencies listed or specifically referred to; competencies relevant to disaster, mass-casualty incident (MCI), or public health emergency; and competencies relevant to healthcare. RESULTS: Eighty-six articles were identified; 20 articles failed to meet the initial inclusion criteria; 27 articles did not meet the additional criteria, leaving 39 articles for analysis. Twenty-eight articles described competencies targeted to a specific profession/discipline, while 10 articles described competencies targeted to a defined role or function during a disaster. Four of the articles described specific competencies according to skill level, rather than to a specific role or function. One article defined competencies according to specific roles as well as proficiency levels. Two articles categorized disaster nursing competencies according to the phases of the disaster management continuum. Fourteen articles described specified competencies as "core" competencies for various target groups, while one article described "cross-cutting" competencies applicable to all healthcare workers. CONCLUSIONS: Hundreds of competencies for disaster healthcare personnel have been developed and endorsed by governmental and professional organizations and societies. Imprecise and inconsistent terminology and structure are evident throughout the reviewed competency sets. Universal acceptance and application of these competencies are lacking and none have been validated. Further efforts must be directed to developing a framework and standardized terminology for the articulation of competency sets for disaster health professionals that can by accepted and adapted universally. PMID- 21053184 TI - The competency of competencies. PMID- 21053185 TI - Collaboration between civilian and military healthcare professionals: a better way for planning, preparing, and responding to all hazard domestic events. AB - Collaboration is used by the US National Security Council as a means to integrate inter-federal government agencies during planning and execution of common goals towards unified, national security. The concept of collaboration has benefits in the healthcare system by building trust, sharing resources, and reducing costs. The current terrorist threats have made collaborative medical training between military and civilian agencies crucial. This review summarizes the long and rich history of collaboration between civilians and the military in various countries and provides support for the continuation and improvement of collaborative efforts. Through collaboration, advances in the treatment of injuries have been realized, deaths have been reduced, and significant strides in the betterment of the Emergency Medical System have been achieved. This review promotes collaborative medical training between military and civilian medical professionals and provides recommendations for the future based on medical collaboration. PMID- 21053186 TI - Editorial comments - collaboration between civilian and military healthcare professionals: a better way for planning, preparing, and responding to all hazards domestic events. PMID- 21053187 TI - Use of interactive voice response technology by poison centers during the H1N1 outbreak. AB - INTRODUCTION: Interactive voice response (IVR) technology may facilitate poison centers to handle increased call volumes that may occur during public health emergencies. On 28 April 2009, the Texas Poison Center Network (TPCN) added a H1N1 message in English and Spanish to its IVR system. This study tested whether IVR technology could be used to assist Texas poison centers during the H1N1 outbreak. METHODS: The distribution of callers who accessed the H1N1 message during 29 April-31 May 2009 was determined with respect to message language, subsequent caller action, and date of the call. RESULTS: The H1N1 message was accessed by 1,142 callers, of whom, 92.9% listened to the message in English, and 7.1% listened to the message in Spanish. After listening to the message, 33.3% hung up while 66.7% spoke to a poison center agent. The number of callers who accessed the message was highest on 29 April 2009 and then declined. CONCLUSIONS: Interactive voice response technology can be used to assist poison centers to provide information and handle calls from the public during a public health emergency. PMID- 21053188 TI - Editorial comments-use of interactive voice response technology by poison centers during the H1N1 outbreak. PMID- 21053189 TI - Comparison of two protocols for pulseless cardiopulmonary arrest: vasopressin combined with epinephrine versus epinephrine alone. AB - INTRODUCTION: Survival from pulseless cardiac arrest typically is dismal. Some suggest that adding vasopressin to epinephrine as a cardiovascular stimulant can improve outcomes. PROBLEM: This study compares survival outcomes using epinephrine verses vasopressin and epinephrine in persons with pulseless cardiac arrest. METHODS: This is a retrospective, cohort evaluation of two resuscitative protocols (P1-epinephrine or P2-vasopressin with epinephrine) in a tiered response, community emergency medical service (EMS) with an approximately 100,000 catchment area. Cases are defined as 18 years or older determined to be in pulseless cardiac arrest. Outcomes were survival to emergency department arrival, to 24 hours, and to hospital discharge. Data were entered into Microsoft Office Excel(r) and processed using Analyze-it(r) for continuous and categorical data and Epi-Info(r) for odds ratios with confidence intervals. RESULTS: There were 204 cases (60.3% males and 39.7% females) who met the inclusion criteria. Thirteen cases received electrical therapy only, and were dropped from analysis, leaving 191 (93.6%) who were included in the study; P1 to 85 (44.5%) and P2 to 106 (55.5%). Younger age was associated with improved survival to discharge home in both protocols, p = 0.003 (95% CI = 0.004-0.010). No difference in survival was noted at the levels of emergency department arrival OR 1.42 (95% CI = 0.73, 2.76) p = 0.26; 24 hour survival OR 0.54 (95% CI = 0.22-1.30) p = 0.133, or discharge home OR = 1.81 (95% CI = 0.49-6.88) p = 0.319. CONCLUSIONS: This study in a community EMS did not demonstrate improved survival with the addition of vasopressin to epinephrine for pulseless cardiac arrest. PMID- 21053190 TI - Training healthcare personnel for mass-casualty incidents in a virtual emergency department: VED II. AB - INTRODUCTION: Training emergency personnel on the clinical management of a mass casualty incident (MCI) with prior chemical, biological, radioactive, nuclear, or explosives (CBRNE) -exposed patients is a component of hospital preparedness procedures. OBJECTIVE: The objective of this research was to determine whether a Virtual Emergency Department (VED), designed after the Stanford University Medical Center's Emergency Department (ED) and populated with 10 virtual patient victims who suffered from a dirty bomb blast (radiological) and 10 who suffered from exposure to a nerve toxin (chemical), is an effective clinical environment for training ED physicians and nurses for such MCIs. METHODS: Ten physicians with an average of four years of post-training experience, and 12 nurses with an average of 9.5 years of post-graduate experience at Stanford University Medical Center and San Mateo County Medical Center participated in this IRB-approved study. All individuals were provided electronic information about the clinical features of patients exposed to a nerve toxin or radioactive blast before the study date and an orientation to the "game" interface, including an opportunity to practice using it immediately prior to the study. An exit questionnaire was conducted using a Likert Scale test instrument. RESULTS: Among these 22 trainees, two-thirds of whom had prior Code Triage (multiple casualty incident) training, and one-half had prior CBRNE training, about two-thirds felt immersed in the virtual world much or all of the time. Prior to the training, only four trainees (18%) were confident about managing CBRNE MCIs. After the training, 19 (86%) felt either "confident" or "very confident", with 13 (59%) attributing this change to practicing in the virtual ED. Twenty-one (95%) of the trainees reported that the scenarios were useful for improving healthcare team skills training, the primary objective for creating them. Eighteen trainees (82%) believed that the cases also were instructive in learning about clinical skills management of such incidents. CONCLUSIONS: These data suggest that training healthcare teams in online, virtual environments with dynamic virtual patients is an effective method of training for management of MCIs, particularly for uncommonly occurring incidents. PMID- 21053191 TI - Editorial comments-training healthcare personnel for mass casualty incidents in a virtual emergency department: VED II. PMID- 21053192 TI - Comparative analysis of showering protocols for mass-casualty decontamination. AB - A well-established provision for mass-casualty decontamination that incorporates the use of mobile showering units has been developed in the UK. The effectiveness of such decontamination procedures will be critical in minimizing or preventing the contamination of emergency responders and hospital infrastructure. The purpose of this study was to evaluate three empirical strategies designed to optimize existing decontamination procedures: (1) instructions in the form of a pictorial aid prior to decontamination; (2) provision of a washcloth within the showering facility; and (3) an extended showering period. The study was a three factor, between-participants (or "independent") design with 90 volunteers. The three factors each had two levels: use of washcloths (washcloth/no washcloth), washing instructions (instructions/no instructions), and shower cycle duration (three minutes/six minutes). The effectiveness of these strategies was quantified by whole-body fluorescence imaging following application of a red fluorophore to multiple, discrete areas of the skin. All five showering procedures were relatively effective in removing the fluorophore "contaminant", but the use of a cloth (in the absence of instructions) led to a significant ( appox. 20%) improvement in the effectiveness of decontamination over the standard protocol (p <0.05). Current mass-casualty decontamination effectiveness, especially in children, can be optimized by the provision of a washcloth. This simple but effective approach indicates the value of performing controlled volunteer trials for optimizing existing decontamination procedures. PMID- 21053193 TI - Improving decontamination procedures: a priority for chemical incident management. PMID- 21053194 TI - Planning volunteer responses to low-volume mass gatherings: do event characteristics predict patient workload? AB - INTRODUCTION: Workforce planning for first aid and medical coverage of mass gatherings is hampered by limited research. In particular, the characteristics and likely presentation patterns of low-volume mass gatherings of between several hundred to several thousand people are poorly described in the existing literature. OBJECTIVES: This study was conducted to: 1. Describe key patient and event characteristics of medical presentations at a series of mass gatherings, including events smaller than those previously described in the literature; 2. Determine whether event type and event size affect the mean number of patients presenting for treatment per event, and specifically, whether the 1:2,000 deployment rule used by St John Ambulance Australia is appropriate; and 3. Identify factors that are predictive of injury at mass gatherings. METHODS: A retrospective, observational, case-series design was used to examine all cases treated by two Divisions of St John Ambulance (Queensland) in the greater metropolitan Brisbane region over a three-year period (01 January 2002-31 December 2004). Data were obtained from routinely collected patient treatment forms completed by St John officers at the time of treatment. Event-related data (e.g., weather, event size) were obtained from event forms designed for this study. Outcome measures include: total and average number of patient presentations for each event; event type; and event size category. Descriptive analyses were conducted using chi-square tests, and mean presentations per event and event type were investigated using Kruskal-Wallis tests. Logistic regression analyses were used to identify variables independently associated with injury presentation (compared with non-injury presentations). RESULTS: Over the three year study period, St John Ambulance officers treated 705 patients over 156 separate events. The mean number of patients who presented with any medical condition at small events (less than or equal to 2,000 attendees) did not differ significantly from that of large (>2,000 attendees) events (4.44 vs. 4.67, F = 0.72, df = 1, 154, p = 0.79). Logistic regression analyses indicated that presentation with an injury compared with non-injury was independently associated with male gender, winter season, and sporting events, even after adjusting for relevant variables. CONCLUSIONS: In this study of low-volume mass gatherings, a similar number of patients sought medical treatment at small (<2,000 patrons) and large (>2,000 patrons) events. This demonstrates that for low-volume mass gatherings, planning based solely on anticipated event size may be flawed, and could lead to inappropriate levels of first-aid coverage. This study also highlights the importance of considering other factors, such as event type and patient characteristics, when determining appropriate first-aid resourcing for low-volume events. Additionally, identification of factors predictive of injury presentations at mass gatherings has the potential to significantly enhance the ability of event coordinators to plan effective prevention strategies and response capability for these events. PMID- 21053195 TI - Estimation of healthcare resources at sporting events. AB - BACKGROUND: The consequences of a major incident at a sporting event could be catastrophic. Therefore, there should be an estimation of the healthcare resources at such events as part of the planning. Although there are National guidelines (e.g., Planning Safe Public Events: Practical Guidelines in Australia) defining the role of the healthcare system at sporting events, these guidelines either lack a simple calculating method to estimate the need for healthcare resources or the methods are complex and impractical to use. The objective of this study was to find a safe and easy method for the estimation of healthcare resources at sporting events. METHODS: A model for the estimation of healthcare resources at music events recently has been approved in Sweden. After minor adjustments, this model was used at sport events by a number of planning officers. The models' accuracy and usability was evaluated by analyzing its outcome in a pilot and a controlled study using different sporting and non sporting scenarios. RESULTS: The pilot study showed that the model was valid and easily could be used for various sporting events. The obtained estimations were consistent with the methods used by experienced planning officers in 97% of cases. The results of the controlled study showed that by using this model, the minimum amount of resources required easily could be calculated at sporting events and by people with different backgrounds. CONCLUSIONS: This model safely can be used at sporting events. PMID- 21053196 TI - Chain of survival at mass gatherings: a case series of resuscitation events. AB - BACKGROUND: At a large public event, or mass gathering, various factors influence patient presentations that brings challenges to patient care. The chain of survival has been investigated in the prehospital setting. However, this has not explicitly included the mass-gathering environment. OBJECTIVE: This study sought to determine the facilitators and barriers to the chain of survival at mass gatherings. METHODS: This case-series research was exploratory and descriptive, using the analysis of personal experiences of resuscitation. Participants were members of St John Ambulance Australia who had participated actively in a resuscitation event in 2007. Telephone interviews were used as a means of data collection. Participant narrative was recorded electronically, transcribed verbatim, and analyzed thematically using a well established human science approach. RESULTS: The thematic analysis revealed five main themes and a number of sub-themes. Four of the main themes were aligned easily with the four chain of survival links. The remaining main theme outlined a new link in the chain of survival of specific importance to mass gatherings, 'early planning'. Additionally, a number of sub-themes were identified, which exemplified various facilitators and barriers to the chain of survival in this environment. CONCLUSIONS: This research highlights various barriers and facilitators to the chain of survival in the mass-gathering environment. Additionally, the unique "early planning" link in the chain of survival as described in this research highlights the importance of a preparatory phase for responders at mass gatherings. PMID- 21053197 TI - Integrated response to the dynamic threat of school violence. AB - A terrorist attack on US schools no longer can be considered a Black Swan event. Mounting evidence suggests that extremist organizations actively are targeting US schools. Equally disturbing are data suggesting that schools, universities, and communities are unprepared for large-scale violence. The Operational Medicine Institute Conference on an Integrated Response to the Modern Urban Terrorist Threat revealed significant variations in the perceived threats and critical response gaps among emergency medical providers, law enforcement personnel, politicians, and security specialists. The participants recommended several steps to address these gaps in preparedness, training, responses, and recovery. PMID- 21053198 TI - The 2007 San Diego Wildfire impact on the Emergency Department of the University of California, San Diego Hospital System. AB - INTRODUCTION: In October 2007, San Diego County experienced a severe firestorm resulting in the burning of more than 368,000 acres, the destruction of more than 1,700 homes, and the evacuation of more than 500,000 people. HYPOTHESIS: The goal of this study was to assess the impact of the 2007 San Diego Wildfires, and the acute change in air quality that followed, on the patient volume and types of complaints in the emergency department. METHODS: A retrospective review was performed of a database of all patients presenting to the Emergency Departments of University of California, San Diego (UCSD) hospitals for a six-day period both before (14-19 October 2007) and after (21-26 October 2007) the start of the 2007 firestorm. Charts were abstracted for data, including demographics, chief complaints, past medical history, fire-related injuries and disposition status. As a measure of pollution, levels of 2.5 micron Particulate Matter (PM 2.5) also were calculated from data provided by the San Diego Air Pollution Control District. RESULTS: Emergency department volume decreased by 5.8% for the period following the fire. A rapid rise in PM2.5 levels coincided with the onset of the fires. The admission rate was higher in the period following the fires (19.8% vs. 15.2%) from the baseline period. Additionally, the Left Without Being Seen (LWBS) rate doubled to 4.6% from 2.3%. There was a statistically significant increase in patients presenting with a chief complaint of shortness of breath (6.5% vs. 4.2% p = 0.028) and smoke exposure (1.1% vs. 0% p = 0.001) following the fires. Patients with significant cardiac or pulmonary histories were no more likely to present to the emergency department during the fires. CONCLUSIONS: Despite the decreased volume, the admission and LWBS rate did increase following the onset of the firestorm. The cause of this increase is unclear. Despite a sudden decline in air quality, patients with significant cardiac and pulmonary morbidity did not vary their emergency department utilization rate. Based on the experience at UCSD, it appears that significant wildfires like that seen in 2007, only may marginally affect emergency department operations, and may not require significant changes to normal staffing levels. PMID- 21053199 TI - Chinese disasters and just-in-time education. AB - Just-in-time ( JIT) Educational Strategy has been applied successfully to share scientific knowledge about disasters in several countries. This strategy was introduced to China in 2008 with the hopes to quickly disseminate accurate scientific data to the population, and it was applied during the Sichuan Earthquake and Influenza A (H1N1) outbreak. Implementation of this strategy likely educated between 10,000 and 20,000,000 people. The efforts demonstrated that an effective JIT strategy impacted millions of people in China after a disaster occurs as a disaster mitigation education method. This paper describes the Chinese JIT approach, and discusses methodologies for implementing JIT lectures in the context of China's medical and public health system. PMID- 21053200 TI - Using Google Trends to assess interest in disasters. PMID- 21053203 TI - Test-retest reliability of health state valuation techniques: the time trade off and person trade off. AB - Economic analysis is increasingly being employed in formal resource allocation decision-making processes in health care. As a consequence, the methods employed by economic analysts are increasingly subject to close scrutiny. One such area of methodology concerns the instruments used to elicit preferences for various health states for use in the construction of quality-adjusted life years. There are a number of techniques which may be used to elicit preferences and different techniques produce different results. The objective of this study was to explore the test-retest reliability of two techniques: Time Trade Off (TTO) and Person Trade Off (PTO) valuations were collected by a general population postal survey. A total of 798 respondents returned questionnaires. The intra class correlation coefficients ranged from 0.40 to 0.88 for TTO and, -0.17 to 0.82 for PTO, with the majority of coefficients being >0.50. The reliability coefficients varied between techniques and health states, with the TTO technique tending to produce higher coefficients. While the reliability results for TTO were generally positive, the reliability results for PTO are less clear. PMID- 21053208 TI - Design, synthesis and characterisation of a fluorescently labelled CyPLOS ionophore. AB - A novel fluorescently labelled synthetic ionophore, based on a cyclic phosphate linked disaccharide (CyPLOS) backbone and decorated with four tetraethylene glycol tails carrying dansyl units, has been synthesised in 12 steps in 26% overall yield. The key intermediate in the synthetic strategy is a novel glucoside building block, serving through its 2- and 3-hydroxy groups as the anchor point for flexible tetraethylene glycol tentacles with reactive azido moieties at their ends. To test the versatility of this glucoside scaffold, it was preliminarily functionalised with a set of diverse probes--as fluorescent, redox-active or hydrophobic tags--either by reduction of the azides followed by condensation with activated carboxylic acid derivatives, or by a direct coupling with a terminal alkyne in a Cu(I)-promoted 1,3-dipolar cycloaddition. Tagging of the monomeric building block with dansyl residues allowed us to prepare a fluorescent, amphiphilic macrocycle, which was investigated for its propensity to self-aggregate in CDCl(3)--studied by means of concentration-dependent (31)P NMR spectroscopy experiments--and in aqueous solution, in which combined dynamic light scattering (DLS) and small-angle neutron scattering (SANS) measurements provided a detailed physico-chemical analysis of the self-assembled systems, mainly organised in the form of large vesicles. Its ion-transport properties through phospholipid bilayers, determined by HPTS fluorescence assays, showed this compound to be more active than the previously synthesised CyPLOS congeners. Solvent-dependent fluorescence changes for the labelled ionophore in liposome suspension established that the dansyl moieties are dispersed in environments with polarity intermediate between those of CH(2)Cl(2) and propan-2-ol, suggesting that the CyPLOS tentacles infiltrate the mid-polar region of the membranes. PMID- 21053209 TI - Label-free and signal-on electrochemiluminescence aptasensor for ATP based on target-induced linkage of split aptamer fragments by using [Ru(phen)3]2+ intercalated into double-strand DNA as a probe. PMID- 21053210 TI - (2,1-a)-Indenofluorene derivatives: syntheses, X-ray structures, optical and electrochemical properties. AB - Two novel fluorophores based on the (2,1-a)-indenofluorenyl backbone, dispiro[fluorene-9,11'-indeno[2,1-a]fluorene-12',9''-fluorene], (2,1-a)-DSF-IF and 11,12-dihydroindeno[2,1-a]fluorene (2,1-a)-IF have been prepared through original and efficient synthetic approaches. After consideration of synthetic features, the structural, optical and electrochemical properties of these new blue/violet emitters have been studied in detail by a combined experimental and theoretical approach. The properties of the (2,1-a)-DSF-IF and (2,1-a)-IF are also compared to those of their corresponding positional isomers based on the (1,2-b)-indenofluorenyl backbone and those of related dispirofluorene heteroacenes. PMID- 21053211 TI - Mechanistic insights into the cis-trans isomerization of ruthenium complexes relevant to catalysis of olefin metathesis. AB - The mechanism of the trans to cis isomerization in Ru complexes with a chelating alkylidene group has been investigated by using a combined theoretical and experimental approach. Static DFT calculations suggest that a concerted single step mechanism is slightly favored over a multistep mechanism, which would require dissociation of one of the ligands from the Ru center. This hypothesis is supported by analysis of the experimental kinetics of isomerization, as followed by (1)H NMR spectroscopy. DFT molecular dynamics simulations revealed that the variation of geometrical parameters around the Ru center in the concerted mechanism is highly uncorrelated; the mechanism actually begins with the transformation of the square-pyramidal trans isomer, with the Ru==CHR bond in the apical position, into a transition state that resembles a metastable square pyramidal complex with a Cl atom in the apical position. This high-energy structure collapses into the cis isomer. Then, the influence of the N heterocyclic carbene ligand, the halogen, and the chelating alkylidene group on the relative stability of the cis and trans isomers, as well as on the energy barrier separating them, was investigated with static calculations. Finally, we investigated the interconversion between cis and trans isomers of the species involved in the catalytic cycle of olefin metathesis; we characterized an unprecedented square-pyramidal metallacycle with the N-heterocyclic carbene ligand in the apical position. Our analysis, which is relevant to the exchange of equatorial ligands in other square pyramidal complexes, presents evidence for a remarkable flexibility well beyond the simple cis-trans isomerization of these Ru complexes. PMID- 21053212 TI - Tunable hydride transfer in the redox amination of indoline with aldehyde: an attractive intramolecular hydrogen-bond effect. PMID- 21053213 TI - Cation-cation complexes of pentavalent uranyl: from disproportionation intermediates to stable clusters. AB - Three new cation-cation complexes of pentavalent uranyl, stable with respect to the disproportionation reaction, have been prepared from the reaction of the precursor [(UO(2)py(5))(KI(2)py(2))](n) (1) with the Schiff base ligands salen(2 ), acacen(2-), and salophen(2-) (H(2)salen = N,N'-ethylene bis(salicylideneimine), H(2)acacen = N,N'-ethylenebis(acetylacetoneimine), H(2)salophen = N,N'-phenylene-bis(salicylideneimine)). The preparation of stable complexes requires a careful choice of counter ions and reaction conditions. Notably the reaction of 1 with salophen(2-) in pyridine leads to immediate disproportionation, but in the presence of [18]crown-6 ([18]C-6) a stable complex forms. The solid-state structure of the four tetranuclear complexes, {[UO(2)(acacen)](4)[MU(8)-](2)[K([18]C-6)(py)](2)} (3) and {[UO(2)(acacen)](4)[MU(8)-]}?2 [K([222])(py)] (4), {[UO(2)(salophen)](4)[MU(8) K](2)[MU(5)-KI](2)[(K([18]C-6)]}?2 [K([18]C-6)(thf)(2)]?2 I (5), and {[UO(2)(salen)(4)][MU(8)-Rb](2)[Rb([18]C-6)](2)} (9) ([222] = [222]cryptand, py = pyridine), presenting a T-shaped cation-cation interaction has been determined by X-ray crystallographic studies. NMR spectroscopic and UV/Vis studies show that the tetranuclear structure is maintained in pyridine solution for the salen and acacen complexes. Stable mononuclear complexes of pentavalent uranyl are also obtained by reduction of the hexavalent uranyl Schiff base complexes with cobaltocene in pyridine in the absence of coordinating cations. The reactivity of the complex [U(V)O(2)(salen)(py)][Cp*(2)Co] with different alkali ions demonstrates the crucial effect of coordinating cations on the stability of cation-cation complexes. The nature of the cation plays a key role in the preparation of stable cation-cation complexes. Stable tetranuclear complexes form in the presence of K(+) and Rb(+), whereas Li(+) leads to disproportionation. A new uranyl-oxo cluster was isolated from this reaction. The reaction of [U(V)O(2)(salen)(py)][Cp*(2)Co] (Cp* = pentamethylcyclopentadienyl) with its U(VI) analogue yields the oxo-functionalized dimer [UO(2)(salen)(py)](2)[Cp*(2)Co] (8). The reaction of the {[UO(2)(salen)(4)][MU(8) K](2)[K([18]C-6)](2)} tetramer with protons leads to disproportionation to U(IV) and U(VI) species and H(2)O confirming the crucial role of the proton in the U(V) disproportionation. PMID- 21053215 TI - Solvent- and guest-responsive self-assembly of Hamilton receptor tethered bis(merocyanine) dyes. AB - A novel supramolecular building block (8) that consists of a Hamilton receptor and two merocyanine dyes has been synthesized, and the self-assembly based on orthogonal hydrogen bonding and dipolar interactions has been studied in detail. Different self-assembled species, including oligomers, polymers, and inverted micelles could be observed upon variation of the solvent polarity and the concentration. Moreover, this system is highly responsive toward molecular stimuli such as merocyanine molecules with the barbituric acid motif that are bound by the Hamilton receptors. Detailed UV/Vis absorption studies provided insight into isodesmic or cooperative steps during the self-assembly of 8 into different species. The size of the aggregates in solution and the morphology on substrates have been explored by a combination of dynamic light scattering (DLS), atomic force microscopy (AFM), and TEM investigations. PMID- 21053216 TI - Versatile supramolecular gelling agents: unusual stabilization of physical gels by lithium ions. PMID- 21053217 TI - Pyrrole-bridged porphyrin nanorings. PMID- 21053218 TI - Preparation of surface organometallic catalysts by gas-phase ligand stripping and reactive landing of mass-selected ions. AB - Organometallic complexes immobilized on surfaces combine the high selectivity of homogeneous catalysts with the ease of separation of catalyst from products attainable with heterogeneous catalysts. Here we report a novel approach for the highly controlled preparation of surface organometallic catalysts by gas-phase ligand stripping combined with reactive landing of mass-selected ions onto self assembled monolayer surfaces. Collision-induced dissociation is used to generate highly reactive undercoordinated metal complexes in the gas-phase for subsequent surface immobilization. Complexes with an open coordination shell around the metal center are demonstrated to show enhanced activity towards reactive landing in comparison to fully ligated species. In situ TOF-SIMS analysis indicates that the immobilized complexes exhibit behavior consistent with catalytic activity when exposed to gaseous reagents. PMID- 21053219 TI - Conjugate boration of beta,beta-disubstituted unsaturated esters: asymmetric synthesis of functionalized chiral tertiary organoboronic esters. PMID- 21053220 TI - Isocyanides in the synthesis of nitrogen heterocycles. AB - Isocyanides have long proved themselves to be irreplaceable building blocks in modern organic chemistry. The unique features of the isocyano group make isocyanides particularly useful for the synthesis of a number of important classes of nitrogen heterocycles, such as pyrroles, indoles, and quinolines. Several cocyclizations of isocyanides via zwitterions and radical intermediates as well as transition-metal-catalyzed syntheses of different types of heterocycles have recently been developed. Methods starting from isocyanides often have distinct advantages over alternative approaches to the same heterocycles because of their enhanced convergence, the great simplicity of most of the operations with them, and the great variety of isocyanides readily available for use. Isocyanides have also been used in some enantioselective syntheses of chiral heterocyclic compounds, including natural products as well as precursors thereof. PMID- 21053221 TI - Microscopic mechanism of specific peptide adhesion to semiconductor substrates. PMID- 21053222 TI - A versatile DNA nanochip for direct analysis of DNA base-excision repair. PMID- 21053223 TI - Reduction of nitroaromatic compounds on supported gold nanoparticles by visible and ultraviolet light. PMID- 21053224 TI - Kinetic evidence for the formation of oxazolidinones in the stereogenic step of proline-catalyzed reactions. PMID- 21053225 TI - Maradolipids: diacyltrehalose glycolipids specific to dauer larva in Caenorhabditis elegans. PMID- 21053226 TI - Towards homoleptic borylene complexes: incorporation of two borylene ligands into a mononuclear iridium species. PMID- 21053227 TI - Polymer-coated tips for patterning of viruses by dip-pen nanolithography. PMID- 21053228 TI - Enantioselective, organocatalyzed, intramolecular aldol lactonizations with keto acids leading to bi- and tricyclic beta-lactones and topology-morphing transformations. PMID- 21053229 TI - Cooperative organocatalysis for the asymmetric gamma alkylation of alpha-branched enals. PMID- 21053230 TI - The crystalline structure of gold nanorods revisited: evidence for higher-index lateral facets. PMID- 21053231 TI - Versatile method for the synthesis of 4-aminocyclopentenones: dysprosium(III) triflate catalyzed aza-piancatelli rearrangement. PMID- 21053232 TI - Asymmetric iron-catalyzed hydrosilane reduction of ketones: effect of zinc metal upon the absolute configuration. PMID- 21053233 TI - Insights into the finer issues of native chemical ligation: an approach to cascade ligations. PMID- 21053235 TI - Enhancement of plant and bacterial lectin binding affinities by three-dimensional organized cluster glycosides constructed on helical poly(phenylacetylene) backbones. AB - A series of poly(phenylacetylene)s bearing diverse saccharide pendants--N-acetyl D-glucosamine, D-lactose, and N-acetyl-D-neuraminic acid--were synthesized by rhodium-mediated polymerizations of the corresponding acetyl-protected glycosylated phenylacetylenes followed by deprotection. The circular dichroism spectra of these glycosylated poly(phenylacetylene)s each displayed split-type Cotton effects in the long absorption region of the conjugated polymer backbone (260-500 nm), thus indicating predominantly one-handed helical conformations in their backbones. The binding affinities of these glycosylated poly(phenylacetylene)s, and those of previously reported phenylacetylenes bearing D-galactose, towards plant and bacterial lectins were investigated by hemagglutination inhibition assay and isothermal titration calorimetry (ITC). The stoichiometries of binding vary strongly, depending on the lectin binding sites and the accessibilities of the carbohydrate residues in the helices. The measured affinities also vary, with the maximum value observed for the interaction between poly-PA-alpha-Gal and lectin I from Pseudomonas aeruginosa, with a K(d) value of 4 MUM per monosaccharide representing a 200-fold increase relative to the corresponding monomer. PMID- 21053236 TI - Crystalline two-dimensional DNA-origami arrays. PMID- 21053237 TI - Carpe diubiquitin. PMID- 21053238 TI - Mechanisms of macromolecular protease inhibitors. PMID- 21053239 TI - Nondenaturing chemical proteomics for protein complex isolation and identification. PMID- 21053240 TI - PbS quantum dot-sensitized photoelectrochemical cell for hydrogen production from water under illumination of simulated sunlight. PMID- 21053241 TI - Determining the time zero for electronic dynamics. PMID- 21053243 TI - Bilateral motion restored to the paralyzed canine larynx with implantable stimulator. AB - OBJECTIVES/HYPOTHESIS: Bilateral stimulation of posterior cricoarytenoid (PCA) muscles offers a physiologic approach to restore ventilation to a normal level in case of bilateral laryngeal paralysis. The objective of this study was to evaluate the long-term efficacy and safety of a new generation stimulator in restoring ventilation and exercise tolerance. STUDY DESIGN: A prospective study of four canines over 8-20 months. METHODS: A Genesis XP stimulator and electrodes were implanted and recurrent laryngeal nerves were sectioned and repaired bilaterally. In bimonthly sessions, vocal fold movement resulted from PCA stimulation or induced hypercapnea, was measured endoscopically in the anesthetized animal. Exercise tolerance was measured on a treadmill and swallowing function was examined endoscopically and radiographically. RESULTS: During the denervation phase, there was minimal ventilatory compromise and near normal exercise tolerance. PCA stimulation produced only nominal abduction. During the reinnervation phase, synkinetic reinnervation became significant, resulting in a narrowed passive airway and paradoxical glottic closure during hypercapnea. Animals were stridorous and could walk for only 1-2 minutes. Bilateral PCA stimulation increased glottal area, equaling that of a normally innervated animal. Exercise tolerance was also normal. The optimal stimulus paradigm for the synkinetically reinnervated larynx was not different from that for the innervated larynx. Stimulation remained efficacious over the study period. Lead integrity could be maintained by prevention of device migration. There was no evidence of aspiration. CONCLUSIONS: This study demonstrates that ventilatory compromise only occurs following faulty reinnervation. Bilateral PCA stimulation can restore ventilation and exercise tolerance completely without aspiration over the long term. PMID- 21053245 TI - Infliximab decreases colectomy rates in moderate to severe ulcerative colitis: big news or big deal? PMID- 21053249 TI - Using host 28S ribosomal RNA as a housekeeping gene for quantitative real-time reverse transcription-PCR (qRT-PCR) in virus-infected animal cells. AB - The use of quantitative real-time reverse transcription-PCR (qRT-PCR) for studying regulation of gene transcription requires an internal template-loading control or a housekeeping gene to guarantee the validity of the data collection, analysis, and interpretation. Analysis of gene transcription in virus-infected animal cells is problematic because virus infection often results in modified or fluctuating gene transcription patterns of conventionally used housekeeping genes, such as the glyceraldehyde 3-phosphate dehydrogenase (GAPDH) gene and the beta-actin gene. It has been demonstrated that the host 28S ribosomal gene can be used as a housekeeping gene in qRT-PCR in virus-infected insect cells. The stability of the human 28S rRNA gene transcription during the infection of HeLa cells with adenovirus has been confirmed, and this method has been extended to the use of the human 28S rRNA gene as a housekeeping gene in adenovirus-infected HeLa cells. Step-by-step instructions are described for use of this control in analysis of gene transcription in both types of virus-infected animal cells. PMID- 21053248 TI - Prospective evaluation of the clinical utility of interferon-gamma assay in the differential diagnosis of intestinal tuberculosis and Crohn's disease. AB - BACKGROUND: Distinguishing intestinal tuberculosis (ITB) from Crohn's disease (CD) is challenging. This study prospectively evaluated the clinical utility of the QuantiFERON-TB gold test (QFT) in the differential diagnosis of ITB and CD, and compared it with the clinical utility of the tuberculin skin test (TST). METHODS: Patients with suspected ITB or CD on colonoscopic findings were enrolled from 13 hospitals in Korea between June 2007 and November 2008. A QFT and TST were performed. When the initial diagnosis was not confirmed, 2-3 months of empiric antituberculous therapy was administered. RESULTS: In all, 128 patients were analyzed; 64 patients had ITB and 64 patients had CD. The median age of patients with ITB was greater than the patients with CD (47 years versus 31 years, P < 0.001). The positive rate for the QFT and TST (>=10 mm) in patients with ITB was significantly higher than patients with CD (67% versus 9% and 69% versus 16%, respectively; P < 0.001). The QFT and TST had good agreement (kappa = 0.724, P < 0.001). The diagnostic validity of QFT in ITB had a 67% sensitivity, 90% specificity, 87% positive predictive value, and 73% negative predictive value. There was no difference in these parameters between the QFT and TST. The likelihood ratio for a positive QFT was higher than a positive TST in the diagnosis of ITB (7.1 and 4.4, respectively). CONCLUSIONS: The QFT is a limited but useful diagnostic aid in combination with the TST in the diagnosis of ITB. PMID- 21053250 TI - Simultaneous isolation of Ixodidae and bacterial (Borrelia spp.) genomic DNA. AB - Tick and tick-borne diseases have become widely distributed throughout the United States. As a result, the interest associated with tick allocation and the potential threat they may pose has increased. Efforts have expanded to understand biotic and abiotic factors which may influence tick/pathogen distribution. Thus, we have developed a procedure which allows the simultaneous isolation of both tick and bacterial DNA. Downstream applications are diverse; however, we describe the use of multiplex PCR to confirm the presence of spirochete DNA from tick samples. We suspect that this procedure is not limited to tick-bacteria systems and may be applied to a variety of arthropod-related endeavors. PMID- 21053251 TI - Genome-wide fitness and genetic interactions determined by Tn-seq, a high throughput massively parallel sequencing method for microorganisms. AB - The lagging annotation of bacterial genomes and the inherent genetic complexity of many phenotypes is hindering the discovery of new drug targets and the development of new antimicrobials and vaccines. Here we present the method Tn seq, with which it has become possible to quantitatively determine fitness for most genes in a microorganism and to screen for quantitative genetic interactions on a genome-wide scale and in a high-throughput fashion. Tn-seq can thus direct studies in the annotation of genes and untangle complex phenotypes. The method is based on the construction of a saturated Mariner transposon insertion library. After library selection, changes in frequency of each insertion mutant are determined by sequencing of the flanking regions en masse. These changes are used to calculate each mutant's fitness. The method has been developed for the Gram positive bacterium Streptococcus pneumoniae, a causative agent of pneumonia and meningitis; however, due to the wide activity of the Mariner transposon, Tn-seq can be applied to many different microbial species. PMID- 21053252 TI - Laboratory maintenance of Streptomyces argillaceus and Streptomyces griseus. AB - This unit describes general protocols for the laboratory maintenance of Streptomyces argillaceus and griseus, including growth on solid and liquid media, as well as specific considerations for the type of medium to be used with these species. PMID- 21053253 TI - Genetic manipulation of Streptomyces species. AB - This unit includes general protocols for the genetic manipulation of Streptomyces species, including genomic DNA isolation, genomic library preparation, intergeneric conjugation of Streptomyces with E. coli, generation and transformation of Streptomyces protoplasts, electroporation of Streptomyces mycelia, and colony PCR. PMID- 21053254 TI - Isolation of Streptomyces species from soil. AB - This unit describes a general protocol for the isolation of Streptomyces species from soil and fresh water, using a procedure for the selective growth of Streptomyces species. Preparation of the necessary growth medium, recognition of the morphology of the bacteria, and safety considerations are also covered. PMID- 21053255 TI - Hepatitis C virus: propagation, quantification, and storage. AB - Hepatitis C virus (HCV) is a leading cause of chronic liver diseases, including chronic hepatitis, cirrhosis, and hepatocellular carcinoma. HCV is well known for its restricted tropism and it does not replicate well in animal species other than humans and chimpanzees. Since classical in vitro propagation of natural HCV isolates is not possible, a protocol for the rescue of infectious virus from cDNA clones (genotype 1a pH77S and genotype 2a pJFH-1) transfected as RNA into permissive cells is described here. Because these two molecular clones behave differently in their ability to propagate and produce infectious virus, different methods for propagation of these two viral strains are described. Methods for infectious virus titration, which can be accomplished by counting foci of infected cells following immunostaining for viral antigen expression in cells infected with serial dilutions of a virus harvest (focus forming unit, or FFU, assay), are also provided. PMID- 21053256 TI - A high-throughput approach for studying virus replication in yeast. AB - Viruses are intracellular pathogens that are dependent on viral and host factors for multiplication. Model hosts, such as yeast, can be very valuable in identifying host factors involved in viral replication. Yeast is also useful for studies on functional interactions of host factors with viral proteins and/or virus nucleic acids. The advantages of using yeast include the availability of a single gene-deletion library and the essential gene library (yTHC); the controllable small- or large-scale expression of viral proteins and nucleic acids; and the rapid growth of yeast strains. Procedures that facilitate high throughput analysis of host factors and plant and animal RNA virus replication in yeast, with a plant virus (tombusvirus; TBSV) and an animal virus (nodavirus; FHV) as examples, are described. PMID- 21053258 TI - Study of the interaction between the amyloid beta peptide (1-40) and antioxidant compounds by nuclear magnetic resonance spectroscopy. AB - Amyloid beta peptide (Abeta) aggregation leads to the senile plaque formation, a process that is strongly influenced by oxidative stress and is considered as the molecular basis of various neurodegenerative diseases, such as Alzheimer's disease (AD). Endogenous antioxidants or dietary derived compounds may down regulate this process. In this study, the interaction of two antioxidants, oleuropein (OE) and melatonin (M), with Abeta is monitored through nuclear magnetic resonance (NMR) spectroscopy and mass spectrometry. The concerted application of these two analytical techniques provides new experimental evidence and residue-specific insights into the interacting Abeta peptide amino acids that are implicated in this process. Both antioxidant compounds interact in a similar way with the peptide and cause chemical shift variations. The most pronounced resonance changes have been observed for the 1H-15N signals of N-terminal region and Leu17-Phe20 residues, as monitored by NMR titration studies. PMID- 21053259 TI - Terminal contributions for duplex oligonucleotide thermodynamic properties in the context of nearest neighbor models. AB - Additive physical properties of DNA polymer duplexes have been expanded in terms of eight irreducible parameters that ultimately lead to consistency relations among the corresponding 10 duplex dimer contributions. End parameters are often added to allow for oligomer analysis which would add four extra degrees of freedom to the aforementioned parameters. Analysis of sufficient experimental data on oligomer duplexes allows for the unambiguous recovery of irreducible parameters. Values for free energy, enthalpy, and entropy are thus obtained, in terms of either irreducible or dimer decomposition sets. Here, a better adjust for the entropic (and enthalpic) irreducible parameters are obtained as we consider the more precise melting temperature data for the sequences of a given dataset. However, still large error estimates, and no clear distinction between the orientations of the terminal base pairs could yet be found. Finally, statistical mechanics approaches are applied for to connect the nearest neighbor approach to the two states model. Ad hoc end effects can be thus correlated to nucleation phenomena, leading to a critique for its role in nearest neighbor modeling. PMID- 21053260 TI - De novo design of alpha,beta-didehydrophenylalanine containing peptides: from models to applications. AB - The de novo design of peptides and proteins has emerged as an approach for investigating protein structure and function. The success relies heavily on the ability to design relatively short peptides that can adopt stable secondary structures. To this end, substitution with alpha,beta-dehydroamino acids, especially alpha,beta-didehydrophenylalanine (DeltaPhe or DeltaF) has blossomed in manifold directions, providing a rich diversity of well-defined structural motifs. Introduction of alpha,beta-didehydrophenylalanine induces beta-bends in small and 3(10)-helices in longer peptide sequences. Most favorable conformation of DeltaF residues are (phi,psi) ~(60 degrees , 30 degrees ), (-60 degrees , -30 degrees ), (-60 degrees , 150 degrees ), and (60 degrees , -150 degrees ). These features have been exploited in designing helix-turn-helix, helical bundle arrangements, and glycine zipper type super secondary structural motifs. The unusual capability of alpha,beta-didehydrophenylalanine ring to form a variety of multicentered interactions (N-H...O, C-H...O, C-H...pi, and N-H...pi) suggests its possible exploitation for future de novo design of supramolecular structures. This work has now been extended to the de novo design of peptides with antibiotic, antifibrillization activity, etc. More recently, self-assembling properties of small dehydropeptides have been explored. This review focuses primarily on the structural and functional behavior of alpha,beta didehydrophenylalanine containing peptides. PMID- 21053261 TI - Human peripheral nerve-derived scaffold for tissue-engineered nerve grafts: histology and biocompatibility analysis. AB - Human acellular nerve grafts (ANGs) have been rarely used to construct tissue engineered nerves compared to the animal-derived ANGs, and their potential clinical applications were relatively unknown. In this study, it was aimed to investigate the structure and components of a scaffold derived from human peripheral nerve and evaluate its biocompatibility. The human peripheral nerves were processed to prepare the scaffolds by chemical extraction. Light and electron microscopy were carried out to analyze scaffold structure and components. The analysis of cytotoxicity, hemolysis, and skin sensitization were performed to evaluate their biocompatibility. It was shown that Schwann cells and axons, identified by S-100 and neurofilament (NF) expression, were absent, and the scaffolds were cell-free and rich in collagen-I and laminin whose microarchitecture was similar to the fibrous framework of human peripheral nerves. It was revealed from biocompatibility tests that the scaffolds had very mild cytotoxicity and hemolysis, whereas skin sensitization was not observed. The constructed human peripheral nerve-derived scaffolds with well biocompatibility for clinical practice, which were cell-free and possess the microstructure and extracellular matrix (ECM) of a human nerve, might be an optimal scaffold for tissue-engineered nerve grafts in human. PMID- 21053262 TI - Biophysical characterization of ovine forestomach extracellular matrix biomaterials. AB - Ovine forestomach matrix (OFM) is a native and functional decellularized extracellular matrix biomaterial that supports cell adhesion and proliferation and is remodeled during the course of tissue regeneration. Small angle X-ray scattering demonstrated that OFM retains a native collagen architecture (d spacing = 63.5 +/- 0.2 nm, orientation index = 20 degrees ). The biophysical properties of OFM were further defined using ball-burst, uniaxial and suture retention testing, as well as a quantification of aqueous permeability. OFM biomaterial was relatively strong (yield stress = 10.15 +/- 1.81 MPa) and elastic (modulus = 0.044 +/- 0.009 GPa). Lamination was used to generate new OFM-based biomaterials with a range of biophysical properties. The resultant multi-ply OFM biomaterials had suitable biophysical characteristics for clinical applications where the grafted biomaterial is under load. PMID- 21053263 TI - In Vitro characterization of low modulus linoleic acid coated strontium substituted hydroxyapatite containing PMMA bone cement. AB - Poly (methyl methacrylate) (PMMA) bone cement is widely used in vertebral body augmentation procedures such as vertebroplasty and balloon kyphoplasty. Filling high modulus PMMA increases the modulus of filled verterbra, increasing the risk of fracture in the adjacent vertebra. On the other hand, in porous PMMA bone cements, wear particle generation and deterioration of mechanical performance are the major drawbacks. This study adopts a new approach by utilizing linoleic acid coated strontium substituted hydroxyapatite nanoparticle (Sr-5 HA) and linoleic acid as plasticizer reducing bone cement's modulus with minimal impact on its strength. We determined the compressive strength (UCS) and modulus (Ec), hydrophobicity, injectability, in vitro bioactivity and biocompatibility of this bone cement at different filler and linoleic acid loading. At 20 wt % Sr5-HA incorporation, UCS and Ec were reduced from 63 +/- 2 MPa, 2142 +/- 129 MPa to 58 +/- 2 MPa, 1785 +/- 64 MPa, respectively. UCS and Ec were further reduced to 49 +/- 2 MPa and 774 +/- 70 MPa respectively when 15 v/v of linoleic acid was incorporated. After 7 days of incubation, pre-osteoblast cells (MC3T3-E1) attached on 20 wt % Sr5-HA and 20 wt % Sr5-HA with 15 v/v of linoleic acid group were higher (3.73 +/- 0.01 x 104, 2.27 +/- 0.02 x 104) than their PMMA counterpart (1.83 +/- 0.04 x 104). Incorporation of Sr5-HA with linoleic acid in monomer phase is more effective in reducing the bone cement's stiffness than Sr5 HA alone. Combination of low stiffness and high mechanical strength gives the novel bone cement the potential for use in vertebroplasty cement applications. PMID- 21053264 TI - Novel technique to reduce the size of a Fontan Diabolo stent fenestration. AB - OBJECTIVES: To develop an effective catheter technique to reduce the size of a Diabolo stent fenestration in the failing Fontan circulation. BACKGROUND: Diabolo stent fenestration is employed by many centers in the treatment of the failing Fontan patient. With subsequent recovery, exercise tolerance may be impaired by significant desaturation secondary to the right to left shunt across the fenestration. Complete fenestration closure carries the risk of recurrence of the initial symptoms and, hence, reduction of the size of fenestration should be the preferred technique. METHODS: Twenty-eight patients with failing Fontan circulations (16 early and 12 late) underwent Diabolo stent fenestration for relief of symptoms. Five of these patients remained very limited by severe desaturation even at rest, after complete recovery from symptoms. Further cardiac catheterization with crimping/reduction of the size of the waist of the stent was carried out using a technique whereby a snare catheter was placed over the waist of the stent aided by an arterio-venous guidewire loop and a balloon catheter placed within the stent. RESULTS: All 5 patients had successful stent reduction with improvement in saturations, whilst still maintaining a small residual fenestration. No complications were encountered. CONCLUSION: This novel technique of reduction of a diabolo stent fenestration, in a failing Fontan circulation, offers the advantages of avoidance of implanting further devices in the circulation and the ability to redilate the stent should symptoms recur. PMID- 21053265 TI - Evaluation of magnesium ions release, biocorrosion, and hemocompatibility of MAO/PLLA-modified magnesium alloy WE42. AB - Magnesium alloys may potentially be applied as biodegradable metallic materials in cardiovascular stent. However, the high corrosion rate hinders its clinical application. In this study, a new approach was adopted to control the corrosion rate by fabricating a biocompatible micro-arc oxidation/poly-L-lactic acid (MAO/PLLA) composite coating on the magnesium alloy WE42 substrate and the biocompatibility of the modified samples was investigated. The scanning electronic microscope (SEM) images were used to demonstrate the morphology of the samples before and after being submerged in hanks solution for 4 weeks. The degradation was evaluated through the magnesium ions release rate and electrochemical impedance spectroscopy (EIS) test. The biocompatibility of the samples was demonstrated by coagulation time and hemolysis behavior. The result shows that the poly-L-lactic acid (PLLA) effectively improved the corrosion resistance by sealing the microcracks and microholes on the surface of the MAO coating. The modified samples had good compatibility. PMID- 21053267 TI - Control of magnesium corrosion and biocompatibility with biomimetic coatings. AB - The use of magnesium and its alloys as biodegradable metallic implant materials requires that their corrosion behavior can be controlled. We tailored the Mg release kinetics and cell adhesion properties of commercially pure Mg by chemical surface treatments in simulated body fluid, in Dulbecco's Modified Eagle's cell culture medium in the presence or absence of fetal bovine serum (FBS), or in 100% FBS. HeLa cells were cultured for 24 h on these Mg surfaces to characterize their biocompatibility. Cell density on all treated surfaces was significantly increased compared with a polished Mg surface, where almost no cells survived. This low biocompatibility of pure Mg was not caused by the high Mg ion release with concentrations of up to 300 mg/L in the cell culture medium after 24 h, as cells grown on a glass substrate showed no adverse reactions to high Mg ion concentrations. Rather, the most critical factor for cell adhesion was a sufficiently reduced initial dissolution rate of the surface. A comparison among all surface treatments showed that an incubation of the Mg samples in cell culture medium gave the lowest dissolution rate and resulted in the best cell adhesion and spreading behavior. PMID- 21053272 TI - A coding RNA segment that enhances the ribosomal recruitment of chicken ccn1 mRNA. AB - CCN1, a member of the CCN family of proteins, plays important physiological or pathological roles in a variety of tissues. In the present study, we initially found a highly guanine-cytosine (GC)-rich region of approximately 200 bp near the 5'-end of the open reading frame, which was always truncated by amplification of the corresponding cDNA region through the conventional polymerase chain reaction. An RNA in vitro folding assay and selective ribonuclease digestion of the corresponding segment of the ccn1 mRNA confirmed the involvement of a stable secondary structure. Subsequent RNA electromobility-shift assays demonstrated the specific binding of some cytoplasmic factor(s) in chicken embryo fibroblasts to the RNA segment. Moreover, the corresponding cDNA fragment strongly enhanced the expression of the reporter gene in cis at the 5'-end, but did not do so at the 3' end. According to the results of a ribosomal assembly test, the effect of the mRNA segment can predominantly be ascribed to the enhancement of transport and/or entry of the mRNA into the ribosome. Finally, the minimal GC-rich mRNA segment that was predicted and demonstrated to form a secondary structure was confirmed to be a functional regulatory element. Thus, we here uncover a novel dual functionality of the mRNA segment in the ccn1 open reading frame, which segment acts as a cis-element that mediates posttranscriptional gene regulation, while retaining the information for the amino acid sequence of the resultant protein. PMID- 21053273 TI - Chronic exposure of bone morphogenetic protein-2 favors chondrogenic expression in human articular chondrocytes amplified in monolayer cultures. AB - Articular cartilage is a specialized connective tissue containing chondrocytes embedded in a network of extracellular macromolecules such as type II collagen and presents poor capacity to self-repair. Autologous chondrocyte transplantation (ACT) is worldwide used for treatment of focal damage to articular cartilage. However, dedifferentiation of chondrocytes occurs during the long term culture necessary for mass cell production. The aim of this study was to investigate if addition of bone morphogenetic protein (BMP)-2, a strong inducer of chondrogenic expression, to human chondrocytes immediately after their isolation from cartilage, could help to maintain their chondrogenic phenotype in long-term culture conditions. Human articular chondrocytes were cultured according to the procedure used for ACT. Real-time PCR and Western blotting were performed to evaluate the cellular phenotype. Exogenous BMP-2 dramatically improves the chondrogenic character of knee articular chondrocytes amplified over two passages, as assessed by the BMP-2 stimulation on type II procollagen expression and synthesis. This study reveals that BMP-2 could potentially serve as a therapeutic agent for supporting the chondrogenic phenotype of human articular chondrocytes expanded in the conditions generally used for ACT. PMID- 21053274 TI - Prolonged Nrf1 overexpression triggers adipocyte inflammation and insulin resistance. AB - Adipose tissue is currently being recognized as an important endocrine organ, carrying defects in a number of metabolic diseases. Mitochondria play a key role in normal adipose tissue function and mitochondrial alterations can result in pathology, like lipodystrophy or type 2 diabetes. Although Pgc1alpha is regarded as the main regulator of mitochondrial function, downstream Nrf1 is the key regulator of mitochondrial biogenesis. Nrf1 is also involved in a wide range of other processes, including proliferation, innate immune response, and apoptosis. To determine transcriptional targets of Nrf1, 3T3-L1 preadipocytes were transfected with either pNrf1 or a control vector. Two days post-confluence, 3T3 L1 preadipocytes were allowed to differentiate. At day 8 of differentiation, Nrf1 overexpressing cells had an increased mtDNA copy number and reduced lipid content. This was not associated with an increased ATP production rate per cell. Using global gene expression analysis, we observed that Nrf1 overexpression stimulated cell proliferation, apoptosis, and cytokine expression. In addition, prolonged Nrf1 induced an adipokine expression profile of insulin resistant adipocytes. Nrf1 has a wide range of transcriptional targets, stimulators as well as inhibitors of adipose tissue functioning. Therefore, post-transcriptional regulation of Nrf1, or stimulating specific Nrf1 targets may be a more suitable approach for stimulating mitochondrial biogenesis and treating adipose tissue defects, instead of directly stimulating Nrf1 expression. In addition, our results show that short-term effects can drastically differ from long-term effects. PMID- 21053275 TI - Mechanical integrin stress and magnetic forces induce biological responses in mesenchymal stem cells which depend on environmental factors. AB - The control of mesenchymal stem cells (MSC) by physical cues is of great interest in regenerative medicine. Because integrin receptors function as mechanotransducers, we applied drag forces to beta1 integrins on the apical surface of adherent human MSC. In addition to mechanical forces, the technique we used involved also the exposure of the cells to an inhomogeneous magnetic field. In order to assess the influence of the substrate on cell adhesion, cells were cultured on plain tissue culture polystyrene (TCP) or on coated well plates, which allowed only adhesion to embedded fibronectin or RGD peptides. We found that the expression of collagen I, which is involved in osteogenesis, and VEGF, a factor which stimulates angiogenesis, increased as a result of short-term mechanical integrin stress. Whereas, collagen I expression was stimulated by mechanical forces when the cells were cultured on fibronectin and RGD peptides but not on TCP, VEGF expression was enhanced by physical stimulation on TCP. The study further revealed that magnetic forces enhanced Sox 9 expression, a marker of chondrogenesis, and reduced the expression of ALP. Concerning the intracellular mechanisms involved, we found that the expression of VEGF induced by physical forces depended on Akt activation. Together, the results implicate that biological functions of MSC can be stimulated by integrin-mediated mechanical forces and a magnetic field. However, the responses of cells depend strongly on the substrate to which they adhere and on the cross-talk between integrin-mediated signals and soluble factors. PMID- 21053276 TI - Mitogen-activated protein kinase in endothelin-1-induced cardiac differentiation of mouse embryonic stem cells. AB - Endothelin-1(ET-1) is a potent vasoconstrictor involved in the development of cardiovascular diseases and is an important regulator of heart development. However, the role of ET-1 in cardiac differentiation of mouse embryonic stem cells (mESCs) and the underlying molecular mechanisms remain poorly understood. In the present study, we showed that ET-1 significantly up-regulated gene expression of the cardiac specific transcriptional factors Nkx2.5, GATA4, and conduction system specific marker CX40, with no affect on the gene expression of alpha-MHC and beta-MHC in cardiac differentiation of mESCs. The percentage of beating embryoid bodies (EB) and the Troponin T (TnT) positive area in total EBs was unchanged following ET-1 treatment, while the percentage of spindle cells that stained positively with TnT was increased in the presence of ET-1. Further investigation indicated that the percentage of beating EBs and the TnT positive area were decreased by the extracellular signal-related kinases (ERK)-1/2 inhibitor U0126 and the p38 inhibitor SB203580, but not by the Jun amino-terminal kinases (JNK) inhibitor SP600125. Inhibition of ERK1/2, p38, and JNK pathways also blocked the up-regulation of Nkx2.5 and GATA4 by ET-1, however only inhibition of the ERK1/2 pathway had negatively effects on the increase in CX40 expression in response to ET-1. ET-1 induced an increase in the percentage of spindle cells was also inhibited by U0126. Our results suggest that ET-1 plays a significant role in the cardiac differentiation of mESCs, especially in those cells committed to the conduction system, with the ERK1/2 pathway playing a critical role in this process. PMID- 21053277 TI - Different apoptotic effects of wogonin via induction of H(2)O(2) generation and Ca(2+) overload in malignant hepatoma and normal hepatic cells. AB - Wogonin, a major active constituent of Scutellaria baicalensis, possesses potent anticancer activities both in vivo and in vitro. This paper describes the different apoptotic effects of wogonin in HepG2 and L02 cells and the possible mechanism for the differences. Through DAPI staining, Annexin-V/PI double staining assay, JC-1 detection and the expressions of the key apoptotic proteins, we find that wogonin prefers to induce apoptosis in HepG2 cells through the mitochondrial pathway, while has much less effects on L02 cells. Moreover, overexpression of Bcl-2 can block wogonin-induced apoptosis in HepG2 cells. To illustrate the specific selective mechanism of wogonin in apoptosis induction, H(2)O(2), (.)O(2)(-) and Ca(2+) are measured by 2',7'-dichlorfluorescein diacetate, dihydroethidium and Flou-3 AM assay, respectively. The results show that the different apoptotic effects of wogonin in HepG2 and L02 cells are due to the different regulations to the redox balance of reactive oxygen species and the Ca(2+) release from endoplasmic reticulum. IP(3)R-sensitive Ca(2+) channels are the key targets of the wogonin-increased H(2)O(2). Besides, the activation of PLCgamma1 plays as a bridge between H(2)O(2) signal molecules and Ca(2+) release. Taken together, wogonin preferentially kills hepatoma cells by H(2)O(2)-dependent apoptosis triggered by Ca(2+) overload. The results reveal that wogonin is a competitive anticancer drug candidate for the malignant hepatoma therapy. PMID- 21053280 TI - Activation of signal pathways and the resistance to anti-EGFR treatment in colorectal cancer. AB - Colorectal cancer is the third most common cancer with a 5-year survival rate of less than 10%. It is caused by alterations of multiple signal pathways which are affected by both genetic and environmental factors. In some cases, EGFR is important in the carcinogenesis of colorectal cancer suggesting anti-EGFR therapy may be a potential treatment option. However, in other cases it is not effective, which may be related to its down-stream targeted gene mutations. KRAS is highly emphasized in the literature but other mutations like Src, PIK3CA, and BRAF may also be important. Furthermore, obesity may decrease the effectiveness of anti EGFR treatment as it increases the risk factors for colorectal cancer. Using next generation sequencing technology, it may be possible to identify all gene mutations in an individual with colorectal cancer. Therefore, gene mutations affecting anti-EGFR therapy in colorectal cancer patients can be identified. PMID- 21053278 TI - Role of Bim in diallyl trisulfide-induced cytotoxicity in human cancer cells. AB - The aim of this study was to investigate the effect of garlic constituent diallyl trisulfide (DATS) on the cell-death signaling pathway in a human breast cell line (MDA-MB-231). We observed that DATS (10-100 uM) treatment resulted in dose- and time-dependent cytotoxicity. Treatment of MDA-MB-231 cells with a cytotoxicity inducing concentration of DATS (50-80 uM) resulted in an increase in the intracellular level of reactive oxygen species (ROS). Data from assay with MitoSOX(TM) Red reagent suggest that mitochondria are the main source of ROS generation during DATS treatment. DATS-induced oxidative stress was detected through glutaredoxin (GRX), a redox-sensing molecule, and subsequently GRX was dissociated from apoptosis signal-regulating kinase 1 (ASK1). Dissociation of GRX from ASK1 resulted in the activation of ASK1. ASK1 activated a downstream signal transduction JNK (c-Jun N-terminal kinase)-Bim pathway. SP600125, a JNK inhibitor, inhibited DATS-induced Bim phosphorylation and protected cells from DATS-induced cytotoxicity. Our results indicate that the cytotoxicity caused by DATS is mediated by the generation of ROS and subsequent activation of the ASK1 JNK-Bim signal transduction pathway in human breast carcinoma MDA-MB-231 cells. PMID- 21053281 TI - Anti-inflammatory activity of Eugenia punicifolia extract on muscular lesion of mdx dystrophic mice. AB - Eugenia punicifolia known as "pedra-ume caa" is a shrub largely distributed in the Amazon region popularly used in decoctions or infusions as a natural therapeutic agent, which can interfere on cholinergic nicotinic neurotransmission. This work aimed to investigate a putative anti-inflammatory effect of dichloromethane fraction of E. punicifolia extract (Ep-CM) in the muscular lesion of mdx dystrophic mice, considering that activation of cholinergic mechanisms mitigates inflammation. A polymer containing the Ep-CM was implanted in mdx gastrocnemius muscle before onset of myonecrosis for local slow and gradual release of bioactive compounds and mice sacrificed 7 days or 9 weeks after surgery. Comparing to control muscle, treatment did not alter choline acetyltransferase and acetylcholinesterase enzymatic activities, but decreased metaloproteases-9 and -2 activities and levels of tumor necrosis factor alpha and NFkappaB transcription factor. In addition, treatment also reduced levels of bioactive IL-1beta form and cleaved caspase-3, related to early events of cellular death and inflammatory activation and further increased myogenin expression without affecting collagen production which is associated with fibrosis. In vivo treatment of mdx dystrophic mice with Ep-CM caused significant reduction of muscular inflammation and improved skeletal muscle regeneration without inducing fibrosis. PMID- 21053289 TI - Puff the magic slimmer? PMID- 21053290 TI - Eating disorders in the media: The changing nature of UK newspaper reports. AB - OBJECTIVE: Concern has been expressed about the adequacy of media reporting about eating disorders (EDs) and the impact of this on public understanding. We analyse messages about EDs in UK newspapers, comparing these with US news reports, and show changes over time and between types of newspaper. METHOD: Three thousand five hundred and eighty-three national press news articles were analysed using content and keyword analysis. RESULTS: UK press coverage presents a more realistic clinical picture than US coverage. Profiling people with EDs, popular 'tabloid' newspapers give more details of clinical complications than serious 'broadsheet' newspapers, which focus more on research stories and public health concerns. The association of EDs with young, white, female 'celebrities' is constant over time, but medical views about causation and treatment are more prominent in later years. CONCLUSION: Popular journalists pursue an entertainment agenda for their reporting of health stories and this study shows both the constraints and public education opportunities provided by this genre. PMID- 21053294 TI - Optimization of a highly standardized carboxyfluorescein succinimidyl ester flow cytometry panel and gating strategy design using discriminative information measure evaluation. AB - The design of a panel to identify target cell subsets in flow cytometry can be difficult when specific markers unique to each cell subset do not exist, and a combination of parameters must be used to identify target cells of interest and exclude irrelevant events. Thus, the ability to objectively measure the contribution of a parameter or group of parameters toward target cell identification independent of any gating strategy could be very helpful for both panel design and gating strategy design. In this article, we propose a discriminative information measure evaluation (DIME) based on statistical mixture modeling; DIME is a numerical measure of the contribution of different parameters towards discriminating a target cell subset from all the others derived from the fitted posterior distribution of a Gaussian mixture model. Informally, DIME measures the "usefulness" of each parameter for identifying a target cell subset. We show how DIME provides an objective basis for inclusion or exclusion of specific parameters in a panel, and how ranked sets of such parameters can be used to optimize gating strategies. An illustrative example of the application of DIME to streamline the gating strategy for a highly standardized carboxyfluorescein succinimidyl ester (CFSE) assay is described. PMID- 21053301 TI - Efficient in vivo delivery of plasmids and oligonucleotides using hemagglutinating virus of Japan envelope (HVJ-E) vector in immunological disease models. AB - This unit describes a method for in vivo delivery of oligonucleotides or plasmids using the hemagglutinating virus of Japan envelope (HVJ-E), an inactivated Sendai virus particle, as a delivery system. Viral transfection methods generally show a higher transfection efficiency than nonviral methods for the delivery of genes to cells. However, in using these methods one must bear in mind that the introduction of a virus particle into a host carries a risk for leukemia induction and for creation of disturbances in immune function due to cytotoxicity. PMID- 21053302 TI - Measuring TLR function in transfectants. AB - This unit summarizes a combination of methods that can be optimized for measuring toll-like receptor (TLR) function. TLRs serve as primary innate immune sensors and exhibit high specificity towards evolutionarily conserved microbial and viral structures. The unit focuses specifically on TLR4, the principal Gram-negative lipopolysaccharide (LPS) sensor. Methods described include transient transfections, analyses of activation of various promoters in reporter-gene assays, and induction of IL-8 secretion. Other topics that will be briefly discussed include the necessity for the assessment of surface expression of transmembrane receptors (e.g., TLR4) using FACS analysis, and a permutation of the TLR functional analysis approach using site-directed mutagenesis. PMID- 21053303 TI - Measurement of myeloid cell immune suppressive activity. AB - This unit presents simple methods to assess the immunosuppressive properties of immunoregulatory cells of myeloid origin, such as myeloid-derived suppressor cells (MDSCs), both in vitro and in vivo. These methods are general and could be adapted to test the impact of different suppressive populations on T cell activation, proliferation, and cytotoxic activity; moreover they could be useful to assess the influence exerted on immune suppressive pathways by genetic modifications, chemical inhibitors, and drugs. PMID- 21053304 TI - Cecal ligation and puncture. AB - The cecum contains a high concentration of microbes, which are a combination of Gram-negative and Gram-positive flora. These bacteria range from anaerobic to facultative aerobic to aerobic organisms. In the procedure described in this unit, the ligation of the cecum produces a source of ischemic tissue as well as polymicrobial infection. This combination of ischemic/necrotic tissue and microbial infection distinguishes this multifactorial model from a number of other bacterial sepsis models, including but not limited to: bacteremia secondary to intravenous or intraperitoneal administration; fecal administration or intraperitoneal administration of fecal or bacterial plugs; colonic stents; and bacterial abscess formation. PMID- 21053305 TI - Measuring mast cell mediator release. AB - Mediators released from activated mast cells are responsible for the allergic inflammatory reactions associated with disease states such as anaphylaxis and atopy. These mediators are released as a consequence of immediate degranulation and phospholipid metabolism upon mast cell activation, followed by delayed cytokine gene expression. Thus, techniques that monitor indices of these events in mast cell culture systems, in association with biochemical analysis of parameters of cell signaling, are critical to our understanding of the molecular mechanisms regulating mast cell-mediated disease. Furthermore, these systems can be adapted for high-throughput screens to identify potential inhibitors of mast cell activation that may provide potential leads for novel therapies for these diseases. In this unit, we describe approaches that can be readily used or adapted to a variety of rodent and human mast cell culture systems for the determination of degranulation, phospholipid-derived inflammatory mediator production, and cytokine generation. PMID- 21053306 TI - Evaluation of human natural killer cell activities in whole blood. AB - Natural killer (NK) cells are important effector cells of the innate immune system. Activation of NK cells results in their cytotoxic activity against locally attached target cells and leads to the secretion of cytokines. These activities are usually measured in purified NK cells or isolated peripheral blood mononuclear cells. In this unit, we describe a protocol to measure NK cell cytotoxicity (lysis of (51)Cr labeled target cells), degranulation (externalization of CD107a), and cytokine production (intracellular FACS analysis of IFN-gamma) in whole-blood samples. Using these protocols, it is possible to perform a comprehensive analysis of NK cell function with as little as 3.5 ml of heparinized whole blood. PMID- 21053308 TI - From "fluctuation fit" to "conformational selection": evolution, rediscovery, and integration of a concept. PMID- 21053309 TI - MicroRNAs in CNS injury: potential roles and therapeutic implications. PMID- 21053310 TI - Cell-cycle-dependent localization of human cytomegalovirus UL83 phosphoprotein in the nucleolus and modulation of viral gene expression in human embryo fibroblasts in vitro. AB - The nucleolus is a multifunctional nuclear compartment widely known to be involved in several cellular processes, including mRNA maturation and shuttling to cytoplasmic sites, control of the cell cycle, cell proliferation, and apoptosis; thus, it is logical that many viruses, including herpesvirus, target the nucleolus in order to exploit at least one of the above-mentioned functions. Recent studies from our group demonstrated the early accumulation of the incoming ppUL83 (pp65), the major tegument protein of human cytomegalovirus (HCMV), in the nucleolus. The obtained results also suggested that a functional relationship might exist between the nucleolar localization of pp65, rRNA synthesis, and the development of the lytic program of viral gene expression. Here we present new data which support the hypothesis of a potentially relevant role of HCMV pp65 and its nucleolar localization for the control of the cell cycle by HCMV (arrest of cell proliferation in G1-G1/S), and for the promotion of viral infection. We demonstrated that, although the incoming pp65 amount in the infected cells appears to be constant irrespective of the cell-cycle phase, its nucleolar accumulation is prominent in G1 and G1/S, but very poor in S or G2/M. This correlates with the observation that only cells in G1 and G1/S support an efficient development of the HCMV lytic cycle. We propose that HCMV pp65 might be involved in regulatory/signaling pathways related to nucleolar functions, such as the cell-cycle control. Co-immunoprecipitation experiments have permitted to identify nucleolin as one of the nucleolar partners of pp65. PMID- 21053325 TI - Imaging left ventricular tissue mechanics and hemodynamics during supine bicycle exercise using a combined tagging and phase-contrast MRI pulse sequence. AB - Imaging the left ventricular mechanical and hemodynamic response to the stress of exercise may offer early prognosis in select patients with cardiac disease. Here, we demonstrate the feasibility of obtaining simultaneous measurements of longitudinal strain and transvalvular blood velocity during supine bicycle exercise stress in a wide bore magnetic resonance scanner. Combining information from the two datasets, we observe that although the time to peak strain (33.28 +/ 1.86 versus 25.7 +/- 2.12 as % of R-R interval) and time to peak mitral inflow velocity (44.37 +/- 5.21 versus 35.5 +/- 4.19 as % of R-R interval) from R-wave of the QRS complex occurred earlier during stress, the time from peak strain to peak mitral inflow velocity was not statistically different (16.5 +/- 3.23 versus 13.4 +/- 3.06). Further, the percentage of longitudinal relaxation at peak mitral inflow velocity was higher during stress (63.5 +/- 7.72 versus 84.32 +/- 6.24). These results suggest that although diastole is shortened, early diastolic filling efficiency is augmented during exercise stress in normal volunteers in an effort to maintain stroke volume. PMID- 21053332 TI - Telomere length of patients with major depression is shortened but independent from therapy and severity of the disease. AB - BACKGROUND: Shortened telomere length has been observed in a variety of diseases. Our objective was to analyze mean telomere length of patients with major depressive disorder. A key question was whether telomere length varies in different groups of depressive patients. METHODS: We obtained blood samples from patients with major depressive disorder (n = 54) and healthy subjects (n = 20). We isolated genomic DNA and measured mean telomere length using telomere restriction fragments and Southern blotting. We grouped patients according to the therapy they received including total antidepressant dose. RESULTS: Mean telomere length of the entire patient group (7.20 +/- 0.61 kb) was significantly shorter than in the control group (7.55 +/- 0.54 kb). We observed no significant difference in telomere length among the different patient groups, but each of these patient groups had significantly shorter telomeres than the healthy subjects. Further analysis revealed no significant association between telomere length and illness duration and between telomere length and the severity of depression, as determined by the Hamilton score. CONCLUSION: These results provide further evidence that major depressive disorder is associated with shortened telomeres. However, differences in the applied therapy, the duration of illness, or the severity of depression do not seem to have any influence on telomere length. PMID- 21053327 TI - Photochemical activation of endosomal escape of MRI-Gd-agents in tumor cells. AB - Endocytosis is a common internalization pathway for cellular labeling with MRI contrast agents. However, the entrapment of the Gd(III) complexes into endosomes results in a "quenching" of the attainable relaxivity when the number of Gd(III) complexes reaches the number of ca. 1 * 10(9)/cell. Herein we show that the use of the newly developed photochemical internalization technique provides an efficient method for attaining the endosomal escape of GdHPDO3A molecules entrapped by pinocytosis into different kind of cells. Furthermore, it has been found that a new "quenching" limit is observed when the number of Gd-HPDO3A complexes is ca. five times higher than the value observed for the endosome entrapped conditions. The observed behavior is explained in terms of the attainment of the conditions in which the difference in proton relaxation rates between the cytoplasmic and the extracellular compartment is higher than the exchange rate of water molecules across the cellular membrane. The experimental data points have been reproduced by using a properly designed theoretical compartment T(1)-relaxation model. PMID- 21053334 TI - Bio-electrospraying primary cardiac cells: in vitro tissue creation and functional study. AB - Manifestations of myocardial infarctions have been recognized as one of the major killers in the Western world. Therefore, advancing and developing novel cardiac tissue repair and replacement therapeutics have great implications to our health sciences and well-being. There are several approaches for forming cardiac tissues, non-jet-based and jet-based methodologies. A unique advantage of jet based approaches is the possibility to handle living cells with a matrix for cell distribution and deposition in suspension, either as single or heterogeneous cell populations. Our previous studies on bio-electrospraying of cardiac cells have shown great promise. Here, we show for the first time the ability to bio electrospray the three major cell types of the myocardium, both independently and simultaneously, for forming a fully functional cardiac tissue. Several samples are characterized in vitro and found to be indistinguishable in comparison to controls. Thus, we are describing a swiftly emerging novel biotechnique for direct cardiac tissue generation. Moreover, the present investigations pave the way for the development and optimization of a bio-patterning approach for the fabrication of biologically viable cardiac tissue grafts for the potential treatment of severe heart failure after myocardial infarction. PMID- 21053335 TI - Electrospray ionization-mass spectrometry conformational analysis of isolated domains of an intrinsically disordered protein. AB - The highly dynamic and heterogeneous molecular ensembles characterizing intrinsically disordered proteins (IDP) in solution pose major challenges to the conventional methods for structural analysis. Electrospray ionization-mass spectrometry (ESI-MS) allows direct detection of distinct conformational components, effectively capturing also partially folded and short-lived states. We report the description of two complementary fragments (1-186 and 187-284) of the IDP Sic1, a cyclin-dependent protein kinase inhibitor of yeast Saccharomyces cerevisiae. Structural heterogeneity is noted in both cases, but the two fragments reveal slightly different conformational properties. The results are consistent with previously reported differences between the two protein moieties and corroborate the feasibility of IDP conformational analysis by ESI-MS. PMID- 21053336 TI - Global DNA methylation measurement by HPLC using low amounts of DNA. AB - Epigenetic changes in chromatin structure can influence gene expression without affecting the DNA sequence. The most commonly studied epigenetic modification, DNA methylation, has been implicated in normal tissue development and disease progression, and can be influenced by diet and other environmental factors. Current HPLC methods of determining DNA methylation may require relatively large amounts of DNA (50 MUg); as many tissues have low DNA yields, this can be hard to achieve. We isolated DNA from mouse colon and liver in a study investigating post natal supplementation with selenium and folic acid. After optimizing the methods to account for lower initial DNA amounts, we digested 3 MUg of DNA to deoxynucleotide monophosphates, then purified and quantified it. Samples were analyzed by reversed-phase HPLC to determine global DNA methylation levels using commercial nucleotide standards. The HPLC column was cooled to 6(C (reducing run time), and detection was at 280 nm (UV). We showed that methylated cytosine can be accurately and reproducibly measured in as little as 3 MUg of DNA using this HPLC analysis method (within-assay CV <2%). We also used this method to detect reduced DNA methylation in liver (P = 0.009) in response to post-natal supplementation with selenium and folate. PMID- 21053338 TI - Particle-dissociating peptides. PMID- 21053339 TI - Fluorographene: a two-dimensional counterpart of Teflon. AB - A stoichiometric derivative of graphene with a fluorine atom attached to each carbon is reported. Raman, optical, structural, micromechanical, and transport studies show that the material is qualitatively different from the known graphene based nonstoichiometric derivatives. Fluorographene is a high-quality insulator (resistivity >10(12) Omega) with an optical gap of 3 eV. It inherits the mechanical strength of graphene, exhibiting a Young's modulus of 100 N m(-1) and sustaining strains of 15%. Fluorographene is inert and stable up to 400 degrees C even in air, similar to Teflon. PMID- 21053343 TI - Multifunctional nanoparticles composite for MALDI-MS: Cd2+-doped carbon nanotubes with CdS nanoparticles as the matrix, preconcentrating and accelerating probes of microwave enzymatic digestion of peptides and proteins for direct MALDI-MS analysis. AB - For the first time, we utilized multifunctional nanoparticles composite (NPs composite) for matrix-assisted laser desorption/ionization mass spectrometric (MALDI-MS) analysis of peptides and proteins. Multiwalled carbon nanotubes doped with Cd(2+) ions and modified with cadmium sulfide NPs were synthesized by a chemical reduction method at room temperature. The multifunctional NPs composite applied for the analysis of peptides and microwave-digested proteins in the atmospheric pressure matrix-assisted laser desorption/ionization ion-trap and MALDI time-of-flight (TOF) mass spectrometry (MS) was successfully demonstrated. The maximum detection sensitivity for peptides in MALDI-MS was achieved by the adsorption of negatively charged peptides onto the surfaces of NP composite through electrostatic interactions. The optimal conditions of peptide mixtures were obtained at 20 min of incubation time using 1 mg of NPs composite when the pH of the sample solution was kept higher than the pI values of peptides. The potentiality of the NP composite in the preconcentration of peptides was compared with that of the individual NP by calculating the preconcentration factors (PF) and found that the NPs composite showed a 4-6 times of PF than the other NPs. In addition, the NPs composite was also applied as heat-absorbing materials for efficient microwave tryptic digestion of cytochrome c and lysozyme from milk protein in MALDI-TOF-MS analysis. We believe that the use of NPs composite technique would be an efficient and powerful preconcentrating tool for MALDI-MS for the study of proteome research. PMID- 21053344 TI - Selenium suppressed hydrogen peroxide-induced vascular smooth muscle cells calcification through inhibiting oxidative stress and ERK activation. AB - Atherosclerosis is frequently associated with vascular calcification. Increasing evidences underline that the essential micronutrient selenium may prevent atherosclerosis, but the role of selenium in vascular calcification remains unknown. In this study, we assessed the effect of sodium selenite (Na(2)SeO(3)) on H(2)O(2)-enhanced vascular smooth muscle cells (VSMCs) calcification and examined the involvement of extracellular signal-regulated kinase (ERK) signaling pathway. Hydrogen peroxide enhanced vascular calcification by inducing osteoblastic differentiation of VSMCs, as showed by up-regulating the mRNA expression of type I collagen, osteocalcin, and Runx2, a key transcription factor for osteoblastic differentiation, increasing alkaline phosphatase activity, and calcium deposition. These effects of H(2)O(2) were suppressed by pretreatment of the cells with selenite (0.1-1 uM) for 24 h. In addition, H(2)O(2) activated the phosphorylation of ERK1/2 and inhibition of H(2)O(2)-activated ERK signaling by MEK inhibitor PD98059 blocked the effect of H(2)O(2) on osteoblastic differentiation of VSMCs. Furthermore, H(2)O(2) induced oxidative stress in calcifying VSMCs, as evidenced by the increase of intracellular reactive oxygen species production and malondialdehyde level, and the decrease of total protein thiols content and the activity of antioxidant selenoenzyme glutathione peroxidases. Selenite pretreatment also attenuated H(2)O(2)-induced oxidative stress and ERK activation. These results suggested that selenite suppressed H(2)O(2)-enhanced osteoblastic differentiation and calcification of VSMCs through inhibiting oxidative stress and ERK activation, indicating a potential preventive role for selenium in vascular calcification. PMID- 21053345 TI - Integrin beta1 over-expression associates with resistance to tyrosine kinase inhibitor gefitinib in non-small cell lung cancer. AB - The epidermal growth factor receptor tyrosine kinase inhibitors (EGFR TKIs) such as gefitinib and erlotinib have been widely used in treating patients with advanced non-small cell lung cancer (NSCLC). However, acquired resistance to EGFR TKI almost occurs in every patient eventually. To identify its potential mechanism, we established a human NSCLC cell line PC9/AB2 which was 576-fold decrease in gefitinib sensitivity compared with its parental PC9 cell lines. No EGFR-T790M mutation or abnormal expression of c-Met protein was found in PC9/AB2 cells. Over-expression of integrin beta1 was found, accompanied with increase of the cells' adhesion and migration. To further confirm the role of integrin beta1 in gefitinib acquired resistance, we transferred its siRNA-expressing plasmid and its whole cDNA expressing plasmid into PC9/AB2 and into PC9 cells, respectively. The sensitivity of NSCLC cells to gefitinib was negatively correlated with integrin beta1 expression levels. All these data suggest that up-regulation of integrin beta1 might be an important factor for gefitinib resistance in NSCLC cell line PC9/AB2. PMID- 21053346 TI - Inhibitors of the salicylate synthase (MbtI) from Mycobacterium tuberculosis discovered by high-throughput screening. AB - A simple steady-state kinetic high-throughput assay was developed for the salicylate synthase MbtI from Mycobacterium tuberculosis, which catalyzes the first committed step of mycobactin biosynthesis. The mycobactins are small molecule iron chelators produced by M. tuberculosis, and their biosynthesis has been identified as a promising target for the development of new antitubercular agents. The assay was miniaturized to a 384-well plate format and high-throughput screening was performed at the National Screening Laboratory for the Regional Centers of Excellence in Biodefense and Emerging Infectious Diseases (NSRB). Three classes of compounds were identified comprising the benzisothiazolones (class I), diarylsulfones (class II), and benzimidazole-2-thiones (class III). Each of these compound series was further pursued to investigate their biochemical mechanism and structure-activity relationships. Benzimidazole-2 thione 4 emerged as the most promising inhibitor owing to its potent reversible inhibition. PMID- 21053348 TI - Folding of a donor-containing ionene by intercalation with an acceptor. AB - Cationic ionenes that bear electron-rich 1,5-dialkoxynaphthalene (DAN) units within the alkylene segment were allowed to interact with different types of electron-deficient, acceptor-containing molecules in an effort to realize intercalation-induced folding of the ionenes; the collapse of the chains was expected to occur in such a way that the donor and acceptor units become arranged in an alternating fashion. Several acceptor-bearing molecules were prepared by the derivatization of pyromellitic dianhydride and naphthalene tetracarboxylic dianhydride with two different oligoethylene glycol monomethyl ether monoamines. This yielded acceptor molecules with different water solubility and allowed the examination of solvophobic effects in the folding process. UV/Vis spectroscopic studies were carried out by using a 1:1 mixture of the DAN-ionenes and different acceptor molecules in water/DMSO solvent mixtures. The intensity of the charge transfer (CT) band was seen to increase with the water content in the solvent mixture, thereby suggesting that the intercalation is indeed aided by solvophobic effects. The naphthalene diimide (NDI) bearing acceptor molecules consistently formed significantly stronger CT complexes when compared to the pyromellitic diimide (PDI) bearing acceptor molecules, which is a reflection of the stronger pi-stacking tendency of the former. AFM studies of drop-cast films of different ionene-acceptor combinations revealed that compact folded structures are formed most effectively under conditions in which the strongest CT complex is formed. PMID- 21053350 TI - Lewis-acidic polyoxometalates as reusable catalysts for the synthesis of glucuronic acid esters under microwave irradiation. PMID- 21053355 TI - Difference in outcome among women and men after percutaneous mitral valvuloplasty. AB - OBJECTIVE: To analyze the differences in anatomical, clinical and echocardiographic characteristics of women and men undergoing PMV and to evaluate the relationship between sex, PMV success, and immediate and long-term clinical outcome. BACKGROUND: Rheumatic mitral stenosis (MS) is predominantly a disease of middle-aged women. Percutaneous mitral valvuloplasty (PMV) has become the standard of care for suitable patients. However little is known about the relationship between sex, PMV success, and procedural outcome. METHODS AND RESULTS: We evaluated measures of procedural success and clinical outcome in consecutive patients (839 women and 176 men) who underwent PMV. Despite a lower baseline echocardiographic score (7.47 +/- 2.15 vs. 8.02 +/- 2.18, P = 0.002), women were less likely to achieve PMV success (69% vs. 83%, adjusted OR 0.44, 95% CI 0.27-0.74, P = 0.002), and had a smaller post-procedural MV area (1.86 +/- 0.7 vs. 2.07 +/- 0.7 cm(2), P < 0.001). Overall procedural and in-hospital complication rates did not differ significantly between women and men. However, women were significantly more likely to develop severe MR immediately post PMV (adjusted OR 2.41, 95% CI 1.0-5.83, P = 0.05) and to undergo MV surgery (adjusted HR 1.54, 95% CI 1.03-2.3, P = 0.037) after a median follow-up of 3.1 years. CONCLUSIONS: Compared to men, women with rheumatic MS who undergo PMV are less likely to have a successful outcome and more likely to require MV surgery on long term follow-up despite more favorable baseline MV anatomy. PMID- 21053356 TI - Analysis of skeletal mandibular abnormalities associated with cervicofacial lymphatic malformations. AB - OBJECTIVES/HYPOTHESIS: Many children with cervicofacial lymphatic malformations have facial skeletal abnormalities. This study qualitatively and quantitatively evaluated these bony mandibular abnormalities. STUDY DESIGN: Retrospective chart review. METHODS: Patients with craniofacial lymphatic malformations presenting to a vascular anomalies center during a 2-year period were included. An age-matched control population was found on the Picture Archiving and Communication System database. Three-dimensional reconstructions were created from computed tomography and magnetic resonance imaging. Qualitative observations and quantitative measurements were taken of the gonial angle, mandibular anterior dentoalveolar height, and anterior condylar displacement. RESULTS: There were 23 controls. A total of 21 patients with "beard" distribution malformations were studied; 10 had unilateral and 11 had bilateral disease.Qualitatively, a few patterns emerged: outward ramal flaring, anterior displacement of the mandible, relative ipsilateral facial "hypertrophy," and anterior positioning of the maxilla and orbit. The open-bite deformity was a common finding leading to malocclusion and oral incompetence. Quantitatively, in 67 nondiseased sides, the average gonial angle was 131 degrees (standard deviation [SD] = 6.8), whereas in 32 diseased sides, the average angle was 152 degrees (SD = 14.0, P < 3.8E-09). With half beard malformations, the diseased side averaged 153.9 and the nondiseased side averaged 140.8 (P = .008). The average condylar displacement was 8.5 mm versus 5.9 mm (diseased vs. nondiseased), and the average mandibular dentoalveolar height to face ratio was 0.37 (control = 0.34). CONCLUSIONS: Cervicofacial lymphatic malformations in the "beard" distribution are associated with significant bony abnormalities leading to both functional and aesthetic sequelae. Our study is the first quantitative analysis of these changes. This is the first step in planning for dentofacial orthopedics, orthodontics, and orthognathic surgery. PMID- 21053357 TI - Inflammatory bowel disease-attributable costs and cost-effective strategies in the United States: a review. AB - The United States spends more for healthcare than any other country in the world. With the rising prevalence of both Crohn's disease and ulcerative colitis, inflammatory bowel disease (IBD) represents the leading chronic gastrointestinal disease with increasing healthcare expenditures in the US. IBD costs have shifted from inpatient to outpatient care since the introduction of biologic therapies as the standard of care. Gastroenterologists need to be aware of the national cost burden of IBD and clinical practices that optimize cost-efficiency. This investigation offers a systematic review of the economics of IBD and evidence based strategies for cost-effective management. PMID- 21053358 TI - Nonmelanoma skin cancer in inflammatory bowel disease: a review. AB - At least 1 million new cases of nonmelanoma skin cancer (NMSC) are diagnosed in the United States each year and the incidence is increasing. A higher incidence of NMSC in organ transplant recipients on immunosuppression has been documented for some time, and recent studies indicate that patients with inflammatory bowel disease (IBD), particularly those treated with immunosuppressive medications, might also be at higher risk for this condition. In this review we summarize recent data evaluating the associations between immunomodulators, antitumor necrosis factor-alpha biologic agents and NMSC in patients with IBD and other autoimmune conditions such as rheumatoid arthritis. We also offer recommendations for prevention of NMSC in these populations. PMID- 21053359 TI - PRL-3 phosphatase and cancer metastasis. AB - The deregulated expression of members of the phosphatase of regenerating liver (PRL) family has been implicated in the metastatic progression of multiple human cancers. Importantly, PRL-1 and PRL-3 both possess the capacity to drive key steps in metastatic progression. Yet, little is known about the regulation and oncogenic mechanisms of this emerging class of dual-specificity phosphatases. This prospect article details the involvement of PRLs in the metastatic cascade, the regulatory mechanisms controlling PRL expression, and recent efforts in the characterization of PRL-modulated pathways and substrates using biochemical and high-throughput approaches. Current advances and future prospects in anti-cancer therapy targeting this family are also discussed. PMID- 21053360 TI - Identification of functional domains and novel binding partners of STIM proteins. AB - With a signal trap method, we previously identified stromal interaction molecule (STIM: originally named as SIM) as a protein, which has a signal peptide in 1996. However, recent works have accumulated evidences that STIM1 and STIM2 reside in endoplasmic reticulum (ER) and that both mainly sense ER Ca(2+) depletion, which plays an essential role in store operated calcium entry. In the present study, we extensively analyzed the domain functions and associated molecules of STIMs. A STIM1 mutant lacking the coiled-coil domains was massively expressed on the cell surface while mutants with the coiled-coil domains localized in ER. In addition, STIM1 mutants with the coiled-coil domains showed a longer half-life of proteins than those without them. These results are likely to indicate that the coiled coil domains of STIM1 are essential for its ER-retention and its stability. Furthermore, we tried to comprehensively identify STIM1-associated molecules with mass spectrometry analysis of co-immunoprecipitated proteins for STIM1. This screening clarified that both STIM1 and STIM2 have a capacity to bind to a chaperone, calnexin as well as two protein-transporters, exportin1 and transportin1. Of importance, our result that glycosylation on STIM1 was not required for the association between STIM1 and calnexin seems to indicate that calnexin might function on STIM1 beyond a chaperone protein. Further information concerning regulatory mechanisms for STIM proteins including the data shown here will provide a model of Ca(2+) control as well as a useful strategy to develop therapeutic drugs for intracellular Ca(2+)-related diseases including inflammation and allergy. PMID- 21053361 TI - Id-1 induces cell invasiveness in immortalized epithelial cells by regulating cadherin switching and Rho GTPases. AB - Epithelial-mesenchymal transition (EMT), characterized by cadherin switching, contributes to cancer metastasis. Our recent study showed that Id-1 (inhibitor of differentiation-1) promotes metastasis in esophageal cancer cells, but whether the invasive and metastatic dynamics can be induced early in the carcinogenesis process is still unclear. Immortalization is regarded as the initial stage in the malignant transformation of normal cells. In this study, we investigated the role and mechanisms of Id-1 in inducing EMT and cell invasiveness in immortalized esophageal epithelial cells. We found that immortalized epithelial cells expressed higher endogenous levels of Id-1 compared with normal cells. Ectopic Id 1 expression inhibited the differentiation of immortalized esophageal epithelial cells and promoted cadherin switching, which was accompanied by increased adhesiveness to extracellular matrix, cell motility, migratory potential and matrix metalloproteinase-dependent invasiveness. GTPase activity assays showed that over-expression or short-hairpin RNA knockdown of Id-1 led to corresponding changes in Rac1 activity, whereas RhoA activity was significantly decreased with Id-1 depletion. Inhibitors targeting Rac1, RhoA, and Rho kinase suppressed the invasiveness of Id-1-expressing NE2-hTERT cells. Knockdown of N-cadherin in Id-1 over-expressing cells inhibited cell invasiveness and down-regulated RhoA activity. These data suggest that the Id-1-induced invasive potential may be regulated through the N-cadherin-RhoA axis and Rac1 activation. PMID- 21053362 TI - Sonodynamic effects of hematoporphyrin monomethyl ether on CNE-2 cells detected by atomic force microscopy. AB - Hematoporphyrin monomethyl ether (HMME) has been effectively used to treat solid tumors of some types. However, its application in nasopharyngeal carcinoma has not been studied yet. In this paper, the detailed sonodynamic effects of HMME-SDT (sonodynamic therapy) on CNE-2 cells including cell growth inhibition, apoptosis induction, and membrane toxicity were investigated. It was found that HMME alone had less cytotoxicity whereas HMME-SDT could suppress the cell proliferation in a dose-dependent manner as detected by MTT assay. The annexin V-based flow cytometric data indicated that upon SDT, different concentrations of HMME induce distinct types of cell death, apoptosis by low concentration (60 ug/ml) of HMME and necrosis by higher concentration (120 ug/ml). The immunofluorescence of cytoskeleton and nuclei morphology showed that upon HMME-SDT, the cells became rounding and the cytoskeletal network disappeared, and, the nuclei represented a total fragmented morphology of nuclear bodies. These alternations showed the apoptosis induction by HMME-SDT. Further AFM study showed that the cell membrane structure and cytoskeleton networks were destroyed, and, the Young's modulus, tip cell-surface adhesion force decreased to 0.22 +/- 0.11 Mpa, 35.4 +/- 12.8 pN of cells with 120 ug/ml HMME-SDT from 0.48 +/- 0.21 Mpa, 69.6 +/- 22.3 pN of native cells, respectively. These membrane changes caused the collapse of mitochondrial transmembrane potential and disturbance of intracellular calcium homeostasis, which was consistent with the results detected by flow cytometry. Therefore, membrane toxicity and cytoskeleton disrupture induced by HMME-SDT maybe important factors to induce cell apoptosis, and, the disturbance of mitochondrial transmembrane potential and calcium channels might be the apoptosis mechanisms. PMID- 21053363 TI - Macrophage inflammatory protein-1alpha induces osteoclast formation by activation of the MEK/ERK/c-Fos pathway and inhibition of the p38MAPK/IRF-3/IFN-beta pathway. AB - Multiple myeloma (MM) is a bone disease that affects many individuals. It was recently reported that macrophage inflammatory protein (MIP)-1alpha is constitutively secreted by MM cells. MIP-1alpha causes bone destruction through the formation of osteoclasts (OCs). However, the molecular mechanism underlying MIP-1alpha-induced OC formation is not well understood. In the present study, we attempted to clarify the mechanism whereby MIP-1alpha induces OC formation in a mouse macrophage-like cell line comprising C7 cells. We found that MIP-1alpha augmented OC formation in a concentration-dependent manner; moreover, it inhibited IFN-beta and ISGF3gamma mRNA expression, and IFN-beta secretion. MIP 1alpha increased the expressions of phosphorylated ERK1/2 and c-Fos and decreased those of phosphorylated p38MAPK and IRF-3. We found that the MEK1/2 inhibitor U0126 inhibited OC formation by suppressing the MEK/ERK/c-Fos pathway. SB203580 induced OC formation by upregulating c-fos mRNA expression, and SB203580 was found to inhibit IFN-beta and IRF-3 mRNA expressions. The results indicate that MIP-1alpha induces OC formation by activating and inhibiting the MEK/ERK/c-Fos and p38MAPK/IRF-3 pathways, respectively, and suppressing IFN-beta expression. These findings may be useful in the development of an OC inhibitor that targets intracellular signaling factors. PMID- 21053364 TI - Bone loss in ovariectomized rats: dominant role for estrogen but apparently not for FSH. AB - Estrogen deficiency as the sole factor underlying post-menopausal osteoporosis was challenged, in light of reports that both follicular stimulation hormone (FSH) receptor and FSHbeta knockout mice were resistant to bone loss, suggesting a detrimental role for FSH. We assessed whether lowering FSH levels by gonadotropin realizing (GnRH) analog decapeptyl in ovariectomized female rats (OVX) affects bone. Wistar-derived 25 days old OVX female rats were injected for 10 weeks with estradiol-17beta (E(2)), with GnRH analog (decapeptyl) or with both. FSH and luteinizing hormone (LH) serum levels were markedly increased in OVX rats, with smaller growth plates with disrupted architecture; heavy infiltration of bone marrow with numerous adipocytes and reduced thickness of cortical bone. In OVX rats treated with E(2), FSH, and LH levels were intermediate, the tibia was similar to that of intact rats, but there was reduced thickness of cortical bone. In decapeptyl treated OVX rats, FSH and LH levels were suppressed, the organization of growth plate and the trabecular bone were disrupted, and there were fewer proliferative and chondroblastic cells and a large adipocytes population in bone marrow, but an increased trabecular bone volume (TBV). In the E(2) + decapeptyl treatment, FSH and LH levels were suppressed, with partially restored growth plate architecture and improved TBV. In conclusion, E(2) deficiency is the dominant factor impairing bone loss in OVX and concomitant changes in FSH/LH levels achieved by decapeptyl have some modulating, though complex role in this setting. The role of high FSH levels in post-menopausal bone loss requires further investigation using combined sub optimal doses of the different hormones. PMID- 21053365 TI - CREB in long-term potentiation in hippocampus: role of post-translational modifications-studies In silico. AB - The multifunctionality of proteins is dictated by post-translational modifications (PTMs) which involve the attachment of small functional groups such as phosphate and acetate, as well as carbohydrate moieties. These functional groups make the protein perform various functions in different environments. PTMs play a crucial role in memory and learning. Phosphorylation of synaptic proteins and transcription factors regulate the generation and storage of memory. Among these is the cAMP-regulated element binding protein CREB that regulates CRE containing genes like c-fos. Both phosphorylation and acetylation control the function of CREB as a transcription factor. CREB is also susceptible to O-GlcNAc modification, which inhibits its activity. O-GlcNAc modification occurs on the same or neighboring Ser/Thr residues akin to phosphorylation. An interplay between these modifications was shown to operate in nuclear and cytoplasmic proteins. In this study computational methods were utilized to predict different modification sites in CREB. These in silico results suggest that phosphorylation, O-GlcNAc modification and acetylation modulate the transcriptional activity of CREB and thus dictate its contribution to synaptic plasticity. PMID- 21053367 TI - C-terminal domain of p16(INK4a) is adequate in inducing cell cycle arrest, growth inhibition and CDK4/6 interaction similar to the full length protein in HT-1080 fibrosarcoma cells. AB - The tumor suppressor p16(INK4a) has earned widespread attention in cancer studies since its discovery as an inhibitor of cyclin-dependent kinases (CDKs) 4/6. Structurally, it consists of four complete ankyrin repeats, believed to be involved in CDK4 interaction. According to the previous disparities concerning the importance of domains and inactivating mutations in p16, we aimed to search for the domain possessing the functional properties of the full length protein. Upon our in silico screening analyses followed by experimental assessments, we have identified the novel minimum functional domain of p16 to be the C-terminal half including ankyrin repeats III, IV and the C-terminal flanking region accompanied by loops 2 and 3. Transfection of this truncated form into HT-1080 human fibrosarcoma cells, lacking endogenous p16, revealed that it is able to inhibit cell growth and proliferation equivalent to p16(INK4a). The functional analysis showed that this fragment like p16 can interact with CDK4/6, block the entry into S phase of the cell cycle and suppress growth as indicated by colony formation assay. Identification of p16 minimum functional domain can be of benefit to the future peptidomimetic drug design as well as gene transfer for cancer therapy. PMID- 21053369 TI - Glycosylation analysis of interleukin-23 receptor: elucidation of glycosylation sites and characterization of attached glycan structures. AB - Interleukin-23 (IL-23) is a heterodimeric cytokine, a central factor in chronic/autoimmune inflammation. It signals through a heterodimeric receptor consisting of IL-23r, which is heavily glycosylated. The structural characterization of IL-23r has not been reported. In this work, glycosylation profiles of soluble recombinant human IL-23r (rhIL-23r) were established using mass spectrometry (MS), which included defining glycosylation sites, degree of glycosylation occupancy of each site and structure of attached oligosaccharides. Specifically, precursor ion scan of oxonium ion protonated N-acetylglucosamine (GlcNAc(+)) (m/z 204) was performed using a triple quadrupole MS instrument to locate the retention time of glycopeptides. Both the glycopeptides and their corresponding deglycosylated forms in each collected HPLC fraction were studied by liquid chromatography-tandem mass spectrometry (LC-MS/MS) (LTQ-Orbitrap) for glycosylation site profiling. The attached glycan structures were elucidated by collision-induced dissociation (CID) fragmentation of target glycopeptides in combination with accurate mass measurement. Eight glycosylation sites were identified on IL-23r (Asn24, Asn209, Asn239, Asn157, Asn118, Asn250, Asn58 and Asn6). Most of the glycosylation sites were > 95% occupied except Asn250 and Asn6. Those two sites were 88% and 45% occupied by estimation from trypsin digestion and were 55% and 42% occupied from LysC digestion. Multiple glycoforms were observed in IL-23r. Most of them were bi-, tri- or tetra-antennary complex type structures with fucose and sialic acid. High mannose and hybrid type glycans were only observed on Asn157. The structural characterization on IL-23r glycosylation provides useful information for better understanding of the biological function of IL-23r. PMID- 21053371 TI - Mutations and deletions in PCDH19 account for various familial or isolated epilepsies in females. AB - Mutations in PCDH19, encoding protocadherin 19 on chromosome X, cause familial epilepsy and mental retardation limited to females or Dravet-like syndrome. Heterozygous females are affected while hemizygous males are spared, this unusual mode of inheritance being probably due to a mechanism called cellular interference. To extend the mutational and clinical spectra associated with PCDH19, we screened 150 unrelated patients (113 females) with febrile and afebrile seizures for mutations or rearrangements in the gene. Fifteen novel point mutations were identified in 15 female patients (6 sporadic and 9 familial cases). In addition, qPCR revealed two whole gene deletions and one partial deletion in 3 sporadic female patients. Clinical features were highly variable but included almost constantly a high sensitivity to fever and clusters of brief seizures. Interestingly, cognitive functions were normal in several family members of 2 families: the familial condition in family 1 was suggestive of Generalized Epilepsy with Febrile Seizures Plus (GEFS+) whereas all three affected females had partial cryptogenic epilepsy. These results show that mutations in PCDH19 are a relatively frequent cause of epilepsy in females and should be considered even in absence of family history and/or mental retardation. PMID- 21053376 TI - Multiple trauma and mental health in former Ugandan child soldiers. AB - The present study examines the effect of war and domestic violence on the mental health of child soldiers in a sample consisting of 330 former Ugandan child soldiers (age: 11-17 years, female: 49%). All children had experienced at least 1 war-related event and 78% were additionally exposed to at least 1 incident of domestic violence. Prevalences of posttraumatic stress disorder and major depressive disorder were 33%, and 36%, respectively. Behavioral and emotional problems above clinical cutoff were measured in 61%. No gender differences were found regarding mental health outcomes. War experience and domestic violence were significantly associated with all mental health outcomes. The authors' findings point to the detrimental effects of domestic violence in addition to traumatizing war experiences in child soldiers. PMID- 21053377 TI - Studies on the metabolism of the alpha-pyrrolidinophenone designer drug methylenedioxy-pyrovalerone (MDPV) in rat and human urine and human liver microsomes using GC-MS and LC-high-resolution MS and its detectability in urine by GC-MS. AB - Since the late 1990s, many derivatives of the alpha-pyrrolidinophenone (PPP) drug class appeared on the drugs of abuse market. The latest compound was described in 2009 to be a classic PPP carrying a methylenedioxy moiety remembering the classic entactogens (ecstasy). Besides Germany, 3,4-methylene-dioxypyrovalerone (MDPV) has appeared in many countries in Europe and Asia, indicating its worldwide importance for forensic and clinical toxicology. The aim of the presented work was to identify the phase I and II metabolites of MDPV and the human cytochrome P450 (CYP) isoenzymes responsible for its main metabolic step(s). Finally, the detectability of MDPV in urine by the authors' systematic toxicological analysis (STA) should be studied. The urine samples were extracted after and without enzymatic cleavage of conjugates. The metabolites were separated and identified after work-up by GC-MS and liquid chromatography (LC)-high-resolution MS (LC-HR MS). The studies revealed the following phase I main metabolic steps in rat and human: demethylenation followed by methylation, aromatic and side chain hydroxylation and oxidation of the pyrrolidine ring to the corresponding lactam as well as ring opening to the corresponding carboxylic acid. Using LC-HR-MS, most metabolite structures postulated according to GC-MS fragmentation could be confirmed and the phase II metabolites were identified. Finally, the formation of the initial metabolite demethylenyl-MDPV could be confirmed using incubation of human liver microsomes. Using recombinant human CYPs, CYP 2C19, CYP 2D6 and CYP 1A2 were found to catalyze this initial step. Finally, the STA allowed the detection of MDPV metabolites in the human urine samples. PMID- 21053379 TI - Characterization of Nalpha-Fmoc-protected ureidopeptides by electrospray ionization tandem mass spectrometry (ESI-MS/MS): differentiation of positional isomers. AB - Four pairs of positional isomers of ureidopeptides, FmocNH-CH(R(1))-phi(NH-CO-NH) CH(R(2))-OY and FmocNH-CH(R(2))-phi(NH-CO-NH)-CH(R(1))-OY (Fmoc = [(9-fluorenyl methyl)oxy]carbonyl; R(1) = H, alkyl; R(2) = alkyl, H and Y = CH(3)/H), have been characterized and differentiated by both positive and negative ion electrospray ionization (ESI) ion-trap tandem mass spectrometry (MS/MS). The major fragmentation noticed in MS/MS of all these compounds is due to --N--CH(R)--N- bond cleavage to form the characteristic N- and C-terminus fragment ions. The protonated ureidopeptide acids derived from glycine at the N-terminus form protonated (9H-fluoren-9-yl)methyl carbamate ion at m/z 240 which is absent for the corresponding esters. Another interesting fragmentation noticed in ureidopeptides derived from glycine at the N-terminus is an unusual loss of 61 units from an intermediate fragment ion FmocNH = CH(2) (+) (m/z 252). A mechanism involving an ion-neutral complex and a direct loss of NH(3) and CO(2) is proposed for this process. Whereas ureidopeptides derived from alanine, leucine and phenylalanine at the N-terminus eliminate CO(2) followed by corresponding imine to form (9H-fluoren-9-yl)methyl cation (C(14)H(11) (+)) from FmocNH = CHR(+). In addition, characteristic immonium ions are also observed. The deprotonated ureidopeptide acids dissociate differently from the protonated ureidopeptides. The [M - H](-) ions of ureidopeptide acids undergo a McLafferty-type rearrangement followed by the loss of CO(2) to form an abundant [M - H - Fmoc + H](-) which is absent for protonated ureidopeptides. Thus, the present study provides information on mass spectral characterization of ureidopeptides and distinguishes the positional isomers. PMID- 21053383 TI - The myths of coping with loss in undergraduate psychiatric nursing books. AB - Nurses often help patients cope with loss. Recent research has cast doubt on the validity of early theories about loss and grief commonly taught to nurses. We systematically examined the accuracy of information on coping with loss presented in 23 commonly used undergraduate psychiatric nursing books. All 23 books contained at least one unsupported assumption (myth) about loss and grief. In 78% of these books, authors described four or more myths and only one evidence-based finding about coping with loss. On balance most books provided details on the myths about grief and loss with minimal discussion of the current evidence. Authors of psychiatric nursing books continue to disseminate unsupported theories about grief responses without adequately acknowledging evidence challenging core assumptions underlying them. PMID- 21053384 TI - Comparing community and specialty provider-based recruitment in a randomized clinical trial: clinical trial in fecal incontinence. AB - Recruitment of participants to clinical trials remains a significant challenge, especially for research addressing topics of a sensitive nature such as fecal incontinence (FI). In the Fiber Study, a randomized controlled trial on symptom management for FI, we successfully enrolled 189 community-living adults through collaborations with specialty-based and community-based settings, each employing methods tailored to the organizational characteristics of their site. Results show that using the two settings increased racial and ethnic diversity of the sample and inclusion of informal caregivers. There were no differential effects on enrollment, final eligibility, or completion of protocol by site. Strategic collaborations with complementary sites can achieve sample recruitment goals for clinical trials on topics that are sensitive or known to be underreported. PMID- 21053385 TI - Multi-system influences on adolescent risky sexual behavior. AB - We examined multi-system influences on risky sexual behavior measured by cumulative sexual risk index and number of nonromantic sexual partners among 4,465 single, sexually experienced adolescents. Hierarchical Poisson regression analyses were conducted with Wave I-II data from the National Longitudinal Study of Adolescent Health. Individual and family factors predicted both outcome measures. Neighborhood set predicted cumulative sexual risk index only, and peer factors predicted the number of nonromantic sexual partners only. School set did not predict either outcome. There were significant associations among risky sexual behavior, drug use, and delinquent behaviors. The results highlight the need for multifaceted prevention programs that address relevant factors related to family, peer and neighborhood influence as well as individual factors among sexually active adolescents. PMID- 21053386 TI - Predictors of use of hearing protection among a representative sample of farmers. AB - Farmers experience higher rates of noise-induced hearing loss (NIHL) than workers in most other industries. We developed a model of farmers' use of hearing protection, and tested it with a random sample (n = 532) of farmers from the upper Midwest. Barriers to using hearing protection (e.g., difficulty communicating; OR = .44, p < .003) were negatively related to use. Greater access/availability of hearing protectors (OR = 1.75, p < .010) and male gender (OR = .43, p < .019) were positively related to use. The model correctly predicted use of hearing protection for 74% of the cases. Overall, farmers demonstrated low hearing protector use, and results were similar to those from previous studies of non-farm workers. Findings from this study will be useful in designing interventions to increase farmers' hearing protector use and decrease their rates of NIHL. PMID- 21053389 TI - Microfluidics: surface-treatment-induced three-dimensional capillary morphogenesis in a microfluidic platform (adv. Mater. 47/2009). PMID- 21053387 TI - Biobehavioral and psychological differences between overweight adults with and without waist circumference risk. AB - Waist circumference (WC) has emerged as an independent predictor of cardiometabolic disease. The purpose of this study was to examine differences between overweight adults with and without WC risk in four domains: demographic, clinical and biological, psychological, and behavioral. The sample (N = 87) was primarily sedentary, middle-aged, women, and African-Americans. The majority of participants had WC risk, those with WC risk were older, were women, and had higher body mass index, higher morning salivary cortisol levels, and more depressive symptoms than those without WC risk. Caloric and macronutrient intake did not differ between those with and without WC risk. Our findings could lead to the development of targeted interventions to prevent and/or reduce abdominal obesity, thereby reducing cardiometabolic risk. PMID- 21053390 TI - Glabridin, a phytoestrogen from licorice root, up-regulates manganese superoxide dismutase, catalase and paraoxonase 2 under glucose stress. AB - The risk of death from cardiovascular diseases (CVDs), which are exacerbated by oxidative stress, is higher in diabetic women. This phenomenon has been attributed to the loss of estradiol-vascular protection. Such knowledge led us to examine the potential of glabridin, a phytoestrogen, to substitute estradiol up regulation of antioxidant enzymes under high glucose conditions. Chronic glucose stress was found to down-regulate catalase (CAT) and paraoxonase 2 (PON2) mRNA expression by 20% and 17%, respectively, and to decrease PON2 activity by 83% in macrophages. Inflammatory conditions had an additive effect on PON2 expression in a time-dependent manner. Treatment with glabridin, under high glucose stress, increased PON2 activity by 60% and up-regulated its mRNA expression by 3.5 fold. Furthermore, glabridin up-regulated the expression of manganese superoxide dismutase (Mn-SOD) and CAT in monocytes. In conclusion, glabridin has the potential of strengthening the antioxidant defense mechanism and may serve as an antiatherogenic agent in diabetes. PMID- 21053400 TI - Emotional distress. Lack of evidence that nurse disclosed HIV status to relatives. PMID- 21053399 TI - Trends. Aging HIV population faces unique health challenges, officials say. PMID- 21053401 TI - Employment. Man failed to show severe emotional distress from HIV rumor. PMID- 21053402 TI - Abstracts from the ASiT (Association of Surgeons in Training) Conference 2010. PMID- 21053403 TI - [Diabetes screening and early therapy. Feasible and successful]. PMID- 21053404 TI - Delayed penile rehabilitation post radical prostatectomy. PMID- 21053405 TI - Male homosexuality: nature or culture? AB - INTRODUCTION: Debate continues on whether or not male homosexuality (MH) is a result of biological or cultural factors. The debate persists despite the fact that these two sides have different abilities to create a scientific environment to support their cause. Biological theorists produced evidence, however, that these are not always robust. On the other hand, social theorists, without direct evidence confirming their positions, criticize, with good argument, methods and results of the other side. The aim of this Controversy is to understand the reasons of both perspectives. METHODS: Two scientists (R.B. and A.C.C.) with expertise in the area of biology of MH were asked to contribute their opinions. The nurture position is discussed by a third expert in sexology (J.B.). MAIN OUTCOME MEASURE: Expert opinion supported by the critical review of the currently available literature. RESULT: The role of the Controversy's editor (E.A.J.) is to highlight the strengths and weaknesses of both sides. The two experts of the biological issue answer with their data to the questions: "Is male homosexuality partly explainable by immunology?" and "How is male homosexuality a Darwinian paradox?", respectively. Genetic and immunological factors, birth order, and fertility of relatives are largely discussed. Finally, the expert sustaining the idea that culture and experiences are important determining factors in sexual orientation used a psychosocial and holistic perspective to explain his position. CONCLUSIONS: The JSM's readers should recognize that there are several biological factors in MH. However, these findings do not seem to be able to explain all cases of homosexuality. Some others may be due to particular environmental factors. The issue is complicated and multifactorial, suggesting that further research should be undertaken to produce the final answer to the question raised in this Controversy section. PMID- 21053406 TI - JSM patient highlights. Penile injection therapy. PMID- 21053408 TI - Long-term outcomes of living kidney donors are affected by race. PMID- 21053407 TI - Kidneys donated after cardiac death provide good graft survival and function up to 5 years. PMID- 21053409 TI - [Radiology and the law--irreconcilability of amendments by the Berlin Medical Association to the "magnetic resonance tomography--specialty specific" continuing education regulation]. PMID- 21053410 TI - [Pediatric imaging: "Bone scintigraphy has a considerable radiation burden"]. PMID- 21053411 TI - Abstracts of Obesity 2010. October 8-12, 2010. San Diego, California, USA. PMID- 21053412 TI - Abstracts of the 24th Annual North American Cystic Fibrosis Conference. October 21-23, 2010. Baltimore, Maryland, USA. PMID- 21053413 TI - Veterinary education receives a work-up. PMID- 21053414 TI - Xpert TB diagnostic highlights gap in point-of-care pipeline. PMID- 21053415 TI - Mohs surgery is effective for high-risk cutaneous squamous cell carcinoma. AB - BACKGROUND: Mohs micrographic surgery (MMS) is effective in the treatment of invasive cutaneous squamous cell carcinoma (SCC). High-risk SCC has a more guarded prognosis. OBJECTIVES: To report the outcome of patients with high-risk SCCs treated with MMS. METHODS AND MATERIALS: Two hundred fifteen patients with 260 high-risk cutaneous SCCs were reviewed in a single-center retrospective study, considering rates of recurrence, metastasis, and death. Cases were analyzed according to sex, age, immunocompetency status, tumor depth, lesion site, perineural disease, death rate, cause of death, secondary SCC, and melanoma. Seventy-seven percent of patients were male; 23% were female. Average age was 70.6. Twenty percent of patients were immunosuppressed. Mean follow-up was 3.9 years. RESULTS: There were three local recurrences (1.2%). Twelve (4.6%) tumors involved named nerve trunks. In eight of these cases, adjuvant radiation therapy was employed. Six (2.3%) tumors metastasized, with one fatality from disease. Seventy-five percent of patients developed another cutaneous SCC, and 7.7% developed a subsequent malignant melanoma. CONCLUSIONS: MMS is an effective treatment for high-risk cutaneous SCC. This represents the largest single-center study of high-risk SCC supporting the use of MMS. Adjuvant radiotherapy was reserved for large-nerve perineural disease. There was a low recurrence rate and disease-specific mortality. Prompt recognition of metastatic disease allowed for curative therapy. Patients with one high-risk SCC are likely to develop secondary primary SCC and melanoma. PMID- 21053416 TI - Cause-specific mortality in patients with severe psoriasis. PMID- 21053417 TI - Probiotics for preventing eczema. PMID- 21053418 TI - Cartilage hinge flap for the repair of antihelical defects. PMID- 21053420 TI - The cost effectiveness of intralesional steroid therapy for keloids. PMID- 21053421 TI - Angiokeratoma of Fordyce treated with 0.5% ethanolamine oleate or 0.25% sodium tetradecyl sulfate. PMID- 21053422 TI - Enterococcus faecalis complicating dermal filler injection: a case of virulent facial abscesses. PMID- 21053423 TI - Rapid formation of suction blister through intradermal injection of local anesthetics in epidermal graft for vitiligo. PMID- 21053424 TI - Human Tribbles homolog 1 functions as a negative regulator of retinoic acid receptor. AB - Tribbles encode an evolutionarily conserved protein family that regulates cell proliferation, motility, metabolism and oncogenic transformation. Emerging evidence suggests that Tribbles function as adaptor or scaffold proteins to facilitate the degradation of their target proteins and to control the activation of various key signaling pathways. In this study, we uncover a novel function of human Tribbles homolog 1 (Trib1) as a regulator of retinoic acid receptor (RAR) signaling. We show that shRNA-mediated knockdown of Trib1 promotes transcriptional activity of RARs, leading to enhanced expression of endogenous RAR-target genes. Moreover, our results show that Trib1 directly interacts with RARalpha and retinoid X receptor-alpha (RXRalpha) through its kinase-like domain. Consistently, Trib1 colocalizes with RARalpha and RXRalpha in the nucleus. Biochemical analyses show that the ligand-binding domain (LBD) of RARalpha mediates the interaction with Trib1. Ligand treatment, however, does not affect the binding of Trib1 to RARalpha/RXRalpha. Furthermore, a putative LXXLL motif, which is a potential LBD-binding site and locates in the kinase-like domain of Trib1, is not required for the binding.These results suggest a unique feature of the binding. Taken together, these results suggest that Trib1 functions as a negative regulator of RARs and shed new light on the molecular mechanisms for nuclear receptor-mediated transcriptional repression. PMID- 21053426 TI - Sex for health and pleasure throughout a lifetime. PMID- 21053425 TI - Looking at sexual behavior 60 years after Kinsey. PMID- 21053427 TI - Time for change: rethinking and reframing sexual health in the United States. PMID- 21053428 TI - Increase statin use, lower health care costs? PMID- 21053429 TI - Screen time may trigger kids' psychological ills. PMID- 21053430 TI - Enrollment on the rise. But issue of doctor shortages remains. PMID- 21053431 TI - Quality, not quantity. Costliest care not the best, says NCQA report. PMID- 21053432 TI - Exiting Pennsylvania. CHP in talks to sell Scranton-based subsidiary. PMID- 21053433 TI - Conversion drama. Florida systems get pushback on plans to go private. PMID- 21053434 TI - Infection investigation. High rates of septicemia alleged at Prime hospitals. PMID- 21053435 TI - Plenty of hot air. Public health threats from global warming deserve attention, not derision. PMID- 21053437 TI - Looking long-term. Master-planning work keeps design firms busy. PMID- 21053436 TI - Taking the LEED. Hospitals and health systems continue to make strides toward 'greener' facility design and construction featuring reduced energy consumption. PMID- 21053438 TI - Migraine--the new understanding. PMID- 21053439 TI - Clarifying the hazards of HRT. PMID- 21053440 TI - Technique sensitivity in bonding to enamel and dentin. AB - Bonding to enamel and dentin has been among the most significant advancements in dentistry in the last five decades; extensive research and product development have resulted in more adhesive options. However, bonding to enamel and dentin still proves to be challenging, and selecting the correct product for a clinical application can be confusing. An incorrect choice can lead to insufficient bond strength. Day-to-day clinical factors, such as the presence of enamel, superficial dentin, or carious dentin, as well as contamination by saliva, blood, or bleaching agents, can cause bonding agents to be technique sensitive-they may fail prematurely if steps are not followed meticulously. This article attempts to simplify the selection process for enamel and dentinal bonding and summarize clinically relevant bonding information that will help produce consistently successful results. PMID- 21053441 TI - Smoothing transitions. Joint Commission targets patient handoffs. PMID- 21053442 TI - An ACO blueprint. NCQA outlines standards for new care model. PMID- 21053443 TI - Lessons in fraud, abuse. Legal training too soon for med school? PMID- 21053444 TI - Changing of the guard. Aetna's leadership shuffle cast as prep for future. PMID- 21053445 TI - Cost questions. How antitrust, uniformity and exercise play their roles. PMID- 21053446 TI - In search of simplicity. HHS could give big boost to efforts to standardize the payment system. PMID- 21053447 TI - Equal pay. All-payer system favored, survey shows. PMID- 21053448 TI - A push for higher quality care. Transparency, consumer education needed for health reform success. PMID- 21053449 TI - Transparency = opportunity. It is time to unmask the price differences in healthcare. PMID- 21053450 TI - World travelers. Increase in globe-trotting bacterial super bugs prompts calls for tougher countermeasures. PMID- 21053452 TI - 'Tuneless trumpets'. PMID- 21053451 TI - Dementia design checklist. PMID- 21053453 TI - [Treatment of the first shoulder dislocation]. PMID- 21053454 TI - [Nasal hump--five year analysis]. AB - INTRODUCTION: The nose has a prominent central position in the face and therefore it considerably contributes to the esthetic appearance and facial harmony. On the anterior view, the face is dominated by the nasal length and width, as well as medial location. On the lateral view, the nasal dorsum is most important; although it is the dimension we cannot see ourselves, it is the most common cause of surgery. MATERIAL AND METHODS: This five-year retrospective study included 216 patients of both sexes; their average age was 22 years, and they underwent surgery at the Ear, Throat and Nose Clinic in Novi Sad in the period from 2001 to 2006. There were 89 male, and 127 female patients. RESULTS: Most patients were aged 18-22 years (57%). A nasal pyramid deformity with septal deviation was present in 81.1% of patients, whereas 18.9% (41 patients) presented only with a nasal pyramid deformity. Out of the total number of patients, 24 patients had both nasal kyphosis and scoliosis. All patients underwent closed rhinoplasty with obligatory correction of the tip of the nose. The nasal hump removal with lateral osteotomy was also performed in all patients. Two patients underwent reoperation, due to small dorsal humps within the cartilage, while one patient had a postoperative septal perforation. One patient presented with a postoperative abscess of the nasal dorsum, which was conservatively managed. CONCLUSION: Nasal hump is the most common nasal pyramid deformity, often without a nasal septum deviation. It is quite easily treated by surgery. Two patients have already undergone reoperation with good results, and two patients had postoperative complications. Postoperative complications were recorded in 1.85% of patients. PMID- 21053455 TI - [The impact of inhaled corticosteroids on cough and bronchial hyperreactivity in cough variant asthma]. AB - INTRODUCTION: Classic asthma is characterized by cough, wheezing and dyspnea. Cough, however, may be the sole presenting symptom of asthma and this type of asthma is known as cough-variant asthma. The therapeutic approach to cough variant asthma is similar to that of the typical form of asthma. A diagnosis of cough-variant asthma is made when a chronic cough is associated with airway hyperresponsiveness and a favorable response to asthma therapy in the absence of other discernible cause. The aims of this study were to analyse the influence of inhaled corticosteroids on cough and bronchial hyperresponsiveness. MATERIAL AND METHODS: The study included 55 patients with cough as the sole presenting symptom. 40 patients (Group A) were treated with inhaled corticosteroids and beta2 agonists for eight weeks while 15 patients (Group B) were treated only with oral beta2 agonists. The nonspecific bronchoprovocative test with histamine was performed on all the patients before the treatment and after the examination and there was established the provocative dose of histamine causing the 20% fall in FEVI (PD20). RESULTS: At the end of the study in Group A we found a statistically significant decrease of PD20 0.98 +/- 0.86 vs. 1.58 +/- 1.06 (p < 0.005), while in Group B there were no significant changes. In 90% of the patients treated with inhaled corticosteroids the cough was completely relieved while in 80% of the patients treated with only beta2 agonists the cough has remained unchanged. CONCLUSION: Inhaled corticosteroids are choice drugs for the treatment of cough variant asthma because they relieve cough and decrease bronchial hyperresponsiveness, thus ultimately reducing the risk of classic asthma. PMID- 21053456 TI - [Comparison of clinical and laboratory characteristics of viral hepatitis A and E in Montenegro]. AB - INTRODUCTION: Hepatitis E has many similarities in with hepatitis A concerning clinical picture, route of transmission and nonexistence of chronicity. Comparison of clinical and laboratory parameters of patients with hepatitis A and E to estimate characteristics of these diseases. MATERIAL AND METHODS: Total of 54 patients divided into two groups was investigated: 27 had hepatitis A, others had hepatitis E. Detailed history past, clinical examination, liver function tests and ultrasonography of the upper abdomen, were done in all patients. Aetiology of viral hepatitis was investigated serologically by enzyme immunoassay (ELISA) using commercial kits for following viruses: Hepatitis A-E viruses, cytomegalovirus, and Epstein-Barr virus. RESULTS: Asymptomatic infections (29.6%) and clinical forms without jaundice (59.3%) were more frequent in patients with hepatitis E. Splenomegaly was found more frequent in patients with hepatitis A than in hepatitis E (66.7% vs. 33.3%). Patients with hepatitis E had significantly lower activity of aminotransferases than patients with hepatitis A. A significant increase of gamma-glutamyltranspeptidase was found in patients with hepatitis E (mean value: 120 IU/L). DISCUSSION: Our results are in concordance with other reports that hepatitis E virus infection is more common asymptomatic disease than hepatitis A. In addition, hepatocyte necrosis in hepatitis E is less extensive than in hepatitis A measured by the activity of aminotransferases. Contrary to that the value of gamma-glutamyltranspeptidase is more increased in hepatitis E than in hepatitis A without exact explanation so far: CONCLUSION: Viral hepatitis E and A have differences in some clinical features and laboratory parameters although both diseases principally have resolved without consequences after 6-8 weeks. PMID- 21053457 TI - [Illness perception in chronic obstructive pulmonary disease]. AB - INTRODUCTION: The aim of the study was to investigate illness perception in patients with chronic obstructive pulmonary disease (COPD), and to explore its relation to socio-demographic and illness/treatment-related characteristics. MATERIAL AND METHODS: 44 consecutive COPD patients answered the general questionnaire (which identified data on gender, age, education, economic status, employment, personal estimate of family relations quality) and IPQ-R (which evaluates the illness perception components). The data were statistically processed using T-test, Mann-Whitney U-test, one way anova, and correlation analysis. RESULTS: COPD patients perceived their illness predominantly negatively. The markers of negative illness perception were female gender: older age, medium economic status, being employed, and high number of hospital treatments. The self report of good family relations was associated with both positive (strong belief in personal control) and negative illness perception (belief in serious consequences). Believing that external causes were predominantly responsible for the illness onset was related to the positive illness representation and to a lower education level. CONCLUSION: Illness perception in COPD patients is negative and associated with certain socio demographic and illness/treatment-related characteristics; this may have implications for detecting and modifying negative perception patterns in patients at risk. PMID- 21053458 TI - [Early extubation in cardiac surgery]. AB - INTRODUCTION: An early extubation in cardiac surgery (fast track cardiac anaesthesia) refers to mechanical ventilation during 1-6 hours after the intervention, the extubation criteria being the same as for any other surgery. Different protocols have been established for managing patients undergoing fast track anaesthesia, with high-thoracic epidural anaesthesia being increasingly used in the last few years. MATERIAL AND METHODS: Thirty-five consecutive patients scheduled for OPCAB surgery, who were planned for very fast track cardiac anaesthesia (planned extubation within one hour after the end of the operation), were included in the study. Combined high-thoracic epidural and general anaesthesia was performed in all patients, with bupivacain as a local anaesthetic and inhalational or intravenous anesthetic used for general anaesthesia. RESULTS: Thirty three of 35 patients (94.3%) were extubated early, with the mean duration of the mechanical ventilation of 56 +/- 92 minutes. Very fast track cardiac anaesthesia was performed successfully in 24/35 (68.8%) patients; these patients had higher ejection fraction, lower Euroscore, shorter duration of the surgery, and fewer numbers of grafts, as compared to the patients extubated early. Euroscore was the only independent predictor of the early extubation (higher score--longer mechanical ventilation time). DISCUSSION AND CONCLUSION: Our results suggest that high-toracic epidural anaesthesia enables successful early tracheal extubation in the population of patients scheduled for OPCAB cardiac surgery. We had no complications related to this type of anaesthesia and very good perioperative results. PMID- 21053459 TI - [Stimulation of mucoperiostal slice epithelization by small power laser after the primary plastic of oroantral communication]. AB - INTRODUCTION: The oroantral communication is a pathologic communication between the oral cavity and maxillary sinus. It originates with extraction of the upper lateral teeth. Primary plastics communication, which is more extensive than 5 mm has been unsuccessful in 16%. Small power lasers have positive reaction on wounds healing. The aim of this work was to determine the lasers effects on slice epithelization after the plastics more extensive than 5 mm. MATERIAL AND METHODS: The experimental research was done on dogs with extraction of the upper second premolars on both sides, and formed oroantral communication having diameter of 10 mm. After the plastics of sinus, the left side slices were exposed to radiation for 7 days, and the opposite slices healed spontaneously. Eight points (8x1J) were treated for 100s by GaAlAs laser, power 10 mW and wavelength 670 nm. Biopses of the slices connections were taken on the 14th day to be laboratory treated and examined microscopially. The study included 36 examinees with communication diameter of 10 mm and performed plastics of sinuses. In half of the examinees wounds were exposed to radiation for 7 days, and in other examinees they healed spontaneously. The results were analyzed on the fourteenth day according to the scale: (1) complete healing, without dehiscention; (2) incomplete healing, with minimal dehiscention; (3) communication did not heal, with partial dehiscention; (4) open communication, with significant dehiscention. RESULTS AND DISCUSSION: The microscopic analysis shows that no wounds exposed to radiation were overcast with mucous membrane which had smooth sub epithelia chronic inflammation and inflammable infiltrate, and strong fibroplasias and granulations. Wounds exposed to radiation had mucous membrane without any signals of inflammation. Laser radiation causes anti-inflammatory reaction, i.e., it provokes reduction of exudation, alteration and proliferation, it blocks cyclo- and lipo-oxygenation by delaying the synthesis of prostaglandin, stimulates neutrophyll, macrophage and lissome activity and it activates the function of immune complex T and B lymphocytes, so this difference could be primary referred to the action of laser. Our clinical study shows that complete healing of oroantral communication was recorded in 88.8% of the examinees who were exposed to radiation in relation to 50%, of those who did not receive radiation therapy which is statistically much higher percentage (chi2 test < 0.05). The surgery was repeated in 5.6% of those who had received radiation therapy and in 16.7% of those who had not been exposed to radiation. Laser radiation stimulates changing of ADP in ATP and it accelerates cells metabolism, it increases microcirculation and accelerates substance exchange of cells, it increases DNK and RNK synthesis and stimulates cells division, which cause quicker regeneration of epithelia, i.e., it accelerates the process of wound healing. CONCLUSION: It can be concluded that small power laser can be used successfully as additional method of treatment, after closing of oroantral communication surgically. PMID- 21053460 TI - [Rational application of tumor marker CA 125 in gynecological oncology]. AB - INTRODUCTION: CA 125 determination started in 1981, when Bast et al. discovered monoclonal antibody OC-125 belonging to immunoglobulin G class (IgG1) using Kohler and Milstein's technique of hybridization. CA 125 antigen is produced in amniotic cells of the 7 week-old embryo, while in adults it can be detected in epithelium of most organs which originate from Muller ducts. The upper level of referent values for CA 125 in serum is 35 U/mL and can be seen in about 99% of healthy people. APPLICATION OF TUMOR MARKER CA 125 IN GYNECOLOGICAL ONCOLOGY: More than 83% of patients with epithelial ovarian carcinoma have elevated values of CA 125 higher than 35 U/mL at the moment of diagnosing the disease. In cases of ovarian carcinoma, preoperatively determined values of CA 125 in serum are correlated with the extent of the expansion of the disease, histological type of tumor and degree of differentiation of malignant cells. Elevated values up to 65 U/mL in sernum can also be found in other malignant minors (pancreas, breast, colon, bladder, lungs, liver) and in different benign diseases. The level of serum CA 125 after the surgery can indicate regression or progression of ovarian carcinoma in more than 90% of the patients who had had elevated values of CA125 prior to the surgery. Postoperative levels of CA 125 >35 U/ mL in patients with no residual tumor and values >65 U/mL in those with residual tumor implants represent a separate prognostic factor in further course of the disease. CONCLUSION: The importance of continuous determination of CA 125 tumor marker has to be adjusted to each single case. PMID- 21053461 TI - [Physical activity in prevention and reduction of cardiovascular risk]. AB - INTRODUCTION: There is evidence that physical activity decreases the degree of atherosclerosis in the coronary arteries in persons who are physically active by modifying the chemistry and metabolism of lipoproteins. The effect of physical activity on the lipid status is achieved by affecting the enzymes of lipoprotein metabolism including the lipoprotein and liver lipase and transport protein of cholesterol esters. Epidemiological investigations on the effect of physical activity in prevention of cardiovascular diseases point to the fact that the persons who have a higher degree of cardio-respiratory endurance have a much lower mortality rate than those with a lower degree. The positive effect of physical activity on the cardiovascular system is reflected on the improved aerobic capacity, metabolic function, amplification of lipid profile, insulin sensitivity, immunological functions: it increases the perfusion of myocardium and the fibrinolytic activity, and reduces the adherence of thrombocytes due to increased synthesis of prostaglandin (PGI2), it also enhances the energy consumption, which is important in the maintenance of ideal bodyweight, prevention and treatment of obesity, and it has a positive effect on the control of stress. CONCLUSION: With respect to the effects on the lipid status, aerobic physical exercises like running, swimming, cycling, with the intensity of training of a medium (65% VO2) load, have a positive effect on lipid status. The best form of physical activity is the one in which the endurance and power are increased. PMID- 21053462 TI - [Ultrasonographic differentiation of painful hip in developmental age]. AB - INTRODUCTION: The most common diseases in the group of painful hip are transient synovitis, rheumatoid arthritis, infective (septic) arthritis, Perthes disease and slipping of the upper femoral epiphysis. METHODOLOGY: The algorhythm covers the first and control examinations in certain time intervals (after: 3-7, 7-15, 21-30 days; as well as 2-4 months). RESULTS AND DISCUSSION: The most frequent feature of painful hip is transient synovitis with 65%, Perthes disease with 13%, septic arthritis with 6%, rheumatoid arthritis and slipping of the upper femoral epiphysis with 2.5%. The ratio boys and girls was 2.3:1. The average age in the group of the painful hip was 6.8 years, in the group of TS 6.5 years. The most frequent clinical signs were limping in 84.2% and hip pain in 79.6%. Through the follow up period the difference of the anterior capsular distance was established for symptomatic hip: the average value on the first exam was 8.1 mm, and on the final exam 4.7 mm 3.6 mm. In transient synovitis, there was no difference in measured values of the anterior and lateral femoral head distance for both hips. The medial duration of synovial effusion, measured ultrasonographically, was 10.6 days, and the duration of the clinical signs was 8.7 days. The prolongated synovitis was recorded in 17.6%, and Perthes disease in 8.4%. The average value of anterior capsular distance in these patients was 5.4 mm. In group of Perthes disease the values of anterior capsular distance during control examinations showed increase that implicated the lateralisation or extrusion of the femoral head. The values of anterior distance of the femoral head were without significant difference. CONCLUSION: The ultrasonography should be the method of choice in painful hip differentiation regardless of the age. The ultrasonography can replace radiography safely in the primary diagnostic procedure as well as through the control examination. PMID- 21053463 TI - [Clinical relevance of KIRs in hematopoietic stem cell transplantation]. AB - INTRODUCTION: Natural Killer cells (NK cells) represent the subset of peripheral lymphocytes that play critical role in the innate immune response to virus infected and tumor transformed cells. Lysis of NK sensitived target cells could be mediated independently of antigen stimulation, and unlike cytotoxic T lymphocytes, they do not require peptide presentation by the major histocompatibility complex (MHC) molecules. NK cell cytotoxic activity is controlled by considerable number of cell surface Killer cell Immunoglobulin like Receptors (KIRs), which can exist in both inhibitory and activating isoforms. The inhibitory KIRs are mostly specific for HLA class I ligands and I HLA class like molecules, while the specificity of activating receptors is regarded to lectine like superfamily. The role of NK cells in allogeneic haematopoietic stem cell transplantation (HSCT): NK cells are the first lymphocyte subset that reconstitute the peripheral blood following allogeneic HSCT By selecting donors mismatched for relevant HLA ligands in the context of recipients KIR genotype, multiple roles for alloreactive donor NK cells have been demonstrated, in diminishing Graft vs. Host Disease (GvHD) through selective killing of recipient dendritic cells, prevention of graft rejection by killing recipient T cells and participation in Graft vs. Leukaemia (GvL) effect through destruction of residual host tumor cells. CONCLUSION: Investigation of KIRs heterogenity play an important role in the field of HSCT, because it is useful for the early diagnosis of post transplant complications and can serve as a predictive risk factor for GvHD development. PMID- 21053464 TI - [Vein thromboembolism prevention in stroke patients]. AB - INTRODUCTION: Having in mind the rate of occurrence and clinical importance, venous thromboembolism implies venous thrombosis and pulmonary embolism as a result of embolisation of the thrombotic particles from deep veins or pelvic veins. Venous thrombosis of the deep veins may result in chronic vein insufficiency, but the primary medical problem is the possibility of development of pulmonary embolism which may cause permanent respiratory function damage or even fatal outcome. VENOUS THROMBOEMBOLISM PREVENTION IN STROKE: The high incidence of deep vein thrombosis (30% clinically and up to 50% subclinically) in acute stroke hemiparetic and bed ridden patients within two weeks from the onset and 1-2% pulmonary embolism with the fatal outcome in the first month clinically and 17% of all fatal outcomes in postmortem investigations present a necessity for the early venous thromboembolism prevention. On the other hand, the most powerful prevention strategy--anticoagulation has important limitations in acute stroke patients: almost impossible to be used in cerebral haemorrhage and a great risk for the development of haemorrhagic transformation in cerebral infarction. The fact that other prevention strategies have limited value requires an estimation of effectivity-risk ratio in venous thromboembolism prevention in stroke. CONCLUSION: Venous thromboembolism prevention in stroke patients is necessary because of a greater risk for venous thromboembolism in these patients according to the nature of illness and functional disability, but also a problem because of limited possibility to recommend the proper medicament according to the risk of serious complications. The necessity of preventing venous thromboembolism and estimation of effectivity-risk ratio in stroke patients, beside plenty of studies and consensus conferences, remain individual and often very difficult. PMID- 21053465 TI - [Possibilities of the primary and secondary prophylaxis in treatment of childhood asthma]. AB - INTRODUCTION: The natural course of asthma is unpredictable and appears to be unaffected by any therapeutic strategy. Under such circumstances, the attention must be focused on the opportunities for prevention of a disease which is chronic, life long and incurable, even thought it can be very effectively controlled. During the past decades, a lot of a studies have been performed and started, in which relatively large numbers of children were included and followed prospectively to determine the incidence of risk factors for asthma in childhood. All these studies have contributed significant new information. The levels of prevention must be considered in all patients. There are two main separate components to the strategy. PRIMARY PROPHYLAXIS: Primary prophylaxis (time course of allergic sensitization, timing of exposure to allergens, influence of tobacco smoke, maternal health and allergen exposure) is introduced before there is any evidence of sensitization to factors which might have caused the disease. There is increasing evidence that allergic sensitization is a very common precursor to the development of asthma. SECONDARY PROPHYLAXIS: Secondary prophylaxis (allergen avoidance, hygiene hypothesis) is important after primary sensitization to allergen has occurred, but before there is any evidence of asthma. CONCLUSION: In this article the authors reviewed all results of studies about primary and secondary prophylaxis of asthma and its influence on the course of disease. PMID- 21053466 TI - [Potential risk factors for developing diabetes mellitus type 2]. AB - INTRODUCTION: Type 2 diabetes mellitus is a common multifactorial genetic syndrome, which is determined by several genes and environmental factors. The aim of the present study was to investigate the presence of risk factors for developing diabetes type 2 among diabetic individuals and to compare the presence of risk factors among diabetic individuals with and without positive family history for type 2 diabetes. MATERIAL AND METHODS: This study was conducted in Cuprija during the period from February to June 2002. The case group included 137 individuals having diagnosis type 2 diabetes. The control group included 129 subjects having the following diagnoses: hypertension, angina pectoris, chronic obstructive lung disease, gastric ulcer or duodenal ulcer. All participants were interviewed at the Medical Center Cuprija using structural questionnaire. The data were collected regarding demographic characteristics, exposure to various chemical and physical agents, stress, smoking, obesity, physical inactivity and family history of diabetes. In the statistical analysis chi square test was used. RESULTS: The diabetic individuals were statistically significantly older (40 and more years old) (p = 0.000), and they came from rural areas more frequently (p = 0.006) than the individuals without diabetes. Significantly more diabetics had lower educational level (p = 0.000) and they were agriculture workers and housewives significantly more frequently (p = 0.000) than nondiabetic individuals. Furthermore, obesity (p = 0.000) and physical inactivity (p = 0.003) were significantly more frequent among the diabetic individuals than the nondiabetics. The diabetic individuals had significantly (p = 0.000) more numbers of relatives with diabetes mellitus type 2 than the nondiabetics. The diabetic individuals with positive family history of diabetes were significantly older (p = 0.021) and more frequently from urban areas (p = 0.018) than the diabetic individuals without the positive family history of diabetes. Also they were significantly less exposed to physical agents (p = 0.004). DISCUSSION: In our study, like in many others, age, place of residence, education, occupation, obesity, physical inactivity, positive family history of diabetes type 2 and exposure to physical agents were identified as potential risk factors for diabetes type 2. CONCLUSION: Different risk factors are probably responsible for developing type 2 diabetes among individuals with and without positive family history of type 2 diabetes. PMID- 21053467 TI - [Morphological characteristics of meningiomas]. AB - INTRODUCTION: Meningiomas are common intracranial neoplasms which originate from the soft meninges, precisely from meningeal arachnoidal cells. The aim of this investigation was to establish the age and sex distribution of the examinees, localization, frequency and histological types of meningiomas. MATERIAL AND METHODS: The investigation was carried out in the period from January 2001 to June 2006. It included 490 consecutive patients of both sexes with diagnosed intracranial tumors and undergoing surgical treatment at the Neurosurgery Clinic of the Clinical Center of Vojvodina. The surgery samples were analyzed in the Laboratory of the Institute of Pathology and Histology of the Clinical Center of Vojvodina. Out of 490 patients with diagnosed intracranial tumors, 137 (27.96%) were diagnosed to have meningiomas. RESULTS: Meningiomas were more frequent in females (63%) than in males (37%) and they were most common in the 50-59 year age group (37.2%). The most common localization of meningiomas was the frontal region (36.5%). Meningiomas were more common on the left side (44.5%). In regard to other histological types of intracranial tumors, meningiomas were more frequent in females (36.3%). The most common histological type of meningiomas was transitional meningiomas (59.1%). The commonest histological types of meningiomas were benign meningiomas (93.4%). Malignant histological types of meningiomas were more common in males (83.3%), whereas benign histological types were more common in females (64.1%). CONCLUSION: A typical patient with meningiomas is a woman 50 59 years old. The tumor is located in the left frontal region. On histology it is benign, transitional type of meningiomas. PMID- 21053468 TI - [Agreeing in assessment of hyperactivity in children]. AB - INTRODUCTION: The study analyzes the accuracy and agreeability in evaluating hyperactivity in children. MATERIAL AND METHODS: The study sample was made of 139 children of pre-school age who participated in organized forms of physical activity. The mean age in the sample was 6.38, with a standard deviation of 1.00 years. Conner's Rating Scale was used to measure hyperactivity, and tests were also conducted to evaluate attention levels. Four independent reviewers observed each child's behavior by completing the scale. RESULTS AND DISCUSSION: Eighteen children, or 13.5 percent of the sample, were identified as hyperactive in the analysis. These children also scored lower in the attention level tests. The results of the study show a correlation between the evaluators to be relatively high, bearing in mind the sources' independence. CONCLUSION: It can be concluded that there is a mid to high-level correlation between certain reviewers' evaluations. However, even with a clearly defined view on categorizing certain behaviors as problematic, category labels still differ among evaluators. PMID- 21053469 TI - [Esophageal foreign bodies: retrospective study in 203 cases]. AB - INTRODUCTION: A retrospective study of Rigid Esophagoscopy, with the suspect of foreign body, was performed in General Hospital Zrenjanin "Djordje Joanovic" at the Department of Otorhinolaryngology during the period of 1988-2007. RESULTS AND DISCUSSION: The result of 517 esophagoscopies performed in the above period was 203 (39.26%) foreign bodies, with the average of 7.8 annually per 100.000 inhabitants. The patients age ranged from I to 91 years, (X = 48.98). Considering the gender, there were 95 male patients (46.79%), and 108 female patients (53.21%). The highest number of foreign bodies was found in the patients from the age group 60-69, (43/22.15%). According to the structure type of foreign bodies the most frequent were bones of animal origin (86/42.36%), followed by meat bolus (67/33%), metal coins (23/11.33%), and bodies of vegetable origin (14/6.89%). Metal coins were most frequently found in the age group 1-9. In regard to the level at which a foreign body was found (described in 157 cases, (77.30%)), 94 (59.87%) were found at the pharyngoesophageal junction, 58 (36.94%) were found in middle constriction of oesophagus, and in the area of diaphragmatic constriction and cardia there were 5 (3.18%) cases. The efficiency of removing foreign bodies by rigid esophagoscopy in the above mentioned sample was 99.01% (201/203). In 2 cases (0.99%), due to the impaction of foreign body, the patients were referred to the higher referent tertiary unit institution. CONCLUSION: Foreign bodies represent a constant casuistics in Zrenjanin Municipality, dominantly connected to work of an otorhinolaryngologist on duty. Rigid esophagoscopy is safe and efficient diagnostic and therapeutic method. PMID- 21053470 TI - [Duodenal ulcer during the period of twenty years after discovering of Helicobacter pylori--experience gained at our centre]. AB - INTRODUCTION: It is now well established that Helicobacter pylori eradication can significantly modify the natural history of peptic ulcer disease. The aim of this study was to assess the frequency of duodenal ulcer among patients endoscopically examined for dyspeptic symptoms and analyse the disease time trend during two ten year long distinctive retrospective periods (1987-2006). MATERIAL AND METHODS: Data were obtained through retrospective analysis of outpatients upper endoscopy reports. Full reports were available for 58,515 patients which were analysed for selected clinicopathological features in two clearly defined time periods. The first one, starting from 1987 to 1996 in which Helicobacter pylori infection was not assessed and treated accordingly and the second period from 1997 to 2006 during which the presence of Helicobacter pylori infection in certain diseases was routinely assessed and then treated with PPI based triple therapy. RESULTS: Symptoms of dyspepsia appeared to be approximately the same as the indication for endoscopy in both periods (65.1%:63.3%). The frequency of duodenal ulcer disease significantly decreased in the second period of analysis (t=14761; p < 0.01). In both periods men had more often duodenal ulcer comparing to women (chi2 = 218.53, p < 0.01; chi2 = 21.7, p < 0.01). During the second examined period the number of women who had duodenal ulcer significantly increased comparing to the first ten year period (chi2=17232; p < 0.01). CONCLUSION: The test-and-treat strategy and the implementation of consensus on diagnosis and treatment of Helicobacter pylori infection resuited in a significant decrease in the frequency of duodenal ulcer disease. PMID- 21053471 TI - [Fetal multicystic kidney disease--outcome and follow up]. AB - INTRODUCTION: Congenital fetal anomalies are the great problem and one of the main causes of increased perinatal mortality and morbidity. The aim of this study is to determine the outcome of prenataly detected multicystic dysplastic kidney and to point to the necessity of postnatal diagnostic procedures. MATERIAL AND METHODS: The retrospective-prospective study encompasses 38 cases of the prenatally diagnosed unilateral fetal multicystic dysplastic kidney. The associated anomalies were revealed either by autopsy findings when the pregnancy was terminated, or when the pregnancy continued, by clinical and operative findings the newborns. RESULTS: The autopsy finding revealed bilateral multicystic displastic kidney or unilateral mylticystic displastic kidney and the agenesis of the contralateral kidney. The postnatal evaluation of the newborns with unilateral multicistic disease revealed that 84.3% of them had some concomitant anomaly of the urinary tract, most of them had an anomaly of the contralateral kidney (31.4%). The surgery was performed in 73.6% of children, in 17% of children the kidney function deteriorated after the surgery. CONCLUSION: The findings of bilateral multicystic kidney disease and unilateral multicystic kidney disease and amnion are the indication to terminate the pregnancy. The finding of an isolated unilateral multicystic dysplastic kidney require thorough examination, both prenatally and postnatally. We propose obligatory serial prenatal ultrasound examinations, followed by postnatal ultrasound, isotope scan, and urinary cystourethography. PMID- 21053472 TI - [Blood concentrations of lactate, C-reactive protein, and creatinine as early indicators of severity and outcome of sepsis]. AB - INTRODUCTION: Since the outcome in septic patients can significantly be improved if the appropriate therapy is introduced timely early, the early diagnosis of sepsis and its complications is essential. The aim of this study was to compare mean values of the initial blood concentrations of lactate, C-reactive protein and creatinine and the severity of illness and the outcome of sepsis. MATERIALS AND METHODS: A total of 30 septic patients were included in the study. The diagnosis of sepsis and its complications was made according to consensus criteria. The severity of illness was scored by an acute physiology, age and chronic health evaluation septic score. The patients were subdivided into different groups, those with sepsis, severe sepsis or septic shock, those with or without multiple organ dysfunction syndrome, and survivors and nonsurvivors. RESULTS: The differences in mean values of lactate levels among all studied groups were significantly high, whereas the level of C-reactive protein were significantly higher only in the non-survivors compared to the survivors (p < 0.05). The concentrations of creatinine were significantly higher in the patients with septic shock compared to the patients with sepsis, and in the patients with multiple organ dysfunction syndrome and the non-survivors compared to the corresponding groups (p < 0.05). The septic score clearly discriminated patients with different severity of sepsis, development of multiple organ dysfunction syndrome and survival and positively correlated with the concentrations of lactate, C-reactive protein and creatinine (the best correlation ranks were with lactate levels, p < 0.001). DISCUSSION AND CONCLUSION: Our results suggest that lactate level is a better parameter of illness severity and outcome of sepsis than levels of C-reactive protein and creatinine. When compared to the above parameters, the septic score determined on the day of admission to hospital is a much better criterion to classify patients into groups with different severity of sepsis, with and without multiple organ dysfunction syndrome and into survivors and non-survivors. PMID- 21053473 TI - [Hemorrhagic fever with renal syndrome during pregnancy: case report]. AB - INTRODUCTION: Hemorrhagic fever with renal syndrome (HFWRS) is an acute infectious disease with abrupt onset, high fever, renal failure and frequent hemorragies. HFWRS during the pregnancy has relatively low occurrence, with only few described cases mostly in the region of the former Soviet Union. Although, according to the data from the literature, the disease is less severe during the pregnancy, the eclampsy, stillbirth and fetal infection were also described. MATERIAL AND METHODS: During the summer period, June-September 2002 in the area of northeast Montenegro, and mainly among people whose job was connected with rural areas, the HFRS was observed more frequently. In that area during the stated period, 12 patients underwent treatment whose disease was confirmed by the IIF method at the Torlak Institute of Virology in Belgrade. Among the patients there were two pregnant women aged 23 and 29 (both in their second pregnancies), in the 23rd and 26th gestational week, respectively. The aim of this study is to present 2 case studies and to show the disease impact on fetal development. RESULTS: The patients aged 23 and 29 yrs, were previously healthy women in their second pregnancies (23rd and 26th gestational week, respectively). The disease had typical beginning. The predictions made according to the laboratory abnormalities and the level of oliguria were that the disease would have a less severe course. Hemorrraghic syndrome was less prominent, and the laboratory analyses were normalized after 15 to 18 days. The monitoring of pregnancy during the disease period and after recovering showed no fetal suffering. The patients had PV delivery, in 39-th an 40-th, respectively. The newborns blood testing on Hantan viruses was done by ELISA method in Torlak Institute, Belgrade. Only the IgG antibodies (1/512), were detected, the IgM antibodies were not found. Two years later the tests were repeated, and showed negative results. PMID- 21053474 TI - [Successful treatment of laryngomalacia and bilateral vocal cord paralysis with continuous positive airway pressure]. AB - INTRODUCTION: Laryngomalacia is the most frequent congenital anomaly of airways, and it may cause obstructive sleep apneas. The associated vocal cord paralysis may aggravate the symptoms of upper airway obstruction. CASE REPORT: In a 14 month old boy severe laryngomalacia and bilateral vocal cord paralysis were diagnosed by flexible bronchoscopy. A sleep study showed a severe obstructive sleep apnoea (OSA). The patient was ventilated at home via the face mask with non invasive mechanical ventilation (CPAP) for a year. The level of pressure had to be set at 7 cm H2O to correct desaturation with an improvement in mean SpO2. On the follow up bronchoscopic examination laryngomalatia was improved, vocal cord paralysis persisted and sleep study revealed significant improvement. DISCUSSION: In the patient with severe laryngomalatia and bilateral vocal cord paralysis with OSA conservative treatment with CPAP was used instead of a surgical intervention. Non invasive ventilation was used every night, for at least 6 hours, without adverse events. Invasive measurement of transdiaphragmatic pressure is the best way of titrating of CPAP level. This case report suggests the efficacy of noninvasive titrating of CPAP level by the hemoglobin oxygen saturation trend measurement. CONCLUSION: In case of severe laryngomalatia and associated vocal cord paralysis, followed by OSA non invasive ventilation by nasal CPAP represents an effective and safe alternative to surgery. PMID- 21053475 TI - [Medicine in the notaphily]. AB - INTRODUCTION: Apart from literature, painting and philately, some of the greatest names of medicine found their place in the field of numismatics. They popularised their people and nations, as well as the medical science worldwide. The paper exhibits banknotes with the portraits of famous and world-wide recognised people in world and national history. MEDICINE IN THE NOTAPHILY IN FORMER YUGOSLAVIA, SERBIA AND MONTENEGRO AND SERBIA: Among these are the poet and pediatrician, Jovan Jovanovic Zmaj; the doctor and botanist, Josif Pancic; the academic painter, Nadezda Petrovic, as well as the motifs from our national history. MEDICINE IN THE NOTAPHILY IN THE WORLD: The banknotes from China with the image of the surgeon, Dr. Sun Yat Sen, from Spain with the portrait of the histologist Dr. Santiago Ramon y Cajal, from Austria with the face of the Nobel Prize winner and psychiatrist, Dr. Julius Wagner Jauregg and from France with the portrait of the great scientist, Louis Pasteur are also presented. CONCLUSION: These are some of the examples of great names of medicine, who brought world fame to their nations and medical science, and who were, apart from literature, painting, philately, interested in numismatics. PMID- 21053476 TI - [Henri Albert Hartmann]. PMID- 21053477 TI - [On-demand treatment of nonerosive reflux disease: omeprazole plus bicarbonate powder versus omeprazole capsules]. AB - BACKGROUND: Nonerosive reflux disease (NERD) has a chronic and benign course. On demand therapy would constitute a useful strategy for its management. OBJECTIVE: To assess efficacy of on-demand treatment with omeprazole powder (OBA: omeprazole 20 mg, sodium bicarbonate 1680 mg, alginic acid 250 mg) versus omeprazole capsules 20 mg (OMZ) in the control of symptoms. METHOD: In this multicenter, open-label, randomized, crossover study, patients with NERD, of both genders and 21 to 65 years old, were included. They underwent alternate treatments, 42 days with each pharmaceutical form (PF), wash out 6 days, beginning with a cycle of 7 consecutive days after the first symptomatic manifestation and repeating the same cycles in the event of similar circumstances. Efficacy was assessed with patient global impression (PGI), visual analogue scale (VAS) for heartburn and time to relieve symptoms. Tolerability was assessed. RESULTS: Forty-eight patients (40 women, average age 37 years old) entered the study. Both PF were effective according to PGI: 98% with OBA and 92% with OMZ. VAS for heartburn was: baseline 7.29 +/- 1.51; post-OMZ 2.82 +/- 1.85; post-OBA 2.25 +/- 1.61. The percentage of patients who received 7 days' cycles with each treatment were: OMZ 1-2: 29.17%; 3 4: 58.33%; 5-6: 12.5%; OBA 1-2: 43.75%; 3-4: 52.08%; 5-6: 4.17%. Dose was doubled in 35% of cases. OBA acted faster than OMZ for 83% of the patients (87 min versus 140 min, P < 0.01). Both PF were well tolerated. CONCLUSIONS: On-demand treatment of NERD with omeprazole in cycles of 7 days was equally effective for both PE OBA acted faster to relieve symptoms. PMID- 21053478 TI - [Helicobacter pylori infection in Uruguayan patients of African origin: clinical, endoscopic and genetic characteristics]. AB - INTRODUCTION: Prevalence of H pylori varies in different regions around the world and its associated clinical manifestations are more severe in certain ethnic groups. Prevalence of H pylori in different groups is scarcely known in Uruguay. OBJECTIVES: To determine the prevalence, clinical and endoscopic characteristics of H pylori infection in Uruguayan patients of African origin. METHODS: Fifty Afro-descendant patients attending the Clinics of Gastroenterology at Hospital de Clinicas in Montevideo, were studied. They were all examined by upper endoscopy and H pylori infection was determined by histology, urease test and culture. Presence of cagA was ascertained by PCR. RESULTS: The prevalence of H pylori infection determined by histology and urease test in Afro-descendants was 70%. No relationship was found between symptoms that led to consultation and the presence of infection. It was not possible either to establish a relationship between H pylori and endoscopic findings. CagA gene was detected in 62% of cases, but there was no relationship between its presence and the endoscopic findings. CONCLUSIONS: The prevalence of H pylori infection in Afro-descendant Uruguayan patients is high, comparable with that found in other developing regions. However, an association of the presence of infection with symptoms or endoscopic findings was not found. CagA did not result in a risk factor for the presence of more severe gastroduodenal lesions in this group of patients. PMID- 21053479 TI - [Prevalence of microscopic colitis in a group of patients from Montevideo, Uruguay]. AB - INTRODUCTION: The microscopic colitis (MC) is characterized by the presence of aqueous chronic diarrhea (ACD) with inflammatory elements in the colon only detectable by microscopy. OBJECTIVES: To know the prevalence of MC in the group of patients with ACD attending the Endoscopy Service of the British Hospital from Montevideo. MATERIAL AND METHODS: We retrospectively included patients with ACD who were studied by endoscopy during a period of 5 years and the endoscopy was normal or showed minimal alterations. Biopsies in different parts of the colon were taken to this group of patients aiming to diagnose this entity. RESULTS: We included 180 patients, 101 women (56%) and 79 men (44%). No lesion was found in the endoscopy in 67% of them, 27% had a diverticular colopathy and 5% a non specific colitis. Out of the total number of patients, 9% had a histological study compatible with MC, 82% had lymphocytic colitis (CL) and 18% collagenous colitis (CC), with a ratio between men and women of 1.8:1 and 1:2, respectively. The mean age for the diagnosis was 43 years old in the group of CL and 61 years old in the group of CC. Endoscopic lesions were not found in 94% of patients with MC and only 1 patient presented a non-specific colitis at the endoscopy. The 65% of the remaining patients did not show histological alterations and 26% of them presented non-specific inflammatory changes. CONCLUSIONS: The prevalence of MC in our series was similar to that found in other publications and alterations in the colonic mucosa were not found in most cases. The CL was the most frequent form of presentation and was found at an earlier age than the CC. The CL was more frequent in men. Knowing that the CM is a rare illness in our environment, these findings suggest the importance of taking multiple biopsies from different parts of the colon when patients with ACD are studied. PMID- 21053480 TI - [Frequency of bacterial overgrowth in patients with clinical lactose intolerance]. AB - INTRODUCTION: Some patients complain of digestive symptoms related to diary products intake. This intolerance could be associated with an intestinal bacterial overgrowth or an increased fermentative intestinal profile and not due to lactose malabsorption. OBJECTIVE: To estimate the prevalence of bacterial overgrowth in subjects with digestive symptoms related to diary products intolerance. MATERIAL AND METHODS: Patients who had performed hydrogen breath test due to chronic functional distension syndrome (Rome III) were analyzed. Thirty of them (22 female, average age 52 years) complained of symptoms related to diary products intake. All subjects completed a nutritional survey that allowed to assess the degree of tolerance to milk products and performed the hydrogen breath test with lactulose as substrate. Bacterial overgrowth was considered when baseline values were over 15 parts per million (ppm), values before 80 minutes were greater than 20 ppm or values of area under the curve were greater than 3,000 ppm/min in the 180 studied minutes. RESULTS: Fifty four patients were analyzed. Thirty of them showed moderate, important or severe clinical milk intolerance. Of these patients, 23 (77%), had a positive breath hydrogen test according to used criteria. CONCLUSIONS: The prevalence of small bowel bacterial overgrowth or an increased fermentative intestinal profile among individuals who complain of symptoms related to diary products is high and this fact should be considered in order to avoid empirical restrictive diets. PMID- 21053481 TI - [Diagnosis, treatment and evolution of the Budd-Chiari syndrome: a single center experience]. AB - INTRODUCTION: The Budd-Chiari syndrome is a low-prevalence disease due to an hepatic outflow obstruction. It is associated with procoagulant status and liver transplantation is one of the therapeutic tools for the treatment. OBJECTIVE: To evaluate the etiology, presenting form, treatment and evolution of patients with Budd-Chiari syndrome. PATIENTS AND METHOD: Ten consecutive adult patients with Budd-Chiari syndrome evaluated from January 1998 to June 2009 were prospectively included. The median follow up was 32.4 months (4-108 months). RESULTS: The mean age of patients was 34 +/- 12 years old. Presentation was acute in 1 patient, chronic in 2 and subacute in 7. The mean time from consultation to diagnosis was 4 +/- 2 days. Clinical manifestations were splenomegaly in 8 patients, malnutrition in 7, ascites in 6 and encephalopathy in 4. Diagnosis was confirmed by angiography in all cases. Initial prothrombin concentration was < 30% in 3 patients, 31% to 50% in 5, and > 50% in 2; hematocrit was > 45% in 5 patients and platelet count was > 400.000/mm3 in 6. MELD distribution at diagnosis was < or = 13 points in 4 patients, between 14 and 16 points in 5 and > or = 17 points in 1. Policytemia vera was detected in 7 patients, essential thrombocythemia in 1 and positive lupus inhibitor in 4. Nine patients were anticoagulated after diagnosis. Angioplasthy was required in 1 patient and 6 were treated with a transjugular intrahepatic portosystemic shunt. Death occurred in 1 patient due to gastrointestinal bleeding. Two patients were transplanted. CONCLUSION: In our experience all patients with Budd-Chiari syndrome have a procoagulant status. The transjugular intrahepatic portosystemic shunt is effective in treating this syndrome and liver transplantation should be reserved for patients who are refractory to other therapeutics. PMID- 21053482 TI - [Endoscopic treatment of postcholecystectomy biliary strictures]. AB - INTRODUCTION: Biliary strictures are a serious complication of biliary surgery. Historically, surgery was the treatment of choice. Then appeared the endoscopic treatment with results comparable with those achieved by the surgery. MATERIAL AND METHODS: Twenty-two patients underwent endoscopic treatment for postcholecystectomy benign biliary stricture between 1990 and 2006. After pneumatic dilation with ballons, stents were inserted. Stents were exchanged between 3 and 8 months and ultimately removed from all patients at 12 months. RESULTS: Endoscopic treatment was successful in 16 cases (72%): in 14 was excellent (63%), in 2 good (10%) and in 6 bad (27%). These cases required surgery. Early complications were cholangitis in 2 cases and pancreatitis in 3; late complications developed in 3 cases, with 2 o more cholangitis episodes. There was no mortality. CONCLUSIONS: Endoscopic treatment of biliary strictures with dilation and stent insertion was successful in 72% of the 22 cases in our series, with low morbility and no mortality. PMID- 21053483 TI - [Transfistular approach for the treatment of retained biliary stones]. AB - INTRODUCTION: Residual stones are those persisting in the biliary duct after a surgical intervention. They are found in approximately 2% of cases after a simple cholecistectomy and in 4% to 15% after an exploration of the common duct. MATERIAL AND METHODS: Between January 2009 and June 2008, 81 patients were treated because of residual stones. Age, sex, number of stones, days in the hospital, laboratory, complications and diagnosis were analyzed. RESULTS: Forty eight patients were male and 33 female. The mean age was 42.5 years. Mean stay at hospital was 1.3 days. The mean number of procedures was 1.19. Fifty-two patients came from another institution and 28 belonged to our institution. The total of complications was 6.5%. CONCLUSIONS: The percutaneous treatment for the residual stones is the goal standard in patients with "T" tube drainage and elevated risk for surgery. The advantage of this procedure is that it is economic, effective and with low risks for the patient. For the treatment of this disease, the collaboration of surgeons, endoscopists, and interventional radiologists is needed. PMID- 21053484 TI - [Clinical-nutritional evaluation of obese patients submitted to Roux-en-Y gastric bypass]. AB - Bariatric surgery (BS) is considered the only effective method to treat refractory obesity. This study evaluated the clinical-nutritional behavior of obese patients submitted to Roux-en-Y gastric bypass. This cross-sectional and analytical study evaluated weight, Body Mass Index (BMI), weight loss percentage (% WLP), excess weight loss (%EWL) and clinical manifestations. Results were evaluated considering a significance level of 5%. The sample was composed of 37 obese patients, mainly females (n = 26), median age of 40 years. Progressive and significant reduction of weight (128.2 +/- 21.5 vs. 104.4 +/- 18.2 kg), BMI (49.3 +/- 7.7 vs. 40.4 +/- 6.8 kg/m2) and consequent increase in the %WLP (18.6 +/- 3.9%) and %EWL (39.4 +/- 10.6%) were observed over 90 days of follow-up (P < 0.001). Significant increase in the number of patients presenting alopecia (10 vs. 18) and diminished events of dumping syndrome (9 vs 8) and xerostomia (21 vs. 11) were evidenced between 30 and 90 postoperative days. There was also significant reduction in the levels of fasting glucose (100.9 +/- 32.0 vs 83.0 +/ 12.3 mg/dL), total cholesterol (208.1 +/- 38.5 vs. 173.3 +/- 48.2 mg/dL), triglycerides (150.9 +/- 7.7 vs. 102.2 +/- 27.3 mg/dL), hemoglobin (13.3 +/- 1.4 vs. 12.6 +/- 1.0 g/dL) and hematocrit (41.1 +/- 3.8 vs. 39.2 +/- 3.3%) at 90 postoperative day compared to the preoperative period (P < 0.005). We conclude that BS is an effective treatment for weight loss and improved lipid and glycemic profiles. However, the frequency of alopecia and significant reduction in the hemoglobin and hematocrit levels at 90 posotperative day deserves attention. PMID- 21053485 TI - [Gastric duplication. A case report and review of the literature]. AB - Duplications of the alimentary tract are rare congenital anomalies. They can occur anywhere throughout the gastrointestinal tract. Gastric duplications represent only 7% of all gastrointestinal duplications. Symptoms and signs often can include vomiting, abdominal pain and palpable mass, and in some cases symptoms related to its complications may be present. The etiology has not been well characterized yet. Although radiographic studies, ultrasonography and computerized axial tomography may help to the preoperative diagnosis, this diagnosis is usually confirmed at surgery. The objective of this paper was to report the case of a 2-year-old girl with recurrent vomiting and abdominal palpable mass with histopathology diagnosis of gastric duplication. PMID- 21053486 TI - [Laparoscopic cholecystectomy in a patient with situs inversus totalis]. AB - OBJECTIVE: To report a case with situs inversus totalis (SIT) and chronic cholelithiasis solved by laparoscopic surgery, outlining the utility of minimal invasive surgery and specific considerations in patients with anatomic variations. CASE REPORT: A case of a 46 year-old female who presented with a six month history of abdominal colic pain at the left hypochondrium, accompanied with nausea and vomiting, is presented. On examination she had epigastric tenderness. Blood tests, including liver function tests, were normal. Electrocardiogram showed right axis deviation and right ventricular hypertrophy, in keeping with dextrocardia. Chest-X-Ray confirmed the diagnosis of dextrocardia. An ultrasound scan of the upper abdomen identified the gallbladder containing stones in the left upper quadrant. A CT scan visualized the spleen and the gastric camera in the right upper quadrant. Barium gastrointestinal transit, barium enema and abdominal-X-Ray were used as complementary diagnostic studies. A magnetic resonance cholangiography was not performed because the patient suffered from claustrophobia. Cholecystectomy and transcystic cholangiography were performed by laparoscopic route, taking care to set-up the operating theatre in the mirror image of the normal set-up for cholecystectomy. The patient completed a successful procedure without complications and was discharged 48 hours after the procedure. Histological exam diagnosed a chronic lithiasic cholecystitis. CONCLUSIONS: Laparoscopic cholecystectomy is an adequate surgical procedure for patients with total situs inversus and cholelithiasis, having a high security range. Detailed clinical examination is important for the diagnosis of previously unknown anatomic variations. Transcystic cholangiography is mandatory when a magnetic resonance cholangiography can not be performed. Furthermore, perhaps in this situation a left-handed surgeon is better prepared than a right-handed one to comfortably carry out the procedure. PMID- 21053487 TI - [Liver transplantation during pregnancy in a patient with acute liver failure]. AB - Liver transplantation is the best therapeutic approach in patients with acute liver failure. This clinical presentation during pregnancy is an unusual and dramatic event. We report the case of a 18 year-old woman with cryptogenic acute liver failure who underwent successful orthotopic liver transplantation at 20 weeks of pregnancy. Both outcomes were analyzed. Fetal death was observed within 48 hours after liver transplant. After six months of follow-up, the patient is doing well. This case illustrates the challenge of treating acute liver failure during pregnancy and demonstrates that liver transplantation is a feasible therapeutic option for treatment of patient with this condition. PMID- 21053488 TI - [Identification of Cryptosporidium hominis in a patient with sclerosing cholangitis and AIDS]. AB - Cryptosporidium hominis (C hominis) is the most common protozoan parasite recognized in human patients with AIDS. We report the clinical features of a patient with chronic diarrhea and AIDS-related sclerosing cholangitis. The imaging studies with ultrasonography and endoscopic retrograde cholangiopancreatography disclosed intrahepatic and extrahepatic bile duct changes identical to those seen in sclerosing cholangitis. C hominis was detected in the duodenum and peri-papillary duodenum by means of light microscopy and confirmed by nested polymerase chain reaction (PCR) amplification from fresh biopsy specimens followed by restriction length polymorphism analysis. Chominis infection should be suspected in our country in patients with advanced immunodeficiency and AIDS-related sclerosing cholangitis. PMID- 21053489 TI - [Thoracic empyema due to subphrenic appendicitis: an infrequent presentation]. AB - Acute appendicitis is the most common abdominal surgical emergency. Atypical presentations lead to a late diagnosis with a higher complication rate. Given its rarity, we report a case of a thoracic empyema due to subphrenic appendicitis. PMID- 21053490 TI - [Otoneurology, a full specialty?]. PMID- 21053491 TI - [Eosinophilic esophagitis]. AB - Eosinophilic esophagitis is a recent diagnosis, of growing interest and prevalence. It has to be considered by every physician when facing any adult or pediatric case of dysphagia, food impaction, and symptoms of GERD (gastroesophageal reflux disease) resistant to proton-pump inhibitor treatment. The diagnosis is made by combining clinical symptoms and endoscopic signs, supported by biopsies of the mucosa, which should show more than 15 eosinophils per high power field. The etiology seems to be of allergic origin, and a full immuno-allergic testing should be made. Recommendations for the treatment are to calm down the inflammatory process by proton-pump inhibitors, and to give topical steroids, keeping the systemic treatment for acute severe cases. In cases of esophageal stenoses, dilations can be undertaken, but with a high risk of recurrence. PMID- 21053492 TI - [Swallowing disorders in the elderly]. AB - Swallowing disorders are common in the elderly and may become life-threatening when they cause aspiration, inhalation pneumonia, malnutrition or dehydration. Dysphagia and malnutrition go hand in hand and lead to progressive worsening of mobility, immunity and quality of life. The aging of swallowing, so-called presbyphagia, is still not noticeable by 65. It becomes clinically relevant from 80 years onwards, especially during intercurrent and neurodegenerative disease, oncological treatments, or after trauma. This article aims to help practitioners in detecting these disorders and to implement appropriate therapeutic measures, which are often easy and may imply a multidisciplinary approach. PMID- 21053493 TI - [Evaluation of dysphonia--a multiparametric approach]. AB - Voice evaluation is based on perceptive analysis of voice quality and on instrumental assessments, which comprise acoustic and aerodynamic sound measures. Even though perceptive evaluation is considered the gold standard for voice evaluation, it is biased by its subjective nature. Despite the fact that instrumental analysis is considered objective, it has limited reliability. This is why the integration of these two methods into a multiparametric analysis allows a more broad range diagnostic approach for dysphonia. PMID- 21053494 TI - [Dysphonia as a manifestation of internal carotid artery dissection: a case report]. AB - We report a case of spontaneous internal carotid artery dissection in a young patient resulting in vagal (X) and accessory (XI) nerve palsies. Clinical examination revealed right shoulder and laryngeal paralysis, with preservation of velar function. This corresponds to Garel-Gignoux syndrome. However, this patient also had hemipharyngeal paralysis, which Gignoux did not report in his case. PMID- 21053495 TI - [How to cope with recurrent aphthous stomatitis]. AB - Recurrent aphthous stomatitis (RAS) is the most common oral mucosa ailment. This condition is frequently considered as idiopathic due to the doubts about its etiology, probably related to a minor immunological dysregulation in a context of genetic predisposition. However, ulcers that resemble recurrent aphthous stomatitis in some respects can be found in systemic disorders that must be ruled out for the differential diagnosis of SAR, particularly when they appear after adolescence and/or when associated lesions exist out of the oral cavity. SAR management lies on the elimination of predisposing factors (drugs, oral trauma, food allergies...) and if needed, topical corticosteroids are the first choice regimen. More severe cases may require systemic regimens. PMID- 21053498 TI - [Pitavastatin - the seventh statin]. PMID- 21053496 TI - [Have we made progress in the development of a vestibular implant?]. AB - The concept of a vestibular implant to restore balance in patients suffering from bilateral loss of function is similar to that of a cochlear implant. Motion sensors will capture head movements and this information will be transmitted to the central nervous system via electrodes implanted in the vestibular system. However, several key questions must be answered before such prosthesis could be used in humans. One is to restore a baseline neural activity in the system that can be then adequately modulated by the prosthesis, without causing unbearable symptoms. We showed that this is possible in human. This is an important prerequisite for the feasibility of a vestibular implant. PMID- 21053499 TI - [A new meningococcal conjugate vaccine (Menveo)]. PMID- 21053500 TI - [Does respect for the human body end with death? (1)]. PMID- 21053501 TI - [The fight against AIDS: the new contradictions (2)]. PMID- 21053502 TI - [Are all illegal drugs soon to be legal? (4)]. PMID- 21053503 TI - [To read the illegible]. PMID- 21053504 TI - [Frequently suspected, often suspected and seldom found. Diagnosis at a glance in laparotomy]. PMID- 21053505 TI - [Why men operate differently. Small differences in the brain (interview by Dr. med. Brigitte Moreano)]. PMID- 21053506 TI - [Early rehabilitation after radical prostatectomy. PDE-5 inhibitor only when needed?]. PMID- 21053507 TI - [Therapy of respiratory tract infections in children. Antibiotics are seldom needed!]. PMID- 21053508 TI - [Patient with hematemesis. Unexpected source of bleeding in the stomach]. PMID- 21053509 TI - [Naturopathy consultation. Nausea and vomiting]. PMID- 21053510 TI - [Minor injuries of the hand. Small cause--serious consequences]. PMID- 21053511 TI - [Burn injuries of the pediatric hand]. PMID- 21053512 TI - [Bite injury of the hand]. PMID- 21053513 TI - [Distal interphalangeal joint sprains]. PMID- 21053514 TI - [Emergency checklist: vertebral fracture]. PMID- 21053515 TI - [Painful ejaculation after inguinal hernia operation in TAPP--a case report]. PMID- 21053516 TI - [Psoriasis. More than flaky plaque]. PMID- 21053517 TI - [Colorectal serrated lesions: current insight on their role in colorectal carcinogenesis]. AB - The classification of colorectal cancer precursor lesions has become more accurate after the discovery of serrated polyps. These are characterized by saw tooth-like epithelial growth pattern, and are the most significant group of colorectal cancer precursors after conventional adenomas. While conventional adenomas are characterized by tumour suppressor gene mutations and chromosomal instability, serrated adenomas harbour KRAS/BRAF mutations, inhibition of apoptosis, DNA hypermethylation, and microsatellite instability. These alterations occur in about 20% of colorectal cancers, and along with preserved serrated morphology suggest their origin in serrated polyps. The recognition of serrated polyps as colorectal cancer precursors is crucial for their follow-up. PMID- 21053518 TI - [Early detection of cognitive changes in memory diseases: new cut-off scores for the Finnish version of CERAD neuropsychological battery]. AB - The evaluation of cognitive functions by using CERAD (Consortium to Establish a Registry for Alzheimer's Disease) is recommended as a tool in basic health care for screening of memory diseases. The reliability of this method, adopted in Finland in 1999, has been impaired by the fact that there have been no comprehensive Finnish norms to serve as the basis for the cut-off limits of the test tasks. This article presents the new, revised cut-off values for the CERAD procedure, based on the comparison of Finnish population-based normative data with those of persons having very mild or mild Alzheimer's disease. PMID- 21053519 TI - [Surgical techniques of the inferior nasal turbinates in the treatment of nasal obstruction]. AB - Chronic nasal obstruction causes sleep disorders and has an adverse effect on quality of life. Inferior turbinate enlargement due to hypersensitive rhinitis is one of the main causes of chronic nasal obstruction. Many of these cases respond to topical corticosteroids. Long standing swelling may become irreversible and a surgical approach to enlarged turbinate becomes necessary. In septal deviation, a compensatory enlargement of contralateral inferior turbinate may indicate reduction at the time of septoplasty. A number of techniques for turbinate reduction have been described. Main target for the operation is submucosal soft tissue and less frequently bony tissue. Radiofrequency ablation, submucosal operation techniques and microdebrider techniques are the methods of choise in reducing inferior turbinate with diminished adverse side effects. PMID- 21053520 TI - [Adolescent mental health promotion in school context]. AB - School performance, involvement in bullying and frequent absences from school are indicators of not only cognitive and social skills but also mental health. Mental disorders may interfere with learning and adjustment in many ways. Mental disorders may bring about problems in attention and motivation, and failure in schoolwork often makes an adolescent vulnerable to mental disorders. Early recognition of and prompt intervention in specific learning difficulties may prevent mental disorders. Adolescents involved in bullying present with increased risk of both internalising and externalising mental disorders, as do adolescents who are frequently absent from school, whether due to illness or due to truancy. Peer rejection is an important warning sign during adolescent development. These features can fairly easily be recognised at school, and school's psychosocial support systems should have plans for intervention. Mental health promotion in school should comprise approaches that make school safe and involving for all, and individual interventions for those at risk. PMID- 21053521 TI - [Mitotane in the treatment of adrenal carcinoma]. AB - Mitotane has been used for 50 years as the first-line drug in the treatment of disseminated adrenocortical carcinoma. It reduces local recurrence of the disease and development of metastases even after a seemingly total surgical removal of the tumor. The use of mitotane has been hampered by its copious adverse effects. Its use requires knowledge of the properties and biological effects of the product. Determination of plasma levels of mitotane will help in carrying out the treatment. The dosage can be optimized and the drug's adverse effects avoided by monitoring the plasma level. PMID- 21053522 TI - [Gas in the abdominal cavity--due to cholecystitis caused by gas-producing bacteria]. AB - In most cases, gas in the abdominal cavity indicates perforation of the gastrointestinal wall. We describe a patient, in whom the cause of abdominal gas detected in computed tomography turned out to be emphysematous cholecystitis caused by gas-producing bacteria. It is a rare disease characterized by accumulation of gas into the gall bladder or its wall. The gas can be easily observed in computed tomography. The disease easily becomes complicated and is associated with high mortality. Prompt cholecystectomy and antibiotic therapy are the cornerstones of the treatment. PMID- 21053523 TI - [Update on current care guidelines: diagnosis and treatment of sexually transmitted infections]. AB - Recognition of common sexually transmitted infection (STI) syndromes allows more efficient diagnosis and treatment. These evidence-based guidelines provide advice on the management of STIs, including the use of the appropriate diagnostic methods and therapeutic regimens. Early and appropriate therapy has the potential to significantly reduce the long-term complications of STIs. The prevention of further infection through the counselling and treatment of partners contributes to the sexual health of patients. PMID- 21053524 TI - Intraperitoneal chemotherapy: why the fuzz? AB - OBJECTIVES: Critical evaluation of the benefits of intraperitoneal (IP) chemotherapy. METHODS: Critical review of the literature in support of the administration of chemotherapy via the IP route. RESULTS: The 3 largest randomized phase III trials conducted by the Gynecologic Oncology Group and published over a 10-year period clearly demonstrated a 19% to 25% reduction in risk of death for patients treated with IP chemotherapy compared with intravenous chemotherapy. CONCLUSION: Despite the differences in the treatment arms and in the drugs used, and whether the explanation lies on the local effect of the drugs on the intraperitoneal tumors or is related to the reservoir effect of the drugs stored in the peritoneal cavity, remains to be determined, but the explanation for the effect cannot refute the benefit observed in the improvement in overall survival. PMID- 21053525 TI - Cervical cancer and the role of lymph node staging cons sentinel concept. AB - OBJECTIVE: To determine whether the current standard of cervical cancer staging is sentinel lymph node biopsy. METHOD: Review of the literature focusing on the significance of the sentinel node concept in patients with cervical cancer. Because of the data on the significance of the intraoperative histopathological assessment of sentinel lymph nodes, the role of micrometastasis in lymph nodes, and the standards of procedure, the sentinel procedure were analyzed. RESULT: Sentinel lymph node biopsy alone is currently not a routine procedure for cervical cancer staging. This procedure should be performed only by specialized centers in a study setting. More data on its oncological safety are needed. PMID- 21053526 TI - The role of neoadjuvant chemotherapy and surgery in cervical cancer. AB - The role of neoadjuvant chemotherapy (NAC) in "bulky" and locally advanced cervical cancer has been of interest for the last 25 years, and in many countries, NAC has become the standard of care. In the present paper, we review our 10 years' experience with high-dose-density NAC in cervical cancer management in 141 women (CervNAC I protocol). High-dose-density neoadjuvant chemotherapy and radical surgery has resulted in high clinical response rates and seems to be feasible in the management of stage IB bulky cervical cancer. Neoadjuvant chemotherapy reduces tumor volume and positivity of lymph nodes and thus minimizes the need for postoperative radiotherapy or chemoradiotherapy. Tumor size reduction and node negativity allows less radical surgical procedures such as modified radical hysterectomy or nerve-sparing radical hysterectomy. Early and especially late toxicity of our high-dose density chemotherapy is acceptable. Neoadjuvant chemotherapy followed by surgery represents a valid alternative to primary chemoradiotherapy in young and sexually active patients. Five-year survival in patients who underwent surgery in our study was 80.6%. Currently, 3 papers with 3 approaches have been published on NAC before fertility-sparing surgery. One of the limitations of fertility-preserving surgery is deep stromal invasion and tumors larger than 2 cm. The idea underlying NAC is to reduce the size of the cervical tumor to preserve fertility. In the present paper, we also review our experience with high-dose-density NAC in fertility-sparing surgery in 15 women (LAP3-NAC protocol). PMID- 21053527 TI - Critical review of neoadjuvant chemotherapy followed by surgery for locally advanced cervical cancer. AB - Cervical cancer is the second most common cause of female cancer mortality worldwide. Concurrent chemoradiotherapy represents the standard of care for patients with stage IB2-IIIB cervical cancer. However, the lack of radiotherapy departments, especially in developing countries, the presumed high incidence of long-term complications, and the poor control of metastatic disease have brought about the development of different therapeutic approaches such as neoadjuvant chemotherapy followed by surgery. We reviewed the literature concerning the role of neoadjuvant chemotherapy for locally advanced cervical cancer. PMID- 21053528 TI - Modern radiotherapy and cervical cancer. AB - For most cervical cancers, radiotherapy is the mainstay of treatment. The introduction of concurrent chemotherapy to radiation at the end of the 20th century led to a significant improvement in disease survival. Now, techniques such as intensity-modulated radiotherapy, which allow a high degree of conformity to the tumor, offer the opportunity to further improve outcome by reducing treatment-related toxicity and also to potentially improve local control by an increase in tumor dose. This review will outline the history and current state of play of cervical radiotherapy. PMID- 21053529 TI - To stage or not to stage? That is the question: (with apologies to Shakespeare). AB - The International Federation of Gynecology and Obstetrics staging rules for endometrial cancer require pelvic and para-aortic node dissection to define the extent of disease. Retrospective studies have reported improved survival in women who underwent lymphadenectomy compared with those who did not. This association may not be causally related because of bias. Recently reported prospective randomized trials of pelvic lymphadenectomy have failed to demonstrate a survival benefit. Critics of these trials remain skeptical because of perceived limitations in design, particularly the inclusion of non-high-risk women and the lack of full para-aortic lymphadenectomy. Until new trial evidence is produced to the contrary, routine lymphadenectomy cannot be recommended for endometrial cancer. PMID- 21053530 TI - Adjuvant pelvic radiation therapy in endometrial cancer: The pro argument. AB - Adjuvant external beam pelvic radiation therapy for stage I endometrial cancer has become increasingly confusing and controversial. Despite repeated studies showing a disease-free survival benefit to the therapy, its role is being questioned because overall survival has not been demonstrated. By using evidence from the literature, including the most recent randomized data, an argument is made for the use of external beam pelvic radiotherapy for a 63-year-old woman who has undergone a total abdominal hysterectomy and bilateral salpingo-oophorectomy for a grade 2 endometrioid adenocarcinoma of the uterus with 9 of 12 mm of invasion and the presence of lymphovascular space involvement. Her risk of relapse is approximately 25%, and adjuvant external beam radiation can improve her disease-free survival and even possibly improve her chances of cure. PMID- 21053531 TI - Distribution of the nuclear receptor for vitamin D in female and male zebra finches, Taeniopygia guttata. AB - In this study, we describe the distribution of high affinity binding sites for 1,25(OH)2-vitamin D3(1,25-D3) in the zebra finch (Taeniopygia guttata). Four hours following the injection of tritiated 1,25-D3, binding of the steroid hormone was found primarily in the cell nuclei ofa variety of different organs. Neurons in numerous discrete regions of the forebrain were labeled. These forebrain regions included the nucleus accumbens, nucleus dorsomedialis posterior thalami, lobus parolfactorius,nucleus septalis lateralis and medialis, nucleus septalis,lamina medullaris dorsalis, nucleus striae terminalis,palaeostriatum augmentatum, and stratum griseum. The choroid plexuses, however, remained clear. Labeled cells were seen in several organs of the alimentary canal, in both the exocrine and the endocrine pancreas, in the proximal tubules of the kidney, in the spleen, in the bursa of Fabricius, and in the heart. The basal cells of the uropygial gland were also labeled. No specific retention was evident in the gonads of either sex. Vitamin D is thus bound by cells in systems with widely different functions. Since several of the labeled tissues are not primarily involved in calcium homeostasis, the data support the concept that vitamin D soltriol is a steroid hormone that acts as a seasonal neuroendocrine regulator regulator and somatotrophic modulator. PMID- 21053532 TI - Medical devices; radiology devices; reclassification of full-field digital mammography system. Final rule. AB - The Food and Drug Administration (FDA) is announcing the reclassification of the full-field digital mammography (FFDM) system from class III (premarket approval) to class II (special controls). The device type is intended to produce planar digital x-ray images of the entire breast; this generic type of device may include digital mammography acquisition software, full-field digital image receptor, acquisition workstation, automatic exposure control, image processing and reconstruction programs, patient and equipment supports, component parts, and accessories. The special control that will apply to the device is the guidance document entitled "Class II Special Controls Guidance Document: Full-Field Digital Mammography System." FDA is reclassifying the device into class II (special controls) because general controls along with special controls will provide a reasonable assurance of safety and effectiveness of the device. Elsewhere in this issue of the Federal Register, FDA is announcing the availability of the guidance document that will serve as the special control for this device. PMID- 21053533 TI - Scaling up: bringing the transitional care model into the mainstream. AB - Elderly, chronically ill people experience frequent changes in health status that require transitions among health care providers and settings. This issue brief describes two projects that identified the essential elements of effective care management interventions for this population and the facilitators of translating one such intervention, the Transitional Care Model (TCM), into mainstream practice. Together these projects demonstrate that successful translation of the TCM, which incorporates both in-person contact and a nurse-led, interdisciplinary team approach, can effectively interrupt patterns of frequent rehospitalizations, reduce costs, and improve patient health status. Findings from these projects inform challenges that must be overcome to facilitate the translation of effective care management innovations into mainstream practice. PMID- 21053534 TI - Fine needle aspiration cytology of ductal adenoma of the breast with intracellular mucin: a report of three cases. AB - BACKGROUND: Ductal adenoma of the breast is a benign lesion that can mimic both the clinical and cytopathologic features of carcinoma. Benign breast lesions with intracellular mucin are extremely rare, and ductal adenoma with intracellular mucin has not previously been reported. Here we present three cases of ductal adenoma of the breast with foci of intracellular mucin. CASES: Three patients were admitted to Tokai University School of Medicine Hospital and underwent fine needle aspiration cytology and histologic examination by excisional biopsy or partial resection. Fine needle aspiration cytology was performed using a 23-gauge needle, and smears were immediately fixed in ethanol and stained as Papanicolaou preparations. Epithelial cells formed cohesive clusters, consisting of biphasic luminal and myoepithelial cells accompanied by apocrine metaplasia with occasional high nuclear atypia. All three cases showed intracellular mucin, in varying amounts, which led to their being overdiagnosed as malignant lesions. CONCLUSION: To avoid overdiagnosis of ductal adenomas as malignant lesions, it is important to recognize that both intracytoplasmic mucin and atypical apocrine features can be usual cytologic findings of this disease. PMID- 21053535 TI - Cytomorphology, ultrastructural, and cytogenetic findings in follicular dendritic cell sarcoma: a case report. AB - BACKGROUND: Follicular dendritic cell (FDC) sarcoma is a rare low-to-intermediate grade malignant dendritic cell neoplasm that often has an indolent clinical course. FDC sarcomas are often misdiagnosed on aspiration cytology. CASE: A 26 year-old woman presented with a solid, slowly growing, painless mass in her right neck for 3 months. Computed tomography revealed a 3.6-cm, well-defined homogenous solid mass located posterior to the mandible and submandibular glands. Fine needle aspiration cytology revealed many large, spindle to ovoid epithelioid cells in singles, small clusters, and syncytial sheets with moderate to abundant cytoplasm, indistinct cell borders, irregular nuclear membrane, fine to vesicular chromatin, and conspicuous nucleoli. The background contained many small mature lymphocytes intimately mixed with large epithelioid tumor cells. Tumor cells were strongly positive for CD21, CD35, CD23, and fascin. Diagnosis of FDC sarcoma was rendered; follow-up surgical resection and ultrastructural study confirmed the diagnosis. The cytogenetic study showed a normal female karyotype 46,XX. CONCLUSION: Although the cytomorphology of FDC sarcoma is characteristic, a preoperative diagnosis of FDC sarcoma based on fine needle aspiration cytology is very challenging, if not impossible. Immunohistochemistry is always necessary for rendering and/or confirming the diagnosis, and ultrastructural studies are helpful. PMID- 21053536 TI - Fine needle aspiration cytology in primary breast angiosarcoma: a case report. AB - BACKGROUND: Angiosarcoma of the breast is an uncommon, aggressive, vascular tumor. The cytomorphologic features of angiosarcomas have rarely been reported. CASE: The present study describes a case of breast angiosarcoma initially diagnosed by fine needle aspiration cytology. Angiosarcoma appeared in the left breast of a 58-year-old woman after 12 years of a mastectomy (without radiotherapy) of the contralateral breast for invasive ductal carcinoma. Fine needle aspiration cytology yielded very bloody material with moderate cellularity. Microscopically, two types of cells were observed: spindle cells and epithelial-like cells with nuclear atypia. The latter were arranged in tight clusters with papillary configuration. Both cell types exhibited immunoreactivity for endothelial markers. The diagnosis of angiosarcoma was confirmed by histopathology of the surgically excised tumor. CONCLUSION: Angiosarcoma rarely occurs in the breast, and a definitive diagnosis is extremely difficult relying exclusively on cytologic features. Predominance of epithelioid cells may suggest an epithelial tumor, especially in patients with a history of breast carcinoma, whereas predominance of spindle cells can be misinterpreted as phyllodes tumor or another type of sarcoma. Cell block immunocytochemistry and tumor cell labeling with endothelial markers are necessary for accurate diagnosis. PMID- 21053537 TI - Primary signet-ring cell carcinoma of the lung: a report of 2 cases. AB - BACKGROUND: Signet-ring cell carcinoma is a distinct subtype of mucin-producing adenocarcinoma that originates in various organs, particularly the stomach. However, primary signet-ring cell carcinoma of the lung is an extremely rare condition. The preoperative identification of signet-ring cells by cytologic examination is vital because signet-ring cell carcinoma of the lung has been reported to have a worse prognosis than ordinary adenocarcinoma. In this study, we present 2 cases of primary signet-ring cell carcinoma of the lung in conjunction with their cytomorphologic features. CASES: Bronchial brush and wash samples were obtained from the lungs of a 63-year-old woman and a 65-year-old man for the evaluation of lung tumors. Examination of the bronchial samples revealed many large clusters of atypical cells containing abundant intracytoplasmic mucin. Although the clusters were equivocal in the first case, the presence of more atypical cell clusters led to the diagnosis of adenocarcinoma. CONCLUSION: Signet ring cell carcinoma should be considered when many atypical round cells with abundant intracytoplasmic mucin--namely, signet-ring cells--are observed along with adenocarcinomatous cells. PMID- 21053538 TI - Fine needle aspiration of parapharyngeal space adult rhabdomyoma: a case report. AB - BACKGROUND: Rhabdomyomas are rare benign tumors of striated muscle and include cardiac and extracardiac types. Extracardiac rhabdomyomas are divided in three subtypes (adult, fetal, genital). The adult type is usually found in the head and neck regions of elderly persons. Misinterpretations in initial diagnosis of adult rhabdomyomas on fine needle aspiration have been reported. CASE: A 64-year-old man presented with gurgling and difficulty swallowing for approximately 3 months. Computed tomography and magnetic resonance imaging showed a 5.8-cm solid mass located in the right parapharyngeal space. Fine needle aspiration smears were cellular, showing cohesive clusters of cells with scattered individual cells. Cells had abundant eosinophilic glassy cytoplasm, peripherally placed round nuclei, and prominent nucleoli. Many traversing vessels were noted, but cross striations were not seen. The cell block demonstrated clusters of cells with abundant eosinophilic granular cytoplasm, some with clear and/or vacuolated cytoplasm, and possible cross-striations. Tumor cells were positive for desmin. The lesion closely resembled normal muscle tissue. Electron microscopy showed many cells containing actin and myosin filaments with Z-band material. CONCLUSION: Correct diagnosis can be achieved with a combination of awareness of the lesion, familiarity with the characteristic cytologic features, and application of appropriate immunohistochemistry markers. Classic electron microscopic findings can support the diagnosis. PMID- 21053539 TI - Unilateral pleural effusion as a presenting manifestation of plasma cell myeloma (multiple myeloma): a case report. AB - BACKGROUND: Malignant pleural effusion caused by multiple myeloma (MM) is rare, occurring as a late complication with a poor prognosis. Myelomatous pleural effusion (MPE) as an initial manifestation of the disease is extremely uncommon. CASE: A 54-year-old, previously healthy man presented with right-sided pleural effusion. Cytologic examination of the aspirated fluid revealed large, slightly pleomorphic atypical cells, some having eccentric nuclei. Immunocytochemistry (ICC) performed on cytospin smears and cell block sections gave a positive reaction for CD138, EMA and vimentin and a negative reaction for CK7, CK20, calretinin, S-100 and E-cadherin. CONCLUSION: Cytopathologists may be caught unawares by atypical presentations of hematologic malignancies. The diagnosis of MPE requires a high level of suspicion, and routine incorporation of ICC in the cytologic evaluation will ensure accurate diagnosis and proper patient management. PMID- 21053540 TI - Spleen metastasis from hepatocellular carcinoma: report of a case with diagnosis by fine needle aspiration cytology. AB - BACKGROUND: Metastasis of hepatocellular carcinoma (HCC) to various organs is frequently seen. The lungs, regional lymph nodes, kidney, bone marrow and adrenals are relatively common sites of metastasis. Spleen metastasis from HCC is unusual, and only a few cases have been retorted; none have been diagnosed by fine needle aspiration cytology (FNAC). CASE: A 54-year-old male visited our institute with chief complaints of abdominal pain and mild ascites. Multifocal HCC and an isolated splenic mass lesion were detected on abdominal ultrasound and computed tomography. FNAC was performed under ultrasound guidance from the liver and spleen mass lesions. Cytologic examination of the aspirates showed classical features of hepatocellular carcinoma and similar tumor cells with hepatocytic differentiation in the spleen. Immunocytochemistry for hepatocyte paraffin-1 confirmed the splenic metastasis of HCC. CONCLUSION: Splenic metastasis from HCC diagnosed by FNAC is an exceptional situation; herein we confirm the rarity of this pathology and the efficacy of FNAC as a safe and valuable diagnostic tool for evaluating splenic lesions in oncologic patients. PMID- 21053541 TI - Intravascular large B-cell lymphoma involving mainly the uterus: report of a case using liquid-based cytology of the endometrium. AB - BACKGROUND: Intravascular lymphoma is a rare subtype of extranodal lymphoma. Most instances of the disease are of B-cell lineage. Diagnosis is difficult because of its nonspecific clinical signs, and many cases are diagnosed at autopsy. Uterine involvement is rare, and it is commonly manifested as genital bleeding. In this case, the chief complaint was fever, which is also very rare. CASE REPORT: A 62 year-old woman presented with fever of unknown origin. Computed tomography revealed no localized lesion except for swelling of the right internal iliac nodes. A cytologic smear of the endometrium by liquid-based cytology demonstrated malignant cells. Based on the curettage material, the lesion was diagnosed as an undifferentiated malignant tumor. Total abdominal hysterectomy with bilateral salpingo-oophorectomy and pelvic/paraaortic lymphadenectomy revealed widely scattered lymphoma cells of B-cell lineage mainly in the vascular lumina of the uterus, right ovary and lymph nodes. CONCLUSION: The final histologic type was established on the basis of the surgical material of hysterectomy. Diagnosis was difficult because of prominent cellular atypia and rare location of the tumor. Immunocytochemical examination of liquid-based samples can lead to a correct diagnosis of malignant lymphoma, even at the stage of endometrial cytologic examination. PMID- 21053542 TI - Well-differentiated papillary mesothelioma in association with endometrial carcinoma: a case report. AB - BACKGROUND: Well-differentiated papillary mesothelioma (WDPM) is an uncommon tumor usually arising in the peritoneum and mostly an incidental finding during abdominal and pelvic surgery. Its natural history and association with other neoplasms is not clearly understood. We present a rare case of WDPM in association with high-grade endometrial carcinoma. To our knowledge, there are only two previously reported cases in the English literature of WDPM in association with endometrial carcinoma. CASE: A 62-year-old woman underwent pelvic surgery for a high-grade endometrial adenocarcinoma. At laparotomy an extensive peritoneal nodular fibrotic reaction was present, raising the clinical possibility of metastatic disease; however, intraoperative frozen section reported this as a mesothelial reaction. Cytologic examination of peritoneal washings revealed cohesive clusters of reactive-appearing mesothelial cells, some with papillary morphology, and no evidence of adenocarcinoma. The peritoneal biopsies showed no metastatic carcinoma. The endometrial tumor was an endometrioid adenocarcinoma. CONCLUSION: The cytologic diagnosis of WDPM may be difficult because it is an uncommon entity and there are overlapping features with other neoplastic and nonneoplastic lesions of the female genital tract and peritoneum. Compounding this, WDPM may occur in association with other neoplasms. We highlight the potential for surgical and pathologic misinterpretation of this entity. PMID- 21053543 TI - Fine needle aspiration biopsy for the diagnosis of thymoma metastatic to the breast: a case report. AB - BACKGROUND: Extrathoracic metastases of thymomas are extremely rare, with only 9 documented cases in the cytologic literature, and a thymoma metastasis to the breast has not been reported before. Here we report the cytologic findings on fine needle aspiration biopsy (FNAB) for the diagnosis of a case of thymoma metastatic to the breast. CASE: A 73-year-old woman presented with a palpable mass in the right breast. A sonogram revealed a discrete, hypoechoic nodule in the upper inner quadrant of the breast. She had a history of mediastinal thymoma 2 years earlier, which was treated with chemotherapy because of inoperability. FNAB was performed: the cytologic specimen showed epithelial cell clusters with a few entrapped lymphocytes, suggestive of metastatic thymoma. However, the differential diagnosis also included a primary malignancy such as poorly differentiated ductal carcinoma or medullary carcinoma of the breast. Excisional biopsy with additional immunohistochemical staining confirmed the thymoma metastasis. CONCLUSION: An FNAB-based diagnosis of this rare entity can be an appropriate, simple and minimally invasive procedure in the right clinical setting and with the appropriate patient history. PMID- 21053544 TI - Invasive micropapillary carcinoma of the colon in ascitic fluid: a case report. AB - BACKGROUND: Invasive micropapillary carcinoma (IMPC) is defined as having characteristic pathologic features of small papillary cell clusters surrounded by lacunar spaces and is known as an aggressive variant in advanced stages due to the high incidence of lymph node metastasis. IMPC has been well described in other organs, including the breast, urinary bladder and lung but has been rarely described in the large intestine. To our knowledge, this is the first report of the cytology of colorectal lesion of IMPC in the English-language literature. CASE: A 64-year-old woman presented with abdominal pain, nausea and constipation. Ascitic fluid cytology showed adenocarcinoma with papillary features, and a colectomy specimen showed IMPC. The cytologic features of this case were characterized by small papillary clusters with a smooth surface showing peripherally located cytoplasm with a rare central lumen, as "inside-out" cell clusters; these findings suggested IMPC. Differentiation from adenocarcinoma of other organs may be difficult, but immunohistochemical profiles suggested a colorectal origin; it was positive for CK20 and negative for CK7. CONCLUSION: IMPC has a distinctive cytomorphologic appearance, and this entity can be suggested from ascitic fluid cytology. PMID- 21053545 TI - Infantile hepatic hemangioendothelioma: report of a case with fine needle aspiration findings. AB - BACKGROUND: Infantile hepatic hemangioendothelioma is a rare tumor. Cytologic and histologic differentiation from other hepatic tumors is necessary to determine appropriate therapy. Fine needle aspiration cytology of this rare tumor has not been reported in the literature before. CASE: A 5-month-old female infant presented with hepatomegaly. Computed tomography revealed numerous nodules occupying the entire liver parenchyma that were considered to be metastatic tumors. However, the primary tumor was not detected. Cytology smears revealed numerous clusters of and isolated, benign-looking, cuboidal, round cells that were diagnosed as benign tumors, and a laparotomy was advised. Histology of the nodules showed infantile hepatic hemangioendothelioma. The patient is alive and undergoing supportive therapy. CONCLUSION: A vascular lesion should be considered in a fine needle aspiration biopsy of liver masses in infants, especially when the clinical features are not consistent with a metastatic malignancy. Immunoreactivity for factor VII-related antigen, CD34 and cytokeratin-7 is helpful in establishing the diagnosis. PMID- 21053546 TI - Cutaneous needle track seeding of mesothelioma diagnosed by fine needle aspiration cytology: a case report. AB - BACKGROUND: Diagnostic and therapeutic procedures for mesothelioma require surgical biopsy or the usage of different-sized needles. Thoracic wall involvement along the surgical or needle tracks has been reported. CASE: A 57 year-old woman who had suffered from non-Hodgkin's lymphoma complained of dyspnea and left pleural effusion. The patient had been treated with chemotherapy and radiotherapy and was in remission since then. Thoracentesis was performed using a 22-gauge needle; the cytologic diagnosis was malignant pleural mesothelioma. Within 2 weeks from thoracentesis, the patient complained of an erythematous swelling in her left chest wall, in the area of the needle track. Fine needle aspiration cytology (FNAC) of the swelling was performed using a 23-gauge needle; 2 smears and a cell block were prepared. Smears showed neoplastic cells in sheets and papillary configuration with the features of mesothelial lineage. Immunocytochemistry showed positivity for calretinin and vimentin. Cytologic slides of the former effusion showed an overlapping of the cytologic and immunocytochemical features. A diagnosis of chest wall involvement from mesothelioma was established and histologically confirmed. CONCLUSION: Chest wall infiltration is a definite risk in the management of pleural mesothelioma, and FNAC is a useful procedure for a timely diagnosis of this ominous complication. PMID- 21053547 TI - Fine needle aspiration cytology of metastatic renal cell carcinoma of the left breast in a woman with a known right breast primary: a case report. AB - BACKGROUND: Metastatic tumors in the breast from extramammary primary malignancies are uncommon, and the kidney has been the site of a primary in very rare cases. CASE: Fine needle aspiration cytology and immunohistochemical findings in a 70-year-old woman are described in whom a left-sided breast mass from a metastatic clear cell (conventional) carcinoma of the kidney was diagnosed due to unusual cellular findings. A few years earlier, the patient had a right sided primary breast carcinoma for which a lumpectomy with clear margins had been done. Also, since on comparison the cell pattern of the breast primary did not match the morphology of the clear cell tumor on the left side, further immunohistochemical staining was undertaken on sections of cell blocks and smears from the aspirate and confirmed positivity for oil-red-O, CD10, renal cell carcinoma (RCC) marker and AE1/AE3 and negativity for ER, PR, CK7, HER2/neu and mucus, which favored the diagnosis of RCC. Furthermore, the ER, PR, CK7, HER2/neu were positive in sections of the primary breast carcinoma, while the staining for CD10, RCC marker and AE1/AE3 were negative. On further inquiry following cytodiagnosis, it was found that a few years earlier the patient had had a left nephrectomy for a clear cell carcinoma. CONCLUSION: The findings emphasize a cautious approach in interpreting cytologic findings in aspirates with unusual cell features that do not resemble those of a primary breast carcinoma and warrant further workup using selective immunohistochemical stains, which can be useful in resolving the diagnostic dilemma of distinguishing a primary from a metastatic carcinoma. PMID- 21053548 TI - Diagnosis of carcinosarcoma metastatic to the umbilicus by fine needle aspiration biopsy: a case report. AB - BACKGROUND: Metastasis to the umbilicus, known as Sister Mary Joseph's nodule, sometimes represents the first clinical manifestation of an internal malignancy. These nodules are infrequent, and their discovery has prognostic implications. CASE: An 86-year-old woman presented with an ultrasound diagnosis of peritoneal carcinomatosis and the presence of a round lesion in the umbilical area. A fine needle aspiration biopsy was performed. The cytologic smears showed a biphasic neoplasm composed of malignant epithelial cells with a glandular appearance and randomly scattered spindle cells in a necrotic base. Immunohistochemical staining was performed to confirm the diagnosis. CONCLUSION: After an extensive search of the literature, we discovered that this is the first report of a metastatic carcinosarcoma diagnosed by fine needle aspiration biopsy. The diagnosis of carcinosarcoma requires a clear definition of the 2 components, which is why immunohistochemical staining is very useful. The presence of an umbilical nodule should raise suspicion of an underlying malignant neoplasm. Needle aspiration biopsy should be considered as the most effective, simple, uncomplicated method of diagnosing these lesions. PMID- 21053549 TI - Primary extranotochordal cervical chordoma masquerading as a thyroid neoplasm: a case report. AB - BACKGROUND: Chordoma is a rare malignant neoplasm of notochord origin that occurs along the craniospinal axis, and extranotochordal chordoma is extremely unusual. An aberrant origin of this neoplasm can pose diagnostic pitfalls. We report a case of primary cervical chordoma of extranotochordal origin clinically presenting as a thyroid neoplasm. The cytomorphologic features of chordoma and its cytologic differential diagnoses are discussed. CASE: An 82-year-old man presented with hoarseness of voice and difficulty in swallowing. He had a mass in the anterolateral aspect of the right side of the neck. Magnetic resonance imaging of the neck with contrast study revealed an ill-defined, contrast enhancing tumor mass located in the right retrolaryngeal and parapharyngeal space at the level of C4, invading the perithyroidal soft tissue. Aspiration biopsy cytology of the tumor demonstrated epithelial-like cells with varied cytomorphologic features causing differential diagnostic problems with thyroid and soft tissue. However, the diagnosis of extranotochordal chordoma was established by the subsequent histologic examination of the excisional biopsy specimen. CONCLUSION: Since chordoma is capable of exhibiting a spectrum of cytomorphologic characteristics and can have cytologic features overlapping with other malignant neoplasms, a high index of suspicion is crucial to make an accurate diagnosis on fine needle aspiration biopsy. This case highlights the occurrence of a rare neoplasm at an aberrant site and the diagnostic challenges that can pose. However, awareness of the abnormal locations and characteristic cytomorphologic features of chordoma together with radiologic findings will usually keep the cytopathologist from making a wrong diagnosis. PMID- 21053550 TI - Lipid-rich variant of pancreatic endocrine neoplasms: a case report. AB - BACKGROUND: Pancreatic endocrine neoplasms (PENs) are a well-defined and well characterized group of tumors. We report a rare variant of PENs called a lipid rich variant and elaborate on its cytologic features with reference to fine needle aspiration (FNA). CASE: The patient was a 74-year-old man with a 2.1-cm mass in the body of the pancreas. The FNA showed numerous cells with vacuolated, foamy cytoplasm, mostly arranged individually but also in some apparently cohesive clusters. The nuclei were quite uniform. Many of the cells had the appearance of histiocytes. The cells in the cell block were immunoreactive (positive) for cytokeratin AE1/AE3, synaptophysin, and chromogranin A. Some admixed histiocytes stained for CD68. A diagnosis of PEN was made, with a suspicion for lipid-rich variant. An elective resection of the tumor was performed, which confirmed the diagnosis of lipid-rich variant of PENs. Lipid rich variant of PENs can present a diagnostic dilemma on FNA. CONCLUSION: Its mimickers include adrenal cortical carcinoma, metastatic clear-cell renal cell carcinoma, clear-cell PEN, foamy gland pattern of pancreatic ductal carcinoma, solid pseudopapillary tumor, and acinar cell carcinoma. The distinguishing morphologic and immunohistochemical features of each are described. PMID- 21053551 TI - Epithelial-myoepithelial carcinoma in the hard palate: a case report. AB - BACKGROUND: Epithelial-myoepithelial carcinoma (EMC) is an uncommon salivary gland tumor. CASE: EMC arising from the minor salivary gland of the hard palate is very rare. A 70-year-old man presented with a nodular swelling in the hard palate. Fine needle aspiration cytology revealed biphasic epithelial (small cell) and myoepithelial (large/clear cell) clusters in a pseudopapillary and trabecular pattern. The cytology was reported as salivary gland neoplasm. The mass was excised and the histomorphology was suggestive of a low grade EMC. Immunohistochemistry demonstrated the biphasic nature of the tumor and confirmed the diagnosis of EMC. Follow-up for 2 years post surgery to date did not show any recurrence or metastases. CONCLUSION: Though exact cytologic typing of EMC was not possible; this case highlights the importance of awareness of this tumor in the differential diagnosis of biphasic tumors of the salivary gland. PMID- 21053552 TI - Potential misdiagnosis of angioimmunoblastic T-cell lymphoma with Hodgkin's lymphoma: a case report. AB - BACKGROUND: Angioimmunoblastic T-cell lymphoma (AITL) is an aggressive neoplasm. We investigated the potential utility of touch imprints evaluated in conjunction with the histology of lymph nodes in the diagnosis of AITL. CASE A 58-year-old man presented with generalized lymphadenopathy, splenomegaly, and autoimmune phenomena, which complicated the diagnosis. Touch imprints were obtained from the lymph node biopsy, which were valuable in making the correct diagnosis. The cytologic and microscopic features of these imprints and lymph node samples showed a heterogeneous population of hematolymphoid cells, including small to intermediate lymphoid cells, immunoblasts, plasma cells, dendritic cells, and eosinophils, as well as small vessels that were surrounded by some of the abnormal cells. Neoplastic cells stained positive for CD3, CD4, and CD5. Isolated immunoblasts stained with CD20 and CD30. CONCLUSION: We draw attention to this neoplastic diagnosis and correlate the cytomorphologic and immunohistochemical findings with the adequate clinical setting in order to avoid misdiagnosis, primarily with Hodgkin's lymphoma and reactive hyperplasia. Touch imprints are useful in the diagnosis of AITL if the broad population of proliferating cells is distinguished. However, some cases display binucleated or mononucleated cells with prominent nucleoli and many eosinophils, which may induce a potential misdiagnosis with Hodgkin's lymphoma. PMID- 21053553 TI - Neoplastic ascites in osteosarcoma: a case report. AB - BACKGROUND: Osteosarcoma is a malignant tumor of connective tissue whose tumor cells produce bone tissue. It can be classified as osteoblastic, chondroblastic, or fibroblastic, according to the predominant histologic type of cells. Its dissemination is hematogenous, and the lungs are the most frequent site of clinically evident metastasis. Extrapulmonary metastases are rare and more frequently diagnosed at necropsy. We present a case of osteosarcoma with peritoneal dissemination that developed neoplastic ascites. CASE: A 46-year-old patient came to the hospital with a 4-month history of lumbar pain and weakness in the lower limbs. Computed tomography showed blastic lesions in the L3 vertebral body. Surgical resection and histologic analysis revealed a mixed osteoblastic and chondroblastic osteosarcoma. After only one session of chemotherapy, the patient presented a marked clinical worsening with extensive metastatic dissemination and occurrence of voluminous ascites. The cytologic examination of the ascitic fluid demonstrated frequent poorly differentiated tumor cells. The patient died a little more than 2 months after the diagnosis. CONCLUSION: This case is the only report of osteosarcoma primarily focused on the vertebral column affected by peritoneal metastasis shown by cytologic examination of ascitic fluid. PMID- 21053554 TI - Solid variant of alveolar rhabdomyosarcoma in the head and neck region: a case report of a diagnostic dilemma in a head and neck fine needle aspiration clinic. AB - BACKGROUND: Alveolar rhabdomyosarcoma (ARMS) is one of the major categories of rhabdomyosarcomas; it encompasses malignant tumors of striated muscle and occurs more frequently in the extremities. It is uncommonly reported in young adults and extremely rarely found in middle-aged and elderly patients. CASE: A 54-year-old man presented to a rapid head and neck clinic with a history of rapid enlargement of neck lumps on the right side of his neck over a period of 5 weeks. The diagnosis of an undifferentiated malignant small round cell tumor was made from cytologic examination of the aspirated sample, and biopsy of the lesion was advised. On histologic analysis, diagnosis of solid variant of ARMS was made. CONCLUSION: A solid variant of ARMS in an older population has not been published in the literature within the settings of a rapid head and neck clinic. Therefore, the remote possibility of this diagnosis should be considered in the differential diagnosis of a malignant, round cell tumor in fine needle aspiration cytology in an older patient's neck lump. PMID- 21053555 TI - Clinically unsuspected thyroid involvement in cysticercosis: a case report. AB - BACKGROUND: Disseminated cysticercosis is rare in humans. This case highlights the utility of fine needle aspiration (FNA) in diagnosis of cysticercosis in an unusual site. CASE: A 28-year-old woman underwent FNA from a midline swelling in the neck, clinically suspected to be a nodular goiter or thyroiditis. Giemsa stained smears revealed a mixed inflammatory infiltrate, foreign body giant cells, and an occasional epithelioid cell granuloma. Thick colloid and occasional clusters of follicular cells were seen. In addition, a single large hooklet, characteristic of cysticercus, and a few calcospherules were also present. Further workup revealed subcutaneous nodules on both thighs, and cystic lesions were detected in the thyroid, brain, and lungs. FNA from a subcutaneous swelling confirmed cysticercosis. A final diagnosis of disseminated cysticercosis involving the thyroid gland was made. CONCLUSION: FNA is a useful procedure to identify parasitic lesions even in unusual sites and in clinically unsuspected cases. PMID- 21053556 TI - Primary schwannoma of the thyroid gland: a case report. AB - BACKGROUND: Primary schwannomas of the thyroid gland are extremely rare. These tumors most often present as asymptomatic solitary neck masses, slow growing and rarely malignant. Only 15 cases of intrathyroidal schwannoma have been reported in the literature, and few have been reported with fine needle aspiration (FNA) cytology. CASE: A 14-year-old male patient presented with an asymptomatic left intrathyroidal mass. Radiologic studies revealed a circumscribed, marked hypoechoic mass with cystic portions in the left lobe of the thyroid gland on ultrasonography, pushing the trachea toward the right, visible side on computed tomography. FNA cytology of the mass exhibited a paucicellular smear composed of predominantly round to oval-shaped cells and occasional ovoid to spindle-shaped cells in loosely cohesive aggregates. On pathologic examination, the mass measured 60 x 45 x 30 mm and consisted of spindle-shaped cells arranged in fascicles in a whorling pattern in association with alternating hypercellular and hypocellular areas. CONCLUSION: Awareness of and understanding of the cytopathologic and radiologic characteristics of this entity are helpful in preoperative evaluation and correct treatment of intrathyroidal schwannoma. PMID- 21053557 TI - Cutaneous sarcoidosis in a woman with chronic hepatitis C and interferon therapy: a case report. AB - BACKGROUND: Sarcoidosis is an autoimmune disease of unknown origin characterized by the presence of noncaseating epithelioid cell granulomas in multiple organs. Sarcoidosislike lesions have been reported in patients who have a history of chronic hepatitis C with interferon treatment. CASE: A case of cutaneous sarcoidosis, clinically presenting with multiple skin nodules, occurred in a woman with a history of relapsed chronic hepatitis C with interferon therapy. Fine needle aspiration biopsy and excisional biopsy showed ill-defined, noncaseating granulomas. On further investigation, the patient was found to have systemic sarcoidosis. Special stains for infectious etiologies were negative. These findings were compatible with morphologic and clinical features seen in sarcoidosislike lesion induced by interferon. CONCLUSION; A nonnecrotizing granulomatous lesion in subcutaneous tissue might have many differential diagnoses, particularly in a cytology specimen. In this condition, understanding of clinical manifestations is critical for diagnosis. It helps practicing cytopathologists to be aware of this phenomenon of cutaneous sarcoidosis occurring in patients with chronic hepatitis C and interferon or antiviral therapies. PMID- 21053558 TI - Imprint cytology in diagnosing-primary non-Hodgkin's lymphoma of the breast during intraoperative frozen consultation: a case report. AB - BACKGROUND; Primary non-Hodgkin's lymphoma of the breast is uncommon among primary malignant breast tumors. Here we present a case diagnosed as primary breast lymphoma with imprint cytology during intraoperative frozen consultation. CASE: A 67-year-old woman presented with a palpable, non-tender mass in her left breast that she had had for 2 weeks. The mammogram and ultrasound studies revealed 1 lobular mass in the left breast without axillary lymphadenopathy. Imprint cytology helped diagnose malignant lymphoma of the breast, preventing radical surgery. CONCLUSION: Frozen artifact may obscure the evaluation of cytomorphology during intraoperative consultation. Imprint cytology may help due to less artifact with the cytologic details. Primary breast lymphomas should be considered in the differential diagnosis of breast tumors. The current literature recommendation of intermediate to high grade lymphoma is combined partial mastectomy followed by chemotherapy with local radiotherapy. PMID- 21053559 TI - Cerebrospinal fluid cytologic findings of a pleomorphic xanthoastrocytoma: a case report. AB - BACKGROUND: Pleomorphic xanthoastrocytoma (PXA) is a rare astrocytic neoplasm with a relatively favorable prognosis. Characteristic histologic features include pleomorphic tumor cells and lipidized cells expressing glial fibrillary acidic protein (GFAP), corresponding to a World Health Organization grade 2 tumor. Cytologic features of PXA have been rarely described, only in squash specimens, but only 2 cases are reported in cerebrospinal fluid (CSF). CASE: A 45-year-old woman complained of severe headaches and diplopia. Computed tomography of the central nervous system revealed a supracallous periventricular tumor mass suggestive of either a lymphoma or a metastatic carcinoma. CSF revealed 18 cells/mm3 and contained numerous tumor cells highly pleomorphic in size and shape. Some atypical cells of moderate size were closely packed with well-defined cytoplasmic limits and a vacuolated appearance, suggesting an epithelial proliferation. On immunocytochemistry atypical cells were positive for GFAP, S100 protein and synaptophysin but were negative for pancytokeratins and epithelial membrane antigen. A primitive glial proliferation was found, and paraffin embedded tumor tissue obtained by biopsy confirmed the diagnosis of anaplastic PXA. CONCLUSION: Observation of PXA in CSF might cause some differential diagnosis problems, especially with a metastatic epithelial malignancy. We present a case of anaplastic PXA with an unusual periventricular location and its cytologic features in CSF. PMID- 21053560 TI - Cytomorphology of hibernoma: a report of 2 cases. AB - BACKGROUND: Hibernoma, a benign neoplasm of the brown fat, clinically and radiologically can mimic a lipoma or liposarcoma, especially in the retroperitoneum. As treatment modalities vary, an accurate preoperative diagnosis may help the surgeon to plan treatment. Hence cytologic features of 2 cases of hibernoma are described. CASES: Two middle-aged men diagnosed clinically as having lipomas were subjected to fine needle aspiration (FNA). Smears revealed typical hibernoma cells with a minor percentage of eosinophilic cells and mature adipocytes. CONCLUSION: FNA is a highly sensitive and specific tool for the diagnosis of hibernoma. PMID- 21053561 TI - Extraovarian peritoneal serous papillary carcinoma: a case report. AB - BACKGROUND: Extraovarian peritoneal serous papillary carcinoma (EPSPC) is a rare cancer closely related to ovarian carcinoma and characterized by abdominal carcinomatosis without an identifiable abdominal primary tumor. CASE: A woman presented with ascites. She had an elevated serum CA-125. Peritoneal carcinomatosis without involvement of the ovaries was found. Paracentesis of the ascitic fluid resulted in a positive cytologic report not further suggestive of the malignancy origin, balancing between a mesothelioma and an adenocarcinoma. The histologic and immunohistochemical study of peritoneal biopsy specimens resulted in the diagnosis of EPSPC. CONCLUSION: The combination of cytology, histology, immunohistochemistry and clinical data is a reliable method for the preoperative diagnosis of EPSPC, allowing prompt chemotherapy as surgery may not be indicated in most cases. PMID- 21053562 TI - Fine needle aspiration cytology of a cutaneous metastasis from an extraadrenal paraganglioma: a case report. AB - BACKGROUND: Pheochromocytomas/paragangliomas are rare tumors originating from neuroectodermic cells, which metastasize in only 10% of cases. CASE: A case of cutaneous metastasis of an extramedullary paraganglioma was diagnosed by fine needle aspiration cytology (FNAC). A 39-year-old woman complained of a recently arisen subcutaneous nodule located on the scalp. Two years before she had suffered from a sporadic extraadrenal paraganglioma located on the sacrum, and it had been evaluated by FNAC. Smears were highly cellular and monomorphous; the cells were uniform and mainly isolated with round or ovoid nuclei, dense chromatin and inconspicuous nucleoli, if any. The cytoplasm was ill defined, clear or granular. Oval-spindle-shaped cells and occasional cells with larger nuclei were also observed. Abundant fibrillar material was present in the background, intermingled with the surrounding cells. The immunocytochemical staining performed on cell block sections showed negativity for CK20, HMB45 and LCA and positivity for chromogranin and S100. The cytologic diagnosis was a neuroendocrine tumor, consistent with a metastasis from the former sacral paraganglioma. CONCLUSION: On conventional samples the cytologic features of paraganglioma are typical enough to allow a cytologic diagnosis of a neuroendocrine tumor; this neoplasm should be considered in the differential diagnosis, even in such unusual locations, because of its unpredictable biologic behavior. PMID- 21053563 TI - Metastatic hepatocellular carcinoma mimicking acinic cell carcinoma of the parotid gland: a case report. AB - BACKGROUND: Fine needle aspiration (FNA) is becoming increasingly important in the diagnosis of salivary gland lesions. One of the diagnostic difficulties that arise from FNAs is the distinction between primary and metastatic tumors. We describe a case where a right cheek/parotid mass was originally diagnosed as acinic cell carcinoma (ACC) upon biopsy. Later, an FNA resampling of the mass was diagnosed as hepatocellular carcinoma (HCC), and indeed, a subsequently performed computed tomography scan showed that the patient had a previously unknown liver mass. CASE: A 75-year-old man presented with a pathologic mandibular fracture. An initial needle core biopsy of the lesion showed neoplastic cells with abundant granular cytoplasm and prominent nucleoli and was diagnosed as ACC. The patient shortly thereafter developed an abdominal lesion that upon FNA was found to be cytologically similar to the parotid mass. Immunohistochemical stains showed that the abdominal mass was Hep Par 1 positive, and HCC was diagnosed. An FNA resampling of the parotid lesion was then performed, and stains showed that it was also Hep Par 1 positive. The lesion was rediagnosed as metastatic HCC and not ACC. Radiologic scans of the patient then showed a liver mass as well as multiple bony lesions. CONCLUSION: A right cheek/parotid mass initially diagnosed as ACC was later found to be metastatic HCC. At times, the judicious use of immunohistochemical stains is necessary to distinguish primary salivary gland neoplasias from metastatic tumors. PMID- 21053564 TI - Utility of cell block preparation in endometrial aspiration cytology: a report of 4 cases. AB - BACKGROUND: Endometrial aspiration (EA) cytology is a simple yet highly useful diagnostic procedure for uterine and extrauterine malignancies. CASES: Two postmenopausal women underwent EA following ultrasonographic findings of unilateral hydrosalpinx and fluid in the endometrial cavity. A few atypical glandular clusters were detected on cytologic smears. Sections from formalin fixed, paraffin-embedded (FFPE) cell block preparations revealed fragments of uterine papillary serous carcinoma showing strong positivity of tumor cell nuclei to p53 on immunohistochemistry (IHC). A 78-year-old woman suffering from intestinal Crohn's disease was found to have a cystic lesion of the right adnexa and fluid level in the endometrial cavity on ultrasonography. Acellular, ringlike structures were noted on cytologic smears of the EA. Sections from the FFPE cell block demonstrated the structures to be periodic acid-Schiff-positive Liesegang rings. A 43-year-old woman underwent diagnostic hysteroscopy and EA for prolonged, excessive vaginal discharge. Cytologic features of endometrial cellular clusters were obscured by a dirty background and macrophages. Sections from the FFPE cell block showed normal proliferative endometrium with CD10 positive endometrial stroma, thus ruling out malignancy. CONCLUSION: Conclusion FFPE cell block preparation is a valuable adjunctive tool for EA in the evaluation of endometrial lesions, ensuring excellent quality of preparations for special stains and IHC. PMID- 21053565 TI - Paget's disease of the vulva detected in vulvar and vaginal brushing smears: a case report. AB - BACKGROUND; Vulvar Paget's disease comprises only 1-8% of malignant vulvar tumors. It is associated with an underlying carcinoma in 20-30% of cases. Clinically, it manifests as erythematous areas with hyperkeratotic plaques, accompanied by pruritus. Histologically, it is characterized by large, pale cells with mucicarmine-positive cytoplasm, isolated or in aggregates, in the epidermis. CASE: A 75-year-old woman presented with erythematous plaques covering the entire vulvar, vaginal and perianal area and pruritus. Smears were taken from all 3 sites and stained with Papanicolaou stain. Additionally, biopsies were taken from the vulva, vagina and outer borders of the lesion and were stained with hematoxylin-eosin and also for periodic acid-Schiff stain, CK7, CEA, S-100 and CK20. The cytologic examination revealed numerous round to columnar, moderately enlarged atypical cells, dispersed or in loose groups, with abundant clear cytoplasm, vesicular nuclei and prominent nucleoli. The histologic findings confirmed the cytologic diagnosis. No indication of an underlying adenocarcinoma was found. CONCLUSION: Although the histologic examination of a vulvar lesion is necessary in order to confirm the diagnosis of vulvar Paget's disease and rule out the possibility of an underlying invasive adenocarcinoma, the cytologic examination of vulvar smears is useful for alerting the clinician to the possibility of vulvar Paget's disease. PMID- 21053566 TI - Gaucher-like cells in retroperitoneal extramedullary hematopoietic tumor diagnosed by fine needle aspiration: a case report. AB - BACKGROUND: Extramedullary hematopoiesis (EMH) can present as a diffuse lesion or as a solitary mass. EMH is most commonly seen in patients with hematologic disorders and rarely in asymptomatic patients. The liver, spleen and lymph nodes are the most common EMH sites. However, EMH has also been seen at unusual sites, including adrenal glands, breast, thymus, thyroid, kidney, epididymis, lung, pleura, peripheral nerves and dura mater. The diagnosis of this lesion on fine needle aspiration (FNA) smears has rarely been described. CASE: A 20-year-old man with Cooley's anemia presented with a retroperitoneal tumor for the past 3 months that was clinically diagnosed as malignant. Ultrasonographically guided FNA cytology showed bone marrow cells composed of myelocytes, lymphocytes, erythroblasts and megakaryocytes, which were consistent with an extramedullary hematopoietic tumor. Histologic sections of the excised tumor confirmed the FNA diagnosis. Interestingly, Gaucher-like cells were frequently seen in the smears. CONCLUSION: The FNA findings of EMH can be confused with those of inflammatory pseudotumor, Hodgkin lymphoma, myeloproliferative disorders and granulocytic sarcoma. Clinical history can be helpful for interpreting FNA findings in an EMH diagnosis. PMID- 21053567 TI - Diagnosis of hidradenoma papilliferum of the vulva on cytologic smears: a case report. AB - BACKGROUND: Hidradenoma papilliferum is a rare benign tumor of the vulva that occurs mainly in women in the skin of the anogenital region. Clinically it may mimic a carcinoma, so the correct cytologic interpretation of the tumor cells with subsequent careful histologic confirmation is essential for good management. CASE: A 44-year-old woman who had a history of hysterectomy 14 years earlier presented with a small, exophytic growth on the labia majora. Fine needle aspiration cytology and vulvar scraping of the lesion were reported as a malignant tumor, and the case was referred to our center for further management. Repeat vulvar smears showed mainly groups and clusters of benign-looking glandular cells and were interpreted as a benign tumor. Subsequent histology confirmed the diagnosis of hidradenoma papilliferum. CONCLUSION: This case highlights the cytologic features of hidradenoma papilliferum on cytologic smears. The clinical presentation should not mislead the cytologic interpretation of the tumor cells. PMID- 21053568 TI - Medullary thyroid carcinoma, small cell variant, as a diagnostic challenge on fine needle aspiration: a case report. AB - BACKGROUND: The small cell variant of medullary thyroid carcinoma (SCV-MTC) is a very unusual tumor that carries a poor prognosis. This tumor type closely resembles small cell pulmonary neuroendocrine carcinoma or lymphoma. CASE: A 43 year-old woman had a palpable mass on the right side of her neck. A fine needle aspiration biopsy (FNAB) revealed a hypercellular lesion composed mainly of isolated, small, round cells with salt-and-pepper chromatin interspersed with inconspicuous deposits of fluffy acellular material. A diagnosis of "malignant tumor, consistent with small cell carcinoma, metastasis not excluded" was rendered during the on-site rapid cytologic examination. Immunostaining showed a few isolated cells reactive for calcitonin, intense and diffuse immunoreactivity for carcinoembryonic antigen, dotlike positivity for chromogranin and cytokeratin, and negativity for thyroglobulin. Congo-red staining was positive in the acellular deposit, consistent with SCV-MTC. Subsequent total thyroidectomy confirmed this diagnosis. CONCLUSION: The wide range of cytomorphologic features of MTC can be misleading on FNAB and can be a diagnostic challenge. Congo-red staining and immunoreactivity for calcitonin can be negative in this variant. Consequently, salt-and-pepper chromatin, Congo-red staining and a panel of antibodies comprising calcitonin, carcinoembryonic antigen, chromogranin, cytokeratin, leukocyte common antigen and thyroglobulin are mandatory for the correct diagnosis. PMID- 21053569 TI - Unique cytomorphologic features of Brenner tumor of the ovary: a case report. AB - BACKGROUND: Breast carcinomas are very well known to metastasize to the ovary. Hence, it is justified to think of an ovarian mass, in a known case of breast carcinoma, as a metastasis from the same. CASE: A 70-year-old woman underwent ultrasound-guided fine needle aspiration cytology (FNAC) from a unilateral ovarian mass to confirm its nature. Cytosmears were highly cellular and showed cohesive clusters of small to intermediate-sized cells with bland nuclear chromatin and moderate cytoplasm in a background of mucinous material. A unique feature was the presence of microcystic spaces, around which the cells were arranged in an orderly fashion. Only occasional nuclear grooves were seen; however, they were helpful in suggesting the diagnosis of Brenner tumor. The subsequent histopathology specimen confirmed the diagnosis, with an excellent cytohistologic correlation. CONCLUSION: There is very limited experience with the FNAC findings of this tumor, and it is usually a diagnostic dilemma for the cytopathologist. This case highlights certain unique cytomorphologic features that may aid in diagnosis on cytology alone. PMID- 21053570 TI - Pancreatic solid-pseudopapillary neoplasm: report of a case in an elderly man with cytologic-histologic correlation. AB - BACKGROUND: Solid-pseudopapillary neoplasms of the pancreas are rare and unusual tumors, occurring mostly in young women. Their cytologicfeatures are well described in textbooks; however, published reports still show some cytologic findings overlapping with pancreatic endocrine neoplasms and demonstrate the important diagnostic role of endoscopic ultrasound-guided fine needle aspiration. CASE: A case of solid-pseudopapillary neoplasm of the pancreas occurred in a 61 year-old man; on cytology it was initially diagnosed as pancreatic endo crine neoplasm. The resection specimen in addition to immunohistochemical stains gave away the diagnosis. CONCLUSION: Endoscopic ultrasound-guided fine needle aspiration remains a valid, minimally invasive procedure in pancreatic cytology. Tumor cells grouped around fibrovascular cores are the most reproducible cytologic finding in these tumors. Immunostains can play an important role when cell block material is available. PMID- 21053571 TI - Cytologic diagnosis of hepatobiliary cystadenoma with mesenchymal stroma during intraoperative consultation: a case report. AB - BACKGROUND: Hepatobiliary cystadenoma with mesenchymal stroma (HCMS) is a rare cystic tumor characterized by a layer of mucinous cuboidal to columnar epithelium situated on top of a basement membrane resting on an ovarian-like stroma. Cytologic features of this entity have not been extensively studied. We present a case of HCMS with emphasis on cytologic material obtained at the time of intraoperative consultation (IOC). CASE: A 51-year-old woman had partial resection of a liver cyst. Seven months later she sought further medical attention and presented for surgical reevaluation and reexcision of the same lesion. Initial computed tomography revealed a multiloculated liver cyst. Five months after reexcision the lesion recurred and was again excised. During IOC, scrape cytology revealed both biliary epithelial and mesenchymal stromal cells in a cystic background. Permanent sections showed histologic features of HCMS. To the best of our knowledge, this is the first cytologic description of such a neoplasm to include both epithelial and mesenchymal stromal elements. CONCLUSION: The use of scrape cytology during IOC can be a fast and effective way of identifying both the epithelium and mesenchymal stroma when HCMS is in the differential diagnosis of a cystic liver lesion. PMID- 21053572 TI - Fine needle aspiration of metastatic adult granulosa cell tumor of the ovary: a case report. AB - BACKGROUND: Granulosa cell tumors (GCTs) of the ovary are low grade ovarian malignancies with a predilection for late recurrences in the pelvic cavity and occasionally distant metastases. Fine needle aspiration (FNA) can be used to detect such recurrences accurately based on unique cytologic and immunohistochemical features. CASE: A 5-cm, retrocaval mass at the level of the kidneys was detected in a 63-year-old woman who had a diagnosis of adult GCT (AGCT) of the ovary 18 years earlier and a local recurrence 5 years prior to this admission. The FNA of the retrocaval mass displayed small tumor cells with scant cytoplasm arranged in small aggregates and isolated cells. Coffee bean-like longitudinal nuclear grooves and microfollicular structures with amorphous material resembling Call-Exner bodies were not readily identified. Cytohistologic correlation with the patient's previous biopsies and positive immunohistochemical staining reaction with estrogen receptor, progesterone receptor and inhibin confirmed the recurrence of AGCT, thus avoiding unnecessary surgical interventions for diagnostic purposes. CONCLUSION: For accurate interpretation of cytologic findings of recurrent GCT, proper clinical history, cytohistologic correlation with prior biopsies and a positive immunohistochemical reaction with inhibin are of vital importance. PMID- 21053573 TI - "Cellular swirls" and similar structures on fine needle aspiration cytology as diagnostic clues to papillary thyroid carcinoma: a report of 4 cases. AB - BACKGROUND: "Cellular swirls" are one of the recently recognized criteria for diagnosing papillary thyroid carcinoma (PTC). Following its initial description, no publications have appeared in the literature. CASE: Thyroid aspirates from 4 adult patients, 3 with a clinical diagnosis of PTC and 1 diagnosed as nodular goiter were studied. Cytologically, all 4 cases showed classic features of PTC. In addition to the characteristic cytomorphology of PTC, all 4 cases showed cellular swirls, which are a newly recognized diagnostic criterion of PTC. Also seen were structures that partially resembled cellular swirls. These latter structures were found to be more prominent in the aspiration smears than the characteristic swirls and, therefore, we strongly think that even the partical swirls with contiguous cellular sheets exhibiting similar nuclear morphology are also useful in prompting a search for "complete swirls" that are thought to be specific for PTC. CONCLUSION: Cellular swirls and similar structures seen on fine needle aspiration cytologic smears can serve as useful features in the diagnosis of PTC. PMID- 21053574 TI - Primary prostatic Wilms' tumor: a case report. AB - BACKGROUND: Extrarenal Wilms' tumors (EWTs) are very rare, and a single case of prostatic EWT has been reported in the English-language literature. CASE: A 46 year-old man presenting with lower urinary tract symptoms was diagnosed with a prostatic tumor histologically proven to be a EWT. CONCLUSION: During the evaluation of a patient with a prostatic tumor, more common prostatic neoplasms such as adenocarcinoma, transitional cell carcinoma and carcinosarcoma must first be considered. However, the presence of a primary prostatic Wilms' tumor must also be taken into consideration. PMID- 21053575 TI - Fine needle aspiration of a lymph node in an HIV patient with chronic infection by Leishmania: a case report. AB - BACKGROUND: Lymphadenopathy is one of the earliest and commonest manifestations in HIV patients. Fine needle aspiration cytology is an accurate, common procedure in the evaluation of lymphadenopathy in HIV-positive patients. The most frequent etiology of this clinical manifestation, in Western studies, is the presence of reactive hyperplasia due to the HIV itself and infectious diseases with opportunistic agents, namely Mycobacterium. The diagnosis of other microorganisms, such as fungi, helminthes and protozoa, is less likely, and most cases are reported as curiosities. CASE: Chronic visceral leishmaniasis occurred in an HIV-1 patient. Fine needle aspiration biopsy was performed in an axillary lymph node during the course of follow-up. The lymph node aspirates showed numerous macrophages, carrying several intracellular microorganisms (Leishmania amastigotes). CONCLUSION: The cytologic diagnosis offered no major challenge, but the differential diagnosis with other intracellular infectious agents that can also affect HIV patients should always be considered. In this context, we reviewed the HIV patients with lymphadenopathy seen in our hospital and who underwent fine needle biopsy in the last 5 years. From a series of 201 patients and 250 fine needle aspiration biopsy samples, this was the only case of leishmaniasis to date. PMID- 21053576 TI - Peripheral pulmonary papillary adenocarcinoma with prominent cilia: report of a rare case that was difficult to diagnose preoperatively. AB - BACKGROUND: In pulmonary cytology, the existence of cilia is considered cytologic evidence of benign cells because it is generally considered that cilia could not be identified by light microscopic observation of pulmonary adenocarcinoma. However, we encountered a rare exceptional case of pulmonary adenocarcinoma with cilia. CASE: A 55-year-old woman with bloody sputum was admitted. Computed tomography revealed a lung tumor. Although transbronchial brushing cytology showed atypical cells suggestive of malignancy, some atypical cells had cilia, so we could not diagnose them as cancer cells. After antibiotic therapy, the tumor was surgically excised. Imprint cytology showed similar atypical ciliated cells. Histologically, the tumor was diagnosed as papillary adenocarcinoma with cilia and diffuse pleural dissemination was observed. Electron microscopic observation identified cilia. The patient died due to aggravation of cancer, which was confirmed on autopsy. CONCLUSION: This rare case of peripheral pulmonary papillary adenocarcinoma with cilia could not be diagnosed as cancer cell on cytology. Pulmonary papillary adenocarcinoma with cilia should be considered in the differential diagnosis of atypical cells in pulmonary cytology. PMID- 21053577 TI - Atypical teratoid/rhabdoid tumor involving cerebrospinal fluid: a case report. AB - BACKGROUND: Atypical teratoid/rhabdoid tumor (AT/RT) is a rare, aggressive tumor of the central nervous system. It is primarily seen in younger age-groups, and the cytomorphology has only been infrequently described. CASE: We present a case of AT/RT arising in the cervical spine of a 6-month-old boy. The cerebrospinal fluid (CSF) cytology and correlating findings are described. The CSF cytomorphologic findings of the AT/RT cells are most notably large cells, eccentrically placed pleomorphic nuclei, prominent nucleoli and, commonly, cytoplasmic inclusions, as well as a second population of smaller mononuclear cells with minimal cytoplasm. CONCLUSION: The cervical spine is a rare site for AT/RT to arise. It is important for pathologists to recognize the cytomorphologic features of AT/RT in the CSF of patients with this tumor to help determine prognosis and disease progression. PMID- 21053578 TI - Epithelioid variant of a pleomorphic liposarcoma displaying arborizing vascular arrangements on cytology smears: a case report of an interesting cytomorphologic pattern in an uncommon tumor. AB - BACKGROUND: There is limited documentation on cytologic features of liposarcomas, especially pleomorphic liposarcomas (PLPSs), in view of their rarity. CASE: We present cytomorphologic features of an uncommon case of epithelioid variant of a PLPS appearing as a recurrent mass in a young adult man. Fine needle aspiration cytology smears displayed prominent arborizing vasculature with numerous lipoblasts with well-defined vacuolated cytoplasm, indenting the nuclei. In addition, mitoses were conspicuously noted. Biopsy from the scar recurrence and following wide excision showed a multinodular tumor with solid arrangement of tumor cells, separated by delicate vessels. Cells displayed well defined cytoplasmic borders, including several lipoblasts and focal areas of spindly sarcomatous differentiation. Typical and atypical mitoses were conspicuously seen and myxoid areas were absent. Immunohistochemistry showed diffuse positivity for vimentin and S-100; cytokeratin showed weak, focal cytoplasmic positivity. CD34 highlighted the delicate vasculature on tissue sections. Diagnosis of an epithelioid variant of a PLPS was finally offered. CONCLUSION: This rare case describes cytomorphologic features of an epithelioid variant of a PLPS, including prominent arborizing vasculature, a pattern that has invariably been described in a myxoid liposarcoma. Additionally, presence of numerous lipoblasts and mitoses led to the diagnosis of this rare variant. PMID- 21053579 TI - Diagnosis of adult rhabdomyoma by fine needle aspiration cytology: a report of 2 cases. AB - BACKGROUND: Adult rhabdomyoma (ARh) is a rare, benign tumor originating in striated muscle cells. Ninety-three percent of the tumors occur in the head and neck region and sometimes mimic malignant tumors clinically. The preoperative correct diagnosis of this tumor is important as it can help in deciding on management. CASES: We report two cases of ARh diagnosed by fine needle aspiration cytology (FNAC). Case 1 was a 43-year-old male who presented with a recurrent tumor in the region of the floor of the mouth. Case 2 was a 37-year-old male who presented with soft tissue swellings in bilateral submandibular regions. On cytology in both cases, the smears showed numerous large tissue fragments of tumor cells, which were large, polygonal cells with abundant, vacuolated cytoplasm and bland, eccentrically placed nuclei. Characteristic cross-striation and intracytoplasmic inclusions were not conspicuous on cytosmears. However, a primary cytodiagnosis of ARh could be offered based on characteristic morphologic features. CONCLUSION: ARh has characteristic cytomorphologic features, which are described in detail in the present report, and they can help in diagnosing this tumor on FNAC. PMID- 21053580 TI - Chondroid syringoma: a case report. AB - BACKGROUND: Chondroid syringoma is a benign skin adnexal tumor. The reported incidence of chondroid syringoma among primary skin tumors is low and has been reported at 0.01-0.098%. CASE: A 57-year-old woman presented with a 10-year history of a slowly growing lump on her philtrum. Fine needle aspiration cytology was performed. The smears showed cohesive groups of round cells embedded in a chondromyxoid ground substance. A diagnosis of benign appendageal tumor of the skin was made. Surgical excision of tumor was done. Histopathologic examination was consistent with chondroid syringoma. CONCLUSION: Chondroid syringoma should be included in the differential diagnosis of a slowly growing nodule on the head or neck. The diagnosis can be confirmed by means of fine needle aspiration cytology. The treatment of choice is local excision. PMID- 21053581 TI - Cervical large cell neuroendocrine carcinoma with cytologic presentation: a case report. AB - BACKGROUND: Large cell neuroendocrine carcinoma (LCNEC) is a rare and aggressive cervical neoplasm. Few cytologic or colposcopic findings of LCNEC have been reported. CASE: A 37-year-old woman, gravida 6, para 4, presented with vaginal bleeding for 3 months. The cervical smears showed cells dispersed as single cells or arranged as loosely cohesive sheets or glandlike aggregate and the nuclear size was almost 3-5 times larger than that of small lymphocytes. The cytologic, pathologic and immunohistochemical examinations suggested LCNEC. The patient underwent a radical hysterectomy and then received radiation and systemic chemotherapy. CONCLUSION: Cytologic and colposcopic findings for LCNEC of the uterine cervix are reported. Patients with LCNEC have poor prognoses. Early diagnosis of the tumor is important. PMID- 21053582 TI - Cytomorphologic spectrum of mixed pituitary adenoma-gangliocytomas: a report of two cases. AB - BACKGROUND: Mixed pituitary adenoma-gangliocytomas are rare tumors with a broad morphologic spectrum. Smear cytology is a useful tool for recognizing these tumors in an intraoperative setting. CASES: The patients were 45 and 30 years old, and both presented with headache. Intraoperative smears in both cases showed a tumor composed of adenomatous and neuronal elements, in varying proportions. The first case had sheets of monotonous neuroendocrine-type cells with occasional interspersed ganglion cells. The second case, however, had a prominent fibrillary background and was predominantly neurocytic, with a mixture of large ganglion like cells, intermediate cells, and only rare adenomatous cells. CONCLUSION: The diagnostic features of mixed pituitary adenoma-gangliocytomas can be recognized on intraoperative smear preparations. Smear preparations are often more useful than frozen sections because freezing artifacts may mask one of the two components of the tumor. The proportion of adenomatous and neuronal elements can vary widely from case to case. Careful search for a neuronal component should be made, especially if there is a clinical history of a pituitary adenoma showing incomplete response to hormonal therapy. PMID- 21053583 TI - Cytohistomorphologic features of malignant fibrous histiocytoma of the breast: a case report. AB - BACKGROUND: Malignant mesenchymal tumors constitute < 1% of all malignant neoplasms of the breast. These include pure primary sarcoma of the breast, metastatic sarcoma and sarcomatous patterns in metaplastic carcinomas. On fine needle aspiration cytology (FNAC), the cellular features can suggest the diagnosis of sarcoma of the breast, although the potential exists for confusion with very poorly differentiated carcinoma. CASE: A case of recurrent primary malignant fibrous histiocytoma (MFH) occurred in the breast in a 32-year-old woman. The patient presented with a fungating mass in the right breast. FNAC was suggestive of malignant mesenchymal tumor, most probably MFH. The diagnosis was confirmed on biopsy. CONCLUSION: Malignant fibrous histiocytoma of the breast is a rare entity, and a complete clinicopathologic correlation with immunohistochemical profile is essential to arrive at a correct diagnosis and guide appropriate management of the patient. PMID- 21053584 TI - Cytologic findings of metastatic hepatocellular carcinoma of the nasal cavity: a report of 2 cases. AB - BACKGROUND: Hepatocellular carcinoma metastasizing to the nasal cavity is rare, but nasal bleeding caused by it is difficult to treat. The reason is that a large majority of patients have a bleeding tendency due to liver cirrhosis. Accordingly, early and correct diagnosis is essential. CASES: Case 1, a Japanese man in therapy for C type liver cirrhosis and hepatocellular carcinoma, was diagnosed as metastasis to the bones, and then he was admitted. After hospitalization, he complained of nasal obstruction. Fine needle aspiration biopsy from a tumor occupying nasal and maxillary cavities showed overlapped cells and scattered cells having a round to oval nucleus containing one or a few large nucleoli. The characteristics of cells indicated metastasis of hepatocellular carcinoma. In case 2, a Japanese man under treatment for liver cancer visited our hospital with a complaint of nasal obstruction. In fine needle aspiration biopsy from a mass in the right nasal cavity, cohesive clusters and sparse neoplastic cells similar to those observed in the first case were found. CONCLUSION: Aspiration cytology is useful in the diagnosis and treatment of hepatocellular carcinoma metastatic to the nasal cavity. Finding characteristic cells is important in the diagnosis. Clinical information is sure to be a convincing clue. PMID- 21053585 TI - Extranodal nasal NK/T-cell lymphoma with dissemination to the central nervous system: a case report. AB - BACKGROUND: Lymphomas that infiltrate the nervous system in children correspond to those of precursor B cells, such as lymphoblastic and Burkitt's lymphoma. In adults, infiltration occurs in mature B-cell lymphomas, such as mantle cell lymphoma, and, rarely, in Hodgkin's lymphoma or peripheral NK/T-cell lymphomas. CASE: We report the case of a 48-year-old man, who two years before death was diagnosed with extranodal nasal NK/T-cell lymphoma nasal in the left nostril. He received radiotherapy and a year later presented tumor activity. He also presented infiltration to the bone marrow and underwent chemotherapy. Afterward, he presented paresthesia and paresis of the lower extremities, difficulty walking, loss of sphincter control, and seizures. Infiltration to the central nervous system was revealed by computed axial tomography, and cytologic study of cerebrospinal fluid revealed malignant lymphoid cells; he then received intrathecal chemotherapy. He died 3 months later. CONCLUSION: In Mexico, extranodal nasal NK/T-cell lymphoma occurs frequently. It is highly destructive and tightly related with the Epstein-Barr virus. Infiltration to the central nervous system is rare, and the neoplastic cells can be confused with other tumors, such as metastatic carcinomas. PMID- 21053586 TI - Fine needle cytology and flow cytometry of ectopic cervical thymoma: a case report. AB - BACKGROUND: A case of ectopic cervical thymoma (ECT) in which fine needle cytology (FNC) and flow cytometry (FC) have orientated the cytologic diagnosis, is described. CASE: A 57-year-old woman underwent FNC of a right latero-cervical nodule. The smear showed a dispersed lymphoid-cell population; therefore, a second FNC was used for FC and to prepare a cell block. Smears were highly cellular. Cells were medium or large sized, with scanty cytoplasm and nuclei with dispersed chromatin; large cells showed evident nucleoli. Immunohistochemistry on additional smears were positive for CD45RO and Ki67 in most of the cells, and negative for CK pan, CD20, thyreoglobulin and calcitonin. FC showed the following phenotype: CD2/CD3/CD7 = 67%, CD10 = 61%, CD4/CD8 = 62%. CD19 and light chains were not expressed. A diagnosis of T-cell lymphoid proliferation was made and ECT was suggested; histological diagnosis was cervical ectopic benign type B1 thymoma. CONCLUSION: FC may support the FNC diagnosis of ECT because of the specific phenotype of lymphoid cells showing the profile of "polyclonal" (CD2/CD3/CD7+) and thymic T-cells (CD10+, CD4/CD6+). FNC and FC may suggest the diagnosis of ECT even in the absence of detectable epithelial cells. PMID- 21053587 TI - Recurrent primary hyperphosphatemic tumoral calcinosis: a case report. AB - BACKGROUND: Tumoral calcinosis (TC) is a special form of idiopathic calcinosis that affects adolescents and young adults. It is characterized by extensive nonosseous calcification, especially in the periarticular soft tissue regions of major joints. CASE: A young girl presented with recurrent subcutaneous swellings over both infrascapular regions and left hip. The patient had elevated serum phosphorus levels while the serum calcium levels were normal. The clinical and radiologic features were suggestive of TC, and the same was confirmed by cytologic and histopathologic study. Positive von-Kossa stain further confirmed that the deposits were of calcium and thus the diagnosis of TC. CONCLUSION: TC is a disorder of obscure etiology with typical clinical, radiologic, cytologic and histopathologic features. PMID- 21053588 TI - Cytologic detection of recurrence in extramammary Paget's disease of the vulva: a report of two cases. AB - BACKGROUND: Extramammary Paget's disease of the vulva (EMPDV) is a rare gynecologic malignancy. We examined two cases of EMPDV in which cytologic study led to early detection of disease recurrence. CASES: In case 1, a 71-year-old woman, recurrence was detected with malignant cells from the vaginal Papanicolaou smear a few months after radical surgery for endometrial cancer. An asymptomatic perineal erythematous lesion was identified and diagnosed as EMPDV by biopsy specimen. She underwent curative surgery, but during the follow-up period, malignant cells appeared again in her vaginal Papanicolaou smear, which led to early detection of the recurrent disease that was macroscopically invisible. In case 2, an 80-year-old woman presented with the complaint of perineal pruritus and was diagnosed with EMPDV. Twenty-two months after the curative primary surgery, bilateral groin lymphadenopathies appeared, and the cytologic specimen by fine needle aspiration biopsy from the lymph nodes led to early detection of the recurrence without her experiencing negative side effects such as severe pain. CONCLUSION: Cytologic examination is a simple but efficient diagnostic measure without major negative side effects, provided the procedures are applied adequately and performed correctly. PMID- 21053589 TI - Malignant fibrous histiocytoma like pleomorphic leiomyosarcoma with laryngeal cancer as a second primary neoplasm: a case report. AB - BACKGROUND: Leiomyosarcoma is one of the most common sarcomas arising in the soft tissue and somatic organs. Pleomorphic leiomyosarcoma (P-LMS) may be easily confused with a malignant fibrous histiocytoma (MFH) as both may share nonspecific morphologic features. It is reported that the larynx is the most common site for a second primary neoplasm (SPN) in a patient with a head and neck malignancy, although an SPN of the larynx following a P-LMS is extremely rare. CASE: A 57-year-old male initially underwent fine needle aspiration (FNA) of a soft tissue tumor (STS) located in the left upper arm. FNA showed the presence of clustered, large tumor cells with clear, eosinophilic and ill-defined cytoplasm and pleomorphic nuclei. A diagnosis of an undifferentiated malignant tumor was made. Histology showed the presence of MFH. This diagnosis was changed to P-LMS following a lung metastasis. A laryngeal biopsy 37 months after the initial biopsy was performed and showed squamous carcinoma. This squamous carcinoma was presumed to be an SPN. CONCLUSION: This is the first case report of a patient with a P-LMS who then developed laryngeal squamous carcinoma as an SPN. PMID- 21053590 TI - Cytodiagnostic aspects of bronchioloalveolar carcinoma manifesting with small cell morphology on respiratory specimens: a case report. AB - BACKGROUND: Exfoliation of bronchioloalveolar carcinoma (BAC) cells with small cell morphology in respiratory specimens can cause a diagnostic dilemma, particularly in patients with a history of bronchorrhea. CASE: A 59-year-old man presented with cough, breathlessness, and bronchorrhea. Clinically, he was diagnosed to have right-sided pulmonary consolidation. His sputum and bronchial washing specimens examined on multiple occasions revealed a varied number of single and loose aggregates of atypical cells, along with rare micropapillary and glandlike structures. The cells were small, round, and fairly monomorphic with a high nucleus to cytoplasm ratio and scant to moderate cytoplasm. Chromatin was uniformly distributed, with no appreciable nucleoli. At places, a vague nuclear molding was noted. Clinically, bronchorrhea and the diffuse infiltrative nature of the lesion favored BAC. Cytologically, prominent small cell morphology and nuclear molding suggested a possibility of small cell neuroendocrine tumor. However, careful cytologic examination of subsequent respiratory samples and biopsy revealed features of a nonmucinous type of BAC. CONCLUSION: Despite the small cell morphology of neoplastic cells on exfoliative respiratory cytology, a clinical history of bronchorrhea should prompt a cytodiagnosis of BAC. A glandlike arrangement of cells and greater amount of cytoplasm should also assist avoiding misinterpretation in such instances. PMID- 21053591 TI - Cytologic findings in malignant ependymoma: a case report. AB - BACKGROUND: Intraoperative imprint cytology has proved to be a valuable tool in the diagnosis of central nervous system (CNS) tumors. Ependymomas are uncommon glial neoplasms of the CNS, arising from ependymal lining of the ventricular system and central canal of the spinal cord. Anaplastic ependymoma is a rare tumor that causes diagnostic difficulties in imprint cytology because of variable cytomorphologic findings. CASE: A 21-year-old girl presented with a history of headache and vomiting. Computed tomography of the head showed hydrocephalus with a large parietal lobe tumor with midline structural shift. Imprint intraoperative cytology revealed a hypercellular smear composed of multiple clusters of small size cells with scant amounts of eosinophilic cytoplasm with high nucleus to cytoplasm ratios. The tumor showed pseudorosettes with glial fibrillary acidic protein and epithelial membrane antigen expression. The diagnosis was made with histologic and immunologic confirmation. CONCLUSION: Although imprint cytology is a useful method for making a rapid diagnosis, but immunohistochemical markers play a major role in the final diagnosis. PMID- 21053592 TI - Touch preparation of primary uterine pleomorphic rhabdomyosarcoma: report of a case with clinical cytopathologic features. AB - BACKGROUND: Primary pure uterine rhabdomyosarcoma (RMS) is an extremely rare tumor, and no cytopathologic characteristics of this tumor have been reported before. CASE: We report the clinicopathologic characteristics, including the cytopathologic findings of touch preparations, of a pleomorphic RMS in a postmenopausal woman. CONCLUSION: Touch preparations of tumors are a useful diagnostic procedure for detecting sarcoma in a myomatous tumor, and periodic examination using magnetic resonance imaging is recommended for uterine myomatous tumors even in postmenopausal women. PMID- 21053593 TI - Beware the perianal granuloma! A case report. AB - BACKGROUND: Crohn's disease (CD) can often present initially with extraintestinal manifestations and/or perianal disease. Cytology of such a lesion helps arrive at the diagnosis. CASE: A 27-year-old woman presented with recurrent, painful, discharging perianal lesions. On examination, multiple perianal abscesses, sinuses, skin tags and healed scars were noted. Fine needle aspiration cytology (FNAC) of the perianal lesions performed as a first line investigation revealed epithelioid granulomas in a suppurative background. The possibility of tuberculosis vs. extraintestinal manifestation of CD was considered. Endoscopic studies revealed involvement of distal ileum and the entire colon by deep, serpiginous ulcers, internal fistulae and a distorted ileocecal valve. Biopsy of the intestinal lesions revealed chronic inflammation, aphthous ulcers and microgranulomas, favoring a diagnosis of CD. CONCLUSION: A granulomatous lesion in any location arouses the clinical suspicion of tuberculosis, given its prevalence in the Indian subcontinent. In view of the increasing incidence of CD in our setting, the finding of a perianal, granulomatous lesion on cytology should prompt a workup for CD in addition to the more common granulomatous counterpart, tuberculosis. Perianal aspiration is an underused diagnostic procedure that can help in narrowing the differential diagnostic possibilities. PMID- 21053594 TI - Solitary skeletal lesion as the primary manifestation of Hodgkin's lymphoma: a case report. AB - BACKGROUND: Hodgkin's lymphoma (HL) is a malignant neoplasm of lymphoid tissues. Cervical lymphadenopathy is the most common head and neck presentation for HL. Although uncommon, extranodal HL observed at the time of diagnosis is well documented and is typically associated with generalized disease or local spread from adjacent lymph nodes. Primary osseous HL is very rare. CASE: A 23-year-old male presented with a solitary vertebral lesion as primary manifestation of HL. CONCLUSION: HL should be remembered in the differential diagnosis of lytic lesions, especially involving the vertebrae and pelvic bones. PMID- 21053595 TI - Adenoid cystic carcinoma in the uterine cervix: a case report. AB - BACKGROUND: Adenoid cystic carcinoma, a variant of adenocarcinoma of the uterine cervix, is an extremely rare neoplasm. This report describes a case of primary adenoid cystic carcinoma of the uterine cervix and includes the cytopathologic and histopathologic findings. CASE: A 55-year-old woman presented with postmenopausal bleeding for 2 months. Speculum examination showed a firm mass in the uterine cervix. A Pap smear was obtained, which showed small epithelial cells with hyperchromatic nuclei and coarse chromatin dispersed and adhered around hyaline stromal globular material, along with a few atypical squamous cells. The diagnosis of adenoid cystic carcinoma was confirmed by the histopathologic examination of the biopsy specimen as well as the excised specimen, which characteristically revealed the presence of a cribriform pattern of the tumor cells. CONCLUSION: We reported a case of a rare and unusual variant of adenocarcinoma of the uterine cervix by considering the characteristic cytologic features of the globules of pale, translucent material surrounded by halos of cells in cribriform adenoid cystic carcinoma. PMID- 21053596 TI - Pleomorphic leiomyosarcoma of gingivoalveolar sulcus: a case report. AB - BACKGROUND: Leiomyosarcomas are rare tumors in the oral cavity. Oral leiomyosarcomas may arise primarily from bones (maxilla and mandible) or soft tissues. Pleomorphic leiomyosarcoma (PLMS) is a recently described morphologic variant with aggressive clinical behavior and low survival rate; it is exceptionally rare at intraoral sites. CASE: A 21-year-old male presented with a rapidly progressive growth arising from the gingiva. Fine needle aspiration cytology showed pleomorphic polygonal, plasmacytoid and spindled cells. Immunocytochemistry (IC) showed diffuse positivity for vimentin, desmin and cytokeratin, suggestive of high grade sarcoma. The patient underwent surgery, and total extended maxillectomy was performed; the diagnosis was PLMS on histology and IC. Further, detailed clinical and radiologic findings suggested primary high grade soft tissue sarcoma involving the underlying maxillary bone; thus, the final diagnosis was primary PLMS of the gingivoalveolar sulcus. CONCLUSION: Intraoral PLMS arising from gingivoalveolar sulcus in a young patient is an extremely rare lesion with aggressive behavior. Detailed clinicoradiologic findings, its correlation with morphology and IC are mandatory for its final diagnosis and also to exclude metastasis from other sites and primary tumor in bone. PMID- 21053597 TI - Lophomonas blattarum: a bronchopulmonary pathogen. PMID- 21053598 TI - Fine needle aspiration cytology of oncocytic myoepithelial carcinoma ex pleomorphic adenoma. PMID- 21053599 TI - Cryptococcal osteomyelitis of the rib diagnosed on fine needle aspiration cytology. PMID- 21053600 TI - Subcutaneous metastasis from colon adenocarcinoma diagnosed by fine needle aspiration cytology. PMID- 21053601 TI - Horner syndrome after lymph node fine needle aspiration: which is the culprit? PMID- 21053602 TI - Fine needle aspiration cytology of pituitary carcinoma metastatic to the liver. PMID- 21053603 TI - Cytologic features of urinary bladder endocervicosis. PMID- 21053604 TI - Diagnostic utility in open or closed nuclear membrane. PMID- 21053605 TI - Fine needle aspiration cytology of recurrent adult granulosa cell tumor. PMID- 21053606 TI - Fine needle aspiration appearances of mastocytosis. PMID- 21053607 TI - Xanthogranulomatous inflammation of the parotid gland diagnosed by fine needle aspiration cytology. PMID- 21053608 TI - Antibody anti-p16(INK4a) in cervical cytology. PMID- 21053609 TI - Malignant peripheral nerve sheath tumor in the parotid gland. PMID- 21053610 TI - Ectopic meningioma diagnosed on fine needle aspiration cytology. PMID- 21053611 TI - Fine needle aspiration diagnosis of brown tumor of the maxilla. PMID- 21053612 TI - Isolated amyloidosis of cervical lymph nodes. PMID- 21053613 TI - Fast diagnosis of liver metastasis of adult granulosa tumor using fine needle aspiration cytology. PMID- 21053614 TI - Cytologic features of infarcted thyroid papillary carcinoma induced by fine needle aspiration. PMID- 21053615 TI - Perivascular localization of acid-fast bacilli in a necrotic cytologic smear. PMID- 21053616 TI - Adult filarial worm by fine needle aspiration cytology of breast lumps. PMID- 21053617 TI - Fatal spontaneous Cryptococcal peritonitis in a woman with decompensated liver cirrhosis. PMID- 21053618 TI - Cytomorphology of posttraumatic testicular mesothelial hyperplasia in a child. PMID- 21053619 TI - Cytology of Castleman disease hyaline vascular type: a close differential diagnosis with Hodgkin's lymphoma. PMID- 21053620 TI - Clinical research into Qufeng Zhidong Recipe used to treat 31 children with tic disorder. AB - OBJECTIVE: To assess the therapeutic effect and adverse reaction of Qufeng Zhidong Recipe (a recipe for dispelling wind to stop abnormal movement) used to treat children with tic disorder (TD). METHODS: The enrolled patients were randomized into a TCM group (31 cases) treated with Qufeng Zhidong Recipe and a Western medicine group (30 cases) treated with haloperidol and trihexyphenidyl. Two courses of treatment were observed with 12 weeks as one course. The therapeutic effect and adverse reaction were assessed with Yale Global Tic Severity Scale (YGTSS), Tic Symptom Score Scale (TSSS), TCM Syndrome Score Scale (TCMSSS), Treatment Emergent Symptom Scale (TESS) and laboratory examinations. RESULTS: The total effective rate was 100% in the TCM group and 60% in the Western medicine group with statistical significance in difference (P < 0.05). All the scores in the TCM group were better than those in the Western medicine group (P < 0.05). CONCLUSION: Qufeng Zhidong Recipe can obviously relieve the symptoms and signs of TD children without toxic side-effects. PMID- 21053621 TI - Effect of Yinian Jiangya Yin on primary hypertension in early stage--a clinical observation on 40 patients. AB - OBJECTIVE: To observe the effect of Yinian Jiangya Yin (Decoction for lowering blood pressure to prolong life) on patients with early hypertension and its mechanism on the function of vascular endotheliocytes. METHODS: The 79 patients with early primary hypertension belonging to the TCM syndrome of stagnation of phlegm and blood stasis in meridians and hyperactivity of the liver-yang were randomly divided into a treatment group of 40 patients treated with Yinian Jiangya Yin and a control group of 39 patients treated with Tianma Gouteng Yin (Decoction of Gastrodia and Uncaria). The changes in score of TCM syndrome and in blood pressure before and after treatment were observed in the two groups. The contents of nitrogen monoxide (NO) and endothelin (ET) in serum after treatment were determined. RESULTS: There was a statistical difference (P < 0.05) in score of TCM syndrome, effect of lowering blood pressure, and the contents of ET and NO in serum after treatment between the two groups. CONCLUSION: The effect of Yinian Jiangya Yin on improving TCM syndrome of patients with primary hypertension in early stage and on lowering blood pressure may be related to its regulating the imbalanced condition between ET and NO for restoring the function of endothelium dependent vasodilation. PMID- 21053622 TI - Acupuncture for promoting intelligence of children--an observation on 37 cases with mental retardation. AB - OBJECTIVE: To observe the effect of acupuncture on intelligence quotient (IQ) in children with mental retardation (MR). METHODS: One hundred children with MR were randomly divided into an acupuncture group and a control group, 50 in each. There were 37 and 36 cases with complete data in the former and latter group respectively. Four-week treatment constituted a course, the comprehensive therapeutic effect of two groups was compared after 3 courses of treatment, and the influence of acupuncture on IQ was estimated. RESULTS: The total effective rate in the acupuncture group was 78.4%, better than 30.56% in the control group, the difference being significant (P < 0.01). Both groups were improved in IQ but the effect of the former group was better than that of the latter group (P < 0.05). CONCLUSION: Acupuncture can obviously improve IQ of children suffering from MR. PMID- 21053623 TI - A study on the basic drugs and points for point application in summer to treat the diseases with attacks in winter. AB - OBJECTIVE: To study the basic prescriptions of drugs and points for point application in summer to treat the diseases with attacks in winter and the law governing their compatibility. METHODS: A database was set up by collecting and sorting out the relevant literature, and the analysis was made with the complex network. RESULTS: It was found that Bai Jie Zi (Semen Sinapis Albae), Xi Xin (Herba Asari), Gan Sui (Radix Euphorbiae Kansui) and Yan Hu Suo (Rhizoma Corydalis) were used as the basic prescriptions of drugs, Feishu (BL 13), Dazhui (GV 14) and Shanzhong (CV 17) were selected as the basic prescription of points. CONCLUSION: The knowledge obtained from the complex networks on the basic prescriptions of drugs and points for point-application in summer to treat diseases with attacks in winter can provide a data support for working out operation norms and carrying on verification research. PMID- 21053624 TI - Acupuncture plus cupping for treating insomnia in college students. AB - OBJECTIVE: To observe clinical therapeutic effect of acupuncture plus cupping for treating insomnia in college students. METHODS: Ninety two college students suffering from insomnia were randomly divided into a treatment group (52 cases) and a control group (40 cases). Acupuncture plus cupping was used for profiting the brain and tranquilizing the mind in the treatment group, and conventional differentiation of symptoms and signs was used in the control group. Therapeutic effect, number of treatment, self-rating sleeping scaling (SRSS), and subtracted rate were evaluated after one month of treatment. RESULTS: There was a significant difference in effective rate between the two groups (P < 0.05). For the cases with moderate insomnia, the effective rate was obviously better in the treatment group than that in the control group (P < 0.05), and for the cases with slight and moderate insomnia, the average treatment number was remarkably less in the former than that in the latter (P < 0.01). SRSS was reduced in both groups (P < 0.01, P < 0.05) with a significant difference between the two groups (P < 0.05). The subtracted rate in the former was more than that in the latter (P < 0.05). CONCLUSION: The therapeutic effect in the treatment group was better than that in the control group, showing superiority in the cases with moderate insomnia with less treatments and more improved and cured rates. PMID- 21053625 TI - Experimental study on mechanical vibration massage for treatment of brachial plexus injury in rats. AB - OBJECTIVE: To investigate the curative effect of the self-made mechanical vibration massage instrument for treatment of brachial plexus injury in rats and to explore its mechanism. METHODS: Brachial plexus injury models were made in 144 Wistar rats and one week after natural healing of the wound, they were randomly divided into 3 groups, mechanical vibration treatment group (MV group), nerve growth factor treatment group (NGF group) and model group, 48 rats in each group. Then again, the each group was randomly divided into 4 subgroups, 7-day group, 14 day group, 21-day group and 28-day group, 12 rats in each subgroup. The MV group were treated by mechanical vibration at acupoints on three-yang and three-yin channels of the hand with the mechanical vibration massage instrument; The NGF group were treated with injection of NGF into musculus pectoralis major on the affected side; And the model group were normally fed with no treatment. After treatment for 7, 14, 21 and 28 days, the diameter of both forelimbs were measured, the electrophysiological examination on the brachial plexus in vitro and the ultrastructure observation with electron microscope on the affected side were carried out, the motor nerve conduction velocity (MNCV) and motor nerve action potential (MNAP) of the brachial plexus on the affected side, NGF content of submaxillary gland as well as muscular Na+, K(+)-ATPase activity were determined respectively. RESULTS: The different rates of the forelimb diameter in the MV group and the NGV group on the 14th d, 21st d and 28th d were better than those in the model group (P < 0.05 or P < 0.001), and in the MV group were better than those in the NGF group on the 21st d and the 28th d (P < 0.05). MNCV in the MV group and the NGV group on the 21st d and 28th d was better than that in the model group (P < 0.05 or P < 0.001), and in the MV group was better than that in the NGF group on the 28th d (P < 0.05). MNAP in the MV group and the NGV group on the 14th d, 21st d and 28th d was better than that in the model group (P < 0.05 or P < 0.001), and in the MV group was better than that in the NGF group on the 21st d and 28th d (P < 0.05). The NGF mean gray index of submaxillary gland in the model group was higher than that in the MV group and the NGF group on the 7th d (P < 0.05); in the NGF group and the model group was higher than that in the MV group on the 14th d (P < 0.05); and in the NGF group and the MV group was higher than that in the model group on the 21st d and 28th d (P < 0.05). Na+, K(+) ATPase activity in the model group and the MV group was higher than that in the NGF group (P < 0.05) on the 14th d, and in the MV group was higher than that in the model group on the 28th d (P < 0.05). CONCLUSION: As compared with the NGF group and the model group, mechanical vibration treatment can effectively accelerate repair of injured brachial plexus, slow down atrophy of skeletal muscle, and promote secretion of NGF in submaxillary gland. PMID- 21053626 TI - Effects of Bushenyiqihexue formula on the endometrial gland apoptosis in mice with blastocyst implantation dysfunction. AB - OBJECTIVE: To observe the effects of Bushenyiqihexue Formula (Formula for Tonifying the Kidney, Replenishing qi and Harmonizing Blood, FTKRQHB) on the endometrial gland apoptosis in the mice with blastocyst implantation dysfunction. METHODS: The mice with the first-day pregnancy were divided into the control, model and treatment groups, with 30 in each group, and blastocyst implantation dysfunction was induced by subcutaneous injection of mifepristone in the mice of the model and treatment groups. The pregnancy rate and implantation number of blastocysts were measured and the expressions of proliferating cell nuclear antigen (PCNA), Bax, Bcl-2, and activated caspase-3 were detected in all the three groups. RESULTS: The model group had significantly depressed pregnancy rate, implantation number of blastocysts and apoptosis index, and elevated proliferation index of endometrial gland as compared with the control group (P < 0.05 or P < 0.01). Administration of FTKRQHB (the treatment group) resulted in significant increases in pregnancy rate, implantation number of blastocysts and apoptosis index of the endometrial gland, and a significant decrease in the proliferation index of the endometrial gland as compared with the model group (P < 0.05 or P < 0.01). The differences in the four indexes between the treatment group and control group were not significant statistically. The Bax and activated caspase-3 expressions in endometrial gland in the model group became significantly lower than that of the control group (P < 0.01), whereas those in the treatment group were significant higher than that of the model group (P < 0.01). However, the Bax and activated caspase-3 expressions in endometrial gland were similar in both treatment and control groups. CONCLUSION: Promoting the increases in Bax and activated caspase-3 expressions in the endometrial gland and bringing into balance between apoptosis and proliferation of the glandular cells at the implantation window phase by FTKRQHB may contribute to the effects of promoting the establishment of endometrial receptivity and improving blastocyst implantation dysfunction. PMID- 21053627 TI - Effects of Bushen Huoxue formula on the learning and memory function and the cerebral neurotransmitters in diabetic mice. AB - OBJECTIVE: To observe the effects of Bushen Huoxue Formula (Formula for reinforcing the kidney and activating blood circulation) on the learning and memory function and the cerebral neurotransmitters in diabetic mice. METHODS: Forty ICR mice were randomized into the normal control group, model group, Nimotop group and Chinese medicine group, 10 mice in each group. Tail intravenous injection of alloxan was applied to prepare diabetic model. Four weeks later, intragastric administration of Bushen Huoxue Formula for the Chinese medicine group, Nimotop for the Nimotop group, and isometric distilled water for the other two groups were respectively given for 8 weeks. The changes in the blood sugar level were observed; the learning and memory function was detected by Morris labyrinth test; and the contents of norepinephrine (NE), dopamine (DA), 5 hydroxyltryptamine (5-HT) and 5-hydroxyl indole acetic acid (5-HIAA) in cerebral cortex were determined in mice of all the groups. RESULTS: The blood sugar levels in the diabetic model mice significantly increased as compared with those of the normal control group determined 72 h and 12 weeks later (P < 0.05 or P < 0.01). Latencies for Morris labyrinth test in the Nimotop group and the Chinese medicine group were significantly shortened as compared with that in the model group (P < 0.01). The contents of cortical NE in the Chinese medicine group was significantly higher than that in the model group (P < 0.01). CONCLUSION: Bushen Huoxue Formula can improve the learning and memory function in the diabetic mice, and the mechanism is possibly related with change of the cortical NE content. PMID- 21053628 TI - The ten methods used by Dr. Chen Guo-Feng for treating allergic rhinitis. PMID- 21053629 TI - Xu Yi-Hou's experience in herbal administration for the differential treatment of skin diseases. PMID- 21053630 TI - Dr. Chen Ying-Yi's experience in treating premature ovarian failure. PMID- 21053631 TI - Acupuncture treatment of insomnia by regulating the defensive-qi and strengthening the brain and the spinal cord. PMID- 21053632 TI - Treatment of insomnia based on the midnight-noon ebb-flow. PMID- 21053634 TI - TCM dietotherapy for hypertension. PMID- 21053633 TI - Combination of acupuncture with medication for treatment of hyperplasia of mammary glands in 46 cases. PMID- 21053635 TI - A review of Qingchang Shuan for treatment of ulcerative colitis. PMID- 21053636 TI - All in all, it was a very good year. PMID- 21053637 TI - Successful do-over. PMID- 21053638 TI - Doxycycline-induced dizziness in dental patient. Case report. AB - Many dentists are unaware of the documented adverse drug reaction of doxycycline: induced dizziness. Because doxycycline is frequently prescribed in dentistry, it is important for dentists and patients to be aware of this significant adverse reaction to prevent medical complications. A clinical case is reported in which a patient developed dizziness after taking doxycycline that was prescribed following periodontal surgery. The dizziness resolved when the doxycycline was stopped. Patients and dentists should be educated to recognize the signs and symptoms of doxycycline's adverse reactions. PMID- 21053639 TI - Access to care for older Americans. PMID- 21053640 TI - Oral care needs, barriers and challenges among community dwelling elderly in New York State and northern Manhattan. AB - Older adults are living longer and retaining their teeth, resulting in a concomitant increase in the need for oral care services. Despite improvements in oral health among the elderly, there continue to be profound disparities by race/ethnicity, socioeconomic and dentate status. Furthermore, challenges, such as limitations in activities of daily living, poor wheel-chair accessibility of dental clinics, poor geographic distribution of providers, difficulty navigating the oral health system and fiscal limitations make access to, and utilization of, dental services difficult among older adults. While dialogue around national policy, especially incorporation of dental benefits for adults in Medicare and Medicaid, is imperative, local efforts in New York and Northern Manhattan show promise in addressing the oral health and health care of older New Yorkers. PMID- 21053641 TI - Prevention of oral disease for long-term care and homebound elderly. AB - Despite the fact that many oral diseases afflicting the long-term care or homebound elderly are preventable or treatable, many older people do not seek available treatment, or their oral health care needs are not being met. The dental profession must, therefore, increase the preventative dental awareness of elders and make preventative and treatment services more accessible to this population. Interdisciplinary training and collaborative efforts among the dental profession, medical profession and caregivers are necessary in preventing oral disease for this geriatric population, which would improve not just oral health, but overall systemic health as well, thereby improving their quality of life. PMID- 21053642 TI - Fixed partial overdenture creative and conservative solution. AB - A patient presented with lower right first and second molars requiring full coverage restorations. A retained lower right primary second molar with a stainless steel crown was also present. The primary tooth was "submerged," creating an unfavorable plane of occlusion. An unconventional prosthesis was fabricated to restore the debilitated teeth and a proper plane of occlusion. PMID- 21053643 TI - Short-term treatment of periapical lesion of anterior tooth affected by microleakage using Nd:YAG laser. Case report. AB - Tooth-colored restorations are the first choice for anterior restorations. However, microleakage is a significant problem at the margins of anterior cavities restored with resin composites. Microleakage can cause pulpal irritation and periapical inflammation. Today, laser irradiation is used frequently for dental treatments. Nd:YAG laser has been used successfully in endodontic treatments. A 17-year-old male presented to our clinic with a maxillary right lateral incisor that resulted in microleakage of an old composite resin restoration. Clinical examination revealed swelling and abcess formation on the palatal mucosa. The radiographic examination was performed and an inflammation around the apex was diagnosed. Root canal preparation, canal sterilization by Nd:YAG laser and root canal filling were performed at the same visit. The patient was observed for two months. In the case presented here, short-term treatment of a periapical lesion of an anterior tooth affected by microleakage using the Nd:YAG laser is discussed. PMID- 21053644 TI - An erupted complex odontoma. AB - Odontomas are benign tumors of odontogenic origin. The cause of the odontoma is unknown, but it is believed to be hereditary or due to a disturbance in tooth development triggered by trauma or infection. Odontomas may be either compound or complex. Although these tumors are seen frequently, erupted odontomas are rare. The purpose of this study is to present a rare case of complex odontoma that erupted into the oral cavity. PMID- 21053645 TI - Solitary oral neurofibroma. AB - Neurofibroma is a benign tumor of the peripheral nerve sheath characterized by proliferation of Schwann's cells, perineural cells and endoneurial fibroblasts. The tumor occurs most often in the head and neck regions. We describe the occurrence of an isolated neurofibroma in the upper lip of a 14-year-old girl. PMID- 21053646 TI - Improvement of venous thromboembolism prophylaxis by attaching printed thrombosis risk assessment tool and recommendations to patients hospital charts. AB - Evidence suggests that venous thromboembolism prophylaxis (VTEP) is still significantly underused despite its relationship with morbidity, mortality. Previous studies showed that computerized reminders have resulted in increased rates of VTEP. However, this system is not available in most hospitals especially in developing countries. We hypothesized that attaching written guidelines to patients hospital chart during admission would act as reminder. METHODS: This pre and post-interventional study included three parts: (i) Baseline survey of VTEP (ii) over the following nine months we attached a printed risk assessment tool and recommendation during admission to first page of patient chart. (iii) We reevaluated VTEP similar to phase one. RESULT: This prospective pre-intervention post-intervention study was conducted in 1202 surgical patients evaluated for venous thromboembolism risk and VTEP performance. Any type prophylaxis was 20.0% before and 37.6% after intervention (p value < 0.001). Appropriateness of prophylaxis was 19.1% before and 33.8% after intervention (p value < 0.001). After intervention VTEP rate increased from 8.5% to 19.3% in moderate risk group, from 18.5% to 39.1% in high risk and from 28.1% to 45.1% in highest risk group. CONCLUSION: A simple intervention can improve VTEP rate in settings where electronic alert is not available. VTEP is underused despite improvement. There is still a high gap between evidence and practice. PMID- 21053647 TI - Determination of mortality from cystic fibrosis. AB - BACKGROUND: Assessing the prognosis of cystic fibrosis (CF) and evaluating the effect of indicators of mortality is very important in predicting the life expectancy of the CF patients. OBJECTIVE: Determining the effect of seven variables including sex, Forced Expiratory Volume in one second (FEV1), Body Mass Index (BMI), bacteriology, hemoglobin (Hb), pulmonary arterial pressure (PAP) and the number of previous admissions on the survival of 27 patients admitted in Pediatric Pulmonary Ward of Masih Daneshvari Hospital in 2007-2009. METHODS: 27 CF patients were enrolled in a retrospective cross-sectional study. Patients data were collected during 2 years of study. Data of patients who died and those who remained alive were compared by independent samples t-tests and Chi-square. RESULTS: Twenty seven CF patients (11 female, 10 male) with age range of 5-19 years and mean age of 13.11 +/- 4.69 were studied. There was no difference in age, sex, FEV1, BMI, Hb between the deceased and alive group (p > 0.05). Mean PAP for expired patients and alive patients was 40 +/- 15.1 and 68 +/- 11.5 respectively. The number of admissions during last 6 months was dominant in those patients who died. 50% of the alive patients were colonized with Pseudomonas. This is compared to deceased patients which 100% were colonized with Pseudomonas. There was a strong correlation between death and number of previous admissions, PAP and Pseudomonas infection (p < 0.05). CONCLUSION: Pseudomonas infection, number of previous admissions and the severity of pulmonary hypertension has shown to be the major predictors of mortality in our study. PMID- 21053648 TI - Special feature on architecture. PMID- 21053649 TI - The C-suite-EHR value link: strategic conversations help ensure lasting success. AB - For purposes of this article, Healthcare Information and Management Systems Society's (HIMSS') difinition of an electronic health record (EHR) is used. The EHR represents the ability to easily share medical information among stakeholders and to have a patient's information follow him or her through the various modalities of care engaged by that individual. PMID- 21053650 TI - Globalization and the ethical implications for the Egyptian healthcare system. AB - Globalization is creating an extraordinary transformation to the delivery, financing and access of healthcare throughout the world. Improving standards of treatment, based on higher international standards of care and the offering of far more affordable services, is positioning third-world countries as viable participants in a more global healthcare system. The Egyptian healthcare system is evolving to meet these higher expectations in an effort to attract wealthier international tourists. It is important to understand Egypt's evolving transformation into a medical service destination so policymakers may understand the emerging ethical cocnerns this evolution may impose on this third-world and traditionally underserved population. PMID- 21053651 TI - A method to evaluate the role of stakeholder dynamics in IT based innovation adoption processes. AB - The introduction of new information technology in organizations seems to lead to mixed results in practice. Innovation adoption success is dependent on user commitment and absorption of the innovation in work processes. For that reason, much can be gained in insights in the role of stakeholders during innovation adoption. In this article we are presenting an evaluation method for stakeholder dynamics during the IT based innovation journey in relation to innovation adoption predictors. The method covers two evaluation elements of these stakeholder dynamics; (a) the changing nature of stakeholder salience and changing role involvements of stakeholders on the one hand, and (b) the changing nature of stakeholder-innovation interaction during the adoption processes on the other. It is argued that a stakeholder's capacity and intentions together determine his role involvement and influence on innovation adoption and thus its decision making unit membership. To further enhance usability of the described method, we propose the use of structured implementation activities and their effect during different phases of the innovation journey on the decision making unit as constructed through stakeholder analysis. PMID- 21053652 TI - Quality, cost efficiency, the new quality-cost imperative: systemwide improvements can yield financial gains. AB - The need to focus internally on cost management has largely replaced the revenue growth model of the past two decades and the external pursuit of opportunities for market and service expansion, according to Stephen R Mayfield, DHA, senior vice president of quality and performance improvement for the American Hospital Association. Outside financial pressure from primarily uncontrollable forces--the potential bundling of Medicare reimbursements to hospitals and nonpayments for readmissions and adverse events, to name a few--have compelled healthcare organizations to spend more time looking inward to finetune existing capabilities. As a result, quality improvement is evolving into a strategy for fiscal stability as well as a critical priority in itself. PMID- 21053653 TI - Evidence-based design. AB - A brief on the principles of evidence-based design (EBD) led to a topical survey study designed coordinated by the author. The survey patient preferences with respect to hospital room features, namely the number of beds per room. the results are interesting as they show that the hospital building environment has a different impact on hospitalized with respect to citizens as potential hospital patients. PMID- 21053654 TI - A new vision for hospital design--current reflections via seven projects. AB - From Brittany to China, from Spain to Africa--one concept, seven places and many more in gestation. This concept not only deals with the assembly of thousands of rooms, the need for proximity, and medical constraints, it is the architecture that defines the spatial quality, the meaning of the project. The identity is renewed each time. In the domain of hospital design, and as we intend it, the architectural practice takes on its true value of utility and the architect his genuine role for the benefit of the society. PMID- 21053655 TI - An overview of healing environments. AB - Stress is a major obstacle to the healing process and it is partly linked to the quality of the environment. Many examples of healing environments throughout time can confirm the primitive human need for healing or therapeutic facilities. Since the Modern Movement of architecture, hospital design has evolved and adjusted to the changing needs. Nowadays, it is considered to be a function of multiple variables. It is an architect's duty to design an environment (the term environment in this case includes all of its interpretations; natural, built, interior and exterior) that will propose security and will positively affect the recovering patient. PMID- 21053656 TI - Intervening to break cycle of deprivation. PMID- 21053657 TI - No room at the inn: pregnancy and overcrowding. AB - The effects of poor housing conditions such as overcrowding cause pregnant women stress and are associated with adverse outcomes for the mother and risks to the child which may be long term for the child. The legal definition of overcrowding in England is complex. It dates from 1934 and needs modernising to take account of current standards and expectations. Approximately 3% of the population live in overcrowded conditions, with higher rates in rented accommodation and in London. Midwives' public health remit means that they need to be aware of housing needs among their clients and to seek solutions. Specialist midwives focusing on public health may be one solution to a challenging problem. The Family Nurse Partnership scheme and innovative public health assessment tools may also have a part to play in improving outcomes. So too will awareness of the organisations throughout the U.K. that assist people in housing need and campaign for better housing. Overall, it is a Government responsibility to ensure that as far as possible the needs of vulnerable families are met in all areas of the U.K. PMID- 21053658 TI - After a late miscarriage, stillbirth or neonatal death. AB - The grief of parents following a late miscarriage, stillbirth or neonatal death is commonly profound and long-lasting. It affects their physical, emotional and sometimes financial well-being and has negative effects on their children and the extended family. Parents often feel isolated once they return home, as friends and family are often unable to offer support. Care from the primary care team is therefore essential and staff need to take the initiative in making contact as soon as the parents return home from hospital. Extra care and support are needed in the long term and especially during and after all subsequent pregnancies. PMID- 21053659 TI - H1N1 vaccination: time for transparency. PMID- 21053660 TI - Autism: are mental health services failing children and parents? AB - Autism is not a menta illness but a neurodevelopmental disorder. However, the prevalence of mental health problems such as depression among children and young people with autism is high. One in 10 children and young people who use Child and Adolescent Mental Health Services (CAMHS) has autism. Recent research by The National Autistic Society (NAS) into the effectiveness of these services has revealed a serious lack of understanding and support, with some families reporting that they have had to wait for years to receive help. The research involved a survey of 455 parents of children and young people with autism, together with qualitative findings from parental and young people's focus groups, a survey of CAMHS professionals and visits to CAMHS sites. Responses from parents, young people and professionals indicated that CAMHS did not have the knowledge or the skills to identify or treat mental health problems in children with autism.This can have profound consequences for the health and well-being of the whole family. Autism is a complex disability that can make it harder to diagnose concomitant mental health problems. It is a condition that can make it more difficult for professionals to develop successful, trusting relationships with children, and requires services to be adapted to the individual child. Mainstream interventions and treatments, if unadjusted, will usually fail to improve the mental health of a child with autism and may even make things worse.This article explores how CAMHS services might better meet the needs of children with autism and their families, including improvements in the transition to adult mental health services. In June 2010 NAS launched You Need to Know, a campaign calling on the Government to give priority to improving CAMHS services for children with autism and to support loca areas in delivering the changes that families and front-line professionals are calling for. PMID- 21053661 TI - Understanding the social and cultural influences on breast-feeding today. AB - Breast-feeding is a key public health target but social and cultural factors are often overlooked when encouraging mothers to choose breast-feeding as their method of infant feeding. Historically, there have always been some mothers who have sought alternatives to breast-feeding. Age, level of education and occupation impact upon a mother's choice, and the sexualization of the female breast can lead to embarrassment when mothers breast-feed outside the home. Fear of damaging their body shape can prevent some mothers from breast-feeding, while others see breast-feeding as desirable as it can lead to weight loss. The attitudes of partners, relatives and friends can influence mothers to varying degrees in their choice of infant feeding. Knowledge of various influences can assist health professionals in their public health role and help them to give mothers advice relevant to their circumstances. PMID- 21053662 TI - The good childhood inquiry: health and well-being issues. AB - Evidence about what makes a good childhood was gathered by The Children's Society's Good Childhood Inquiry from over 30,000 professionals, lay adults, and children. The final Inquiry report, A Good Childhood. Searching for Values in a Competitive Age was published in February 2009. It contained recommendations for parents, teachers, other professionals concerned with children, the Government, the media, and society at large. This article summarises the key health-related themes that emerged from children's and professionals' submissions to the Inquiry, and the recommendations for effective intervention made by both professionals and The Children's Society. PMID- 21053663 TI - The experience of attending an international conference. PMID- 21053664 TI - Primary care 2010. PMID- 21053665 TI - Mentoring: a guide to career advancement. PMID- 21053666 TI - Effect of back massage on sleep among post-operative CABG and valve replacement patients. PMID- 21053667 TI - Nursing students' fingertips--is PDA a solution? PMID- 21053668 TI - Cases of interferon-alpha and interferon-beta-induced thyroiditis. AB - Interferons are currently the major treatment modality for several malignant and non-malignant diseases such as chronic hepatitis C and B, multiple sclerosis, hematological malignancies, malignant melanoma, renal cell carcinoma, etc. Thyroid disorders develop in some of the interferon-treated patients with the incidence ranging from 1% to 35%. These complications may often result in dose reduction or discontinuation of interferon therapy. Interferon induced thyroid disorders can be classified as autoimmune and non-autoimmune thyroiditis. There are many studies on the development of thyroid dysfunction in interferon-alpha treated patients with chronic hepatitis C and in patients with multiple sclerosis treated with interferon-beta. There is a dearth of information about the incidence and characteristics of thyroid abnormalities in patients with hematological malignancies receiving interferon-alpha. A number of genetic determinants are discussed as causes for thyroid impairment (sex, age, ethnic group, genes involved in the thyroid immune regulation), as well as non-genetic factors (related to the underlying disease--hepatitis C virus; multiple sclerosis; therapeutic regimens of interferon administration, iodine concentration in the environment, presence of thyroid autoantibodies at the start of treatment, etc.). In this article we summarize the relevant data about the frequency and characteristics of thyroid disorders in patients treated with interferons, the risk factors and the mechanisms for their development and the peculiarities of the course, detection and treatment of these complications. The review of the literature motivates studying the thyroid function of specific groups of patients receiving interferon in order to clarify the influence of the factors drug and disease on the thyroid gland. Early detection and adequate treatment of thyroid dysfunction in these patients is important to avoid complications that may compromise treatment. PMID- 21053669 TI - Descending necrotizing mediastinitis of odontogenic origin--personal experience and literature review. AB - Descending necrotizing mediastinitis is the most severe form of mediastinal infection. The aim of the study was to present the optimal diagnostic and treatment approach to this severe, life-threatening condition. PATIENTS AND METHODS: Three patients (men, aged 75, 73, and 63) with descending necrotizing mediastinitis hospitalised between April 2007 and February 2009 have been included in the study. The diagnosis of the condition was made based on cervico thoracic computed tomography and surgical findings. The surgical treatment in each of the cases included bilateral longitudinal cervicotomy, transversal suprasternal cervicotomy and posterior-lateral thoracotomy. RESULTS: The period between the initiation of ambulatory treatment of the dental infection and diagnosing the mediastinitis was 9, 8 and 11 days, respectively. Engagement of all cervical spaces and mediastinal sections with polybacterial (three or more agents) dental infection, originating from third and fourth lower molars was present in each of the patients. Chronic alcoholism and diabetes are factors influencing the course of mediastinitis. The outcome in all the three patients was lethal (within 72 hours). CONCLUSION: Success in the treatment of descending necrotic mediastinitis of odontogenic origin may be expected only in case of early diagnose and aggressive cervical and mediastinal drainage, performed by bilateral longitudinal cervicotomy and posterior-lateral thoracotomy. PMID- 21053670 TI - Simultaneous quantification and genotyping of hepatitis C virus RNA by a two-step real-time PCR assay on the lightcycler instrument. AB - BACKGROUND: Clinically, both viral load and genotypes have been found to be major predictors of antiviral therapy outcome regarding chronic hepatitis C and they are, under normal circumstances, performed as separate assays. DESIGN AND METHODS: In order to improve the diagnostic strategy and subsequently reduce the reagent costs we have developed and established the simultaneous quantification and genotyping of hepatitis C virus RNA by a two-step real-time PCR on the LightCycler Instrument (Roche Diagnostics). RESULTS: The quantification assay was calibrated against WHO Standard 96/790. The detection limit was 30 IU/ml, the dynamic range up to 500,000,000 IU/ml. Intra- and interassay imprecisions were 1.2% and 1.9% (n = 10), respectively. The HCV RNA values obtained by real-time PCR assay were highly correlated with those obtained by the Cobas Amplicor HCV monitor test (r = 0.992; p < 0.001). CONCLUSIONS: The genotyping was performed by means of the melting temperature analysis. The concordance between our new genotyping method and the Trugene HCV 5'NC Kit was at the level of genotypes 100%. This rapid (3 h) and convenient assay is suitable for HCV genotyping, HCV detection and disease monitoring. PMID- 21053671 TI - Effect of elevated intra-abdominal pressure on the contractile activity and reactivity of smooth muscle tissue from rat gastrointestinal tract to galantamine and drotaverine (No-Spa). AB - AIM: The aim of the present study was to determine the nature and intensity of changes in the contractile activity and reactivity of gastrointestinal smooth muscle tissue in conditions of increased intra-abdominal pressure. METHODS: A method for recording isometric contractions of isolated smooth muscle preparations from gastric corpus, duodenum and sigmoid colon of rats was used. RESULTS: Two groups of rats were used in the study--control animals and animals with elevated abdominal pressure. It was established that pressure of 25 mmHg for 60 min did not cause statistically significant change in the tone and parameters of the spontaneous contractions in all preparation types, as well as in their reactivity to drotaverine (no-spa). Statistically significant increase in the strength of the tonic effects of galantamine (1.10(-6)-1.10(-3) mg/ml) was found in all types of smooth muscles preparations isolated from rats with increased abdominal pressure compared with preparations from the control rats. CONCLUSIONS: The statistically significant increase in the galantamine-induced effects on smooth muscle preparations is associated with increase in the contractile effectiveness of acetylcholine. M-type cholinergic receptors are predominantly involved in the processes, probably sensibilized from processes activated by the increased intra-abdominal pressure. PMID- 21053672 TI - Binding affinity of triphenyl acrylonitriles to estrogen receptors: quantitative structure-activity relationships. AB - AIM: The quantitative structure-activity relationship approach was applied to understand the relative binding affinity of triphenyl acrylonitriles to estrogen receptors. MATERIAL AND METHODS: A sample of previously studied triphenyl acrylonitriles was divided into training (18 compounds) and test sets (7 compounds) using a stratified random approach. The molecular descriptor family on vertices cutting (MDFV) approach was used in order to translate the structural information into descriptors. The relationship between binding activity and structural descriptors was identified using the multiple linear regression procedure. RESULTS: An optimal three-parameter equation with a determination coefficient of 0.9580 and a cross-validation leave-one-out parameter of 0.9408 was identified. The optimal model was assessed on a test set and a determination coefficient of 0.9004 was obtained. The MDFV model proved not to be significantly different from the previously reported model in terms of goodness-of-fit. In terms of information criteria (Akaike's, Bayesian, Amemiya, and Hannan-Quinn) and Kubinyi function, the MDFV model proved to perform better than the previously reported model. CONCLUSION: The optimal MDFV model was able to explain approximately 96% of the total variance in the estrogenic binding relative affinity of triphenyl acrylonitriles and to have estimation and prediction abilities. Although there were no significant differences in terms of goodness-of fit, the MDFV model proved to exhibit better information parameters compared to the previously reported model using the same number of molecular descriptors. PMID- 21053673 TI - Morphological changes in hard dental tissues prepared by Er:YAG laser (LiteTouch, Syneron), Carisolv and rotary instruments. A scanning electron microscopy evaluation. AB - AIM: This in vitro investigation aimed to study by means of scanning electron microscope the morphological changes in hard dental tissues after using several different methods for caries removal and cavity preparation. MATERIALS AND METHODS: Twenty freshly extracted human teeth with carious lesions were used in the study. They were assigned to four groups depending on the method used for preparation: Group 1--Cavity preparation using Er: YAG laser (LiteTouch, Syneron, Israel). Group 2--Chemomechanical preparation using colourless Carisolv gel (MediTeam AB, Savedalen, Sweden). Group 3--Mechanical rotary preparation using diamond burs and air turbine. Group 4--Mechanical rotary preparation using by steel burs and micromotor. The preparations were performed strictly according to the manufacturer's instructions for proper use of instruments. The teeth samples were prepared for histological study and investigated by a scanning electron microscope at different magnification; the morphological changes in the tissues were registered and compared. RESULTS: There were considerable differences in the surface characteristics of the dental tissues when we analysed the photomicrographs of the specimens obtained using scanning electron microscopy (SEM). The surface after laser treatment remained highly retentive with no residual smear layer; the second best results in this respect were registered when teeth were chemomechanically excavated with Carisolv gel. The mechanical methods of cavity preparation resulted in surfaces with a smear layer of dentin without any microretentions. CONCLUSION: The scanning electron microscopy of hard dental tissues prepared using steel and diamond burs showed surfaces covered with a thick smear layer that may be relevant to the subsequent bonding of adhesive restorative materials to the prepared cavity. In preparing the surface using a turbine with diamond burs the smear layer was thinner and part of the dentinal tubules orifices were open in the area of water turbulence. SEM analysis of hard dental tissues prepared with the help of colourless Carisolv gel showed a rough, retentive surface, some of the dentinal tubule lumens obstructed by denaturated collagen and surface contaminants. The teeth surfaces prepared with Er:YAG laser Lite Touch (Syneron) remained without smear layer and clearly exposed dentinal tubules orifices. The surfaces were highly retentive. PMID- 21053674 TI - HIV/AIDS-associated Kaposi's sarcoma with multiple skin-mucosal disseminations following ultraviolet (puva) photochemotherapy. AB - HIV/AIDS infection in Bulgaria has spread over about 1200 registered patients and it is supposed that the number of the undetected cases is four times higher. Kaposi's sarcoma is rarely observed in our country and no cutaneous-mucosal dissemination is reported for the time being. AIM: The aim of the study is to present a case of disseminated Kaposi's sarcoma in a HIV/ AIDS patient who underwent Psoralen--UVA radiation treatment (PUVA) for total alopecia. METHODS: HIV was proved through ELISA and Western blot (InnoLia HIV I/II Score). PCR method (COBAS-Amplicor HIV-1 MT, 1,5) was used to determine viral load (VL). Monitoring was realized by flow-cytometric phenotype analysis of the immune cells. Biopsy of a skin lesion was performed for histomorphological analysis. Computed axial tomography (CAT) of the visceral organs was also applied. RESULTS: The patient's face, chest, back and upper extremities are covered by more than 50 typical for Kaposi's sarcoma skin tumors and several isolated lesions are found in the oral cavity mucosa. The histological results show dilated vascular spaces with large endothelial cells and spindle-like tumor cells in irregularly formed fascicles. Monitoring of the immune cells and the viral load before and after the application of highly active antiretroviral therapy (HAART) showed CD4+ T cell number = 0.147 x 10(9)/l and VL = 216 000 copies HIV-RNA/ml plasma when the disorder was first detected. A very good effect appeared 4 months after the HAART start: the mucous membrane lesions disappeared and the skin tumors decreased by number and dimensions. In the same time the CD4+ T cell number increased up to 0.255 x 10(9)/l and VL values decreased < 400 c/ml. CONCLUSION: Disseminated form of Kaposi's sarcoma can be provoked by additional immunosuppressive factors like the implementation of PUVA therapy. Early initiation of HAART improves the process and prevents visceral dissemination. PMID- 21053675 TI - Hyperinsulinemic hypoglycemias in infancy and childhood--diagnostic therapeutic algorithm with contribution of two cases. AB - Hypoglycemia is not an independent diagnosis. It is a pathophysiological syndrome whose cause needs to be identified. Identifying it is just the first step to making the diagnosis as precisely as possible and to preventing brain damage. Timely diagnosis and treatment are factors of paramount importance for the prognosis of affected patients. The aim of this study was to present two of our patients with hyperinsulinemic hypoglycemia because of the rarity of the condition and to propose a diagnostic-therapeutic algorithm of hypoglycemic syndrome in childhood. Identifying the genetic mutations using DNA analysis for both children enabled us to determine the prognosis and to provide genetic counseling about the next pregnancies in the affected families. We make a detailed classification of different types of hypoglycemia and the various therapeutic modalities: dietary, medicinal and surgical depending on the etiology. It is concluded that the highly specialized examinations which ensure the etiological diagnose, treatment, prognosis and genetic consultation demand the participation of a well trained medical team--both in the clinical division and in the laboratory. PMID- 21053676 TI - Severe hypotension and ischemic stroke after disulfiram-ethanol reaction. AB - Disulfiram (tetraethylthiuram disulfide) has been used for almost 60 years in the treatment of alcohol addiction. It causes aversive behavior due to disulfiram ethanol reaction (DER). The classical DER includes flush, sweating, tremor, nausea, vomiting, tachycardia, moderate decrease in blood pressure and restlessness. Complete recovery is the usual outcome in clinical settings. Life threatening reactions are rare but sometimes occur. We present a case of a 53 year-old man developing severe hypotension and ischemic stroke as a result of disulfiram treatment and ethanol intake. Use of adrenalin as a drug of choice in this critical condition, together with other therapeutic approaches led to stabilization of hemodynamics and reversal of neurological symptoms. Our case had a favorable outcome, but it should be remembered that patients unable to comply to the strong restrictions in treatment for alcohol rejection are not eligible for this therapeutic modality used in the management of alcohol dependency. PMID- 21053677 TI - A rare case of severe intoxication from multiple bee stings with a favorable outcome. AB - A 73-year old female of no allergic diathesis was attacked by bees and stung all over her body accidentally sustaining more than 518 stings for a very short time. Severe acute poisoning developed from the bee venom, manifested by coma, rhabdomyolysis, toxic hepatitis, acute renal failure, gastric hemorrhage and anemia. Following an active treatment the patient recovered. Literature search shows this to be a rare case of survival after multiple bee stings. PMID- 21053678 TI - The effect of carbamide peroxide bleaching agents on the microhardness of dental ceramics. AB - This study examined the effect of 10% and 16% carbamide peroxide bleaching agents on the surface microhardness of micro-particulate feldspathic ceramics (VM7 and VM13, Vita Zahnfabrik). Forty specimens (8-mm diameter, 2-mm thickness) were divided into four groups (n=10): GI-VM7 + 10% Whiteness, G2-VM7 + 16% Whiteness, G3-VM13 + 10% and G4-VM13 + 16% Whiteness. The home-use bleaching agents were applied for 8 hours on 15 days, and the specimens were stored in distilled water at 37 degrees C. The Vickers hardness number (HV) was determined for each specimen. Data were analyzed by the Wilcoxon and Mann-Whitney tests (p < 0.05). The microhardness values before exposure were: g1-433 (57); g2-486 (22); g3-509 (28); g4-518 (24), and after exposure: G1-349 (32); G2-496 (95); G3-519 (38); G4 502 (81). G2 exhibited a higher and significant difference than GI in VM7 groups, and the effect of bleaching concentration was shown to be significant by the Mann Whitney test. And for VM13, both the Wilcoxon and Mann-Whitney tests showed no significant differences. When using 10% carbamide peroxide, the microhardness of VM7 ceramic was affected, and there were no effect on the microhardness between VM7 and VM13 ceramics when 16% carbamide peroxide was used. PMID- 21053679 TI - The use of ozone to lighten teeth. An experimental study. AB - Tooth-whitening agents are available for therapeutic use in the dental office or at home. However, whitening more severe stains, such as those caused by systemic ingestion of tetracycline, constitutes a challenge. The aim of this study was to evaluate, in an experimental model of growing rats, the efficacy of using ozone to lighten tetracycline-stained incisors. At weaning, male Wistar rats (n=40) were randomly assigned to one of three groups. Two control groups, C2, and C60 (n=8, each) were used to document the usual age-related color. The third group (n=24) received 0.25 g% of oxytetracycline (0) until 60 days of age. These rats were subsequently divided into three further groups: O0, O3 and O5 (n=8, each). These rats were anesthetized; O3 and O5 groups received ozone application to the lower incisors for 3 (group O3) or 5 minutes (group O5), respectively; while O0 did not receive the ozone treatment. Teeth were then photographed and the incisors from the control (C60) and treatment groups (O0, O3 and O5) were cut, and compared to a standard color guide (there were eight shades numbered 0 to 7, lightest to darkest) to assess the hue visually. The teeth were then placed in phosphoric acid to quantify the color by spectrophotometry. The data (mean +/- SD) were analyzed by One-Way Analysis of Variance (ANOVA) followed by Tukey's test or Dunnett test. The visual observation, analyzed blindly by one investigator showed that O3 and O5 groups had diminished yellowing of the teeth as compared to the untreated O0 group (P < 0.001). The color quantified by spectrophotometry also detected significant differences among groups (O3 < O0, P < 0.01; O5 < O0, P < 0.001 and O5 < 03, P < 0.01). C21 and C60 were significantly different among groups (P < 0.001). This is the first experimental study to show that ozone can be successfully used for lightening the yellowish tinge of tetracycline-stained rat incisors. Further studies are required for its potential use in the dental clinic. PMID- 21053680 TI - Inhibitory effect of lidocaine on the sarcoplasmic reticulum Ca2+-dependent atpase from temporalis muscle. AB - Myotoxic effects of local anesthetics on skeletal musclefibers involve the inhibition ofsarcoplasmic reticulum Ca2+ -dependent ATPase activity and Ca2 transport. Lidocaine is a local anesthetic frequently used to relieve the symptoms of trigeminal neuralgia. The aim of this work was to test the inhibitory and/or stimulatory effect of lidocaine on sarcoplasmic reticulum Ca2+ -dependent ATPase isolated from rabbit temporalis muscle. Ca2+ -dependent ATPase activity was determined by a colorimetric method Calcium-binding to the Ca dependent ATPase, Ca2+ transport, and phosphorylation of the enzyme by ATP were determined with radioisotopic techniques. Lidocaine inhibited the Ca2+ -dependent ATPase activity in a concentration-dependent manner. The preincubation of the sarcoplasmic reticulum membranes with lidocaine enhanced the Ca2+ dependent ATPase activity in the absence of calcium ionophore. Lidocaine also inhibited both Ca2+ uptake and enzyme phosphorylation by ATP but had no effect on Ca2+ binding to the enzyme. We conclude that the effect of lidocaine on the sarcoplasmic reticulum Ca2+ -dependent ATPase from temporalis muscle is due to the drug's direct interaction with the enzyme and the increased permeability of the sarcoplasmic reticulum membrane to Ca. PMID- 21053681 TI - Isolated intra-oral granular cell tumor: report of two cases and review of the literature. AB - Granular cell tumor (GCT) is a relatively uncommon lesion occurring in almost any part of the body, including the orofacial region. The tongue and the buccal mucosa are common intra oral sites. Although aggressive and malignant variants of this neoplasm have been described, most GCTs are benign. The histogenesis of the lesion still remains unknown. However, histochemical and ultra-structural studies propose the origin of the lesion from Schwann cells, striated muscle, mesenchymal cells, histiocytes and epithelial cells. The tumor generally occurs in middle aged or older adults. The lesion is typically seen as an uninflamed asymptomatic mass measuring about two cm in diameter with reddish surface coloring. As most granular cell tumors are benign, surgical excision of the lesion is the treatment of choice. In this study, 2 oral GCT cases are presented, corresponding to 2 male patients, with a mean age of 27 years and a mean time of evolution of the lesions of 8 months. Both lesions were located on the tongue. Differential diagnoses include fibrous hyperplasia, minor salivary gland tumor condyloma acuminatum and neurilemmoma. In all the cases a resection with safety margins of the lesions was carried out under local anesthesia. The samples were fixed and processed for histopathological study. The main clinical pathology and diagnostic features of this neoplasm are reviewed and discussed. PMID- 21053682 TI - Intraoral environment conditions and their influence on marginal leakage in composite resin restorations. AB - Color matching in the anterior superior incisor region (ASIR) is very difficult when using a rubber dam during restorative procedures. This study measured temperature/relative humidity parameters in the ASIR and evaluated the influence of the inhalation/downtime/exhalation mouth-breathing cycle on microleakage in composite resin restorations performed in the region, using three different adhesive systems. Sixty bovine incisors were randomly assigned to six groups (n=10) according to environmental conditions (laboratory environment or intraoral conditions) and the three adhesive systems being tested (Prime & Bond NT (PB), Single Bond (SB) and Clearfil SE Bond (CL)). The composite resin restored specimens were thermocycled (800 cycles, 5-55 degrees C), immersed in a 2% methylene blue-buffered solution and sectioned longitudinally The dye penetration on the margin of the restoration was evaluated and non-parametric statistical analyses were performed. The temperature and humidity parameters in the ASIR showed significant differences when compared to the laboratory environment. Restorations performed in the ASIR environment showed no increases in microleakage. As it was shown that temperature/humidity in ASIR do not affect marginal sealing in direct composite resin restorations negatively, better color matching can be safely achieved without the use of a rubber dam. PMID- 21053683 TI - Evaluating the bonding of two adhesive systems to enamel submitted to whitening dentifrices. AB - The aim of this study was to evaluate by micro-shear bond strength test, the bond strength of composite resin restoration to enamel submitted to whitening dentifrices. Forty bovine teeth were embedded in polystyrene resin and polished. The specimens were randomly divided into eight groups (n=5), according to the dentifrice (carbamide peroxide, hydrogen peroxide and conventional dentifrice) and the adhesive system (Prime & Bond 2.1 and Adper Single Bond 2). Dentifrice was applied for 15 minutes a day, for 21 days. Thirty minutes after the last exposure to dentifrice, the samples were submitted to a bonding procedure with the respective adhesive system. After that, four buttons of resin were bonded in each sample using transparent cylindrical molds. After 24 hours, the teeth were submitted to the micro-shear bond strength test and subsequent analysis of the fracture mode. Data were submitted to analysis of variance and Fisher's PLSD test (alpha = 0.05). The micro-shear bond strength showed no difference between adhesives systems but a significant reduction was found between the control and carbamide groups (p = 0.0145) and the control and hydrogen groups (p = 0.0370). The evaluation of the failures modes showed that adhesive failures were predominant. Cohesive failures were predominant in group IV The use of dentifrice with peroxides can decrease bonding strength in enamel. PMID- 21053684 TI - Human papillomavirus and Epstein Barr virus in oral hairy leukoplakia among HIV positive Venezuelan patients. AB - Oral hairy leukoplakia (OHL) is commonly found in individuals infected with HIV and represents the most frequent oral manifestation. The purpose of this study was to detect the presence of Human Papillomavirus (HPV) and Epstein Barr Virus (EBV) in OHL of HIV+ Venezuelan patients. We evaluated 21 HIV+ adult patients with clinically present OHL lesions: 11 under antiretroviral therapy, 10 without therapy, and 10 oral mucosal samples as controls. Nested-PCR was used to detect EBV and HPV infection. The INNO-LiPA HPV Genotyping v2 was applied to determine the HPV genotype. The EBV genome was found in 16/21 (76%) of the HIV+ patients with OHL. No difference was observed in EBV+ and EBV- patients related to antiretroviral therapy viral load and CD4+ Tcell coant. HPV-DNA was observed in 7/21 HIV positive cases (33%). The HPV genotypes detected were: 6, 11, 31, 33, 52, and 56/74. The most frequently HPV found was genotype 6 in 7/7, while two cases were HPV-11 and two HPV-52. Of the positive cases, 5/7 (71%) presented co infection with more than one HPV genotype and 4/7 (57%) had HPV coinfection with high and low risk types. No case was EBV or HPV positive in the control group. In this study, a higher EBV prevalence was observed in OHL-HIV+ patients, confirming the etiologic role in this entity. A considerable number of cases were positive for HPV infection, and many patients presented coinfection with more than one HPV genotype as well as the presence of high oncogenic risk HPV in OHL. PMID- 21053685 TI - Sealing ability of MTA-Angelus with propyleneglycol in furcal perforations. AB - This study evaluated the sealing ability of gray MTA-Angelus mixed with propyleneglycol in furcal perforations using a bacterial leakage test. Furcal perforations were created in 30 human mandibular molars using a size 3 round bur The samples were divided randomly into 2 experimental groups (n=10) according to the mixing agent. In G1, the MTA powder was mixed with propyleneglycol, while distilled water was used in G2. A 3:1 powder-liquid ratio was used for both groups. The MTA was placed in the perforation with an MTA carrier and condensed with hand pluggers. Non-repaired (n=5) and totally sealed (n=5) perforations served as positive and negative controls, respectively. Bacterial leakage was assessed daily for 30 days in a double-chamber apparatus with Enterococcus faecalis. Data were analyzed using Fisher exact test (p < 0.05) for three leakage periods: 1st to 10th day (P1); 11th to 20th day (P2); and 21st to 30th day (P3). The positive control presented leakage in all specimens within the first 24 hours, while no leakage was observed in the negative control during the experimental period. Leakage was observed in five (50%) of the 10 samples of the propyleneglycol group (G1) and seven (70%) of the distilled water group (G2) by the 20th day, without significant difference between the groups in periods P1 and P2 (p = 0.137). The leakage was significantly lower for G1 than G2 in period P3 (50% versus 100%, respectively, p = 0.016). In this single aerobic bacterial leakage method, the use of propyleneglycol as a vehicle for gray MTA-Angelus increased its sealing ability in furcal perforations at the end of the 30-day experimental period. PMID- 21053686 TI - Effect of different polymerization devices on the degree of conversion and the physical properties of an indirect resin composite. AB - Polymerization of indirect resin composites (IRC) is carried out in the 'laboratories using special photo-polymerization devices to achieve a higher degree of conversion (DC). Such devices present variation in chambers and light output which may have consequences on the chemical and physical properties of IRCs. This study evaluated the effect of different polymerization devices on the flexural strength, Vickers microhardness and DC of an IRC. Specimens were prepared from an IRC material, Sinfony (3M ESPE), using special molds for flexural strength test (N=30) (25 x 2 x 2 mm, ISO 4049), Vickers microhardness test (N=30) (5 x 4 mm) and for DC (N=30) utilizing Micro-raman Spectroscopy. All specimens were submitted to initial polymerization with a Visio Alpha unit (3M ESPE) and then randomly divided into three groups (n=10/ group). Specimens in Group 1 (control) received additional polymerizations using a Visio Beta Vario device (3M ESPE), and those in Group 2 and Group 3 using Powerlux (EDG) and Strobolux (EDG) devices, respectively. DC and mechanical tests were then conducted. For the mechanical tests, the data were analyzed using ANOVA and Tukey's tests (p < 0.05) and for DC, one-way ANOVA was used. Polymerization in Strobolux (Group 3) resulted in significantly lower flexural strength (MPa) values (134 +/- 27) compared to Visio Beta Vario (165 +/- 20) (Group 1) (p < 0.05). The lowest microhardness values (Kg/mm2) were obtained in Group 3 (30 +/- 1) (p < 0.05). DC was similar in all groups (75 +/- 1, 91 +/- 5, 85 +/- 7% for Visio Beta Vario, Powerlux and Strobolux, respectively) (p = 0.1205). The type of polymerization device may affect the flexural strength and Vickers hardness of the IRC tested. DC also seems to be affected by the type of polymerization device but the results were not significant. PMID- 21053687 TI - Oral malignant tumours: a report on 728 cases in Chile. AB - The objective of this study was to describe the main clinical characteristics and the relative frequency of each type of oral malignancies received at the Oral Pathology Reference Institute (IREPO) of the Faculty of Odontology; University of Chile, since 1975 to 2006. Studies were performed of 728 cases of biopsies recorded in the IREPO data base with a diagnosis corresponding to some type of Oral Malignant Tumour (OMT) and which counted with all required clinical and demographic data. Histological sections were observed by two oral pathologists with the purpose of confirming the original diagnosis. 61.6% of OMTs were observed in males and 38.4% in females. Patients average age was 65.3 years, and the most frequent localization was the alveolar/gums ridge (20% of the cases) being the squamous cell carcinoma (SCC) the most frequent pathology (58.4%) These results are similar to the frequencies of oral malignancies reported for other countries as to distribution per gender, age, histological diagnosis. Nevertheless, frequency distribution of each of the OMTs is different to that indicated in most publications. PMID- 21053688 TI - Genotypic profiles by AP-PCR of streptococcus mutans in caries-active and caries free preschoolers. AB - Streptococcus mutans, an acidogenic and aciduric microorganism that colonizes the oral cavity is recognized as the main causal agent of dental caries. Epidemiological studies have shown a strong correlation between the number of S. mutans in the oral cavity and prevalence and incidence of caries. At present, different genotypic and phenotypic methods are known to determine the profiles of settling and epidemiological distribution of S. mutans. The aim of this study was to investigate the profiles of S. mutans isolated from children with and without dental caries by using the AP-PCR (arbitrarily primed polymerase chain reaction) and api-Zym methods. In the AP-PCR method, random DNA segments of the target bacterium are amplified with single primers of arbitrary sequence. The api-Zym system (bioMirieux, Marcy-letoile, France) is a phenotypic micro-method that allows simultaneous detection of 19 enzymatic activities from bacterial inoculum. A transversal observational study was conducted, which finally included 1203- to 5-year-old children (75 with and 45 without dental caries), who attended a preschool institution in Bogota (Colombia). S. mutans was isolated from 15 of the 45 children without dental caries (33.3%) and from 31 of the 75 children with caries (41.33%). In the 46 children, 69 S. mutans isolates were identified: 24 isolates in the 15 children without dental caries and 45 isolates in 31 children with dental caries. With api-Zym system, 36 different phenotypes were detected: 22 in the caries group and 15 in the caries-free group. The phenotype XX was present in both groups. With the AP-PCR method, 27 different fingerprinting profiles were identified: 22 for the caries group and 9 of the healthy group; the two groups of patients shared four of these genomic profiles. In conclusion, the information shows a great diversity in S. mutans genotypes and phenotypes in the population studied. PMID- 21053689 TI - Publication: Presentation rate in the Latin American region of the International Association for Dental Research. AB - Most research conducted by the dental scientific community is presented at the Annual Meetings of the different Divisions and Sections of IADR. This research acquires real value when the results are published in peer-reviewed journals. A useful indicator of the publication efficiency of research work is the rate of publication (PR), i.e., the ratio between the quantity of presentations and subsequent publications in peer-reviewed journals. The aim of this study was to analyze the PR of the presentations at the Sections and Divisions of the Latin American Region of the International Association for Dental Research (IADR). We considered the presentations at the Annual Meetings of Argentina, Brazil, Chile and Peru held in 2002 and 2003 and their corresponding publications indexed in PubMed from 2002 to 2009. For Venezuela, we analyzed the meetings held in 2002 and 2005, because they did not hold consecutive annual meetings. Presentation periods were selected based on previous data that report an interval of up to five years between presentation and publication. The number of presentations and the PR are related to the number of years that Sections and Divisions have existed. In Brazil and Argentina, PR (expressed as 1 publication: x presentations) is 1:3. The amount of research in Brazil is almost 8 times higher than in Argentina. Newer Sections and Divisions have produced fewer presentations, and the PR is also lower. We hope that this type of analysis will encourage the promotion of dental research at the different institutions and in the different vacancy areas of research, and facilitate exchange among researchers in the Region, enabling greater use to be made of their scientific activities. PMID- 21053690 TI - Evaluation "in situ" of tag formation in dental enamel submitted to microabrasion technique. Effect of two etching times. AB - The objective of this study was to analyze the formation of resin tags on enamel surfaces submitted or not to enamel microabrasion technique. Thirteen undergraduate dental students received removable acrylic palatal appliances on which four sections from intact premolars were fixed, measuring 4.0 mm x 4.0 mm. Two sections received the application of a microabrasive system and the remaining sections did not receive any surface treatment. The patients were instructed to wear the acrylic palatal appliances for 4 months during the day. After this time, the sections were etched with 37% phosphoric acid for 15 seconds (sections 1 and 2) and 60 seconds (sections 3 and 4), followed by adhesive system and composite resin applications. All the sections were prepared for light microscopy analysis to observe the degree of resin penetration (x400). The results were submitted to a three-way analysis of variance with a significance level of 5%. The difference between groups was verified by the Tukey test, at a significance level of 5%. The results showed that microabraded enamel required a longer period of acid etching for longer resin tags. PMID- 21053691 TI - Development and in vitro evaluation of biopolymers as a delivery system against periodontopathogen microorganisms. AB - Periodontal disease is the major cause of tooth loss in adults. Porphyromonas gingivalis and Aggregatibacter actinomycetemcomitans are considered key pathogens in periodontitis. The treatment consists of oral hygiene education, instrumentation for removal of calculus (scaling), chemotherapy and periodontal surgery. Several agents are commercially available; these chemicals can alter oral microbiota and have undesirable side-effects such as vomiting, diarrhea and tooth staining. Hence, the search for alternative products continues and natural phytochemicals isolated from plants used as traditional medicine and the use of biomaterials are considered good alternatives. Chitosan and pullulan are polymers that have been proposed due to their favorable properties such as biocompatibility, biodegradability, and adhesion ability. They can be used as local delivery systems of active principles of plant extracts. Thymus vulgaris, Matricaria chamomilla, Croton lechleri, Calendula officinalis L. and Juliana adstringens Schl. are known to have medicinal activity, and they are used in Mexican traditional medicine. Their extracts were tested in vitro for antimicrobial activity against P. gingivalis and A. actinomycetemcomitans, using agar diffusion and microdilution methods. The antimicrobial activity of films from biopolymers with plant extracts was evaluated by measuring the zones of inhibition against the tested organisms. The aim of this study was to develop bioadhesive films from chitosan and pullulan with added plant extracts and determine the antimicrobial activity of films against periodontal pathogens. PMID- 21053692 TI - Influence of retentive areas associated to onlay preparations on the dimensional stability of silicone impression materials. AB - This study evaluated the effect of retentive areas on onlay preparations on the dimensional alterations in condensation and addition silicone materials. A standard model with an onlay preparation was made. Each impression material was used through the double or simultaneous impression technique (n=25), resulting in a hundred impressions of the same model. Impressions were poured with type IV dental stone. Digital images were taken with a light microscope and the distances between the reference points created on the plaster dies were compared with the ones on the standard model. In the occlusal, mesial-medium and mesial-cervical segments, the double impression (DI) with condensation silicone presented similar values compared to the standard model. The values of the addition silicone with DI were similar to the standard model only in the mesial-occlusal segment. In the other segments (distal-cervical, distal-medium and distal-occlusal), all groups were statistically different from the control. It could be concluded that addition and condensation silicone impressions provided plaster dies with significant dimensional alterations in most of the evaluated areas when compared to the standard model. The retentive areas related to the onlay preparation influenced the dimensional stability of the addition and condensation silicone impressions. PMID- 21053693 TI - Use patient satisfaction data to zero in on areas for improvement. PMID- 21053694 TI - Communication key to patient satisfaction scores. PMID- 21053695 TI - CM redesign promotes care coordination. PMID- 21053696 TI - Track, trend readmissions to reduce rehospitalizations. PMID- 21053697 TI - HF program includes beefed-up education. PMID- 21053698 TI - Trace claims denials back to provider offices. PMID- 21053699 TI - The NMA has advocated and worked for health care reform...now what? AB - This presidential inaugural address was delivered during the installation ceremony at NMA's 108th convention and scientific assembly in Orlando, Florida, by NMA President Leonard Weather Jr, RPH, MD, August 3, 2010. PMID- 21053700 TI - Race/ethnicity and acute respiratory distress syndrome: a National Trauma Data Bank study. AB - BACKGROUND: A study in the general population has shown a higher acute respiratory distress syndrome (ARDS) mortality among blacks. We studied whether black blunt-trauma patients experience different ARDS incidence, ARDS-associated mortality, or ARDS case fatality rates. METHODS: National Trauma Data Bank (NTDB) extracts of blunt-trauma patients with Injury Severity Score (ISS) greater than 16 and length of stay greater than 3 days were used for this study. ARDS incidence, ARDS-associated mortality, and ARDS case fatality rates were calculated for Caucasians, blacks, and Hispanics, and compared using chi2. In order to adjust for confounders (age, gender, comorbidities, hypotension, and injury severity) multiple logistic regression models were built for the 3 outcomes. Odd ratios (ORs) and 95% confidence intervals (CIs) were calculated. A p < .05 was used for all statistics. RESULTS: Among the 96350 patients studied, ARDS incidence, ARDS-associated mortality, and ARDS case fatality rates were 0.92%, 0.18%, and 19.1%, respectively. Differences among racial/ethnic groups were found between blacks and Caucasians for ARDS incidence (0.70% vs. 0.93%) and between Hispanic and Caucasians for ARDS-associated mortality (0.27% vs. 0.17%). Multiple logistic regression models adjusting for confounders, using Caucasian race/ethnicity as a reference, revealed a protective effect of black race/ethnicity for ARDS incidence (OR, 0.73; 95% CI, 0.58-0.91). Hispanics, but not blacks, experienced higher odds of adjusted ARDS-associated mortality (OR, 1.76; 95% CI, 1.15-2.62) and ARDS case fatality (OR, 1.92; 95% CI, 1.17-3.09). CONCLUSIONS: Black race/ethnicity is not associated with ARDS mortality among blunt-trauma patients. Black race/ethnicity seems to have a protective effect in relation to ARDS incidence. Hispanic ethnicity was associated with a higher mortality and case fatality rates for ARDS. PMID- 21053701 TI - Factors influencing African American mothers' decisions about sleep position: a qualitative study. AB - BACKGROUND: African American infants continue to be at more than twice the risk for sudden infant death syndrome (SIDS) than Caucasian Americans. In addition, African Americans are twice as likely to place their infants prone for sleep. OBJECTIVE: To investigate, using qualitative methods, factors influencing African American parents' decisions regarding infant sleep position. METHODS: Eighty three mothers participated in focus groups or individual interviews. Questions probed reasons for infant sleep position decisions and influences on decision making. RESULTS: All of the mothers in this study were aware of the recommendation to place infants supine for sleep. Mothers largely chose infant sleep position for either safety or comfort reasons. Many mothers who chose the prone position did so because they perceived it to be safer than supine. Sleep position decisions were influenced by parental needs (largely the need for sleep), perception of SIDS risk and biological plausibility, and trusted sources of information. Mothers sought information from multiple trusted sources before making any decision. Even if mothers had trust in their pediatrician, they were comfortable making decisions counter to their pediatrician's recommendations if they felt it to be in the best interests of their infant. CONCLUSIONS: African American mothers are generally aware of the Back to Sleep recommendation. However, many may not believe that the supine position is the safest position. Other mothers may use the prone position because of infant comfort or parent's need for longer sleep. Trust in the pediatrician may not be sufficient reason for parents to use the supine position. PMID- 21053702 TI - Where should my baby sleep: a qualitative study of African American infant sleep location decisions. AB - BACKGROUND: African American infants are of higher risk for sudden infant death syndrome (SIDS) and accidental suffocation than other infants and are up to 4 times more likely to bedshare with their parents. OBJECTIVE: To investigate, using qualitative methods, factors influencing African American parents' decisions regarding infant sleep location (room location and sleep surface). METHODS: Eighty-three mothers participated in focus groups or individual interviews. Questions probed reasons for infant sleep location decisions and influences on decision making. RESULTS: Most of the mothers in this study slept in the same room as their infant. Reasons for roomsharing included space, convenience, and safety. Mothers largely decided on infant sleep surface because of space for/availability of crib, comfort, convenience, and safety. Both roomsharing and bedsharing were often chosen to make feeding and checking on the infant more convenient. Mothers who chose not to bedshare cited privacy, concern that the infant would become attached to the parents' bed, and fears about suffocation. Mothers who chose to bedshare often cited the ability to maintain vigilance while asleep. Low-income mothers also used bedsharing as a defense against environmental dangers. CONCLUSION: African American mothers in this study viewed both roomsharing and bedsharing as strategies to keep their infants safe. Efforts to encourage roomsharing without bed-sharing must address parental concerns about space for/ availability of a crib, convenience, infant and parent comfort, and infant safety. PMID- 21053703 TI - Development and validation of a multidimensional measure of stress among African American light smokers. AB - The complete Multidimensional Measure of Stress (MMOS) measure may be made available to interested persons by contacting the corresponding author. CONTEXT: Smoking rates are higher among inner-city and lower-income African Americans, perhaps due to psychosocial barriers to cessation efforts, including stress. OBJECTIVE: To describe the development of the MMOS and examine the psychometric properties of the MMOS among African American light smokers. DESIGN: Secondary analysis of data generated from a 2x2 randomized clinical trial, designed to examine the efficacy of nicotine replacement and cessation counseling among 755 African American light smokers. RESULTS: Fourteen items were included in the final MMOS (alpha = .83). An exploratory factor analysis identified 3 factors: interpersonal (alpha = .80), safety (alpha = .70), and financial (alpha = .75). The MMOS was significantly correlated with the Perceived Stress scale (r = 0.49, p < .001) and was associated with several demographic, psychosocial, and tobacco related variables. CONCLUSIONS: The MMOS appears to be a valid measure of stress among African American light smokers enrolled in a cessation trial. PMID- 21053704 TI - Effect of compliance with quality performance measures for heart failure on clinical outcomes in high-risk patients. AB - BACKGROUND: Although effects of the Joint Commission on Accreditation of Healthcare Organizations' (TJC) performance measures on national trends in patient outcomes have been reported, little information exists on the effects of these quality measures on patient outcomes in individual centers caring for high risk patient populations. OBJECTIVES: To determine the effects of compliance with TJC core quality measures for heart failure on patient outcomes at a university hospital caring for high-risk patients. METHODS: We reviewed data collected for TJC in patients admitted with heart failure at a university hospital serving an indigent population in Louisiana. Patients were divided based on compliance with TJC measures into quality-compliant or quality-deficient groups. Of 646 reviewed records, 542, representing 357 patients, were included in the analysis. There were 193 patients in the quality-compliant and 164 in the quality-deficient group. Outcome measures included rate of heart failure admission/year and readmission within 90 days. Multivariate logistic and linear regression analyses were performed to identify independent associations between patient characteristics and heart failure admission. RESULTS: Multiple linear regression analysis demonstrated higher rates of heart failure admission/year, and multiple logistic regression revealed higher readmissions at 90 days in the quality compliant group (parameter estimate, 0.203; p = .02; odds ratio, 2.82; 95% confidence interval, 1.46-5.44, respectively). CONCLUSION: Compliance with TJC quality measures for heart failure at a university hospital in Louisiana was associated with higher readmission rates for heart failure. Several factors may explain this trend, including patient characteristics and focus on national reporting benchmarks rather than patient-centered health care. PMID- 21053705 TI - Racial/ethnic differences in the prevalence of atrial fibrillation among older adults--a cross-sectional study. AB - BACKGROUND: Atrial fibrillation affects 4% to 8% of individuals over 60 years of age based on studies of predominantly white populations, whether this is true among nonwhite individuals is not clear. This study was undertaken to define racial/ethnic differences in atrial fibrillation prevalence among a large community cohort. METHODS: This is a cross-sectional study. In 2008, there were 430,317 members aged 60 years or older in a large California health maintenance organization. By searching International Classification of Diseases, Ninth Revision codes and electronic electrocardiographic archives, we identified all members in this age group with primary, nonvalvular atrial fibrillation. Race/ethnicity data were assigned using health plan enrollment, service utilization, Asian/Hispanic surname and geocoding methods, and was available for 80.5% of members (79.8% of non-atrial fibrillation and 92% of atrial fibrillation), 99% of which were white, black, Asian, or Hispanic. We assessed the age- and gender-specific atrial fibrillation prevalence rates for each racial/ethnic group. The effect of race/ethnicity on atrial fibrillation was analyzed with logistic regression methods adjusting for potential confounders. RESULTS: The overall atrial fibrillation prevalence was 5.3%. Among members with assigned race/ethnicity data, the prevalence among whites, blacks, Asians, and Hispanics was 8.0%, 3.8%, 3.9%, and 3.6%, respectively. The adjusted odds ratios (95% confidence intervals) of atrial fibrillation among blacks, Asians, and Hispanics with whites as referent were 0.49 (0.47-0.52), 0.68 (0.64-0.72), and 0.58 (0.55-0.61), respectively. CONCLUSIONS: Atrial fibrillation is less prevalent in older nonwhite individuals than whites. White race/ethnicity is associated with significantly greater odds for atrial fibrillation compared to blacks, Asians, and Hispanics, after adjusting for comorbidities associated with the development of atrial fibrillation. PMID- 21053706 TI - Predictors of mortality in neonatal septicemia in an underresourced setting. AB - OBJECTIVE: To determine the predictors of mortality in neonatal septicemia. METHOD: The records of babies with culture-proven septicemia managed in a Nigerian newborn unit between 2006 and 2008 were studied using bivariate and multivariate analysis. RESULTS: Out of 174 babies with septicemia, 56 (32.2%) died. Outborn babies, babies with estimated gestational age (EGA) less than 32 weeks, weight less than 1.5 kg, temperature less than 38 degrees C, respiratory distress, abdominal distension, poor skin color, hypoglycemia, and infection with gram-negative pathogens were significantly associated with death by bivariate analysis. Multivariate analysis of these risk factors confirmed that EGA less than 32 weeks (odds ratio [OR], 5.5), respiratory distress (OR, 3.4), abdominal distension (OR, 2.7), poor skin color (OR, 3.3), and hypoglycemia (OR, 5.2) had significant independent contributions to the occurrence of death among babies with culture-proven septicemia. CONCLUSION: Most of the identified predictors of mortality are modifiable and can be used to draw up a screening tool to determine the clinical severity among septic babies. PMID- 21053707 TI - Effect of race and predictors of socioeconomic status on diet quality in the HANDLS Study sample. AB - PURPOSE: To examine effects of race and predictors of socioeconomic status (SES) on nutrient-based diet quality and their contribution to health disparities in an urban population of low SES. DESIGN: Data were analyzed from a sample of the Healthy Aging in Neighborhoods of Diversity Across the Life Span (HANDLS) Study participants examining effects of age, sex, race, income, poverty income ratio, education, employment, and smoking status on nutrient-based diet quality as measured by a micronutrient composite index of nutrient adequacy ratios and a mean adequacy ratio. Regression models were used to examine associations and t tests were used to look at racial differences. SUBJECTS: African American and white adults ages 30 to 64 years residing in 12 predefined census tracts in Baltimore, Maryland. RESULTS: Sex, age, education, poverty income ratio, and income were statistically significant predictors of diet quality for African Americans, while sex, education, and smoking status were statistically significant for whites. African Americans had lower mean adequacy ratio scores than whites (76.4 vs. 79.1). Whites had significantly higher nutrient adequacy ratios scores for thiamin, riboflavin, folate, B12, vitamins A and E, magnesium, copper, zinc, and calcium, while African Americans had higher vitamin C scores. CONCLUSION: Education significantly impacted diet quality in the HANDLS sample, but race cannot be discounted. Whether the racial differences in diet quality are indicative of cultural differences in food preferences, selection, preparation, and availability, or disparities in socioeconomic status remains unclear. PMID- 21053708 TI - Self-reported discharge instruction adherence among different racial groups seen in the emergency department. AB - OBJECTIVES: To compare emergency department (ED) discharge instructions adherence rates and barriers faced by white, black, and Hispanic patients. METHODS: A 6 month prospective follow-up study was conducted in a suburban tertiary care facility. Subjects were adult (>17 years) ED patients discharged with a specific instruction to follow-up with a physician within 2 weeks. Excluded subjects were mentally impaired, evaluated by psychiatry, or institutionalized. Subjects completed a telephone interview within 4 weeks to determine adherence to follow up and the filling of any prescription given them. RESULTS: Of 268 white, 141 black, and 64 Hispanic eligible persons, 133 (53.1%) white, 69 (48.9%) black, and 49 (76.6%) Hispanic subjects participated. Adherence for follow-up and prescription, respectively, was 62.4% and 83.7% in whites, 56.5% and 86.7% in blacks, and 53.1% and 94.5% in Hispanics (nonsignificant chi2 test). White subjects were more likely to report feeling better as a reason for noncompliance (30%), while black (49%) and Hispanic (34%) subjects were more likely to have difficulty getting a follow-up appointment. CONCLUSION: Black and Hispanic patients were more likely than white patients to report difficulty in getting a follow-up appointment within a 2-week period, even though overall adherence was statistically similar between groups. Despite varied level of uninsured patients within each racial group, perceived follow-up cost was not found to be a major barrier. This suggests that improving adherence for a diverse population will require addressing institutional barriers such as appointment wait times and physician-patient ratios in addition to current efforts to increase access to care. PMID- 21053709 TI - Valvular regurgitation impact on left ventricular 2-dimensional and Doppler echocardiographic indices in patients with essential hypertension. AB - BACKGROUND: Blacks have both a higher hypertension prevalence and accelerated cardiac end organ damage. Because blacks also have a higher prevalence of valvular heart disease, which occurs at a younger age than for whites, we further examined the contribution of valvular regurgitation to the severity of hypertensive heart disease in Nigerians. METHODS: We evaluated and compared echocardiographic indexes in 75 essential hypertensive Nigerians with (n=48) and without (n=27) valvular regurgitations. Demographic and echocardiographic indices, as well as the types and severity of valvular lesions were compared between the groups using bivariate logistic regression and analysis of variance. RESULTS: The 2 groups were of similar demographics, but those with regurgitations had larger cardiac size (p < .05), greater mass (147 +/- 31 vs. 122 +/- 32 g/m2, p = .01) higher volume (p < .01), and left atrial size (35.6 +/- 4.6 vs. 33.3 +/- 4.6 mm, p < .05). Atrial size, cardiac volume, and dimension were independent correlates/predictors of regurgitation occurrence. Relative wall thickness of at least 0.6 was more common in regurgitation patients. Cardiac mass was correlated to increasing age (r = 0.23, p = .043). The valvular lesions frequencies were aortic regurgitation, 8; mitral regurgitation, 22; and mixed, 18. The aortic orifice dimension was significantly different among the regurgitant cases, highest in aortic regurgitation (p = .001). Aortic orifice dimension increased with hypertension duration (p = .028). CONCLUSIONS: Regurgitant lesions are common and occur early in hypertensive Africans. Apparently mild valvular regurgitation may accentuate preclinical concentric hypertrophy in hypertensive blacks. PMID- 21053710 TI - Body mass index percentile more sensitive than acanthosis nigricans for screening Native American children for diabetes risk. AB - BACKGROUND: Many Native American tribes use acanthosis nigricans to screen for type 2 diabetes risk. We hypothesized that acanthosis nigricans misses many children at risk for type 2 diabetes. METHODS: We evaluated 5- to 18-year-old Native American children and youth to assess the sensitivity and specificity of acanthosis nigricans as a marker for insulin resistance. RESULTS: In a cohort of 161 youth (72 males/89 females), mean age was 10.7 years + 3.9. Mean body mass index (BMI) percentile was 76.8 +/- 23.3, and 54% had a BMI at or above the 85th percentile. Acanthosis nigricans was present in 21.7% of the participants and was more common in 12-to 18-year-olds than in 5 to 11-year-olds (p = .02). Of those with acanthosis nigricans, 82.4% had insulin resistance (homeostatic model assessment of insulin resistance >4), but only 48.3% of those with insulin resistance had acanthosis nigricans. In contrast, BMI at or above the 85th percentile had a high sensitivity (74%) for insulin resistance, even though its specificity was lower (58%). CONCLUSIONS: The presence of acanthosis nigricans alone was a specific, but not a sensitive, screening tool for identifying youth with insulin resistance. BMI at or above the 85th percentile was a more sensitive screening tool than acanthosis nigricans alone, or acanthosis nigricans and BMI together for identifying children and youth with IR who are at increased risk for type 2 diabetes. PMID- 21053711 TI - Serious pH1N1 influenza illness and pneumonia despite inactivated vaccine: success of prompt diagnosis and treatment. AB - A 42-year-old bedridden patient suddenly became seriously ill with an unexplained fever (39 degrees C) and hypoxemia (pulse oximetry oxygen saturation: patient, 90%; normal, >98%). He had received the inactivated vaccine for pandemic 2009 H1N1-influenza (pH1N1) 41 days earlier. He had no cough, sore throat, or pharyngitis. Therefore, he did not satisfy the Centers for Disease Control criteria for an "influenza-like illness." Nevertheless, his nasopharyngeal swab was tested by rapid enzyme-linked immunosorbent assay for influenza A and found positive. He was promptly treated with supplemental oxygen and oseltamivir (75 mg twice daily) for 5 days. On day 6, reverse transcriptase-polymerase chain reaction test confirmed the virus to be pH1N1. A chest radiograph was normal on day 1 but revealed bilobar pneumonia on day 2. This was considered bacterial superinfection and empirically treated for 10 days with 3 g of piperacillin and 375 mg of tazobactam. The patient fully recovered. This case of pH1N1 vaccine failure occurred because no vaccine is 100% protective, and immune response may be poorer in patients with chronic medical problems. Vaccine failure was not due to immunodeficiency or improper vaccine handling. We credit this patient's recovery to our facility's heightened surveillance for influenza even among the vaccinated individuals, and also in those without classic influenza-like illness. PMID- 21053712 TI - David Jones Peck, MD: a dream denied. PMID- 21053713 TI - Lactose intolerance and African Americans: implications for the consumption of appropriate intake levels of key nutrients. PMID- 21053714 TI - A woman with thoracic outlet syndrome and difficulty swallowing. PMID- 21053715 TI - Laws for caring for victims of sexual assault have changed. See what Kentucky is doing to prepare! PMID- 21053717 TI - Kentucky can win under federal health care reform. PMID- 21053716 TI - Understanding cultural and linguistic barriers to health literacy. AB - Nurses today are providing care, education, and case management to an increasingly diverse patient population that is challenged with a triad of cultural, linguistic, and health literacy barriers. For these patients, culture and language set the context for the acquisition and application of health literacy skills. Yet the nursing literature offers minimal help in integrating cultural and linguistic considerations into nursing efforts to address patient health literacy. Nurses are in an ideal position to facilitate the interconnections between patient culture, language, and health literacy in order to improve health outcomes for culturally diverse patients. In this article the authors begin by describing key terms that serve as background for the ensuing discussion explaining how culture and language need to be considered in any interaction designed to address health literacy for culturally diverse patients. The authors then discuss the interrelationships between health literacy, culture, and language. Next relevant cultural constructs are introduced as additional background. This is followed by a description of how literacy skills are affected by culture and language, a note about culturally diverse, native-born patients, and a presentation of case examples illustrating how culture and language barriers are seen in patients' healthcare experiences. The authors conclude by offering recommendations for promoting health literacy in the presence of cultural and language barriers and noting the need for nursing interventions that fully integrate health literacy, culture, and language. PMID- 21053718 TI - Teaching nursing leadership through precepted change projects. PMID- 21053719 TI - The threats from oil spills: now, then, and in the future. AB - The ongoing oil spill from the blown-out well by the name of Macondo, drilled by the ill-fated rig Deepwater Horizon, has many features in common with another blowout in the Mexican Gulf that happened three decades ago. Then the oil gushed out from the Ixtoc I well drilled by the Sedco 135-F semi-submersible rig. In the years between these catastrophes, the source and nature of oil spills have undergone large changes. Huge spills from tankers that ran aground or collided used to be what caught the headlines and caused large ecological damage. The number and size of such accidental spills have decreased significantly. Instead, spills from ageing, ill-maintained or sabotaged pipelines have increased, and places like Arctic Russia, the Niger Delta, and the northwestern Amazon have become sites of reoccurring oil pollution. As for blowouts, there is no clear trend with regard to the number of incidences or amounts of spilled oil, but deepwater blowouts are much harder to cap and thus tend to go on longer and result in the release of larger quantities of oil. Also, oil exploration and extraction is moving into ever-deeper water and into stormier and icier seas, increasing potential risks. The risk for reoccurring spills like the two huge Mexican Gulf ones is eminent and must be reduced. PMID- 21053720 TI - Ecological risk assessment of arsenic and metals in sediments of coastal areas of northern Bohai and Yellow Seas, China. AB - Distributions of arsenic and metals in surface sediments collected from the coastal and estuarine areas of the northern Bohai and Yellow Seas, China, were investigated. An ecological risk assessment of arsenic and metals in the sediments was evaluated by three approaches: the Sediment Quality Guidelines (SQGs) of the United States Environmental Protection Agency (USEPA), the degree of contamination, and two sets of SQGs indices. Sediments from the estuaries of the Wuli and Yalu Rivers contained some of the greatest concentrations of arsenic, cadmium, copper, mercury, lead, and zinc. Median concentrations of cadmium and mean concentrations of lead and zinc were greater than background concentrations determined for the areas. All sediments were considered to be heavily polluted by arsenic, but moderately polluted by chromium, lead, and cadmium. Current concentrations of arsenic and metals are unlikely to be acutely toxic, but chronic exposures would be expected to cause adverse effects on benthic invertebrates at 31.4% of the sites. PMID- 21053721 TI - Current nitrogen management status and measures to improve the intensive wheat maize system in China. AB - During the first 35 years of the Green Revolution, Chinese grain production doubled, greatly reducing food shortage, but at a high environmental cost. In 2005, China alone accounted for around 38% of the global N fertilizer consumption, but the average on-farm N recovery efficiency for the intensive wheat-maize system was only 16-18%. Current on-farm N use efficiency (NUE) is much lower than in research trials or on-farm in other parts of the world, which is attributed to the overuse of chemical N fertilizer, ignorance of the contribution of N from the environment and the soil, poor synchrony between crop N demand and N supply, failure to bring crop yield potential into full play, and an inability to effectively inhibit N losses. Based on such analyses, some measures to drastically improve NUE in China are suggested, such as managing various N sources to limit the total applied N, spatially and temporally matching rhizospheric N supply with N demand in high-yielding crops, reducing N losses, and simultaneously achieving high-yield and high NUE. Maximizing crop yields using a minimum of N inputs requires an integrated, interdisciplinary cooperation and major scientific and practical breakthroughs involving plant nutrition, soil science, agronomy, and breeding. PMID- 21053722 TI - Eutrophication in a Chinese context: understanding various physical and socio economic aspects. AB - Eutrophication is now a ubiquitous water quality impairment in China. The first step toward restoration of eutrophicated water bodies is a marked reduction of nutrient loadings in their drainage basins. However, the combination of a number of physical and socio-economic factors is now producing compounded increases in nutrient loads while the nutrient assimilation capacities of natural systems are decreasing. Meanwhile, most of the lakes in densely populated part of China are shallow and very susceptible to anthropogenic alteration. Therefore, in spite of ascending efforts in eutrophication control upward trends of algal blooms in both fresh and coastal waters have been observed for the past two decades. Huge knowledge gap exists in our understanding of the sources and pathways of nutrient losses to aquatic ecosystems. Successful water quality restoration of China's eutrophic waters relies not only on more resource input but also more emphasis on basic, integrated, and management-oriented research. PMID- 21053723 TI - Status of peatland degradation and development in Sumatra and Kalimantan. AB - Peatlands cover around 13 Mha in Sumatra and Kalimantan, Indonesia. Human activities have rapidly increased in the peatland ecosystems during the last two decades, invariably degrading them and making them vulnerable to fires. This causes high carbon emissions that contribute to global climate change. For this article, we used 94 high resolution (10-20 m) satellite images to map the status of peatland degradation and development in Sumatra and Kalimantan using visual image interpretation. The results reveal that less than 4% of the peatland areas remain covered by pristine peatswamp forests (PSFs), while 37% are covered by PSFs with varying degree of degradation. Furthermore, over 20% is considered to be unmanaged degraded landscape, occupied by ferns, shrubs and secondary growth. This alarming extent of degradation makes peatlands vulnerable to accelerated peat decomposition and catastrophic fire episodes that will have global consequences. With on-going degradation and development the existence of the entire tropical peatland ecosystem in this region is in great danger. PMID- 21053724 TI - A carbon cycle science update since IPCC AR-4. AB - We review important advances in our understanding of the global carbon cycle since the publication of the IPCC AR4. We conclude that: the anthropogenic emissions of CO2 due to fossil fuel burning have increased up through 2008 at a rate near to the high end of the IPCC emission scenarios; there are contradictory analyses whether an increase in atmospheric fraction, that might indicate a declining sink strength of ocean and/or land, exists; methane emissions are increasing, possibly through enhanced natural emission from northern wetland, methane emissions from dry plants are negligible; old-growth forest take up more carbon than expected from ecological equilibrium reasoning; tropical forest also take up more carbon than previously thought, however, for the global budget to balance, this would imply a smaller uptake in the northern forest; the exchange fluxes between the atmosphere and ocean are increasingly better understood and bottom up and observation-based top down estimates are getting closer to each other; the North Atlantic and Southern ocean take up less CO2, but it is unclear whether this is part of the 'natural' decadal scale variability; large-scale fires and droughts, for instance in Amazonia, but also at Northern latitudes, have lead to significant decreases in carbon uptake on annual timescales; the extra uptake of CO2 stimulated by increased N-deposition is, from a greenhouse gas forcing perspective, counterbalanced by the related additional N2O emissions; the amount of carbon stored in permafrost areas appears much (two times) larger than previously thought; preservation of existing marine ecosystems could require a CO2 stabilization as low as 450 ppm; Dynamic Vegetation Models show a wide divergence for future carbon trajectories, uncertainty in the process description, lack of understanding of the CO2 fertilization effect and nitrogen carbon interaction are major uncertainties. PMID- 21053725 TI - Trends in intra- and inter-annual temperature variabilities across Sudan. AB - Four mean temperature variables, namely maximum (MAX), minimum (MIN), mean (MEAN) and diurnal temperature range (DTR), were considered for 14 selected observational stations throughout Sudan. The objectives were to investigate the seasonal and annual regimes, the seasonal and annual trends, the intra-annual variability (IAV) by the coefficient of variation (CV), and the interrelationships between the temperature variables and percent of possible sunshine. A mounting evidence of daytime and nighttime warming since the 1940s until 2005 is presented. The exception is the dry season which is dominated by daytime cooling attributable to the damping effect of dust haze/storms. Apparently, the progressive drought across inland locations has raised the MAXs, and to a lesser extent the MINs, of the wet season over those for the hot season. Accordingly, maximum rates of 0.451 and 0.336 degrees C decade(-1) were found for the nighttime and daytime temperatures, respectively. The extreme eastern and western locations have been frequently dominated by the warmest trend rates obtained nationwide. The prevalence of significant decreases (increases) of DTR is more apparent in the dry, hot and annual series (wet series). Depending on the temperature variable under consideration, many stations possessed significant trends toward either increased or decreased variability of the within-year monthly values, i.e. IAV. The correlation between the time series of annual CV and extreme values for each of the four temperature variables shows generally that warmer climate in Sudan is associated with higher intra-annual temperature variability and vise versa, i.e. the CV is directly correlated with the highest value within the year, but inversely correlated with the lowest one. The findings of this investigation also indicate that the DTR is directly related to percent of possible sunshine, but the relationship of the latter parameter is not so clear with MAX, MIN and MEAN. PMID- 21053726 TI - Climate response by the ski industry: the shortcomings of snowmaking for Australian resorts. AB - Skier numbers, and revenues for the multi-billion-dollar ski industry, are highly sensitive to snow cover. Previous research projected that under climate change, natural snow cover will become inadequate at 65% of sites in the Australian ski resorts by 2020. Resorts plan to compensate for reduced snowfall through additional snowmaking. For the six main resorts, however, this would require over 700 additional snow guns by 2020, requiring approximately US $100 million in capital investment, and 2,500-3,300 ML of water per month, as well as increased energy consumption. This is not practically feasible, especially as less water will be available. Therefore, low altitude ski resorts such as these may not be able to rely on snowmaking even for short-term adaptation to climate change. Instead, they are likely to seek conversion to summer activities and increased property development. PMID- 21053727 TI - Remembering the ultimate goal of environmental protection: including protection of impoverished citizens in China's environmental policy. AB - The life of impoverished people can be damaged by adverse environmental conditions, but these people can also be harmed by environmental conservation programs, particularly when the guiding policy ignores their needs. To improve the social and economic effectiveness of environmental protection, governments must understand that the ultimate goal of environmental protection is to improve human livelihoods, not just restore vegetation. The elimination of poverty by the development of sustainable, long-term enterprises is a precondition for successful ecological restoration. PMID- 21053728 TI - China's largest scale ecological migration in the Three-River Headwater region. PMID- 21053729 TI - Effects of pipeline construction on wetland ecosystems: Russia-China Oil Pipeline Project (Mohe-Daqing Section). PMID- 21053730 TI - Shengtai anquan: managing tourism and environment in China's forest parks. PMID- 21053731 TI - Transcatheter valves: a brave New World. AB - Over the past five years, transcatheter valves have stimulated the attention of physicians, engineers, and investors. Transcatheter valve design and implantation techniques depart from the time-proven features of surgical valves, and this has an important impact on the safety and efficacy of prosthetic valve therapy. Herein is reviewed the performance of transcatheter valve procedures in comparison to surgical valves, together with a summary of the specific design features of several emerging transcatheter valves. How the current and future generation transcatheter valves are likely to impact on patient treatment is also explored. PMID- 21053732 TI - Assessment of structural valve deterioration extended to 20 years--review of documentation on the St. Jude Medical bioprostheses. PMID- 21053733 TI - The fate of bioprostheses in middle-aged patients: the Japanese experience. AB - BACKGROUND AND AIM OF THE STUDY: Although the trend of bioprosthesis use has been evaluated extensively, the durability of currently available bioprostheses has not been determined in middle-aged patients. The study aim was to determine the long-term fate of bioprostheses implanted in patients aged < 60 years. METHODS: Valve implantation data were collected from 43 centers in Japan. The data included patient age at implantation, type of valve, implant position, follow up period, and cause of reoperation including structural valve deterioration (SVD) and non-SVD. Between 1975 and 2005, a total of 697 bioprostheses was implanted in the mitral position, and 247 in the aortic position. The mean follow up period was 9.2 years. Rates of freedom from SVD and reoperation were determined using an actuarial method. RESULTS: The mean age at implantation was 45 +/- 10.9 years. The 15-year freedom from SVD was 39% for those with valves implanted in the aortic position, and 27% in the mitral position (p = 0.004). For the same period, the actuarial freedom from reoperation was 31% for valves in the aortic position, and 24% in the mitral position (p = 0.178). The difference in actuarial freedom from SVD was not significant between age groups in the mitral position. However, there were differences in actuarial freedom from SVD in the aortic position for patients aged < 10 years when compared to the other age groups (p < 0.001). New generation valves showed better long-term durability than older valves (p = 0.05). CONCLUSION: The long-term freedom from SVD in middle-aged patients was unfavorable for bioprostheses implanted in the aortic and mitral positions. Middle-aged patients must be made aware that reoperation will be necessary; consequently, the choice of bioprosthesis should be dictated by patient-surgeon preference. PMID- 21053734 TI - Frequency and surgical management of complex posterior leaflet prolapse of the mitral valve. AB - BACKGROUND AND AIM OF THE STUDY: Isolated posterior leaflet prolapse of the mitral valve may present with more complex anatomy than limited middle scallop prolapse (P2). The study aim was to describe the incidence and surgical management of extensive or commissural posterior leaflet prolapse, in addition to long-term outcomes following repair. METHODS: Between October 2001 and May 2008, among 481 patients operated on for mitral valve prolapse, 201 consecutive patients underwent mitral valve repair for isolated posterior leaflet prolapse. Of the latter patients, only 81 (40%) had limited P2 prolapse, while the remaining 120 (60%) showed complex posterior leaflet prolapse, including either extensive (n = 105) or commissural (n = 15) prolapse. Extensive leaflet prolapse was treated with aggressive leaflet resection and sliding plasty, combined with a longitudinal annular plication using polytetrafluoroethylene running sutures. Commissural prolapse was repaired with an edge-to-edge technique or commissuroplasty. The clinical and echocardiographic follow up was complete for all patients, and extended up to 6.8 years (mean 2.4 +/- 1.9 years). RESULTS: There was no hospital mortality. Repair was successful in 200 patients (99%), who showed no or trivial mitral regurgitation (MR) intraoperatively. The five-year freedom from recurrent MR (grade > 1+) was 91.5 +/- 4.2% in patients with isolated P2 prolapse, compared to 98.8 +/- 1.2% in patients with complex posterior leaflet prolapse (p = 0.07). The repair of complex posterior leaflet prolapse was also similar to that of isolated P2 prolapse with regard to five year freedom from reoperation (98.9 +/- 5.9% versus 100%; p = 0.4), and survival (92.1 +/- 3.3% versus 88.9 +/- 8.0%; p = 0.9). CONCLUSION: In the present series, posterior leaflet prolapse offered more complexity than usually reported, requiring surgical skills beyond simple quadrangular resection. However, the surgical approach, which typically involved extensive leaflet resection and sliding plasty, offered high repair rates and acceptable durability, considering the initial severity of the prolapse anatomy. PMID- 21053735 TI - E/(EaxSa) estimates left ventricular end-diastolic pressure in patients with severe mitral regurgitation. AB - BACKGROUND AND AIM OF THE STUDY: The early diastolic transmitral velocity/early mitral annular diastolic velocity ratio (E/Ea) reflects left ventricular (LV) filling pressure in a variety of cardiac diseases. The value of this parameter in patients with significant mitral regurgitation (MR) remains controversial. It has been hypothesized that, by combining the index of diastolic function (E/Ea) and a parameter that explores LV systolic performance (Sa, mitral annulus peak systolic velocity), a close prediction of the LV end-diastolic pressure (LVEDP) can be provided. Hence, the study aim was to assess the relationship between a new parameter, E/(EaxSa), and LVEDP in patients with severe MR. METHODS: A total of 55 consecutive patients with severe MR, in sinus rhythm, who had been referred for heart catheterization, was analyzed. Echocardiography was performed simultaneously with LVEDP measurements. Both, E/Ea and E/(EaxSa) were calculated, using the average of the velocities of the septal and lateral mitral annulus. RESULTS: A significant linear correlation was demonstrated between E/(EaxSa) and LVEDP (r = 0.81, p < 0.001); this was superior to E/Ea (r = 0.73, p < 0.001), Sa (r = -0.59, p = 0.004), pulmonary artery systolic pressure (r = 0.57, p = 0.007), E-wave (r = 0.45, p = 0.009), Ea (r = -0.31, p = 0.01), and left atrial volume (r = 0.28, p = 0.02). No significant relationships could be demonstrated between LVEDP and the LV ejection fraction. The area under the receiver-operating characteristic (ROC) curve for prediction of LVEDP > 15 mmHg was greatest for E/(EaxSa) (AUC = 0.87, p < 0.001), followed by the E/Ea ratio (AUC = 0.81, p < 0.001). A statistical comparison of the ROC curves indicated that E/(EaxSa) was more accurate than E/Ea (p = 0.02). The optimal E/(EaxSa) cut-off to predict a LVEDP > 15 mmHg was 1.95 (85% sensitivity, 83% specificity). CONCLUSION: E/(EaxSa) correlates strongly with LVEDP, and can serve as a simple and accurate echocardiographic index for the estimation of LVEDP in patients with severe MR. PMID- 21053736 TI - Recellularization of decellularized mitral heart valves in juvenile pigs. AB - BACKGROUND AND AIM OF THE STUDY: Glutaraldehyde-preserved bioprosthetic heart valves are non-viable and have a limited durability because of calcification, tissue wear, and inflammation, especially in children. Decellularized porcine heart valves, when treated with deoxycholic acid (DOA), have exhibited complete recellularization and an absence of calcification when implanted into the pulmonary position in juvenile sheep. The study aim was to determine the degree of recellularization and calcification in DOA-treated heart valve prostheses in the mitral position in juvenile pigs. METHODS: A mitral heart valve prosthesis was implanted into each of 17 pigs, and subsequently explanted and fixed in formaldehyde after between five and 26 weeks. A gross pathologic assessment, high resolution X-ray imaging and histological examination were then performed on each valve. RESULTS: Eight pigs survived the observational period. Five valves had only a slight fibrin deposition and calcification foci within the fibrin deposits. Three valves had severe thrombotic material deposits with disseminated calcification and valve stenosis, and one valve had infective endocarditis. A myofibroblast-like cell ingrowth was observed at different locations of the valve housing in all explanted heart valves, but ingrowth in the basal part of the cusp matrix was limited. In four valve prostheses, endothelial cells covered up to 10% of the cusp surface after six months. Inflammatory cells were observed in large numbers in those valves showing endocarditis and severe thrombosis, but in only limited numbers in the other valves. CONCLUSION: All valves showed the deposition of fibrin and platelet material, in three cases to a severe degree. A limited ingrowth of both endothelial and myofibroblast-like cells was observed in five valves in which calcification was limited to a few commissural foci. The non endothelialized surface of the decellularized valves makes them very susceptible to platelet and fibrin deposition; however, slow revitalization seems possible. PMID- 21053737 TI - Complex repair of a Barlow's valve using the Da Vinci robotic surgical system. AB - Robotic mitral valve repair is increasingly being used for mitral valve repair. However, the repair of a bileaflet prolapse (especially Barlow's type) is difficult and not often considered suitable for a robotic-assisted approach. The case is reported of a successful robotic-assisted repair of a Barlow's valve, including posterior leaflet resection, chordal transfer, cleft repair, construction of Gore-Tex neo-chords, bilateral commissuroplasties, and a flexible/partial annuloplasty. The total cardiopulmonary bypass and cross-clamp times were 231 and 183 min, respectively. The patient was discharged home on the third postoperative day and is doing well one year later, with no residual mitral regurgitation. PMID- 21053738 TI - Architecture of a native mitral valve thrombus in a patient with hypereosinophilic syndrome. AB - A 27-year-old male with a six-year history of hypereosinophilic syndrome (HES) presented with a native mitral valve thrombus, despite therapeutic oral anticoagulation. The thrombus was removed, the mitral valve replaced, and subsequent oral anticoagulation maintained at a higher level (INR 3.5). The patient developed two recurrences of mitral valve thrombosis requiring urgent reoperations, and died shortly after the second intervention. A scanning electron microscopy analysis of the native mitral valve thrombus removed during the first cardiac surgery revealed tightly packed thin fibrin strands forming fuzzy irregular structures, with areas of an almost solid fibrin clot. The fibrin networks indicated a heightened thrombin generation, and may account for a diminished susceptibility to intrinsic fibrinolysis. In conclusion, the unfavorably altered compact structure of the fibrin-rich thrombus, which formed despite adequate anticoagulation, might in part explain the recurrent valvular thrombosis. It may also represent a novel prothrombotic mechanism that operates in HES. PMID- 21053739 TI - Medicolegal characteristics of aortic stenosis litigation: a review of the LexisNexis Academic database. AB - BACKGROUND AND AIM OF THE STUDY: Currently, few studies have been conducted to assess the outcome patterns of medicolegal cases involving patients with cardiovascular disease. Thus, the literature was reviewed for patterns of liability and medical outcomes in patients involved in aortic stenosis (AS) litigation. METHODS: Legal case opinions were obtained from LexisNexis Academic; case characteristics, litigation outcomes, and medical outcomes were identified. RESULTS: Of the 133 cases reviewed, 27% were disability claims, 23% workers' compensation cases, 14% medical malpractice cases, and 9% military service connection cases. Of the 133 cases, only 47% were judged in the patients' favor. The patients' mean age was 48.8 years, and 77% were male. The most common etiology of AS was calcific (38%), followed by rheumatic (31%), bicuspid/congenital (27%), and subaortic (4%). The most common presentation was precordial pain (38%), shortness of breath (35%), syncope/dizziness (18%), fatigue (11%) and death (9%). Aortic valve replacement (AVR) was the most common form of treatment (67%); disability was the most common medical outcome (42%). CONCLUSION: AS in litigation follows the standard pattern of AS disease. Only a minority of AS litigation cases are due to medical malpractice, while the majority of AS litigation cases are due to those seeking workers' or disability compensation. Cardiologists treating patients with AS should be cognizant of these litigation patterns and proactively document medical findings, since resulting court decisions profoundly affect the patients' financial means and quality of life. PMID- 21053740 TI - Propensity score-matched analysis of aortic valve replacement by mini thoracotomy. AB - BACKGROUND AND AIM OF THE STUDY: Although minimally invasive aortic valve replacement (MIAVR) through an anterolateral mini-thoracotomy has been shown to reduce surgical trauma, the technique is utilized only at a few selected heart surgery centers. The study aim was to demonstrate the implementation of a MIAVR program at the Innsbruck Medical University, Austria. METHODS: Between October 2006 and January 2009, a total of 315 patients underwent elective isolated aortic valve replacement (AVR). Of these patients, 87 (27.6%) received MIAVR, while the remainder (n = 228) underwent 'conventional' AVR by full sternotomy. In the MIAVR group, 76 patients (87%) were cannulated via the femoral artery. The mean EuroSCORE was 5.7 +/- 2.2 in the MIAVR group, and 6.7 +/- 2.9 in the AVR group (p < 0.001). Propensity score matching was used to reduce the impact of treatment selection in the comparison of MIAVR with conventional AVR. The propensity score was used to yield two matched groups by means of a 1:1 sample matching. RESULTS: The total operative, cardiopulmonary bypass and aortic cross-clamp times were significantly longer in the MIAVR group compared to the matched AVR group. The actuarial one-year survival was 96% in the MIAVR group, and 98% in the propensity matched AVR group (p = 0.57). Reoperation due to bleeding was necessary in 4.6% of the MIAVR group (four patients, three by mini-thoracotomy) compared to 5.7% in the matched AVR group (n = 5; p = 0.38). A total of six MIAVR patients (6.9%) had complications from the cannulated groin, predominantly lymphatic fistula formation. Additionally, there was a trend towards a higher rate of renal insufficiency in the MIAVR group (p = 0.07). CONCLUSION: MIAVR can be safely implemented as routine cardiac surgery procedure, although the operative times are significantly longer. The early postoperative outcome was equal to that of the sternotomy approach, but postoperative complications were predominantly associated with femoral cannulation. PMID- 21053741 TI - Aortic valve replacement in octogenarians: analysis of risk factors for early and late mortality. AB - BACKGROUND AND AIM OF THE STUDY: Cardiac surgery can be performed on elderly patients in good physical and mental health, thus improving their mortality, morbidity, and quality of life. Nevertheless, for some elderly patients aortic valve replacement (AVR) is still denied because of the presence of preoperative characteristics, such as older age and left ventricular dysfunction. The study aim was to review early and long-term results in patients aged > or = 80 years who underwent AVR for severe aortic stenosis, and to identify risk factors for in hospital and late mortality. METHODS: A total of 165 patients (mean age 82 +/- 2.1 years) underwent AVR for severe aortic stenosis, with or without concomitant coronary revascularization, at the authors' institution. The mean aortic valve area was 0.61 +/- 0.2 cm2. Preoperatively, 20 patients (12%) had a left ventricular ejection fraction < 35%. The mean EuroSCORE was 9.45 +/- 1.52. RESULTS: Seven patients (4%) experienced low cardiac output syndrome, and acute renal failure occurred in 24. No perioperative myocardial infarction, stroke or sternal wound infection was detected. In total, 23 patients (14%) required prolonged ventilatory support. The in-hospital mortality was 3%. After a mean follow up of 43 +/- 35.6 months there were 18 late deaths: the cardiac-related mortality was 7%. The mean NYHA class was improved from 2.86 +/- 0.67 to 1.44 +/- 0.57 (p < 0.0001). CONCLUSION: Conventional AVR remains the standard of care, and can be performed with satisfactory in-hospital mortality, long-term life expectancy and quality of life in high-risk elderly patients. Although the transcatheter aortic valve technique seems to be a promising option, its long term value must be established in prospective, randomized trials. PMID- 21053742 TI - Visualization by 256-slice computed tomography of mycotic aortic root aneurysms in infective endocarditis. AB - Infective endocarditis (IE) may lead to mycotic aortic root aneurysm formation. Herein is described the preoperative use of ECG-gated contrast-enhanced 256-slice cardiac computed tomography (CT) to optimize surgical planning by visualizing the location and extent of the mycotic aneurysm in two patients with complicated IE. In both cases, CT revealed a large aortic root mycotic aneurysm, accurately determined its location and extent, and also depicted the close relationship of the aneurysm to the major blood vessels. Intraoperative surgical findings corresponded to preoperative CT findings in both cases. Multislice CT is a valuable technique in patients with complicated IE that helps to optimize preoperative surgical planning. PMID- 21053743 TI - Organ culture as a tool to identify early mechanisms of serotonergic valve disease. AB - BACKGROUND AND AIM OF THE STUDY: Although the late effects of serotonergic valve disease are known, the early mechanisms of the characteristic plaque formation are poorly understood. METHODS: To model conditions leading to plaque formation on mitral valves, samples (n = 6-8 per treatment) cultured in a splashing bioreactor were exposed to serotonin (5HT) and norfenfluramine (NF). In order to assess the role of 5HT2B receptor activation, the effects of these drugs were also tested with a 5HT2B receptor antagonist. After two weeks, tissue samples were stained immunohistochemically to localize changes in multiple extracellular matrix (ECM) components and synthesis mediators. RESULTS: Decorin and versican expression tended to increase with 5HT treatment compared to NF or baseline controls, regardless of the presence of the receptor antagonist. Samples treated with 5HT or with the receptor antagonist tended to express less collagen (types I and III) and biglycan than NF or the baseline controls. Heat shock protein 47, prolyl-4-hydroxylase, matrix metalloproteinase 9 (MMP9) and MMP13 tended to be down-regulated with 5HT or NF exposure, although some samples treated with the antagonist displayed normal levels of these mediators. Superficial plaques grew on a subgroup of the NF-treated organ cultures, but on none of the 5HT and control valves. CONCLUSION: Although both serotonin agents lead to plaque formation in a clinical setting, the early effects of exposure to the different drugs were found to be quite different. Additionally, the different drug responses suggest that a mechanism other than 5HT2B receptor activation might contribute to plaque formation. PMID- 21053744 TI - Association of serum fetuin-A with valvular calcium concentration in rheumatic mitral valve disease. AB - BACKGROUND AND AIM OF THE STUDY: Fetuin-A is an acute-phase glycoprotein that inhibits ectopic calcification. The study aim was to assess serum fetuin-A levels in patients with rheumatic mitral valve disease (RMVD), and to evaluate the association of fetuin-A with the extent of mitral valve calcification, determined either echocardiographically or by the measurement of calcium and phosphorus concentrations in the resected valve tissues. METHODS: The study group comprised 21 patients (14 females, seven males; mean age 48 +/- 12.4 years) with RMVD, who were scheduled for mitral valve replacement surgery, while 30 age- and gender matched healthy subjects (17 females, 13 males; mean age 43.6 +/- 11.1 years) served as a control group. Baseline serum fetuin-A levels were measured using ELISA, and high-sensitivity C-reactive protein (hs-CRP) levels using immunonepholometry. A Wilkins score was calculated using transesophageal echocardiography, and the resected valve tissues were analyzed for concentrations of calcium and phosphorus. RESULTS: Serum fetuin-A levels were lower and hs-CRP levels higher in the study group than in controls (300.4 +/- 92.5 microg/ml versus 352.6 +/- 55.3 microg/ml, p = 0.028; and 1.9 +/- 1.2 mg/dl versus 0.3 +/- 0.2 mg/dl, p < 0.0001, respectively). An inverse correlation was found between serum fetuin-A and hs-CRP levels (r = -0.690, p = 0.001). A significant association of either serum fetuin-A or hs-CRP was also found to occur with calcium concentration in the mitral valve tissue (r = -0.684, p = 0.001, and r = 0.510, p = 0.018, respectively), but not with the Wilkins calcium score. Serum fetuin-A and phosphorus concentrations in the MV tissue were independent predictors of calcium concentration in the MV tissue. CONCLUSION: Serum fetuin-A, which is significantly decreased in patients with RMVD, is an independent predictor of calcium concentration in the mitral valve tissue. PMID- 21053745 TI - Mid-term follow up of triple valve surgery in a western community: predictors of survival. AB - BACKGROUND AND AIM OF THE STUDY: Triple valve surgery remains a challenge, although with an improved survival rate compared to historical data. Aws assessment was made as to whether the type of valve surgery, underlying valve lesion and pathology were independent predictors of outcome. The patient characteristics were also described according to the type of surgery performed. METHODS: A total of 166 consecutive patients underwent triple valve surgery and were followed up between October 1972 and June 2006. The clinical and operative variables were obtained retrospectively by physicians. The median follow up was 6.11 years (interquartile range 2.13-10.43). RESULTS: The overall 30-day mortality was 10%, five-year survival 70%, and 10-year survival 60%. In patients with three mechanical valves, survival at five years was 90%, and 85% at 10 years, compared to 40% at five years and 30% at 10 years in patients with three bioprostheses. Among all patients with a mechanical valve in the aortic and mitral positions, those with a tricuspid bioprosthesis were compared to patients with tricuspid repair. The survival rate at 10 years was 60%, and similar between groups. The survival rate of patients with aortic and mitral bioprostheses and tricuspid repair was comparable to that in patients with three bioprostheses. Multivariable analyses showed that the type of tricuspid surgery, age, and NYHA functional class were each significant and independent predictors of survival, with a tricuspid mechanical prosthesis favoring survival. According to the type of surgery, the patient groups differed in their cardiovascular and non cardiovascular risk profiles. CONCLUSION: Triple-valve surgery is a difficult procedure, with greatly improved survival rates compared to historically reported data. The decision of prosthetic valve type and repair should be tailored to the individual patient, as both patient characteristics and chosen surgery appear to determine survival and morbidity. In young patients, a mechanical prosthesis should also be considered in the tricuspid position. PMID- 21053746 TI - An agonist of liver X receptor slows valvular disease in a hypercholesterolemia mouse model. AB - BACKGROUND AND AIM OF THE STUDY: Cholesterol is a known risk factor in aortic stenosis and valve degeneration, and the liver X receptor (LXR) is a regulator of cholesterol and phospholipid metabolism. It was hypothesized that an LXR agonist would reduce calcium and lipid deposition in aortic valves. METHODS: Apolipoprotein E-/- (ApoE-/-) mice fed a high-fat diet were implanted with glutaraldehyde-fixed porcine valve fragments. The animals were treated with either the LXR agonist T1317 or vehicle for eight weeks. RESULTS: The LXR agonist reduced lipid deposition in native aortic roots and sinuses about two-fold (p < 0.05), and echocardiography revealed lower transvalvular velocities in vivo (p < 0.05). Similarly, treatment with the LXR agonist significantly reduced the calcium content (by ca. 50%, p < 0.05) and lipid content (by ca. 20%, p < 0.01) of explanted porcine valve tissue. Serum low-density lipoprotein (LDL) and total cholesterol levels were also lower in treated mice (p < 0.01). Serum levels of the inflammatory chemokine platelet factor 4 were reduced by 30% compared to controls. Cultured valvular cells treated with oxidized LDL (ox-LDL) developed greater numbers of calcific nodules. The ox-LDL treatment of valvular endothelial cells increased adhesion to mononuclear cells, while the LXR agonist reversed both the increase in adhesion and vascular cell adhesion protein-1 expression mediated by ox-LDL. CONCLUSION: The data acquired suggested that calcium and lipid deposition in heart valves can be altered by inhibiting lipid metabolism via LXR, and that the mechanism may involve inflammatory cell signaling. These results indicate that enhancement of cholesterol efflux activity may have the potential to reduce bioprosthetic and native valve degeneration. PMID- 21053747 TI - Usefulness of computed tomography scanning in the diagnosis of aortic prosthetic valve pannus. AB - A 64-year old patient underwent aortic valve replacement with a bileaflet Medtronic Advantage prosthesis. Six years later, she presented with a recurrence of symptoms, at which time Doppler echocardiography revealed an obstruction of the aortic mechanical prosthesis (mean transvalvular gradient 35 mmHg). Both, transesophageal echocardiography and fluoroscopy failed to identify the mechanism of valve obstruction. Multislice computed tomography (CT) scanning provided indirect signs as well as direct evidence of pannus formation, which was confirmed at surgery. The value of CT scanning to assess the mechanism of aortic mechanical prosthesis obstruction is emphasized. PMID- 21053748 TI - Lambl's excrescences: a rare cause of stroke. AB - Cardiogenic cerebral embolism is believed to be responsible over 25% of all ischemic strokes. Since 1856, Lambl's description of small excrescences on the aortic valves has attracted widespread attention and controversy. With the increasing use of transesophageal echocardiography, ever-increasing valvular strands are being detected. The case is presented of a cardioembolic stroke secondary to Lambl's excrescences in a 59-year-old man. In addition, the current concepts regarding the importance of recognizing these valvular strands are discussed, and a brief review of the topic is provided. PMID- 21053749 TI - Compression of the left coronary arteries by a large pseudoaneurysm as a late complication after surgical treatment of aortic valve endocarditis. PMID- 21053750 TI - No arterial access: a 'blind flight' for a transapical aortic valve implantation. PMID- 21053751 TI - Bioprosthetic tricuspid valve implantation for active tricuspid valve endocarditis in an adult burn patient. PMID- 21053752 TI - Another cause of severe aortic regurgitation: congenital quadricuspid aortic valve. PMID- 21053753 TI - Acquired left ventricular-right atrial communication following mitral valve replacement. PMID- 21053754 TI - Inpatient hospitalization in addiction treatment for patients with a history of suicide attempt: a case of support for treatment performance measures. AB - This study attempts to validate substance use disorder (SUD) treatment performance measures (PM) in a naturalistic treatment setting. Despite its significance in healthcare systems and in SUD populations, suicidality is one patient characteristic that remains unexplored in the context of SUD PMs. The current study focused on the extent to which the care processes encouraged by SUD PMs were associated with improved outcomes in patients with a prior suicide attempt as compared to those without. We abstracted Addiction Severity Index and health services data from the VA medical record for 381 veterans who initiated outpatient SUD treatment and completed baseline intake measures at a Midwestern VA hospital. Cox proportional hazard regressions examined how baseline characteristics, prior suicide attempts, and PM status predicted the time until hospitalization for psychiatric or substance use problems. Prior suicide attempts significantly interacted with treatment engagement, and hospitalization risk was significantly higher among individuals with a prior suicide attempt who did not meet PMs. This study provides initial observational evidence that past suicide attempts may be a factor that should be considered when defining performance standards that influence the processes of SUD treatment. Future research on PMs should take into account the differences on indicators of high risk and poor treatment outcomes. PMID- 21053755 TI - Drug use and conflict in inner-city African-American relationships in the 2000s. AB - Inner-city relationships face numerous challenges including illegal drug use and its consequences. The nature of this challenge, however, has changed dramatically with a shift from the crack subculture of the 1980s and early 1990s to the subsequent marijuana/blunts subculture. This study presents data concerning 95 inner-city relationships where illegal drug use was present from people who were interviewed in 2004-2006 and reinterviewed in 2008. Hard drug use was still problematic in the 2000s even with the passing of the crack epidemic and its associated behavioral norms. Hard drug (primarily crack) users reported drug use was a problem, reported conflict over drugs, reported higher levels of conflict than others and were the most likely to have broken up with their partner. On the other hand, the experiences and subcultural norms associated with marijuana use appeared to be much less detrimental to relationship harmony. Subjects who used marijuana but not hard drugs reported much less relationship conflict. Indeed, many reported that they enjoyed using marijuana with their partner. These subcultural insights further the understanding that young adults have constructed a much more socially productive subculture regarding marijuana use than their predecessors had constructed around use of crack. PMID- 21053756 TI - Heroin-dependent inmates' experiences with buprenorphine or methadone maintenance. AB - Methadone and buprenorphine are both efficacious treatments for opioid dependency, but they also have different pharmacological properties and clinical delivery methods that can affect their acceptability to patients. This study was intended to increase our knowledge of heroin-dependent individuals' perceptions of methadone vs. buprenorphine maintenance based on actual experiences with each. The study sample consists of heroin-dependent men at the Rikers Island jail in New York City who were voluntarily randomly assigned to methadone or buprenorphine maintenance in jail. Methadone patients were more likely to report feeling uncomfortable the first few days, having side/withdrawal effects during treatment, and being concerned about continued dependency on medication after release. In contrast, buprenorphine patients' main issue was the bitter taste. All of the buprenorphine patients stated that they would recommend the medication to others, with almost all preferring it to methadone. Ninety-three percent of buprenorphine vs. 44% of methadone patients intended to enroll in those respective treatments after release, with an added one-quarter of the methadone patients intending to enroll in buprenorphine instead. These results reinforce the importance of increasing access to buprenorphine treatment in the community for indigent heroin-dependent offenders. PMID- 21053757 TI - Urine testing during treatment predicts cocaine abstinence. AB - The objective of this study was to analyze the influence of the variables that predict cocaine abstinence on an outpatient program for the treatment of addiction to this substance. Participants were 80 patients (85% men and 15% women) selected at random from those receiving treatment at a Spanish health service outpatient unit. For detecting the predictor variables the authors carried out a chi-square automatic interaction detection (CHAID) analysis. Logistic regression analysis and discriminant analysis were performed to estimate the probability of abstinence according to/using the predictor variables detected by CHAID analysis. Abstinence rate after six months of treatment was 37.5%. The variable that best predicted abstinence was number of urine tests carried out over the course of treatment. The rest of the variables used did not have statistically significant influence. The mathematical model used correctly classified 80% of cases. A total of 31 tests were necessary for a probability of over 0.75 of being abstinent at six months. Regular screening for abstinence by means of objective tests helps to improve abstinence rates on cocaine-addiction treatment programs. PMID- 21053758 TI - Prospective predictors of premature death: evidence from the National Longitudinal Study of Adolescent Health. AB - This study was based on data from the National Longitudinal Study of Adolescent Health (Add Health), which revealed that 96 of its original Wave I respondents died prior to the collection of the Wave III survey. We compared psychosocial and behavioral characteristics reported at Wave I among those who died and those who lived (n = 16,719) using chi-square tests, t-tests, and logistic regression analyses to determine the most important risk factors for premature death among adolescents and young adults. Results suggested that driving while drunk was the most important risk factor for premature death (adjusted odds ratio = 2.48; 95% confidence interval: 1.12-5.50). The prevalence of driving while drunk was 17.7% among decedents compared with 6.4% among the survivors. Decedents were also significantly more likely to report multiple risk behaviors than survivors. As the current study demonstrates the importance of alcohol use as a contributing factor to the three leading causes of youth deaths, renewed efforts are needed to prevent and reduce alcohol consumption and abuse among this vulnerable population. PMID- 21053759 TI - The meaning of suffering in drug addiction and recovery from the perspective of existentialism, Buddhism and the 12-Step program. AB - The aim of the current article was to examine the meaning of suffering in drug addiction and in the recovery process. Negative emotions may cause primary suffering that can drive an individual toward substance abuse. At the same time, drugs only provide temporary relief, and over time, the pathological effects of the addiction worsen causing secondary suffering, which is a motivation for treatment. The 12-Step program offers a practical way to cope with suffering through a process of surrender. The act of surrender sets in motion a conversion experience, which involves a self-change including reorganization of one's identity and meaning in life. This article is another step toward understanding one of the several factors that contribute to the addict's motivation for treatment. This knowledge may be helpful for tailoring treatment that addresses suffering as a factor that initiates treatment motivation and, in turn, treatment success. PMID- 21053760 TI - "Tweaking and geeking, just having some fun": an analysis of methamphetamine poems. AB - There is a body of methamphetamine-themed poetry that speaks regretfully of the highly negative experiences of those in recovery from methamphetamine (MA) addiction or who feel trapped in an MA-using lifestyle. During ethnographic research in western Kentucky, the author collected two MA-themed poems from active MA users that differ from other MA poetry. They describe misadventures that occur during MA "binges." However, the text and tone of the poems are comically ironic and represent optimism rather than regret toward MA use. Analyzing these poems provides valuable insights into local patterns of MA use, related terminology, and attitudes toward MA use. PMID- 21053761 TI - Influence of age on Salvia divinorum use: results of an Internet survey. AB - An Internet-based survey of Salvia divinorum ("salvia") users was conducted to identify correlates surrounding its use. Salvia-knowledgeable persons were recruited via "social networking Internet websites" (n = 23) where notices were posted on recreational salvia group message boards (n = 69). Data collection included demographics, use circumstances, experiences, and age (current and at first salvia use). A total of 219 surveys were analyzed. Salvia users who were young adults (< or = 21 yrs) at first use favored salvia for fun (OR = 1.94, CI = 1.08-3.49, p = 0.03) or to relieve boredom (OR = 2.06 CI = 1.09-3.91, p = 0.02), while salvia users who were adults (> or = 22 yrs) at first use favored salvia for spiritual effects (OR = 2.63, CI = 1.02-6.75, p = 0.04). Being an adult at first use was associated with higher odds of concurrent marijuana (OR = 2.68, CI = 1.50-4.78, p = 0.0007) or tobacco use (OR = 1.94, CI = 1.05-3.60, p = 0.03). Over half of all respondents reported use reduction or cessation in the past 12 months (114 of 219, 52%), citing dislike of the high (33.3%) or loss of interest in salvia (28.9%). Reports of cessation suggest salvia use may be more attributed to curiosity than continual abuse. PMID- 21053762 TI - Sexual assault perpetrators' alcohol and drug use: the likelihood of concurrent violence and post-sexual assault outcomes for women victims. AB - Addressing sexual assault requires policy and practice responses that are well informed and empirically-grounded. This study examines the impact of perpetrators' drug and alcohol use during and after sexual assault. A representative sample of women, who responded to a random digit dialing survey, and reported that they were sexually assaulted at some time in their lives were utilized. The survey questions were drawn largely from The National Violence Against Women (NVAW) Survey (Tjaden 1996), and a series of binary logistic regressions was conducted to determine the impact of perpetrators' alcohol and drug use on violence before and after the assault. Findings indicate that perpetrators' alcohol or other drug use at the time of the assault resulted in a greater likelihood of concurrent violence, including hitting, slapping, kicking, use of a weapon, threats to harm or kill, and physical injury during the assault, and as a result, assault victims experienced more time lost from work, school, home duties, and recreation. Both these impacts occurred regardless of the relationship of the perpetrator to the victim, location of the sexual assault, or the victim's ethnicity. This information assists advocates and policy makers in prevention efforts where sexual violence is more likely to emerge. PMID- 21053763 TI - The influence of recency of use on fMRI response during spatial working memory in adolescent marijuana users. AB - Some neurocognitive recovery occurs within a month of abstinence from heavy marijuana use, yet functional magnetic resonance imaging (fMRI) has revealed altered activation among recent and abstinent adult users. We compared fMRI response during a spatial working memory (SWM) task between adolescent marijuana users with brief and sustained durations of abstinence. Participants were 13 recent users (two to seven days abstinent), 13 abstinent users (27 to 60 days abstinent), and 18 nonusing controls, all ages 15 to 18. Groups were similar on demographics, had no psychiatric or medical disorders, and user groups were similar on substance histories. Teens performed a two-back SWM task during fMRI. Recent users showed greater fMRI response in medial and left superior prefrontal cortices, as well as bilateral insula. Abstinent users had increased response in the right precentral gyrus (clusters > or = 1328 microl, p < .05). Results suggest that adolescents who recently used marijuana show increased brain activity in regions associated with working memory updating and inhibition. This study preliminarily suggests that (1) recent marijuana use may disrupt neural connections associated with SWM and result in compensatory brain response, and (2) sustained abstinence from marijuana may be associated with improvements in SWM response among adolescents. PMID- 21053764 TI - Individual differences as predictors of illicit drug use among Turkish college students. AB - Although the prevalence of drug use in the young adult population in Turkey is still far below the figures reported for most European Union countries and the United States, there seems to be a noteworthy increase in drug use, especially among high school and college students. The purpose of the present study was to examine the extent of drug use among college students in Turkey and to identify some of the individual-difference variables associated with drug use. Participants were 781 college students. A survey package including (a) measures of sensation seeking-risk taking, self-esteem, affectivity level, global mental health, overall life satisfaction, and the rate and nature of substance use and (b) demographic questions was administered to the participants during regularly held class meetings. A logistic regression analysis revealed that sensation seeking-risk taking, parental education level, smoking, and frequency of alcohol use predicted illicit drug experience. Implications of the findings and limitations of the study are discussed using the context of the study as a framework. PMID- 21053765 TI - Gambling habits of athletes and nonathletes classified as disordered gamblers. AB - The objective of the present study was to determine the forms of gambling that were the most prevalent in those having problems with their gambling. High-risk individuals who were experiencing difficulties with their gambling were examined. Specifically, the gambling behaviors of current athletes, former athletes, and nonathletes were investigated. Only members of these 3 groups with elevated scores on the South Oaks Gambling Screen (SOGS) were tested. Specifically, only those classified as disordered gamblers (SOGS score > or = 3) were recruited. Among these individuals with high SOGS scores, former athletes were more likely to participate in skill-based forms of gambling such as sports gambling and poker card playing, whereas nonathletes were more likely to partake in gambling games that were based predominately on chance factors. Also, former athletes were more likely to wager on the sport they had once played. Findings suggest that a competitive spirit may lead athletes to involvement in skill-based forms of gambling. While other explanations were considered, preliminary indicators support this view. PMID- 21053766 TI - Adult attachment styles and psychological disease: examining the mediating role of personality traits. AB - The aim of the present study was to examine differences in anxiety and depression related to differences in attachment models of the self and of others and whether personality traits mediate this relationship. The authors assessed attachment styles, anxiety, depression, and personality traits among 274 adult volunteers. Participants were classified into 4 attachment groups (secure, preoccupied, fearful, and dismissing-avoidant) according to K. Bartholomew's (1990) model. The present authors found significant differences among attachment groups on anxiety and depressive symptoms with attachment styles involving a negative self-model showing higher scores than attachment styles characterized by a positive self model. The authors also found that differences between attachment styles in anxiety and depression remained significant when personality factors related to attachment prototypes were entered as covariates. Results indicate that secure attachment in adults was associated with better mental health, while insecure attachment styles characterized by negative thinking about the self were associated with higher depression and anxiety scores. Our findings seem to evidence that attachment and personality are only partly overlapping and that attachment cannot be considered as redundant with personality in the explanation of psychological disease. PMID- 21053767 TI - Competitive personality attitudes and forgiveness of others. AB - The authors conducted a survey to determine whether competitive attitudes are differentially associated with forgiveness or lack of forgiveness of others. The results showed that hypercompetitiveness was associated with a lack of forgiveness, whereas personal development competitiveness was associated positively with forgiveness. Discussion centers on the need to discourage socialization practices that foster the adoption of a self-contained individualism that is associated with a maladaptive hypercompetitiveness. Instead, parents should promote an ensembled individualism that is associated with a psychologically healthy personal development competitive orientation. PMID- 21053768 TI - Design method of self-expanding stents suitable for the patient's condition. AB - A medical device of mesh-shaped tubular structure, called a stent, is frequently used to expand the stenosis of a blood vessel. The stent normally has the structure of longitudinally repeated wavy wire parts and strut parts, and its mechanical properties, such as bending flexibility and rigidity in the radial direction, mainly depend on the shape of the wavy wire and the construction of the strut. This paper presents, a design support system for self-expanding stents that can design stent shape and evaluate stent performance as routine flow. A two stage method for designing suitable stent shapes is built into this system. The mechanical properties of self-expandable stents are evaluated using a non-linear finite element method. The wire length of the stent and the wire width are adopted as design parameters, and the sensitivity of the mechanical properties to these parameters is obtained. When the patient's conditions, such as blood vessel type and the diameter of the blood vessel with stenosis, are given by medical examination, the performance of the stent in restoring blood flow has to be determined. Finally, a method is proposed for designing suitable stents with the desired performance on the basis of mechanical properties. PMID- 21053769 TI - Reverse anatomy shoulder replacement: comparison of two designs. AB - The aim of this study was to use a biomechanical model of the shoulder to examine the characteristics of two concepts of reverse anatomy implant; those that preserve the natural glenohumeral centre such as the Bayley-Walker (B-W) replacement and those medializing it such as Delta. A biomechanical model of the shoulder was used to evaluate the biomechanical characteristics of these prostheses. The moment arms of the shoulder muscles were predicted for the implanted models over a wide workspace and were compared to those of natural anatomy. The deltoid muscle moment arms through almost all of the range of motion in the Delta model were greatest. As a result the shoulder joint contact force was decreased for this implant. Furthermore, although the B-W model predicted similar moment arms to natural anatomy, the contact force decreased owing to change in the joint constraints. Finally, it was concluded that the reverse anatomy can compensate for loss of rotator cuff muscles in terms of joint stability, yet the shoulder function depends on the individual's musculature. PMID- 21053770 TI - Proof testing of ceramic femoral heads for hip joint implants. AB - A proof test procedure for the rejection of defective ceramic hip ball heads in the production line is presented. The procedure consists of applying a load to each ceramic ball head. This load, being somewhat higher than the maximum physiological load, should not cause any damage in cases where the highly stressed areas are free of flaws. In this procedure, a polymer ring is positioned inside the ball head bore between a socket and the head of a tie bolt. Once the tie bolt is pulled downwards, the ring creates a radial pressure on the inner bore surface of the ball head. With an iterative approach based on finite element analysis, the proof test design was optimized in order to obtain a stress distribution in the ball head similar to that resulting in in vivo conditions. The calculated results were validated by strain gauge measurements performed on an assembled proof test apparatus. Several polymers were considered for the ring. Ultrahigh-molecular-weight polyethylene (UHMWPE grade RCH 1000) showed the best durability properties and lowest wear rates. The requirement to perform 1000 reruns without significant reduction of stress in the ball head was fulfilled. Although other proof test procedures for ceramic femoral heads already exist, the procedure presented in this article shows advantages concerning maintenance and operating costs. PMID- 21053771 TI - Short-term repeatability of joint space width measurements using a magnetic resonance imaging compatible knee positioning device. AB - The purpose of this study was to evaluate a magnetic resonance imaging (MRI) compatible knee positioning device to aid in minimizing intratechnologist and intertechnologist differences of minimum joint space width (JSW) measurements. Five subjects were scanned by two separate technologists, with and without an MRI compatible positioning device. A semi-automated program calculated the minimum JSW of the tibiofemoral and patellofemoral joints. The scan-to-scan repeatability was evaluated from measurements between serial scans without subject repositioning, and the intratechnologist and intertechnologist repeatabilities were evaluated when the subject was removed from the magnet and repositioned by an individual technologist. The root mean square (RMS) error of the JSW measurements was also calculated. All measures of scan-to-scan repeatability and intratechnologist repeatability were unchanged with the MRI-compatible positioning device. The intertechnologist repeatability decreased from 0.70 to 0.42 mm, and the RMS error was significantly reduced (P = 0.0006) from 0.26 to 0.15 mm for the tibiofemoral joint. The variability of patellofemoral JSW measurements increased when using the positioning device; however, the increases were not statistically significant. The intertechnologist repeatability increased from 1.55 to 1.79 mm, and the RMS error increased from 0.58 to 0.73 mm. The MRI compatible positioning device was successful at reducing JSW measurement variability at the tibiofemoral joint. The increase in measurement variability at the patellofemoral joint may be due to local incongruities of the articular surfaces. An MRI-compatible positioning device may be beneficial for quantitative longitudinal studies evaluating knee joint health. PMID- 21053772 TI - Lower-extremity musculoskeletal geometry affects the calculation of patellofemoral forces in vertical jumping and weightlifting. AB - The calculation of the patellofemoral joint contact force using three-dimensional (3D) modelling techniques requires a description of the musculoskeletal geometry of the lower limb. In this study, the influence of the complexity of the muscle model was studied by considering two different muscle models, the Delp and Horsman models. Both models were used to calculate the patellofemoral force during standing, vertical jumping, and Olympic-style weightlifting. The patellofemoral forces predicted by the Horsman model were markedly lower than those predicted by the Delp model in all activities and represented more realistic values when compared with previous work. This was found to be a result of a lower level of redundancy in the Delp model, which forced a higher level of muscular activation in order to allow a viable solution. The higher level of complexity in the Horsman model resulted in a greater degree of redundancy and consequently lower activation and patellofemoral forces. The results of this work demonstrate that a well-posed muscle model must have an adequate degree of complexity to create a sufficient independence, variability, and number of moment arms in order to ensure adequate redundancy of the force-sharing problem such that muscle forces are not overstated. PMID- 21053773 TI - Does the choice of stair gait cycle affect resulting knee joint kinematics and moments? AB - Stair gait is a useful activity for the assessment of knee function. The aim of this study was to determine whether knee joint kinematics and moments are affected by the choice of stair gait cycle (SGC) and the step used to measure ground reaction forces (GRFs). This was investigated through motion analysis of ten non-pathological subjects as they ascended and descended a four-step staircase. The SGCs compared for ascent were, first, step 1 (measuring GRFs) to step 3 and, second, step 2 (measuring GRFs) to step 4, and vice versa for stair descent. Knee joint kinematics were not significantly influenced by the choice of SGC. For ascent, significantly larger peak adduction moments were measured for SGCs beginning on step 1 (0.30 +/- 0.08 N m/kg) than for SGCs beginning on step 2 (0.23 +/- 0.09 N m/kg). For descent, the second flexion moment peak was found to be significantly larger for SGCs ending on step 2 (1.17 +/- 0.25 N m/kg) than for SGCs ending on step 1 (0.97 +/- 0.19 N m/kg), and the first adduction moment peak was found to be significantly larger for SGCs ending on step 2 (0.28 +/- 0.15 N m/kg) than for SGCs ending on step 1 (0.21 +/- 0.18 N m/kg). This study highlights important considerations when planning stair gait measurement protocols and comparing results from studies made by other laboratories. PMID- 21053774 TI - Parameter study for the finite element modelling of long bones with computed tomography-imaging-based stiffness distribution. AB - Four radii of different horses were tested in three-point bending and in pure torsion. Detailed finite element (FE) models of these long bones were established by means of computed-tomography (CT) images and tests simulated for both load cases. For the allocation of the local isotropic material stiffness, individual exponential functions were applied whose factor and exponent were determined solely by fitting them to the measured torsional stiffness and bending stiffness of the entire bones. These stiffness functions referring directly to the CT number and having exponents between 1.5 and 2 were in good agreement with Young's moduli subsequently measured from small samples cut from the investigated bones. Based on a model with local orthotropic material definition, an additional parameter study was conducted to verify the sensitivities of the FE analysis results on single variations in the orthotropic elastic constants. This study revealed that the bending test simulations could be enhanced by substantial reduction in Young's moduli in the directions perpendicular to the bone axis; thus, orthotropic material definition is preferable for the FE analysis of long bones. PMID- 21053775 TI - Primary and long-term stability of a short-stem hip implant. AB - The new generation short-stem hip implants are designed to encourage physiological-like loading, to minimize stress-strain shielding and therefore implant loosening in the long term. As yet there are no long-term clinical studies available to prove the benefits of these short-stem implants. Owing to this lack of clinical data, numerical simulation may be used as a predictor of longer term behaviour. This finite element study predicted both the primary stability and long-term stability of a short-stem implant. The primary implant stability was evaluated in terms of interface micromotion. This study found primary stability to fall within the critical threshold for osseointegration to occur. Longer term stability was evaluated using a strain-adaptive bone remodelling algorithm to predict the long-term behaviour of the bone in terms of bone mineral density (BMD) changes. No BMD loss was observed in the classical Gruen zones 1 and 7 and bone remodelling patterns were comparable with hip resurfacing results in the literature. PMID- 21053776 TI - Articular surface approximation in equivalent spatial parallel mechanism models of the human knee joint: an experiment-based assessment. AB - In-depth comprehension of human joint function requires complex mathematical models, which are particularly necessary in applications of prosthesis design and surgical planning. Kinematic models of the knee joint, based on one-degree-of freedom equivalent mechanisms, have been proposed to replicate the passive relative motion between the femur and tibia, i.e., the joint motion in virtually unloaded conditions. In the mechanisms analysed in the present work, some fibres within the anterior and posterior cruciate and medial collateral ligaments were taken as isometric during passive motion, and articulating surfaces as rigid. The shapes of these surfaces were described with increasing anatomical accuracy, i.e. from planar to spherical and general geometry, which consequently led to models with increasing complexity. Quantitative comparison of the results obtained from three models, featuring an increasingly accurate approximation of the articulating surfaces, was performed by using experimental measurements of joint motion and anatomical structure geometries of four lower-limb specimens. Corresponding computer simulations of joint motion were obtained from the different models. The results revealed a good replication of the original experimental motion by all models, although the simulations also showed that a limit exists beyond which description of the knee passive motion does not benefit considerably from further approximation of the articular surfaces. PMID- 21053777 TI - The effect of the Rim Cutter on cement pressurization and penetration on cemented acetabular fixation in total hip arthroplasty: an in vitro study. AB - The Rim Cutter (Stryker Orthopedics, Mahwah, New Jersey) is a tool designed to cut a ledge inside the rim of the acetabulum, onto which a precisely trimmed, cemented, flanged cup can be fitted. The aim was to investigate the effect of the Rim Cutter on the intra-acetabular cement mantle pressure and the depth of cement penetration during cup insertion. The study had two parts. In the first part, hemi-pelvis models were fitted with pressure sensors. Pressure in the acetabulum was measured on insertion of a conventional cemented flanged cup with and without the use of a Rim Cutter to prepare the rim of the acetabulum. The second part assessed cement penetration when the same cups were inserted into a foam shell model. The shell was mounted in a jig and had holes drilled in it; the distance that cement penetrated into the holes was measured. A significant increase in cement pressure at the apex (p = 0.04) and the rim (p = 0.004) is seen when the Rim Cutter is used. Cement penetration in the Rim Cutter group was significantly increased at the rim of the acetabulum (p = 0.003). Insertion of a flanged cup after the acetabulum is prepared with the Rim Cutter leads to a significant increase in cement pressure and penetration during cup insertion in vitro when compared with conventional flanged cups. PMID- 21053778 TI - Protection of BALB/C mice against Brucella abortus 544 challenge by vaccination with combination of recombinant human serum albumin-l7/l12 (Brucella abortus ribosomal protein) and lipopolysaccharide. AB - BACKGROUND: The immunogenic Brucella abortus ribosomal protein L7/L12 and Lipopolysaccharide (LPS) are promising candidate antigens for the development of subunit vaccines against brucellosis. OBJECTIVE: This study was aimed to evaluate the protection of combination of recombinant HSA-L7/L12 fusion protein with LPS in Balb/c mouse. MATERIALS AND METHODS: The recombinant HSA-L7/L12 fusion protein in Saccharomyces cerevisiae was expressed and purified by affinity chromatography column. LPS was extracted by n-butanol, purified by ultracentrifugation. BALB/c mouses were immunized in 9 groups with PBS, HSA, tHSA-L7/L12, L7/L12, LPS, LPS+ HSA, LPS+ tHSA-L7/L12, LPS+ L7/L12, B. abortus S19. ELISA, LTT tests and challenging two weeks after last injection were carried out. Bacterial count of spleen of immunized BALB/c mouse was done four weeks after challenging with virulent strain B. abortus 544. RESULTS: In ELISA test the specific antibodies of tHSA-L7/L12 exhibited a dominance of immunoglobulin IgG1 over IgG2a. LPS-HSA and tHSA-L7/L12 + LPS produced a significantly higher antibody titer than LPS alone and L7/L12+LPS (P < 0.05). The predominant IgG subtype for LPS and L7/L12+LPS were IgG3. However, tHSA-L7/L12+ LPS and LPS+ HAS elicited predominantly IgG1 and IgG3 subtypes. In addition, the tHSA-L7/L12 fusion protein and L7/L12 elicited a strong T-cell proliferative response upon restimulation in vitro with recombinant tHSA-L7/L12 and L7/L12, suggesting the induction of a cellular immunity response in vivo. However, there was no significant difference proliferative response in L7/L12 and tHSA-L7/L12 fusion protein (P > 0.05). The combination of tHSA-L7/L12 fusion protein with LPS and B. abortus S19 induce higher level of protection against challenge with the virulent strain B. abortus 544 in BALB/c mice than other groups (P = 0.005). CONCLUSIONS: The combination of tHSA-L7/L12 fusion protein with LPS had higher protective ability than LPS and fusion protein distinctly. PMID- 21053779 TI - Correlation of XMAP and ELISA cytokine profiles; development and validation for immunotoxicological studies in vitro. AB - There is an emerging trend in immunotoxicological studies to use the multiplex technologies for testing the safety and the efficacy of new pharmaceuticals by using cytokines profiling as biomarker. The Luminex 200 xMAP (multi-analyte profiling) technology provides simultaneous measurement of multiple cytokines in small sample volumes, expressing rapidly the differences between various test compounds. The aim is to develop and validate the Luminex 200 multiplex immunoassays by correlation with ELISA (enzyme-linked immunosorbent assays) for implementation in evaluating cytokine profiling in immunotoxicological studies in vitro. METHODS: Human peripheral whole blood from healthy subject diluted 1+4 with RPMI 1640 was cultured 48 hours in 28 experimental variants: control, in presence of mitogens, bioflavonoid extracts (from Crataegus monogyna and Echinacea purpurea) as cytoprotectors and with a toxic compound [Pb(NO3)2]), separately or variously combined. IL-1beta and IL-2 were comparatively performed by xMAP and ELISA immunoassays from the same sample to initialize validation of multiplex cytokine panel: IL-1beta, IL-2, IL-4, IL-6, IL-8, IL-10, TNF-alpha, IFN gamma, usually performed by Luminex 200 system in our immunotoxicological studies. The results indicate similarly typed trends of cytokine values obtained by both methods, with comparable relative changes in presence of mitogens, bioflavonoids and toxic, respectively. Although xMAP absolute cytokine values were higher than ELISA values, the correlation between multiplexed assay and ELISA was good for IL-1beta and IL-2 with positive correlation coefficients near to 1. Conclusions. Quantitative differences between absolute values for IL-1beta and IL-2 obtained by xMAP and ELISA assays are found, but the relative values are comparable and the two methods keep similar trends in similar exposure conditions. The performance parameters of the xMAP assay and the good correlation coefficients with the "gold standard" ELISA recommend to validate the multiplex assay for analyzing cytokine profiles in immunotoxicological studies in vitro. PMID- 21053780 TI - Intranasal PUVA phototherapy in nasal polyposis--a pilot study. AB - Nasal polyposis (NP) affects 4% of the general population, representing a major health problem. In spite of complex (surgical and medical) treatment, the relapse rate is high and it has a negative impact on the quality of life. Recently we found that intranasal photochemotherapy with ultraviolet A light (PUVA) is effective in allergic rhinitis. In the present study PUVA was administered for 6 weeks in 7 patients with NP. Nasal lavages were performed in all patients before and at the end of the treatment; from four patients a biopsy specimen was also collected. Eosinophils significantly decreased in patients with NP and slightly in a patient who had associated aspirin sensitivity. IL-5 and eosinophil cationic protein (ECP) levels showed a decreasing trend in patients with NP and an increasing trend in patients with associated aspirin sensitivity. Our results suggest that intranasal PUVA might represent a future therapeutic method in a subset of patients with NP. PMID- 21053781 TI - TH1/TH2 cytokine levels as an indicator for disease progression in human immunodeficiency virus type 1 infection and response to antiretroviral therapy. AB - A recent theory stipulates that during the course of HIV infection, there is a shift in immune response from T-helper 1 to T-helper 2 responses, characterised by elevated secretions of relevant cytokines. Cytokine profiles of 15 asymptomatic (treatment naive) and 26 symptomatic (undergoing treatment) HIV-1 patients was determined to investigate the validity of this theory. HIV-1 RNA was quantified using the COBAS TaqMan HIV-1 test, CD4 T-cell counts with the FACSCalibur flow cytometer and IL-1, IL-4, IL-6, IL-10 and IFN-gamma cytokine levels by ELISA method. The asymptomatic group had significantly higher RNA levels (p-value; 0.000006) and lower CD4 T-cell counts than the symptomatic group indicating ongoing disease progression in the absence of antiretroviral treatment and a positive response to HIV treatment by the symptomatic group. IL-1, IL-4 and IFN-gamma were undetectable in most study subjects. IL-10 and IL-6 levels was relatively lower in the asymptomatic group (mean value; 206.352 pg/ml, 10.516 pg/ml) than the symptomatic group (mean value; 417.539, 18.387 pg/ml). Lower levels of proinflammatory cytokines (IL-1, IFN-gamma) in both study groups and elevated levels of anti-inflammatory cytokine IL-10, confirms that there is a shift in immune response as HIV infection progress to AIDS. In addition, the presence of a progressive trend of anti-inflammatory cytokine, IL-10 and proinflammatory cytokine, IL-6 in 12 symptomatic patients tested 3 months after antiretroviral therapy indicates an attempt by antiretrovirals to restore immune function. PMID- 21053782 TI - Investigation of the cytotoxic capacity of some adherent opportunistic enterobacterial strains by the MTT assay and transmission electron microscopy. AB - The purpose of this study was to determine the cytotoxic effect on CaCo-2 intestinal cells of dialysates obtained from bacterial cultures of some enterobacterial opportunistic strains with different sources of isolation (food, stool culture, acute diarrhoea, urine culture), previously tested and selected for their intensive adherence and invasion capacity to the cellular substratum and also for their cytotoxic effect on cell monolayers. In this study the level of cytotoxicity was measured quantitatively by means of the MTT assay and qualitatively by transmission electron microscopy (TEM). The MTT method uses a tetrazolium salt for the quantitative spectrophotometric assay of CaCo-2 cells survival and proliferation rates in the presence of bacterial dialysates. This test detects the viable cells, which are able to reduce the tetrazolium salt and offers the advantages of a very simple, rapid and precise method. For TEM examination the ultrathin sections were prepared following the standard protocols. The most cytotoxic strains proved to be Citrobacter freundii 93 strain isolated from stool culture, and Enterobacter cloacae 43, isolated from food followed by E. coli 115 strain isolated from acute diarrhoea. These results correlate well with TEM results pointing out the cytotoxic effect of Enterobacter cloacae 43 strain and also its ability to induce attachment and to destroy the cell surface (A/E) of HEp-2 cells. Besides their great adherence and invasion capacity, the production and release of cytotoxic factors into the extracellular medium represent virulence factors in these strains. This could be responsible for the increase of the pathogenic potential of opportunistic bacteria and explain their implication in the etiology of severe infections and food-borne diseases. This study proved that the virulence of opportunistic pathogens is not correlated with the strain's origin, the most evident virulence features being exhibited by an Enterobacter cloacae strain isolated from food. PMID- 21053783 TI - In vitro assessment of the antimicrobial activity of new N-acyl-thiourea derivatives. AB - The qualitative screening of the susceptibility spectra of different microbial strains to the newly synthesized substances complexes was performed by adapted disk diffusion techniques, while the quantitative assay of the minimal inhibitory concentration (M.I.C., microg/cm3) value was based on liquid medium serial microdilutions. The compounds were solubilized in dimethylsulfoxide (DMSO). The in vitro biological screening effects were tested against a microbial inoculum of approximately 1.5 x 10(8) UFC/cm3, corresponding to 0.5 McFarland standard density, obtained from Gram positive (Staphylococcus aureus, Bacillus subtilis), Gram negative bacteria (Escherichia coli, Klebsiella pneumoniae, Pseudomonas aeruginosa) and fungal strains (Candida albicans). In order to investigate the influence of the subinhibitory concentration of the tested substances on the expression of different virulence features, the strains were incubated overnight in the presence of the newly synthesized thiourea derivatives (vol:vol) and different virulence features were investigated, i.e: adherence capacity to the cellular substrate represented by HeLa cells and to inert substrata quantified by slime test and soluble enzymatic virulence factors (haemolysins and other pore forming toxins, proteases activity, DN-ase and siderophores production). The cytotoxicity was assessed microscopically, by observing the effect of the tested compounds on the cellular substratum integrity. PMID- 21053784 TI - Biomarkers discovery in cancer--up-dates in methodology. AB - Biomarkers are biomolecules that can indicate normal/pathological processes, or physiological responses to therapy. Due to the serum abundance in proteins, such as albumin and lypo/glycoproteins, biomarkers are difficult to assess. Serum biomarkers identification can contribute to personalized medicine and improve cancer diagnostic and prognostic. The paper summarizes some of the proteomics techniques and the workflow used for protein signatures identification associated to cancer development. Thus, biomarkers validated for prostatic, breast, cervical or lung cancers are presented as examples for clinical application of serum markers. In spite of the continuous research efforts, there are only few validated biomarkers that have proved a good predictive power in cancer. Modern technology and the combination of various techniques used for proteins quantification represent important means for the identification and validation of new biomarkers. PMID- 21053785 TI - The need for empathy in the hospital experience of older adults. AB - As health care has become increasingly sophisticated, health care providers struggle to ensure that patients do not become isolated and overwhelmed with the many specialists, support personnel, and technology they encounter in their hospital experiences. Hospitalized older patients often have complex diagnoses and pain issues and may have fewer external supports than younger patients. Nurses may find a renewed focus on empathy valuable in enriching health care experiences for hospitalized older adults. PMID- 21053786 TI - Update on St. John's Wort. AB - Herbal preparations for depression are often preferred over pharmaceutical drugs because they are available without prescription and because they are commonly assumed to be safe. St. John's wort (SJW) is one of the best-known and best selling herbal therapies for depression. Meta-analyses of randomized controlled trials of SJW for major depression suggest that SJW is superior to placebo, is similarly effective compared with conventional antidepressant drugs, and tends to have fewer side effects compared with antidepressant agents, but there is a large degree of heterogeneity among the placebo-controlled studies, and trials from German-speaking countries tend to report more favorable findings. A small number of studies suggest SJW is safe to use during pregnancy and breastfeeding. Although SJW is relatively well tolerated, it is prone to many important drug drug interactions. PMID- 21053787 TI - Assessment for and response to adverse childhood experiences. AB - Literature strongly suggests that early exposure to adverse childhood experiences (ACEs) disrupts crucial normal stages of childhood development and predisposes these individuals to subsequent psychiatric sequelae. Even with these data, little is found in nursing literature that discusses ACEs and their impact on adult mental health. Therefore, the purpose of this article is to address how nurses approach communication with clients about and assess for traumatic life experiences. In addition, screening measures for ACEs will be presented, along with discussion about ethical responsibilities of health professionals and researchers in asking about abuse. PMID- 21053788 TI - Use of complementary and alternative therapies during pregnancy, postpartum, and lactation. AB - The use of complementary and alternative therapies for depression is an issue of growing interest for practitioners who care for women. Postpartum depression is a serious and debilitating illness that affects many women, their infants, and families. Often, women do not report feelings of sadness or depression to their health care providers due to stigma. Some women have multiple concerns in using prescription drugs, especially if they are breastfeeding their infants. In addition, more women are educating themselves about the potential side and adverse effects of prescriptions drugs and are exploring complementary and alternative therapies. With the availability of information from multiple sources, whether accurate or not, women may be using these therapies inappropriately. Two major concerns in using complementary and alternative therapies are the purity and safety of the herbs and herbal formulations and the potential lack of communication between the client and health care provider. PMID- 21053789 TI - An integrated dementia intervention for Korean older adults. AB - Called dotage in Korea, dementia is primarily characterized by cognitive impairments. Secondary manifestations include mental-emotional problems, including depression. This study was designed to examine the effects of an integrated dementia intervention for Korean older adults. The intervention is composed of cognitive stimulation training, exercise, music, art, and horticultural therapy. Participants included 38 older adults with mild dementia. Twenty were assigned to the experimental group and 18 to the control group. Participants in the experimental group attended 18 program sessions. Significant differences were found postintervention between the two groups in measures of cognitive function, depression levels, and mental-emotional health. The findings indicate that this integrated dementia intervention can be applied to help older adults with mild dementia. PMID- 21053790 TI - What do surgical oncology staff nurses know about colorectal cancer ostomy care? AB - BACKGROUND: For most patients diagnosed with colorectal cancer, dealing with the adjustment and rehabilitation after treatment can be overwhelming. There is a significant need for expert educational and counseling support, especially for the patient with a new ostomy. This pilot study describes acute care oncology staff nurses' knowledge about and attitudes toward providing direct ostomy care support and education. This study is part of a larger project assessing gaps in education and services in support of patients with colorectal cancer. METHODS: The Survey on Ostomy Care questionnaire designed to assess nurses' knowledge about and attitudes toward ostomy care was administered to oncology staff nurses at a comprehensive cancer center. RESULTS: Only 30% of staff nurses surveyed strongly agreed or agreed with the statement, "I care for ostomy patients often enough to keep up my skills in ostomy care." Maintaining staff nurses' ability to teach and demonstrate to patients complex care such as ostomy care depends on the ability to practice both education and hands-on skills. Staff nurses identify that lack of opportunity to care for the new ostomy patient influences their ability to maintain skill expertise. CONCLUSION: The results show the need to explore the provision of ongoing staff education for low-volume patient populations using creative teaching strategies, such as clinical simulation and short videos. PMID- 21053791 TI - Newly licensed nurses' experiences in rotational training programs in Japan. AB - This article describes the experiences of newly licensed nurses in rotational training programs that lasted longer than 6 months. A qualitative descriptive design was chosen for this study. Six newly licensed nurses were interviewed individually. Transcripts of interviews were coded for each incident and sorted to identify clusters of codes. Findings indicated that newly licensed nurses experienced both the benefits and the disadvantages of this training system. They benefited from extended learning through various experiences. However, they also experienced stress and lacked a sense of fulfillment because of the short term of each rotation. Nurses who are in charge of staff development should attempt to minimize the negative effects and maximize the positive effects to improve the clinical competency of nurses. PMID- 21053792 TI - Effect of a preceptor education workshop: part 2. Qualitative results of a hospital-wide study. AB - BACKGROUND: This study examined the hospital-wide effect of a mandatory 8-hour nurse preceptor workshop on preceptors and orientees. METHODS: A mixed-methods approach was used. The quantitative surveys were augmented with qualitative short answer questions (QUAN + qual) to identify the perceptions of preceptorship experiences for both preceptors and orientees. RESULTS: Findings from the narrative portions of the survey are presented. Orientees were able to distinguish between poor and excellent quality in precepting, were concerned that orientation was not tailored to the needs of experienced nurses, and described three to four preceptors as being the ideal number to be assigned to an orientee. Preceptors postintervention described "being more open" to the orientee's view, "slowing down," and increasing the promotion of critical thinking strategies. CONCLUSION: According to the quantitative results, orientees postintervention did not report increased satisfaction with preceptors. Qualitative findings suggested that this was likely related to a high number of preceptors, heavy patient loads, and lack of tailoring of orientation to the needs of experienced nurses. The quantitative results showed that preceptors postintervention reported increased satisfaction and confidence for precepting in all five preceptor roles assessed quantitatively; qualitative findings further supported these findings. However, narrative findings indicated that a primary barrier to positive changes in a preceptor's practice was a heavy patient load while precepting. PMID- 21053793 TI - Effect of a preceptor education workshop: part 1. Quantitative results of a hospital-wide study. AB - BACKGROUND: This study examined the hospital-wide effect of a mandatory 8-hour preceptor workshop on preceptors and orientees. METHODS: A mixed-methods approach (QUAN + qual) with a quasi-experimental design was used to test nurse preceptors' self-reported confidence and comfort (pre- to postworkshop) in five specific roles in addition to the frequency of coaching critical thinking and providing formal feedback. Additionally, survey items compared cross-sectional cohorts of preceptors and orientees pre- to postworkshop. Orientee retention rates were compared for 1 year before and 1 year after the workshop. RESULTS: Findings from the QUAN portion of the study are presented. Paired t tests showed that preceptors (n = 131) reported significantly improved results for confidence and comfort in all five specific preceptor roles measured 3 to 6 months after workshop attendance; coaching of critical thinking was increased, whereas provision of formal feedback was not. Preceptor-reported comfort and confidence were not significantly greater in the cohort who participated in the workshop compared with the noninterventional cohort (n = 74). Among orientees, satisfaction with preceptors was not significantly improved for the cohort whose preceptors had attended training (n = 53) versus the previous cohort (n = 39). Among orientee cohorts, greater confidence in critical thinking skills on completion of orientation occurred only among experienced transfer nurses. Orientees who had three to four preceptors reported the highest composite satisfaction. One year postintervention, significantly more orientees were retained (125 of 132) than in the previous year (82 of 94) (chi-square, p < .05). CONCLUSION: Preceptor workshops are effective in preparing experienced nurses to precept new nurses, as measured by self-reported development of preceptors and retention of orientees. PMID- 21053794 TI - Preparing for the 2010-2011 influenza season. AB - This article addresses the Centers for Disease Control and Prevention recommendations for 2010-2011 seasonal influenza vaccination campaigns, identifies lessons learned, outlines new recommendations for preventing and controlling influenza this year, and encourages implementing flu vaccine clinics in the workplace. PMID- 21053795 TI - Why breastfeeding matters to occupational health nurses and employers. AB - Women with children are the fastest growing segment of the U.S. work force. Employers must comply with the 2010 Patient Protection and Affordable Care Act, requiring them to provide acceptable facilities for breastfeeding employees. This article discusses resources for examining the economic costs and benefits of becoming a breastfeeding-friendly organization, current legislation affecting breastfeeding women in the workplace, resources related to breastfeeding, and recommendations for initiating and managing a workplace lactation program. Research demonstrating the effects of lactation programs on health care and employee replacement costs (i.e., recruiting, hiring, and training staff) is reviewed. Implementation issues are discussed, including providing breastfeeding women with sufficient time, adequate space, and support from employers and coworkers. The role of occupational health nurses in initiating and coordinating workplace lactation programs is also discussed. PMID- 21053796 TI - Registered nurses and influenza vaccination. An integrative review. AB - Influenza is a serious infectious disease, causing 226,000 hospitalizations and 36,000 deaths in the United States each year. Since 1981, the Centers for Disease Control and Prevention (CDC) has recommended that all health care workers receive influenza vaccination annually. Current CDC reports indicate that only 40% of health care workers receive influenza vaccination. Vaccination rates are lower among registered nurses than other health care workers. This integrative review encompasses international research published between 2003 and 2009, and explores factors that influence nurses' decision to receive or decline influenza vaccination. Findings indicated that concerns about the vaccine's safety and efficacy continue to be major barriers for registered nurses. Future efforts to improve vaccination rates should include rigorous education on vaccine efficacy and safety specific to nursing personnel. PMID- 21053797 TI - Hospital staff nurses' work hours, meal periods, and rest breaks. A review from an occupational health nurse perspective. AB - Registered nurses are the largest group of health care providers in the United States. To provide 24-hour care, hospital staff nurses often work long hours and consecutive shifts, without adequate meal or rest breaks. Serious declines in functioning related to provider fatigue can lead to safety issues for patients and nurses alike. The occupational health nurse can assess the effects of nurses' work hours and break periods on employee health, educate staff on the importance of sleep and deleterious effects of fatigue, and implement programs to improve the work environment. This article examines nurses' work hours, break and meal period laws and regulations, and the role of the occupational health nurse in caring for this group of employees. Overall findings suggest that the expertise of an occupational health nurse in the hospital setting could significantly improve the health and safety of staff nurses. PMID- 21053799 TI - Preventing bedbug infestation. AB - Bedbugs are increasingly encountered in hotels, motels, office buildings, movie theaters, and modes of transport--anywhere the turnover of occupants is constant. PMID- 21053800 TI - What to be thankful for at the journal of continuing education in nursing. PMID- 21053801 TI - Evaluating outcomes: evidence of success. AB - This column describes the evolution of annual reports from statistics-focused to outcomes-focused. This has led to nurse planners' having more comfort and skill reporting changes that result from continuing nursing education activities. PMID- 21053802 TI - Storytelling effectively translates TeamSTEPPS skills into practice. AB - This column shares the lived experiences of four Master Trainers who used storytelling as the methodology for teaching TeamSTEPPS to interprofessional staff members of a large health system. TeamSTEPPS is an evidence-based program that focuses on skills and behaviors that improve teamwork and communication, which are key to preventing medical errors. PMID- 21053803 TI - Three as of civility: acknowledgment, authentic conversations, and action. AB - Nurse educators face the challenge of helping students and staff learn to address all situations in a respectful, civil manner. PMID- 21053806 TI - Nonpharmacological management of agitation in hospitalized patients: a pilot study. AB - This article reports on the use of Simple Pleasures interventions to minimize agitation in hospitalized patients with late-stage dementia. The pilot project was not able to demonstrate statistical significance; however, the positive response to the education and provision of interventions has led to a hospital wide dissemination of the interventions in the form of an activity box. No adverse effects of the interventions were found, and some patients experienced a significant decrease in agitation and use of sedative medications after exposure to the interventions. Hospital lengths of stay were shorter than expected and may also have been influenced by the intervention. PMID- 21053808 TI - Perceived health, life satisfaction, and cardiovascular risk factors among elderly Korean immigrants and elderly Koreans. AB - Acknowledging that changes in sociocultural environment influence health status, the purpose of this study was to compare perceived health, life satisfaction, and cardiovascular health in elderly Korean immigrants and elderly Koreans. In this cross-sectional study, a convenience sample of 88 elderly Korean immigrants and 295 elderly Koreans 65 and older were recruited from Korean communities in the United States and Korea. Respondents' perceived health was measured by self assessment; life satisfaction was self-assessed using a dichotomous scale of general satisfaction with life; and cardiovascular health status was surveyed by self-report of major diagnosed cardiovascular risk factors (i.e., hypertension, hyperlipidemia, diabetes mellitus) and body mass index measurement for obesity. Despite having better perceived health and life satisfaction, elderly Korean immigrants also had higher prevalence of cardiovascular risk factors. The findings provide health care providers with useful information for effective health assessment of minority immigrants. PMID- 21053810 TI - Computerized decision support for delirium superimposed on dementia in older adults. AB - Delirium is common, deadly, and costly in people with dementia. The purpose of this pilot study was to test the feasibility of the computerized decision support component of an intervention strategy-Early Nurse Detection of Delirium Superimposed on Dementia-designed to improve nurse assessment and detection of delirium superimposed on dementia. This pilot study enrolled and followed 15 individuals with dementia (mean age = 83, mean admission Mini-Mental State Examination score = 14.8) and their caregivers daily for the duration of their hospitalization. Results indicated 100% adherence by nursing staff on the delirium assessment decision support screens and 75% adherence on the management screens. Despite the prevalence and severity of delirium in people with dementia, there are currently no published reports of the use of the electronic medical record in delirium detection and management. Success of this effort may encourage similar use of information technology in other settings. PMID- 21053812 TI - Three-step incision for 23-gauge vitrectomy reduces postoperative hypotony compared with an oblique incision. AB - BACKGROUND AND OBJECTIVE: to assess the efficacy and safety of the newly developed three-step incision method of performing 23-gauge vitrectomy. PATIENTS AND METHODS: a retrospective comparative study in which a consecutive series of 45 eyes that underwent the three-step incision type of 23-gauge vitrectomy was compared with a series of 27 consecutive eyes that had previously undergone the oblique incision type of 23-gauge vitrectomy. RESULTS: no cases of postoperative hypotony (< 6 mm Hg) were noted in the three-step group compared with 3 cases (11%) in the oblique group (P = .05). The three-step incision resulted in a significantly higher mean intraocular pressure than the conventional incision on postoperative day 1 (14.1 +/- 6.7 mm Hg vs 10.9 +/- 3.7 mm Hg; P = .05), but there was no significant difference after 1 week. CONCLUSION: the three-step incision for performing 23-gauge vitrectomy effectively prevented postoperative hypotony and demonstrated a safety profile comparable to that of the oblique incision. PMID- 21053813 TI - Modified technique for epinucleus removal in posterior polar cataract. AB - The authors describe a novel technique for the removal of the sub-incisional epinucleus in cases of posterior polar cataracts. After the removal of the nucleus, the epinucleus is removed from the quadrant opposite to the section by aspiration using the phaco probe. Then hydrodissection is performed to dislodge the sub-incisional epinucleus, which is aspirated out. The rate of posterior capsular rupture decreased considerably with this technique because it reduced the manipulations required. PMID- 21053817 TI - Foundation news. PMID- 21053814 TI - Symptom management. At the heart of gerontological nursing. PMID- 21053819 TI - CNE Quiz. PMID- 21053818 TI - Exercise promotion: walking in elders. PMID- 21053820 TI - Preventing injuries (all kinds). PMID- 21053821 TI - In this issue: injury prevention. PMID- 21053822 TI - Pay attention to billing, coding. PMID- 21053823 TI - Hydration practices for young athletes questioned. PMID- 21053824 TI - A 6-week-old girl with constipation, fussiness. PMID- 21053825 TI - Acute respiratory failure in a 2-year-old girl. PMID- 21053826 TI - An 11-year-old girl with burning sensation in her hands. PMID- 21053827 TI - Be mindful of changes to consultation, prolonged service codes. PMID- 21053828 TI - Teen drivers: inherent risks, protection strategies. PMID- 21053829 TI - Protecting children from fires and burns. PMID- 21053830 TI - Preventing knee ligament injuries in young athletes. PMID- 21053831 TI - Choking prevention among young children. PMID- 21053832 TI - White flight - leaving Haiti. PMID- 21053833 TI - Adjunctive psychiatric treatments and recovery-focused care. PMID- 21053834 TI - Getting serious about humor research. PMID- 21053835 TI - DDN Editorial Was A-OK. PMID- 21053836 TI - Effects of childhood abuse linger into old age. PMID- 21053840 TI - Relationships among stress, infectious illness, and religiousness/spirituality in community-dwelling older adults. AB - The purpose of this study was to examine the relationships among stress, infectious illness, and religiousness/spirituality in community-dwelling older adults in the southeastern United States. Four assessment tools were completed by 82 older adults (mean age = 74, age range = 65 to 91): the Perceived Stress Scale, the Carr Infection Symptom Checklist (SCL), the Brief Multidimensional Measurement of Religiousness/Spirituality, and a demographic form. A significant correlation was found between stress and SCL scores; however, four dimensions of religiousness/spirituality moderated the relationship between stress and infection. Older adults who were unable to forgive themselves or forgive others, or feel forgiven by God, were more likely to have had an infection in the previous month. Increased infections also occurred when older participants did not feel they had religious support from their congregations. Using these findings, gerontological nurses are well positioned to deliver tailored stress management and forgiveness interventions when older adults report increased stress. PMID- 21053841 TI - Pilot study of a nonpharmacological intervention for delirium superimposed on dementia. AB - Delirium is a common neuropsychiatric syndrome that occurs most frequently in older adults with dementia and is referred to as delirium superimposed on dementia (DSD). Our aim in this pilot project was to demonstrate that implementation of cognitively stimulating activities is clinically feasible and has potential to reduce delirium severity and duration and functional loss in post-acute care settings. We randomized newly admitted participants with DSD to treatment (n = 11) and control (n = 5) conditions and conducted daily blinded assessments of delirium, delirium severity, and functional status for up to 30 days. The control group had a significantly greater decrease in physical function and mental status over time compared with the intervention group. Delirium, severity of delirium, and attention approached significance, and improvement over time favored the intervention group. Although not statistically significant, a difference in mean (7.0 versus 3.27) and median (7.0 versus 3.0) days with delirium was found, with the control group having more days of delirium. PMID- 21053842 TI - Needed: true urgency. PMID- 21053843 TI - A real-world experience to engage students in evidence-based practice. PMID- 21053844 TI - Radiologic case study. Gastrocnemius fascial defect and muscle herniation. PMID- 21053845 TI - Medical student education: time for a radical change. PMID- 21053846 TI - Method of subcoracoid graft passage in acromioclavicular joint reconstruction. PMID- 21053847 TI - Cartilage repair. PMID- 21053848 TI - Combining advanced technologies: the compress-repiphysis prosthesis for pediatric limb salvage. PMID- 21053849 TI - Pharmacogenomic considerations for customizing warfarin therapy in the orthopedic patient. PMID- 21053850 TI - Graft selection in anterior cruciate ligament surgery. PMID- 21053851 TI - Displaced intra-articular calcaneal fracture treatment. PMID- 21053854 TI - Synthesis of nursing care across the life span using laboratory simulation: a senior-level course. AB - The use of simulation activities in nursing education helps students develop critical thinking skills and also enhances student learning and confidence in the practicum setting. As students complete their education, they need to integrate all they have learned to design care for patients across the life span. This article describes a senior-level skills laboratory simulation course in a baccalaureate nursing program designed to foster the shift toward independent critical thinking. The primary goal of the course was synthesis and application of students' cumulative knowledge through teamwork, assessing, critical thinking, prioritizing, and decision making in care for diverse patients across the life span. Specifics of course development and design are included along with student responses and lessons learned. PMID- 21053855 TI - Celebrating a commitment to care: building concernful practices among practitioners. AB - Caring can be discussed and achieved in a variety of ways within various professional nursing settings. The purpose of our Commitment to Care Celebration was to share common experiences of caring among students, faculty, and staff to build community at a small midwestern college of nursing. The concernful practices of schooling learning teaching were the backbone of designing this caring experience. Narrative pedagogy invited stories of caring among participants in small circular groups to discover what was most important in caring for self and others. This event revealed a true caring experience for students, faculty, and staff, and supported how concernful practices engendered a community of learners. PMID- 21053856 TI - Reverse case study: to think like a nurse. AB - Reverse case study is a collaborative, innovative, active learning strategy that nurse educators can use in the classroom. Groups of students develop a case study and a care plan from a list of medications and a short two- to three-sentence scenario. The students apply the nursing process to thoroughly develop a complete case study written as a concept map. The strategy builds on previous learned information and applies the information to new content, thus promoting critical thinking and problem solving. Reverse case study has been used in both associate and baccalaureate nursing degree theory courses to generate discussion and assist students in thinking like a nurse. PMID- 21053857 TI - Doctor of nursing practice students advocating for health care access, quality, and reform: from the virtual classroom to Capitol Hill. AB - The potential for doctor of nursing practice students to inform and influence the national health care reform movement inspired faculty teaching an online Health and Social Policy course to create an assignment combining comparative effectiveness research with a trip to Capitol Hill to meet with congressional representatives. Preparing for and participating in such an assignment can present challenges for online doctoral students already busy with family and professional responsibilities. However, from both faculty and student perspectives, the lasting value and professional growth are immeasurable. Course details and the theoretical concepts of experiential education are presented, as well as trip logistics and evaluation. PMID- 21053858 TI - Overcoming challenges to collaboration: nurse educators' experiences in curriculum change. AB - This article describes challenges to effective collaboration encountered by nurse educators as they transformed a unit within a school of nursing in Taiwan. This study introduced collaborative action research as a vehicle for curriculum change. Although the team achieved positive outcomes in transforming a unit, the collaborative process was complex with four major challenges: meaning, time, work culture, and conflicting views. This article provides an overview of the study, and the major challenges posed by working together are expounded and illustrated with excerpts drawn from the study data. Possible reasons for the challenges, how these challenges were overcome, and facilitation of the collaborative process are discussed. PMID- 21053859 TI - The lived experience of students in an accelerated nursing program: intersecting factors that influence experiential learning. AB - The goal of this interpretive research study was to articulate the lived experience of students in an accelerated master's of nursing entry program learning the practice of nursing within a clinical setting. Specific questions included: How did previous life experiences, education, and career choices influence the experience of second-degree students? What were the potential effects on learning of condensing and accelerating the curriculum as is requisite in second-degree programs? Data from small group and individual interviews were collected and analyzed using interpretive phenomenological methods. Akin to the experience of tourists or new immigrants, students were confronted with new physical demands, new equipment, new time patterns, and most importantly, new ways of relating to people, all within a condensed time frame. What stood out most in these students' accounts was the ubiquitous context of inpatient nursing care in which lives were at stake. PMID- 21053860 TI - Integrated methods for teaching population health. AB - The Institute of Medicine recommends reforms to public health education to better prepare the public health workforce. This study addresses the application of two of the recommended reforms in the population health nursing curriculum at one university: use of an ecological model and distance learning methods. Using interdisciplinary faculty, integrated teaching and learning methods, and a multimedia curriculum, this study examined the following question: can distance learning be designed to support learning goals and outcomes specific to an ecological approach and population health concepts in general? Course content was evaluated using students' perception of practice utility and understanding of population health concepts. Integrated teaching methods were evaluated using a scale as well as comparison to other student distance learning experiences within the university. Findings demonstrated that both the ecological model and distance learning methods were successfully used to teach population health to a large nursing student cohort. PMID- 21053861 TI - Preparing new nurses with complexity science and problem-based learning. AB - Successful nurses function effectively with adaptability, improvability, and interconnectedness, and can see emerging and unpredictable complex problems. Preparing new nurses for complexity requires a significant change in prevalent but dated nursing education models for rising graduates. The science of complexity coupled with problem-based learning and peer review contributes a feasible framework for a constructivist learning environment to examine real-time systems data; explore uncertainty, inherent patterns, and ambiguity; and develop skills for unstructured problem solving. This article describes a pilot study of a problem-based learning strategy guided by principles of complexity science in a community clinical nursing course. Thirty-five senior nursing students participated during a 3-year period. Assessments included peer review, a final project paper, reflection, and a satisfaction survey. Results were higher than expected levels of student satisfaction, increased breadth and analysis of complex data, acknowledgment of community as complex adaptive systems, and overall higher level thinking skills than in previous years. PMID- 21053862 TI - Ultra-wide-field and autofluorescence imaging of choroidal dystrophies. AB - The authors retrospectively identified 2 cases of gyrate atrophy, 3 cases of choroideremia, and 1 case of the carrier state of choroideremia who underwent ultra-wide-field fundus photography and fluorescein angiography. The findings were studied and compared to standard fundus photography and fluorescein angiography. Gyrate atrophy demonstrated a diffuse confluent extent of chorioretinal atrophy extending from the anterior to the posterior pole to the periphery. Choroideremia demonstrated a patchy irregular pattern of chorioretinal atrophy extending from the posterior pole to the periphery. Peripheral reticular degeneration without chorioretinal atrophy was appreciated in the carrier state. Ultra-wide-field imaging of these choroidal dystrophies demonstrated distinctive patterns that may aid in their identification and diagnosis. PMID- 21053863 TI - Solitary lacrimal gland choristoma of the limbal conjunctiva. AB - Lacrimal gland choristoma occurs in cases with a failure in migration of any portion of the lacrimal secretory apparatus. It is a rare conjunctival tumor. The authors report the clinical and histopathologic features of a conjunctival lacrimal gland choristoma. A 34-year-old woman presented with a pinkish conjunctival mass in the left eye that had been present since birth. The lesion was removed by excisional biopsy and the histopathologic findings were consistent with conjunctival lacrimal gland choristoma. Clinically, these tumors resemble conjunctival hemangioblastoma, lymphangioma, or a lymphoid infiltrate. Its presence since birth, temporal epibulbar location, and pink solid appearance can help in the differential diagnosis. PMID- 21053864 TI - Endogenous fungal endophthalmitis after cardiac surgery. AB - The authors present a single-patient interventional case report of endogenous fungal endophthalmitis (EFE) in a patient with an implanted prosthetic device. A 74-year-old man underwent a double coronary artery bypass graft with a bioprosthetic pericardial valve and transvenous dual chamber pacemaker. Four months later, he presented with EFE. Despite aggressive local and systemic antifungal therapy, the patient died of septic shock due to a fungally infected pacemaker. Successful management of post-cardiac surgery EFE requires aggressive local and systemic antifungal therapy, but without surgical removal of implanted thoracic hardware these modalities alone may be insufficient for a cure. PMID- 21053865 TI - Progression of diabetic tractional retinoschisis by optical coherence tomography. AB - A 63-year-old woman presented with proliferative diabetic retinopathy with extensive posterior neovascularization in both eyes. Visual acuities were 20/40 in the right eye and 20/400 in the left eye with eccentric fixation. Tractional retinoschisis in the left eye that initially spared the fovea progressed over 3 years to involve the entire macula. Findings were documented by optical coherence tomography. No surgical intervention was offered. Tractional retinoschisis in proliferative diabetic retinopathy may become progressive due to cicatricial contraction of the posterior hyaloid face. PMID- 21053866 TI - Spontaneous deflation of an iris pigment epithelial cyst documented with AS-OCT. AB - A 3-year-old girl presented with a black pupillary margin iris mass in the right eye diagnosed as an iris pigment epithelial (IPE) cyst, central (pupillary) type. The diagnosis was verified by anterior-segment optical coherence tomography (AS OCT), which initially showed a circumscribed, round thin-walled cyst with clear lumen attached by a strand to the IPE. On follow-up 3 months later, the IPE cyst had deflated and AS-OCT showed an irregular collapsed surface with no lumen and optical shadowing. The authors report a case in which AS-OCT was used to provide information regarding the diagnosis and behavior of an IPE cyst. PMID- 21053867 TI - Benign fleck retinal findings on multifocal ERG, microperimetry, and OCT. AB - A middle-aged asymptomatic woman presented with multiple yellow-white flecks in the retinal pigment epithelium (RPE) affecting both fundi in a symmetrical pattern. The lesions were distributed around the posterior pole and midperiphery but sparing the macula, a picture similar to what was defined by Gass as benign fleck retina. Visual acuity, visual fields, full-field electroretinogram, electrooculogram, and dark adaptation were normal. Multifocal electroretinogram [0] (mfERG) was slightly subnormal in the isopters 17 degrees to 20 degrees . In this same area, microperimetry confirmed a mild decrease in sensitivity more patent in the upper temporal sector where some hypoautofluorescent spots were detected. Optical coherence tomography (OCT) obtained through the flecks revealed a small increase in the thickness of the RPE. Autofluorescence showed increased autofluorescence within the flecks. OCT confirmed the anatomical location of the defect, whereas mfERG and microperimetry demonstrated for the first time that benign fleck retina can entail a functional defect. PMID- 21053868 TI - Simethicone retinopathy in an immature infant. AB - The authors describe retinal findings in an immature infant consuming simethicone, a common over-the-counter drug used in the treatment of colic. The lesions are most consistent with an embolic phenomenon possibly from systemic absorption of a medication such as simethicone. PMID- 21053869 TI - Successful treatment of superficial corneal irregularity by lamellar keratectomy using the femtosecond laser. AB - The authors report successful vision restoration after cataract surgery using a femtosecond laser in a patient with superficial corneal irregularity. A 62-year old man with corneal irregularity and a cataract in the left eye was referred for treatment. The superficial corneal opacity causing the irregularity was mainly located within the superficial anterior cornea. To acquire a stable keratometric value, which is important for accurate calculation of intraocular lens power, lamellar keratectomy using a femtosecond laser (IntraLase; AMO, Irvine, CA) was performed to smooth the cornea surface. Postoperatively, corneal smoothness was restored and a stable keratometric value was acquired. Vision was improved with the expected refraction after cataract surgery. The femtosecond laser may be an effective surgical tool for patients with superficial corneal irregularity and cataracts. PMID- 21053870 TI - Imaging of the cornea: topography vs tomography. PMID- 21053871 TI - Isolated rupture of the teres major tendon. AB - Acute isolated rupture of the teres major is an uncommon injury. This article presents the first report of midterm subjective and objective functional results following nonoperative management of an isolated teres major rupture. A 30-year old right hand dominant man presented after a waterskiing traction injury to his left upper extremity. On physical examination, the patient had swelling and retraction of the teres major at the lower scapular border, which was accentuated with resisted adduction of the extremity. His teres major attachment at the humerus was not palpable. Magnetic resonance imaging revealed an isolated teres major tendon rupture. The patient was treated non-operatively with a rehabilitation protocol emphasizing rotator cuff, periscapular, and latissimus muscle strengthening. By 3 months postinjury, the patient had returned to all of his usual sporting activities, despite a persistent muscle retraction deformity over the teres major. At 3-year follow-up, the patient had no subjective complaints in the injured extremity and excellent functional outcome scores. A mean 37 kg loss of internal rotation strength (as measured by dynamometer) in the affected extremity with the arm abducted to 90 degrees existed, although this difference was not subjectively appreciable. Although previously published reports have presented various options for the management of teres major injuries, the present case demonstrates that nonoperative treatment can produce excellent midterm subjective results in spite of objective internal rotation weakness. PMID- 21053872 TI - Avascular necrosis of the capitate. AB - Although a large portion of the capitate is supplied by a single nutrient vessel, avascular necrosis of the capitatum is a rare condition. Its etiology is not clear, but a number of factors are linked to its pathogenesis including: repetitive trauma, dorsal instability of the carpus, Gaucher disease, systemic lupus erythematosus, gout, and steroid use. A 24-year-old woman presented with right wrist pain of 12 months duration. She reported no major trauma and reported that her pain had begun during the third month of her pregnancy. She had a history of hyperemesis gravidarum treated with 2 to 4 mg/daily of prednisone during her pregnancy for 6 weeks. She had 70 degrees extension and 45 degrees flexion of her wrist. Radiographs showed a hypodense area at the capitate head and midcarpal joint arthritis. Magnetic resonance imaging demonstrated avascular necrosis of the capitate and midcarpal collapse at the lunocapitate joint. Lunocapitate fusion with a partial scaphoidectomy was performed. At final follow up 28 months postoperatively, she had 60 degrees of volar flexion, and 50 degrees of dorsiflexion. She was pain free in the majority of her activities of daily living. A small dose of steroid use during pregnancy, even in a short period of time, is a potential risk for avascular necrosis of the capitate and patients with a gradual onset of wrist pain during pregnancy may need to be investigated further if symptoms are not resolved with basic nonoperative measures within a reasonable time frame. PMID- 21053873 TI - Spontaneous bacterial seeding of a biceps hematoma. AB - A 19-year-old male construction worker presented with an injury to his left upper arm after lifting a heavy pipe. He reported an acute onset of sharp pain followed by swelling, warmth, and weakness with elbow flexion. The diagnosis of a distal biceps tendon rupture was made and elective repair was scheduled. Seventy-two hours later, the patient presented with a spontaneous draining wound on his anterior distal humerus. The wound was draining thick purulent material. The patient underwent surgery for irrigation and debridement of his abscess. Nearly 500 cc of hematoma and purulent fluid were evacuated. A large tear of both the biceps and brachialis muscle bellies were found. Cultures were obtained that revealed the infecting organism to be Streptococcus intermedius. Human immunodeficiency virus and hepatitis-C virus testing were negative, and no history, signs, or symptoms of any cause of underlying immunodeficiency were detected. No signs or history of drug use were present. He was discharged home on culture-specific oral antibiotics. At 4-month postoperative follow-up, the patient reported no pain or limitations. He has returned to full duty at his job. Elbow range of motion was measured from 7 degrees to 150 degrees of flexion. Strength of elbow flexion and extension was symmetric to the uninjured side. Pronation and supination of the forearm was symmetric on both sides. He has been released from scheduled follow-up and will be seen again on an as-needed basis. PMID- 21053874 TI - Treatment of metastatic bone lesions in the upper extremity: indications for surgery. AB - Pathological fractures caused by metastatic malignant disease have been the subject of increasing interest in recent years. This article describes our experience with the treatment of metastatic bone disease of the upper extremity and our attempt to clarify the indications for different surgical procedures. Of 53 patients with metastatic lesions to the upper extremity, 20 who had been surgically treated were analyzed retrospectively. These comprised 13 men and 7 women with a mean patient age of 62 years. The most common primary tumors to metastasize were lung and liver, with the humerus involved in 12 cases and the scapula and forearm in 4 cases each. Four patients with scapula and forearm involvement underwent tumor resection due to uncontrollable tumor size, while 3 were successfully treated by selective arterial embolization. Three metastases to the humeral head were reconstructed with endoprosthesis, but functional restriction was noted. Five cases with metastases to the humeral shaft were treated with tumor curettage, internal fixation using intramedullary nailing, adjuvant cryosurgery, and cementing. This achieved good results for pain relief and functional restoration with minimal complications. Two metastases to the humeral condyle were unable to be stabilized with plate and locking screws. Metastatic lesions to the scapula and forearm are commonly treated nonsurgically, but some patients with uncontrollable tumor mass require surgical resection. Endoprosthetic replacement is recommended if the lesion involves the humeral head or condyle. Most patients with the humeral shaft lesion are likely to benefit from tumor curettage, intramedullary nailing with locking screw, and cementing. PMID- 21053875 TI - Osteochondroma of the bicipital tuberosity causing an avulsion of the distal biceps tendon. AB - Osteochondromas are one of the most common benign bone tumors. They usually arise from the metaphyses of long bones. Involvement of the bicipital tuberosity is rare. To date, no reports have described avulsed rupture of the distal biceps tendon caused by an osteochondroma of the bicipital tuberosity. This article presents a case of avulsion of the distal biceps tendon secondary to sessile osteochondroma of the bicipital tuberosity in a 65-year-old right-handed sedentary worker who presented with insidious pain and limited motion in his left elbow for 2 months. Intraoperative findings showed a bony mass of 25*23*5 mm with osteocartilaginous nodules on the bicipital tuberosity. The distal biceps tendon with an avulsed bony fragment was displaced proximally, with a 20-mm gap between the tendon and the bicipital tuberosity. After complete excision of the mass, footprint preparation at the bicipital tuberosity was performed using a 4.0-mm burr and anatomic reattachment of the distal biceps tendon with a 5.0-mm suture anchor. The pathologic diagnosis of osteochondroma was confirmed microscopically. We suggest that osteochondroma of the bicipital tuberosity be considered as a cause of painful limitation of forearm rotation or avulsed rupture of the distal biceps tendon. PMID- 21053876 TI - A nonphosphaturic mesenchymal tumor mixed connective tissue variant of the sacrum. AB - Tumor-induced or oncogenic osteomalacia is a rare paraneoplastic syndrome characterized by overproduction of fibroblast growth factor-23 as a phosphaturic agent and renal phosphate wasting. A range of predominantly mesenchymal neoplasms have been associated with tumor-induced osteomalacia and classified as phosphaturic mesenchymal tumor mixed connective tissues. However, phosphaturic mesenchymal tumor mixed connective tissues could be nonphosphaturic in the first stage of the disease, either because the tumors are resected early in the clinical course or because the patient's osteomalacia was attributed to another cause. This article presents a case of a 42-year-old woman with a 2-year history of low back and right leg pain. Laboratory examinations including serum and urine calcium and phosphorous were within normal values. Imaging of the lumbar spine and pelvis showed an osteolytic lesion occupying the right sacral wing. Histology was unclear. Reverse-transcription polymerase chain reaction analysis for fibroblast growth factor-23 was positive and confirmed the diagnosis of phosphaturic mesenchymal tumor mixed connective tissues. Preoperative selective arterial embolization and complete intralesional excision, bone grafting, and instrumented fusion from L4 to L5 to the iliac wings bilaterally was performed. Postoperative recovery was uneventful. Neurological deficits were not observed. A lumbopelvic corset was applied for 3 months. At 12 months, the patient was asymptomatic. Serum and urine values of calcium and phosphorous were normal throughout the follow-up evaluation. PMID- 21053877 TI - Complete stress shielding of the Achilles tendon: ultrastructure and level of interleukin-1 and TGF-beta. AB - Few studies emphasize the collagen metabolism-related cytokines and ultrastructure of the completely stress-shielded Achilles tendon. In this study, we used a rat model with complete stress shielding of the Achilles tendon to observe the changes in the ultrastructure of the Achilles tendon and concentration of IL-1 and TGF-beta 3 weeks after stress shielding. The model group comprised 12 male Sprague-Dawley rats. The stress of the Achilles tendon of the left hind limb was shielded through tendon cerclage combined with sciatic nerve transection, and the right served as a normal control. Three weeks later, the ultrastructure of the Achilles tendon was observed under electron microscopy and IL-1 and TGF-beta levels were determined by enzyme-linked immunosorbent assay. Compared with the control side, collagen fibrils of the shielded Achilles tendons were irregularly arranged and loose. The number of small-diameter collagen fibrils increased significantly with the decrease of the average diameter of collagen fibrils. At the same time, IL-1 concentrations increased significantly in the model group as compared to that in the control group, but no significant difference was found in TGF-beta levels. These results suggest that IL-1 may play an important role in the change of ultrastructure after stress shielding. PMID- 21053878 TI - Bilateral gluteal compartment syndrome following robotic-assisted prostatectomy. AB - Bilateral gluteal compartment syndrome is a rare condition. Only 6 previous cases have been reported in the literature. Two previous cases involved positioning for urological procedures, while the other cited causes of bilateral gluteal compartment syndrome include exercise-induced, trauma, and prolonged immobilization from substance abuse. The 2 previously published reports of bilateral gluteal compartment syndrome associated with urologic positioning were treated conservatively due to late presentation and onset of rhabdomyolysis. This article presents a case of a 61-year-old man who developed bilateral gluteal compartment syndrome following prolonged urologic surgery in a dorsal lithotomy position. Orthopedic evaluation revealed physical examination findings and intracompartment pressures consistent with bilateral gluteal compartment syndrome. He underwent bilateral gluteal compartment fasciotomies. An expansile type Kocher Langenbach incision was made, extending from lateral to the posterior superior iliac spine inferior to the level of the greater trochanter. The 3 compartments were decompressed bilaterally. At completion, the compartments showed definite objective softening. He was treated with delayed closure of his fasciotomy wounds. He was discharged home on sixth postoperative day 6. His wounds healed without difficulty and he regained normal strength and sensation in his lower extremities. Gluteal compartment syndrome following surgery is a preventable condition. Prevention should center on intraoperative padding and positioning, intraoperative repositioning, and restricting the length of the procedure. Once it is identified, early diagnosis and treatment can prevent long term complications. PMID- 21053879 TI - A pathologic fracture of an intracortical chondroma masking as an osteoid osteoma. AB - The differential diagnosis of a tibial intracortical diaphyseal lesion includes osteoid osteoma, periosteal chondroma, nonossifying fibroma, osteofibrous dysplasia, and adamantinoma. While osteoid osteomas represent 5% of all primary bone tumors, little is understood about intracortical chondromas. Intracortical chondroma was first described in 1990 and 7 reported cases have since been published. This article presents the first reported case of a pathologic fracture of an intracortical lesion in a child that shared radiographic and clinical features similar to those of osteoid osteoma, but on histopathologic examination revealed an intracortical chondroma. Our patient exhibited radiographic features of a poorly circumscribed cortical bone sclerosis, a centralized radiolucent nidus on computed tomography, and a hot bone scan of a lesion <1 cm in size that was consistent with an osteoid osteoma. An excision of the bone lesion was performed. The histopathology of the lesion revealed nodules of benign hyaline cartilage in cortical bone, consistent with an intracortical chondroma. Demarcated by cortical bone with mature Haversian systems rather than periosteum or cancellous bone, intracortical chondroma differs from the other 2 chondroma variants, periosteal chondroma and enchondroma, by its relationship to the surrounding bone. Enchondromas are characteristically understood to be asymptomatic. Intracortical chondromas along with periosteal chondromas have been found to present as painful lesions. The similarities with osteoid osteoma and intracortical chondroma in our patient make it circumspect in regards to ablating lesions (ie, needle radiofrequency ablation) without acquiring a biopsy in pediatric patients that both clinically and radiographically are presumably an osteoid osteoma. PMID- 21053880 TI - Sacral stress fracture in a professional hockey player: a case report. AB - Lumbosacral pain is common in the general population and among athletes. Many athletes are diagnosed with low back strain and treated with nonsteroidal anti inflammatories, rest, and muscle relaxers. However, the differential for low back pain in athletes is broad and includes many potential etiologies such as: lumbar disk disease, facet arthropathy, spondylolysis, sacroiliitis, tendinopathies, ligament sprains, hip pathology, bursitis, intraabdominal processes, and neoplasm. Sacral stress fractures are included among the many possibilities. Stress fractures are rare in the general population, with a <1% incidence over a lifetime, but up to 20% of runners may experience a stress fracture while participating in their sport. Athletes are unique as they engage in prolonged strenuous activities, both in practice and competition. Sports activities have the potential of placing extreme amounts of repetitive loading on bones, articular surfaces, and soft tissues throughout the body, including the sacrum. Hockey players place considerable demands on their pelvis during training and competition given the physical demands of the sport. This article presents a case of a delayed diagnosis of a sacral stress fracture in a professional hockey player. This is the first known report of a hockey-related sacral stress fracture. PMID- 21053881 TI - Percutaneous SLAP lesion repair technique is an effective alternative to portal of Wilmington. AB - Athletes with superior labral tear from anterior to posterior (SLAP) lesions place large demands on their rotator cuff and often have partial articular-sided rotator cuff tears as part of an internal impingement process. A percutaneous technique that facilitates SLAP repair may decrease the rotator cuff morbidity associated with establishment of the standard Wilmington portal. The current study reports the clinical outcome of patients with SLAP lesions treated with a percutaneous repair technique. Twenty-two patients with SLAP lesions underwent percutaneous repair. Mean patient age was 26.9 years. Standard posterior viewing and anterior working portals were used. Anchor placement and suture passing were performed with a 3-mm percutaneous and transtendinous approach to the superior labrum. Knot tying was performed via the standard anterior working portal. Clinical outcomes were assessed with validated shoulder evaluation instruments. Mean follow-up was 31.1 months (+/-6.6 months). Improvement of shoulder evaluation scores from pre- to postoperative were as follows: American Shoulder and Elbow Surgeons score improved from 49.5 to 83.6, visual analog scale improved from 5.4 to 1.5, and Simple Shoulder Score improved from 6.4 to 11.0. All were significant improvements (P<.05). There was no significant difference in functional scores between Type II lesions versus combined lesions, or between patients with or without a concurrent low-grade rotator cuff tear. Ninety percent of athletes were able to return to sport at pre-injury level of function. Percutaneously-assisted arthroscopic SLAP lesion repair may minimize surgical morbidity to the rotator cuff and provides excellent results. PMID- 21053882 TI - Evaluation of the reliability of classification systems used for distal radius fractures. AB - The objective of this investigation was to evaluate the reliability of classification systems by determining inter- and intraobserver agreement in displaced distal radius fractures. Radiographs of 32 patients (21 men and 11 women with a mean age of 41.6 years) who presented with a displaced distal radius fracture were classified by 9 orthopedic surgeons (5-25 years experience) using 5 different classification systems (Fernandez, AO, Frykman, Melone, and Universal Classification systems) twice with 20-day intervals. The results were processed with kappa statistics and used in assessment of inter- and intraobserver agreement of the classification systems. When classification systems were compared, the highest kappa coefficient in intraobserver agreement was determined in Universal classification (0.621). Fernandez (0.474), AO (0.309), Frykman (0.305), and Melone classification systems (0.262) followed the Universal system respectively. Kappa statistical results were evaluated using the Landis Koch score system for the assessment of interobserver agreement. According to the Landis Koch score system, the results were insufficient in all classification systems. Fernandez classification system had the highest interobserver agreement (0.235) and Melone classification system had the lowest interobserver agreement (0.056). According to the results of our study, the systems used to classify the displaced distal radial fractures are insufficient. A new classification system that ensures the 3-dimensional assessment of the fracture is more user-friendly and a high inter- and intraobserver agreement is necessary. PMID- 21053883 TI - Uncemented ceramic-on-ceramic THA in adults with osteonecrosis of the femoral head. AB - Advanced osteonecrosis of the femoral head is increasingly treated with uncemented total hip arthroplasty (THA), particularly in the younger population. While early outcomes appear promising, little is known about the optimum bearing surface in this patient subpopulation. The goal of this study was to evaluate the clinical and radiological outcomes of uncemented ceramic-on-ceramic THA in young adults with osteonecrosis of the femoral head. Twenty-four consecutive patients (24 hips) with osteonecrosis of the femoral head and 24 patients (24 hips) with osteoarthritis were treated with an uncemented ceramic-on-ceramic THA. Mean patient age for the osteonecrosis group was 46 years and for the osteoarthritis group was 50 years. At a mean follow-up of 34 months, functional improvement was significant in both groups (P<.01). The outcome was good to excellent for 85% of patients (17 hips) in the osteonecrosis group and 90% of patients (19 hips) in the osteoarthritis group. Harris and Oxford Hip scores were significantly better (P<.05) in the osteoarthritis group than in the osteonecrosis group at 6 months postoperatively but at no other assessment visit. Our results suggest that ceramic-on-ceramic THA in osteonecrotic patients produces similar clinical and radiological outcomes to those with osteoarthritis at a minimum 24-month follow up. Ceramic-on-ceramic uncemented THA is therefore a useful adjunct for the treatment of advanced osteonecrosis of the femoral head. PMID- 21053884 TI - Timing of DVT prophylaxis and risk of postoperative knee prosthesis infection. AB - This multicenter prospective study was performed to determine risk factors for knee prosthesis infection and the effect of timing doses of prophylactic low molecular-weight heparins (LMWH) related to time of surgery on the risk of knee prosthesis infection. A total of 5496 consecutive patients who underwent total knee arthroplasty from 2005 to 2006 in 13 orthopedic centers were prospectively followed up for 6 months, and the incidence of knee prosthesis infection was recorded. A case control study was nested in the cohort. Case patients were matched to uninfected (control) patients, and the timing of perioperative LMWH was recorded as the main risk factor. Fifty patients developed postoperative knee prosthesis infection during the follow-up period, yielding an incidence of prosthesis infection of 0.91% (95% CI, 0.68%-1.20%). Forty-four patients were matched to 106 controls. Case patients received the first LMWH dose +/-12 hours from the start of surgery more frequently than their control counterparts (odds ratio, 1.5; 95% CI, 0.73-3.0). After adjusting by main risk factors, no statistical association was found between close perioperative timing of LMWH and risk of prosthesis infection. Diabetes mellitus (adjusted odds ratio, 3.2; 95% CI, 1.2-8.8) and wound hematoma (adjusted odds ratio, 4.2; 95% CI, 1.1-16.5) were found to be independent risk factors for prosthesis infection. PMID- 21053885 TI - Ten-year results after cementless THA with a sandwich-type alumina ceramic bearing. AB - We analyzed the long-term results of a single-surgeon series of 102 cementless total hip arthroplasties (THAs) performed using a sandwich-type alumina ceramic bearing. The prostheses involved a porous-coated acetabular socket, a polyethylene-alumina composite liner, a 28-mm alumina head, and a grit-blasted titanium-alloy stem. Mean patient age at the time of THA was 39 years (range, 18 66 years), and 76% of the patients were younger than 50 years. All procedures were performed with use of the same surgical technique and the same implant at a single center. Mean follow-up was 115 months (range, 84-133 months). When failure was defined as revision of either the acetabular or the femoral component for any reason, Kaplan-Meier survival probability at 10 years was 95.3% (95% confidence interval, 89.5%-100%). Mean Harris Hip Score improved from 47 points (range, 16 70 points) preoperatively to 95 points (range, 85-100 points) at final follow-up. No radiographically detectable osteolysis around the acetabular or femoral component was observed in any hip. No patient reported squeaking in the operated hip. During the follow-up period, 3 hips (3%) required revision surgery; 2 underwent acetabular revision because of a ceramic liner fracture and 1 underwent revision for early loosening of the acetabular cup. Ten-year results of cementless THA with a sandwich-type alumina ceramic bearing were encouraging, and no great increase in ceramic failure rate was observed, which contrasts with the findings of previously reported short-term follow-up studies. PMID- 21053886 TI - One-stage posterior approach and combined interbody and posterior fusion for thoracolumbar spinal tuberculosis with kyphosis in children. AB - The goal of this study was to determine the efficacy and feasibility of surgical management of advanced thoracolumbar spine tuberculosis with kyphosis in children in poor general condition with 1-stage posterior decompression, interbody grafts, and posterior instrumentation and fusion. Between 2006 and 2008, 7 children with advanced thoracolumbar spinal tuberculosis accompanied by kyphosis and in poor general condition were treated with 1-stage posterior decompression, interbody grafts, and posterior instrumentation and fusion followed by chemotherapy. Mean follow-up was 34 months (range, 27-42 months). Patients were evaluated pre- and postoperatively for erythrocyte sedimentation rate (ESR), neurological status, pain, spinal canal compromise, and kyphotic angle. Spinal tuberculosis was completely cured and the grafted bones fused in all 7 patients. There was no recurrence of the disease in any patient at final follow-up. In all patients, ESR was normal within 3 months, Frankel neurological classification improved, and pain relief was obtained. Average canal compromise was 52.57% (range, 35%-75%) preoperatively and 9.86% (range, 0%-19%) postoperatively. Average preoperative kyphosis was 37.9 degrees , which decreased to 5.4 degrees postoperatively. There was no significant loss of correction at last follow-up. Our results show that 1-stage posterior decompression, interbody grafts, and posterior instrumentation and fusion followed by chemotherapy is an alternative treatment for children with advanced thoracolumbar spinal tuberculosis and in poor general condition. PMID- 21053887 TI - Scapular osteochondromas treated with surgical excision. AB - A retrospective review was performed of all osteochondroma excisions at our institution from 1994 to 2007. Postoperative functional assessment was completed with use of the Disabilities of the Arm, Shoulder and Hand (DASH) survey and a self-report questionnaire. Eight osteochondromas were excised at a mean patient age of 21.63 years. Presenting reports included pain, mass, pseudowinging, and snapping of the scapula. Physical examination identified pseudowinging, palpable mass, and pain with shoulder motion. The lesions arose from the ventral surface of the scapula in 5 patients, the dorsal surface in 2, and the inferior acromion in 1. The resected specimen averaged 10.8cm(3). A reactive bursa was found and resected in 4 patients. At mean of 4.17 years postresection, no signs of recurrence were found in 7 patients (88%). The single patient with a recurrence had undergone 2 additional surgical procedures. Six patients (75%) reported no/mild pain with routine and strenuous activities. One patient reported moderate and 1 patient reported moderate/severe pain with routine and strenuous activities. Four patients reported post-resection function as excellent, 2 as good, 1 as average/good, and 1 as average/poor. Six patients (75%) reported feeling very satisfied with the results, 1 reported feeling satisfied, and 1 reported feeling unsatisfied. The average DASH score was 11.7 (range, 0.00 46.67). No winging or pseudowinging was identified in those available for examination, and no difference was identified in range of motion comparing the operative to the nonoperative upper extremity. Near normal functional outcomes can be expected following excision of scapular osteochondromas. PMID- 21053888 TI - Subscapularis function following the latarjet coracoid transfer for recurrent anterior shoulder instability. AB - The Latarjet procedure may be performed with both subscapularis splitting and subscapularis transecting approaches. The subscapularis splitting approach may better preserve subscapularis function and anatomy. The goal of this study was to determine the functional status of the subscapularis after the Latarjet procedure with a subscapularis splitting approach using the quantified belly press test. Thirty patients with traumatic anterior shoulder instability were prospectively enrolled in the study. All patients underwent a Latarjet procedure through a subscapularis splitting approach. Both operative and nonoperative extremities were tested preoperatively with a belly press test using an Isobex muscle strength analyzer (Medical Device Solutions AG, Oberburg, Switzerland). Fifteen patients returned for postoperative Isobex belly press testing at a minimum of 6 months. Average patient age was 23.3 years, and average follow-up interval was 13 months. We detected no significant differences in pre- vs postoperative subscapularis strength in the surgical shoulder (decreased by 0.3 kg [95% CI, 1.0 to 1.7 kg; P=.630]). There was no difference in control vs surgical arm at preoperative (control +0.3 kg stronger; 95% CI, -0.8 to 0.1 kg; P=.124) vs postoperative (control +0.3 kg stronger; 95% CI, -1.1 to 0.5 kg; P=.444) measurements. Neither sex (P=.593) nor surgery in the dominant arm (P=.459) had an effect on recovery of subscapularis strength. Finally, the surgical arm at follow-up was not significantly different from reported height- and weight-based normative values for either men (P=.481) or women (P=.298). This study suggests that subscapularis strength is not significantly altered by the Latarjet procedure with a subscapularis splitting approach. PMID- 21053889 TI - Incidence of radiographic unicompartmental arthritis in patients undergoing knee arthroplasty. AB - Unicompartmental knee arthroplasty is increasing in popularity with the advent of less invasive procedures for knee arthritis. The percentage of patients undergoing knee arthroplasty who could be candidates for unicompartmental knee arthroplasty depends on the surgeon's evaluation of the radiographs, and this evaluation may depend on the surgeon's bias regarding partial knee arthroplasty. A retrospective radiographic and chart review was performed on a consecutive series of patients who had undergone tricompartmental knee arthroplasty to determine the percentage of those patients who could have been candidates for unicompartmental knee arthroplasty. Two hundred eighty-eight patients who underwent 308 tricompartmental knee arthroplasties over a 3-year period at a Veteran's Administration Hospital comprised the study group. Assessment of preoperative radiographs was done by 2 surgeons, 1 who favored unicompartmental knee arthroplasty and the other who preferred tricompartmental knee arthroplasty, to determine the percentage of these patients, from each surgeon's viewpoint, who had unicompartmental arthritis. Patients who had radiographic unicompartmental arthritis were then eliminated as candidates for unicompartmental knee arthroplasty, if, on chart review, they had a flexion contracture >10 degrees , an arc of motion <100 degrees , or inflammatory arthritis. The surgeon who was a proponent of unicompartmental knee arthroplasty found that 26% of these patients had acceptable radiologic and clinical indications for unicompartmental knee arthroplasty, whereas the surgeon who had a bias against the procedure felt that only 12% of these patients were unicompartmental knee arthroplasty candidates. A considerable percentage of Veteran's Administration patients undergoing arthroplasty for knee arthritis may be potential candidates for unicompartmental knee arthroplasty, despite the surgeon's bias for or against the procedure. PMID- 21053890 TI - Tendon healing in vivo and in vitro: neutralizing antibody to TGF-beta improves range of motion after flexor tendon repair. AB - Adhesion formation between the flexor tendon and its surrounding fibro-osseous sheath results in a decreased postoperative range of motion (ROM) in the hand. Transforming growth factor-beta (TGF-beta) is a key cytokine in the pathogenesis of tissue fibrosis. In this study, the effects of TGF-beta1 neutralizing antibody were investigated in vitro and in vivo. In the in vitro investigation, primary cell cultures from rabbit flexor tendon sheath, epitenon, and endotenon were established and each was supplemented with TGF-beta along with increasing doses of TGF-beta1 neutralizing antibody. Collagen I production was measured with enzyme-linked immunosorbent assay. In the in vivo study, rabbit zone-II flexor tendons were transected and then immediately repaired. Transforming growth factor beta1 neutralizing antibody or phosphate-buffered saline solution (control) was added to the repair sites, and the forepaws were tested for ROM and repair strength at 8 weeks postoperatively. Transforming growth factor-beta1 neutralizing antibody reduced TGF-beta upregulated collagen production. Intraoperative application of TGF-beta1 neutralizing antibody significantly improved the ROM of the operatively treated digits. The effect on breaking strength of the tendon repair was inconclusive. PMID- 21053891 TI - The anteroposterior axis of the tibia in total knee arthroplasty for chinese knees. AB - The goal of this study was to corroborate the use of Akagi's anteroposterior (AP) axis as a rotation reference axis for the tibial component. Transverse computed tomography (CT) scans of the knees of 20 healthy Chinese men (mean age, 34.2+/ 7.9 years; range, 20-43 years) and 20 healthy Chinese women (mean age, 36.7+/-5.8 years; range, 25-48 years) were obtained. Measurements taken from CT slices at the level of the tibial plateau revealed a mean percentage of the patellar tendon intersected by the AP axis from its medial border of 8%+/-8.1% (range, 8.1% 23.5%). When the defined AP axis was superimposed on CT slices at the level of the patellar tendon attachment, mean percentage of the width of the patellar tendon intersected by the AP axis from its medial border was 0.2%+/-10%. Mean angle measurement of angle alpha was 0.1 degrees +/-2.7 degrees (range, -5.1 degrees to 5.8 degrees ). Mean angle measurement of angle beta, or the angle created by the AP axis and the medial third of the patellar tendon from the middle of the posterior cruciate ligament, was 10.3 degrees +/-3.6 degrees (range, 2.4 degrees -18.8 degrees ). The study confirms that Akagi's AP line is reproducible and reliable. The AP line can be used as a rotation reference axis for the tibial component during preoperative CT scanning of the knee. PMID- 21053892 TI - Digital templating in primary total hip and knee arthroplasty. AB - The use of digital radiography is becoming more prevalent in orthopedics. This transition impacts the ability to preoperatively plan for implants in total hip arthroplasty (THA) and total knee arthroplasty (TKA). This article reports on the clinical success of digital templating using the Advanced Case Plan (Stryker Imaging, Flower Mound, Texas) system in primary THA and TKA. Digital radiographs of 269 consecutive patients undergoing primary THA (93 cases) or TKA (176 cases) were templated using the Advanced Case Plan digital software package. A 25.4-mm metallic sphere was used as a calibrating marker. Anteroposterior hip and lateral knee radiographs were digitally templated preoperatively and compared to the actual size of the implants at the time of surgery. The accuracy of calibrating images using the metallic sphere was validated by measuring the diameter of femoral heads on 25 postoperative hip radiographs. Digital templating was accurate in predicting the correct implant size in 58.5% of THAs and 66% of TKAs. In 93% of THAs and 98.5% of TKAs, preoperative templating was within 1 size of the final implant. There were no cases in which the predicted implant size varied from the final components by >2 sizes. Calibrating the image using the metallic sphere marker was found to be highly accurate, predicting the correct femoral head size within 1.5 mm in all 25 cases (7 hemiarthroplasties and 18 THAs). Digital templating is an effective means for predicting the size of THA and TKA components, thus remaining a viable option as we transition into the modern era of digital radiography. Future studies will evaluate interobserver reliability and the impact of level of training on templating accuracy. PMID- 21053893 TI - The rate of triangular fibrocartilage injuries requiring surgical intervention. AB - Triangular fibrocartilage complex injuries are one of the most common causes of ulnar-sided wrist pain. Although pain and discomfort may improve with simple immobilization for a short period of time, a significant number of patients eventually require surgical intervention. The purpose of this study was to investigate the incidence of surgical intervention on patients with a clinical diagnosis of a triangular fibrocartilage complex injury. A retrospective chart review was performed to identify patients with ulnar-sided wrist pain identified with the appropriate ICD-9 code from September 2005 to September 2007. All patients were evaluated and treated by a board-certified, fellowship-trained hand surgeon. Any patients with associated pathology were excluded from the study. All patients were initially treated with a minimum of 4 weeks of either a volar wrist splint worn all the time or a short-arm cast. Magnetic resonance images were obtained on patients without symptomatic relief, and in these cases, surgical arthroscopy was performed. Of the 84 patients identified, 36 patients required surgical intervention. All 36 patients had evidence of triangular fibrocartilage complex injury during arthroscopy. All patients had symptomatic improvement postoperatively. The use of short-arm cast versus a splint did not affect the rate of surgical intervention (P=.38). Among the patients with clinical diagnosis of triangular fibrocartilage complex injury, the rate of surgical intervention required after a minimum 4 weeks of immobilization was 43%. The use of a short arm cast or volar wrist splint did not affect the rate of surgical intervention. PMID- 21053894 TI - Contact pressure and glenohumeral translation following subacromial decompression: how much is enough? AB - Subacromial decompression is a common surgical procedure that has historically included coracoacromial ligament resection. However, recent reports have advocated preserving the coracoacromial ligament to avoid the potential complication of anterosuperior escape. The optimal subacromial decompression would achieve a smooth coracoacromial arch and decreased rotator cuff contact pressures while preserving the function of the arch in glenohumeral stability. We hypothesized that a subacromial decompression with a limited acromioplasty with preservation of the coracoacromial ligament can decrease extrinsic pressure on the rotator cuff similar to a coracoacromial ligament resection, without altering glenohumeral translation. Three different subacromial decompressions, including a "smooth and move," a limited acromioplasty with coracoacromial ligament preservation, and a coracoacromial ligament resection, were performed on 6 cadaveric specimens with intact rotator cuffs. Glenohumeral translation and peak rotator cuff pressure during abduction were recorded. No change in translation was observed after a smooth and move or a limited acromioplasty. Compared to baseline specimens, anterosuperior translation was increased at 30 degrees of abduction following coracoacromial ligament resection (P<.05). Baseline rotator cuff pressure was greatest during abduction with the arm in 30 degrees of internal rotation. Peak rotator cuff pressure decreased up to 32% following a smooth and move, up to 64% following a limited acromioplasty, and up to 72% following a coracoacromial ligament resection. Based on the present study, a limited acromioplasty with coracoacromial ligament preservation may best provide decompression of the rotator cuff while avoiding potential anterosuperior glenohumeral translation. PMID- 21053895 TI - Synthesis, spectra, and theoretical investigations of the triarylamines based on 6H-indolo[2,3-b]quinoxaline. AB - Triarylamines containing a 6H-indolo[2,3-b]quinoxaline core and aromatic units such as phenyl, naphthyl, pyrene, anthracene, or fluorene have been synthesized by employing palladium-catalyzed C-N and C-C coupling reactions and characterized by optical absorption and emission spectra, electrochemical behavior, and thermal studies. Even though the electronic absorption spectra of the compounds were influenced by the nature of the peripheral amines, the emission spectra indicated close similarity for the excited states in these compounds. For the derivatives in which the amines were directly anchored on the 6H-indolo[2,3-b]quinoxaline nucleus, the emission appeared to be dominated by the state localized on the 6H indolo[2,3-b]quinoxaline chromophore, while in the compounds containing the extended conjugation the fluorescence originated from the polyaromatic linker. The compounds displayed green or yellow emission depending on the nature of the amine segment. All of the dyes displayed one-electron quasi-reversible oxidation couple in the cyclic voltammograms, which is attributable to the oxidation of the peripheral amines at the 6H-indolo[2,3-b]quinoxaline core. An additional one electron oxidation process observable at the high positive potentials for the compounds 7 and 8 probably arises from the oxidation of the arylthiophene segment. The enhanced thermal stability and relatively higher glass transition temperatures observed for these compounds were attributed to the presence of dipolar 6H-indolo[2,3-b]quinoxaline segment. The origin of the optical spectra and the trends observed therein were rationalized using TDDFT simulations. PMID- 21053896 TI - Hydroxycoumarin derivatives: novel and potent alpha-glucosidase inhibitors. AB - A novel class of hydroxycoumarin derivatives were found to be potent alpha glucosidase inhibitors. Their syntheses were reported and the structure-activity relationship was established. Kinetic enzymatic assays indicated that compound 10 was a slow-binding and noncompetitive inhibitor with a Ki value of 589 nM, while compound 11 was a competitive inhibitor with a Ki value of 4.810 MUM. Among all hydroxycoumarin derivatives studied, compounds 10 and 11 exhibited the highest activities, were specific inhibitors of alpha-glucosidase, and could be exploited as the lead compounds for the development of potent alpha-glucosidase inhibitors. Compounds 10 and 11 were also selected for further discussion for the mechanism of enzymatic inhibition. PMID- 21053897 TI - Discovery of 1-(3-{2-[4-(2-methyl-5-quinolinyl)-1-piperazinyl]ethyl}phenyl)-2 imidazolidinone (GSK163090), a Potent, selective, and orally active 5-HT1A/B/D receptor antagonist. AB - In an effort to identify selective drug like pan-antagonists of the 5-HT1 autoreceptors, studies were conducted to elaborate a previously reported dual acting 5-HT1 antagonist/SSRI structure. A novel series of compounds was identified showing low intrinsic activities and potent affinities across the 5 HT1A, 5-HT1B, and 5-HT1D receptors as well as high selectivity against the serotonin transporter. From among these compounds, 1-(3-{2-[4-(2-methyl-5 quinolinyl)-1-piperazinyl]ethyl}phenyl)-2-imidazolidinone (36) was found to combine potent in vivo activity with a strong preclinical developability profile, and on this basis it was selected as a drug candidate with the aim of assessing its potential as a fast-onset antidepressant/anxiolytic. PMID- 21053898 TI - Chiral memory via chiral amplification and selective depolymerization of porphyrin aggregates. AB - Chiral memory at the supramolecular level is obtained via a new approach using chiral Zn porphrins and achiral Cu porphyrins. In a "sergeant-and-soldiers" experiment, the Zn "sergeant" transfers its own chirality to Cu "soldiers" and, after chiral amplification, the "sergeant" is removed from the coaggregates by axial ligation with a Lewis base. After this extraction, the preferred helicity observed for the aggregates containing achiral Cu porphyrins reveals a chiral memory effect that is stable and can be erased and partially restored upon subsequent heating and cooling. PMID- 21053899 TI - Unsymmetrically substituted disilyne Dsi(2)(i)PrSi-Si=Si-SiNpDsi(2) (Np = CH(2)(t)Bu): synthesis and characterization. AB - The unsymmetrically substituted disilyne, Dsi(2)(i)PrSi-Si=Si-SiNpDsi(2) (Np = CH(2)(t)Bu) 2, was synthesized and characterized by X-ray crystallography to show a trans-bent structure with a silicon-silicon triple bond length of 2.0569(12) A. The (29)Si chemical shifts of the triply bonded silicon atoms of 2 are quite different, being observed at 62.6 ppm for the Dsi(2)(i)PrSi side and 106.3 ppm for the Dsi(2)NpSi side, indicating different hybridizations on the triply bonded silicon atoms at each site. PMID- 21053900 TI - Effect of gelatin gelation kinetics on probe diffusion determined by FRAP and rheology. AB - The time-dependent diffusion and mechanical properties of gelatin in solution, in the gel state, and during the sol/gel transition were determined using fluorescence recovery after photobleaching (FRAP) and rheology. The parameters in the experimental design were 2% w/w and 5% w/w gelatin concentration; 15, 20, and 25 degrees C end quench temperatures; and Na(2)-fluorescein, 10 kDa FITC dextran, and 500 kDa FITC-dextran as diffusion probes. The samples were monitored in solution at 60 degrees C, during quenching, for 75 min at end quench temperatures and after 1, 7, and 14 days of storage at the end quench temperature. The effect of temperature on the probe diffusion was normalized by determining the free diffusion of the probes in pure water for the different temperatures. The results gained by comparing FRAP and rheology showed that FRAP is able to capture structural changes in the gelatin before gelation occurs, which was interpreted as a formation of transient networks. This was clearly seen for 2% w/w gelatin and 20 and 25 degrees C end quench temperatures. The structural changes during sol/gel transition are detected only by the larger probes, giving information about the typical length scales in the gelatin structure. The normalized diffusion rate increased after 7 and 14 days of storage. This increase was most pronounced for fluorescein but was also seen for the larger probes. PMID- 21053901 TI - Linking local environments and hyperfine shifts: a combined experimental and theoretical (31)P and (7)Li solid-state NMR study of paramagnetic Fe(III) phosphates. AB - Iron phosphates (FePO(4)) are among the most promising candidate materials for advanced Li-ion battery cathodes. This work reports upon a combined nuclear magnetic resonance (NMR) experimental and periodic density functional theory (DFT) computational study of the environments and electronic structures occurring in a range of paramagnetic Fe(III) phosphates comprising FePO(4) (heterosite), monoclinic Li(3)Fe(2)(PO(4))(3) (anti-NASICON A type), rhombohedral Li(3)Fe(2)(PO(4))(3) (NASICON B type), LiFeP(2)O(7), orthorhombic FePO(4).2H(2)O (strengite), monoclinic FePO(4).2H(2)O (phosphosiderite), and the dehydrated forms of the latter two phases. Many of these materials serve as model compounds relevant to battery chemistry. The (31)P spin-echo mapping and (7)Li magic angle spinning NMR techniques yield the hyperfine shifts of the species of interest, complemented by periodic hybrid functional DFT calculations of the respective hyperfine and quadrupolar tensors. A Curie-Weiss-based magnetic model scaling the DFT-calculated hyperfine parameters from the ferromagnetic into the experimentally relevant paramagnetic state is derived and applied, providing quantitative finite temperature values for each phase. The sensitivity of the hyperfine parameters to the composition of the DFT exchange functional is characterized by the application of hybrid Hamiltonians containing admixtures 0%, 20%, and 35% of Fock exchange. Good agreement between experimental and calculated values is obtained, provided that the residual magnetic couplings persisting in the paramagnetic state are included. The potential applications of a similar combined experimental and theoretical NMR approach to a wider range of cathode materials are discussed. PMID- 21053902 TI - Prediction of reorganization free energies for biological electron transfer: a comparative study of Ru-modified cytochromes and a 4-helix bundle protein. AB - The acceleration of electron transfer (ET) rates in redox proteins relative to aqueous solutes can be attributed to the protein's ability to reduce the nuclear response or reorganization upon ET, while maintaining sufficiently high electronic coupling. Quantitative predictions of reorganization free energy remain a challenge, both experimentally and computationally. Using density functional calculations and molecular dynamics simulation with an electronically polarizable force field, we report reorganization free energies for intraprotein ET in four heme-containing ET proteins that differ in their protein fold, hydrophilicity, and solvent accessibility of the electron-accepting group. The reorganization free energies for ET from the heme cofactors of cytochrome c and b(5) to solvent exposed Ru-complexes docked to histidine residues at the surface of these proteins fall within a narrow range of 1.2-1.3 eV. Reorganization free energy is significantly lowered in a designed 4-helix bundle protein where both redox active cofactors are protected from the solvent. For all ET reactions investigated, the major components of reorganization are the solvent and the protein, with the solvent contributing close to or more than 50% of the total. In three out of four proteins, the protein reorganization free energy can be viewed as a collective effect including many residues, each of which contributing a small fraction. These results have important implications for the design of artificial electron transport proteins. They suggest that reorganization free energy may in general not be effectively controlled by single point mutations, but to a large extent by the degree of solvent exposure of the ionizable cofactors. PMID- 21053903 TI - Mechanistic analysis of azine N-oxide direct arylation: evidence for a critical role of acetate in the Pd(OAc)2 precatalyst. AB - Detailed mechanistic studies on the palladium-catalyzed direct arylation of pyridine N-oxides are presented. The order of each reaction component is determined to provide a general mechanistic picture. The C-H bond cleaving step is examined in further detail through computational studies, and the calculated results are in support of an inner-sphere concerted metalation-deprotonation (CMD) pathway. Competition experiments were conducted with N-oxides of varying electronic characters, and results revealed an enhancement of rate when using a more electron-deficient species, which is in support of a CMD transition state. The effect of base on reaction rate was also examined and it was found that a carboxylate base was required for the reaction to proceed. This led to the conclusion that Pd(OAc)(2) plays a pivotal role in the reaction mechanism as more than merely a precatalyst, but also as a source of acetate base required for the C-H bond cleavage step. PMID- 21053904 TI - Effects of the planting density on virgin olive oil quality of "Chemlali" olive trees (Olea europaea L.). AB - Here, we report the characterization of virgin olive oil samples obtained from fruits of the main Tunisian olive cultivar (Chemlali) grown in four planting densities (156, 100, 69, and 51 trees ha(-1)). Olive oil samples obtained from fruits of trees grown at 100 trees ha(-1) had a higher content of oleic acid (65.5%), a higher content of chlorophyll and carotenoids, and a higher content in total phenols (1059.08 mg/kg). Interestingly, olives grown at the two highest planting densities yielded more stable oils than olives grown at the two lowest ones. Thus planting density is found to be a key factor for the quality of olive oils in arid regions. PMID- 21053905 TI - In vitro antitumor and immunomodulatory effects of the protein PCP-3A from mushroom Pleurotus citrinopileatus. AB - A nonlectin glycoprotein (PCP-3A) newly isolated from the fruit body of edible golden oyster mushroom Pleurotus citrinopileatus has been shown to be growth inhibitory against human myeloid leukemic U937 cells in a previous report. There is a well-recognized relation between antitumor activity and immunomodulation. The immunomodulatory activity of PCP-3A was therefore assessed in the present study. Human mononuclear cells (MNC) and the CD4(+) T lymphocytes isolated from them were stimulated separately with PCP-3A for various durations and then filtered to obtain the conditioned media (CM). The conditioned medium from MNC (MNC-CM) was proved effective in inhibiting the growth of U937 cells. Increased secretion of cytokines TNF-alpha, IL-2, and IFN-gamma from the stimulated MNC and CD4(+) T cells was found in CM. The antibody neutralization test of MNC-CM revealed that the growth inhibition on leukemic U937 cells was related to the elevation in cytokine concentration. We propose that PCP-3A stimulated human MNC to secrete cytokines TNF-alpha, IL-2, and IFN-gamma, which subsequently inhibit the growth of U937 cells, and that PCP-3A may be a possible material for developing into an antileukemia ingredient in health food. PMID- 21053906 TI - Clostridium botulinum neurotoxin type B is heat-stable in milk and not inactivated by pasteurization. AB - Foodborne botulism is caused by the ingestion of foods containing botulinum neurotoxins (BoNTs). To study the heat stability of Clostridium botulinum neurotoxins, we needed to measure and compare the activity of botulinum neurotoxins, serotypes A and B, under various pasteurization conditions. Currently, the only accepted assay to detect active C. botulinum neurotoxin is an in vivo mouse bioassay, which raises ethical concerns with regard to the use of experimental animals. In this study, noninvasive methods were used to simultaneously detect and distinguish between active BoNT serotypes A and B in one reaction and sample. We developed an enzymatic activity assay employing internally quenched fluorogenic peptides corresponding to SNAP-25, for BoNT-A, and VAMP2, for BoNT-B, as an alternative method to the mouse bioassay. Because each peptide is labeled with different fluorophores, we were able to distinguish between these two toxins. We used this method to analyze the heat stability of BoNT-A and BoNT-B. This study reports that conventional milk pasteurization (63 degrees C, 30 min) inactivated BoNT serotype A; however, serotype B is heat stable in milk and not inactivated by pasteurization. Using this activity assay, we also showed that the commonly used food processes such as acidity and pasteurization, which are known to inhibit C. botulinum growth and toxin production, are more effective in inactivating BoNT serotype A than serotype B when conventional pasteurization (63 degrees C, 30 min) is used. PMID- 21053907 TI - Effect of Fusarium oxysporum f. sp. lycopersici on the soil-to-root translocation of heavy metals in tomato plants susceptible and resistant to the fungus. AB - The purpose of this work was to gain an insight on the potential role of the phytopathogenic fungus Fusarium oxysporum f. sp. lycopersici in the translocation of metals and metalloids from soil to plant roots in tomato (Lycopersicum esculentum). Two varieties of tomato (one susceptible and another resistant to infection by Fusarium oxysporum f. sp. lycopersici) were challenged with the fungus for different periods of time, and several elements (V, Cr, Mn, Co, Cu, Zn, As, Se, Mo, Ag, Cd, Pb) were determined in roots and in soil substrate. Additionally, phenolic plant products were also analyzed for the evaluation of the plant response to biotic stress. In order to obtain representative results for plants cultivated in noncontaminated environments, the infected and control plants were grown in commercial soil with natural, relatively low metal concentrations, partly associated with humic substances. Using such an experimental design, a specific role of the fungus could be observed, while possible effects of plant exposure to elevated concentrations of heavy metals were avoided. In the infected plants of two varieties, the root concentrations of several metals/metalloids were increased compared to control plants; however, the results obtained for elements and for phenolic compounds were significantly different in the two plant varieties. It is proposed that both Lycopersicum esculentum colonization by Fusarium oxysporum f. sp. lycopersici and the increase of metal bioavailability due to fungus-assisted solubilization of soil humic substances contribute to element traffic from soil to roots in tomato plant. PMID- 21053908 TI - Identification of thermolabile pectin methylesterases from sweet orange fruit by peptide mass fingerprinting. AB - The multiple forms of the enzyme pectin methylesterase (PME) present in citrus fruit tissues vary in activity toward juice cloud-associated pectin substrates and, thus, in their impact on juice cloud stability and product quality. Because the proteins responsible for individual PME activities are rarely identified by structural properties or correlated to specific PME genes, matrix-assisted laser desorption-ionization with tandem time-of-flight mass spectrometry (MALDI-TOF/TOF MS) was investigated as a direct means to unequivocally identify the thermolabile (TL-) PME isoforms isolated from sweet orange [ Citrus sinensis (L.) Osbeck] fruit tissue. Affinity-purified TL-PME preparations were separated by SDS-PAGE prior to trypsin digestion and analyzed by MS for peptide mass fingerprinting. The two major PME isoforms accumulated in citrus fruit matched existing accessions in the SwissProt database. Although similar in size by SDS-PAGE, isoform-specific peptide ion signatures easily distinguished the two PMEs. PMID- 21053909 TI - Use of 4,4'-dinitrostilbene-2,2'-disulfonic acid wastewater as a raw material for paramycin production. AB - This study uses 4,4'-dinitrostilbene-2,2'-disulfonic acid (DNS) wastewater to produce paramycin (4-amino-2-hydroxybenzoic acid), an antitubercular agent and important pharmaceutical intermediate. The high concentrations of aromatic sulfonic acids contained in the wastewater, derived from a DNS production facility, have been transformed to paramycin in yields of more than 85%. This waste-disposal strategy, which combines oxidation using NaClO, reduction using iron powder, and subsequent alkaline fusion with NaOH, has been proven to be successful in dealing with ton-scale DNS wastewater. Compared with common treatment methods, which usually involve degrading the compounds, this new method recycles most of the aromatic sulfonic acids in the wastewater to produce paramycin. This effectively solves the associated environment problems associated with DNS wastewater and is also potentially profitable. The present approach could also lead to alternative solutions for dealing with other industrial wastewaters generated from oxidative coupling reactions of nitro-substituted toluenes to the corresponding substituted stilbenes. PMID- 21053910 TI - Arsenic removal and recovery from copper smelting wastewater using TiO2. AB - Removal and recovery of high levels of arsenic (As) in copper smelting wastewater present a great environmental challenge. A novel approach was investigated for the first time using TiO(2) for As adsorptive removal from wastewater and subsequent spent adsorbent regeneration and As recovery using NaOH. EXAFS results demonstrate that As(III), as the only As species present in the raw water, does not form an aqueous complex with other metal ions. An average of 3890 +/- 142 mg/L As(III) at pH 1.4 in the wastewater was reduced to 59 +/- 79 MUg/L in the effluent with final pH at 7 in the 21 successive treatment cycles using regenerated TiO(2). Coexisting heavy metals including Cd, Cu, and Pb with concentrations at 369 mg/L, 24 mg/L, and 5 mg/L, respectively, were reduced to less than 0.02 mg/L. As(III) adsorption followed pseudosecond-order rate kinetics, and the adsorption behavior was described with the charge distribution multisite surface complexation model. Approximately 60% As(III) in the waste solution after the TiO(2) regeneration process was recovered by thermo vaporization and subsequent precipitation of sodium arsenite, as suggested by the EDX and XPS analysis. This "zero" sludge process sheds new light on successful As remediation technology for acidic metallurgical industry wastewater. PMID- 21053911 TI - Passive sampling of bioavailable organic chemicals in Perry County, Missouri cave streams. AB - Two types of passive samplers--semipermeable membrane devices (SPMDs) and polar organic chemical integrative samplers (POCIS)--were deployed in spring 2008 to assess bioavailable concentrations of aquatic contaminants in five cave streams and resurgences in Perry County, Missouri. Study sites represent areas of high cave biodiversity and the only known habitat for grotto sculpin (Cottus carolinae). Time-weighted average (TWA) water concentrations were calculated for 20 compounds (n = 9 SPMDs; n = 11 POCIS) originating primarily from agricultural sources, including two organochlorine insecticides, dieldrin and heptachlor epoxide, which were found at levels exceeding U.S. EPA criteria for the protection of aquatic life. GIS data were used to quantify and map sinkhole distribution and density within the study area. Infiltration of storm runoff and its influence on contaminant transport were also evaluated using land cover and hydrological data. This work provides evidence of cave stream contamination by a mix of organic chemicals and demonstrates the applicability of passive samplers for monitoring water quality in dynamic karst environments where rapid transmission of storm runoff makes instantaneous water sampling difficult. PMID- 21053912 TI - Solid solutions between CrO4- and SO4-ettringite Ca6(Al(OH)6)2[(CrO4)x(SO4)(1 x)]3*26 H2O. AB - Chromate is a toxic contaminant of potential concern, as it is quite soluble in the alkaline pH range and could be released to the environment. In cementitous systems, CrO4(2-) is thought to be incorporated as a solid solution with SO4(2-) in ettringite. The formation of a solid solution (SS) could lower the soluble CrO4(2-) concentrations. Ettringite containing SO4(2-) or CrO4(2-) and mixtures thereof have been synthesized. The resulting solids and their solubility after an equilibration time of 3 months have been characterized. For CrO4-ettringite at 25 degrees C, a solubility product log K(S0) of -40.2 +/- 0.4 was calculated: log K(CrO4-ettringite) = 6log{Ca2+} + 2log{Al(OH)4(-)} + 3log{CrO4(2-)} + 4log{OH-} + 26log{H2O}. X-ray diffraction and the analysis of the solution indicated the formation of a regular solid solution between SO4- and CrO4-ettringite with a miscibility gap between 0.4 <= XCrO4 <= 0.6. The miscibility gap of the SO4- and CrO4-ettringite solid solution could be reproduced with a dimensionless Guggenheim fitting parameter (a0) of 2.03. The presence of a solid solution between SO4- and CrO4-ettringite results in a stabilization of the solids compared to the pure ettringites and thus in an increased uptake of CrO4(2-) in cementitious systems. PMID- 21053913 TI - Ultrasensitive detection of nitrogen oxides over a nanoporous membrane. AB - A nitrogen oxide (NO(x); x = 1, 2) optical sensor with an extremely low detection limit in the range of fractions of ppbV (0.3 ppbV for 20 s sample injection) is presented. Phenylenediamine derivatives are utilized as molecular probes in the solid state on a nanoporous membrane to produce a miniaturized and low cost sensing platform for use as a wearable personal monitor. PMID- 21053914 TI - Synthesis and application of pyridine-based ambipolar hosts: control of charge balance in organic light-emitting devices by chemical structure modification. AB - We studied the influence of a pyridine moiety versus a phenyl moiety when introduced in the molecular design of an ambipolar host. These pyridine-based host materials for organic light-emitting diodes (OLEDs) were synthesized in three to five steps from commercially available starting materials. The isomeric hosts have similar HOMO/LUMO energies; however, data from OLEDs fabricated using the above host materials demonstrate that small structural modification of the host results in significant changes in its carrier-transporting characteristics. PMID- 21053915 TI - Fluorescence spectroelectrochemical sensor for 1-hydroxypyrene. AB - A spectroelectrochemical sensor was demonstrated for an organic compound whose oxidation proceeds through an electron transfer-chemical reaction-electron transfer (ECE) mechanism to generate new chemical species that are used for detection by fluorescence. The polycyclic aromatic hydrocarbon 1-hydroxypyrene (1 PyOH) served as a representative model analyte. The spectroelectrochemical properties of 1-PyOH in solution were explored with an optically transparent thin layer electrode. Electrochemical oxidation of 1-PyOH under acidic conditions proceeds via the ECE mechanism to a diquinonepyrene, which shows reversible electrochemistry and fluoresces at 425 nm in its reduced form, dihydroxypyrene. The sensor consisted of a tin-doped indium optically transparent electrode coated with a Nafion thin-film (20 nm) that rapidly preconcentrated the analyte at the sensor surface. Fluorescence in the film was excited by the evanescent wave from attenuated total reflection spectroscopy. Electrochemical modulation of dihydroxypyrene fluorescence at 425 nm in the 500 to -200 mV (vs Ag/AgCl) potential range was used for indirect detection of 1-PyOH. The spectroelectrochemical sensor calibration curve had a range of 5 * 10(-9) to 1 * 10(-6) M with a calculated detection limit of 1 * 10(-9) M. PMID- 21053916 TI - The mechanism of the hydrogen ion conduction in liquid light and heavy water derived from the temperature dependence of their limiting conductivities. AB - The anomalous behavior of liquid water is apparent from the temperature dependence of many experimental properties. Among these are the abnormal high limiting ionic conductivities lambda(0) of protons and hydroxyl ions, which in a previous paper we found to depend linearly on the square root of the absolute temperature T(1/2). Here we describe a further study of these conductivities in both light and heavy water, together with the remarkable transition temperature T(0) [242.7 K in H(2)O and 250.5 K in D(2)O], where the supercooled liquid becomes inert and, for example, restricted water molecule rotation is arrested. From T(0) the rotation barrier heights for the two solvents are determined. The conductivity data enable to obtain experimentally the zero point energies of H(+) and D(+) in liquid water, with results in the right order of magnitude as compared to values estimated along quantum mechanical routes. Contrary to general opinion, the isotope effect lambda(0)(H(+))/lambda(0)(D(+)) is temperature dependent, its value being close to 2(1/2) only near 20 degrees C. The isotope effect lambda(0)(OH(-))/lambda(0)(OD(-)) also takes temperature dependent values, substantially higher than 2(1/2). Still, the linear relationships with T(1/2) sustain a model based on water rotation control for the conductivity mechanism. For a quantitative analysis, the rotation frequency omega is expressed by a simple linear function of T(1/2) in terms of the moment of inertia I and the quantity T(0)(1/2). This "ab initio" calculation is found to be in perfect agreement with theoretical and experimental data in the literature, when omega is identified with the reciprocal of the so-called single molecule relaxation time tau(s). The data analysis eventually leads to the conclusion that the hydrogen ion transfer between water molecules proceeds via two parallel pathways. Next to the rotation controlled hopping mechanism there exists a temperature independent transfer controlled by tunnelling, occurring at all relative orientations of the participating water molecules. The applicability of the excess conductivity concept for hydrogen and hydroxyl ions is critically discussed. PMID- 21053917 TI - Promising thermoelectric properties of commercial PEDOT:PSS materials and their bi2Te3 powder composites. AB - Newly commercialized PEDOT:PSS products CLEVIOS PH1000 and FE-T, among the most conducting of polymers, show unexpectedly higher Seebeck coefficients than older CLEVIOS P products that were studied by other groups in the past, leading to promising thermoelectric (TE) power factors around 47 MUW/m K(2) and 30 MUW/m K(2) respectively. By incorporating both n and p type Bi(2)Te(3) ball milled powders into these PEDOT:PSS products, power factor enhancements for both p and n polymer composite materials are achieved. The contact resistance between Bi(2)Te(3) and PEDOT is identified as the limiting factor for further TE property improvement. These composites can be used for all-solution-processed TE devices on flexible substrates as a new fabrication option. PMID- 21053918 TI - Ion mobility-mass spectrometry reveals the influence of subunit packing and charge on the dissociation of multiprotein complexes. AB - The composition, stoichiometry, and organization of protein complexes can be determined by collision-induced dissociation (CID) coupled to tandem mass spectrometry (MS/MS). The increased use of this approach in structural biology prompts a better understanding of the dissociation mechanism(s). Here we report a detailed investigation of the CID of two dodecameric, heat-stable and toroidally shaped complexes: heat shock protein 16.9 (HSP16.9) and stable protein 1 (SP-1). While HSP16.9 dissociates by sequential loss of unfolded monomers, SP-1 ejects not only monomers, but also its building blocks (dimers), and multiples thereof (tetramers and hexamers). Unexpectedly, the dissociation of SP-1 is strongly charge-dependent: loss of the building blocks increases with higher charge states of this complex. By combining MS/MS with ion mobility (IM-MS/MS), we have monitored the unfolding and dissociation events for these complexes in the gas phase. For HSP16.9 unfolding occurs at lower energies than the ejection of subunits, whereas for SP-1 unfolding and dissociation take place simultaneously. We consider these results in the light of the structural organization of HSP16.9 and SP-1 and hypothesize that SP-1 is unable to unfold extensively due to its particular quaternary structure and unusually high charge density. This investigation increases our understanding of the factors governing the CID of protein complexes and moves us closer to the goal of obtaining structural information on subunit interactions and packing from gas-phase experiments. PMID- 21053919 TI - CdSe-ZnS quantum dots for selective and sensitive detection and quantification of hypochlorite. AB - Four types of water-soluble quantum dots (QDs) grafted with different organic coating layers were fabricated, and their sensitivities for hypochlorite/hypochlorous acid (HClO) were examined. It was found that QDs with HClO reactive (methylamino and sulphide groups) coating layers exhibited a protective effect on HClO quenching of QD fluorescence, whereas QDs with hydrocarbon and carboxylate coating layers showed least protection to QD fluorescence quenching by HClO and, thus, has the highest sensitivity for the detection of HClO. The QDs with carboxylate coating layers (QDs-poly-CO(2)(-)) was successfully applied to the quantification of HClO in tap water. The excellent selectivity of the QDs-poly-CO(2)(-) toward hypochlorite against other reactive oxygen species allowed us to monitor myeloperoxidase activity. Finally, the QDs-poly-CO(2)(-) was also used for the detection of hypochlorite in HL60 cells by fluorescent imaging. Hence, QD-poly-CO(2)(-) exhibits great promise as a selective and sensitive HClO probe in chemical and biological systems. PMID- 21053920 TI - Toward efficient binders for Li-ion battery Si-based anodes: polyacrylic acid. AB - Si-based Li-ion battery anodes offer specific capacity an order of magnitude beyond that of conventional graphite. However, the formation of stable Si anodes is a challenge because of significant volume changes occurring during their electrochemical alloying and dealloying with Li. Binder selection and optimization may allow significant improvements in the stability of Si-based anodes. Most studies of Si anodes have involved the use of carboxymethylcellulose (CMC) and poly(vinylidene fluoride) (PVDF) binders. Herein, we show for the first time that pure poly(acrylic acid) (PAA), possessing certain mechanical properties comparable to those of CMC but containing a higher concentration of carboxylic functional groups, may offer superior performance as a binder for Si anodes. We further show the positive impact of carbon coating on the stability of the anode. The carbon-coated Si nanopowder anodes, tested between 0.01 and 1 V vs Li/Li+ and containing as little as 15 wt % of PAA, showed excellent stability during the first hundred cycles. The results obtained open new avenues to explore a novel series of binders from the polyvinyl acids (PVA) family. PMID- 21053921 TI - Substrate independent assembly of optical structures guided by biomolecular interactions. AB - The chip-scale integration of optical components is crucial for technologies as diverse as optical communications, optoelectronics displays, and photovoltaics. However, the realization of integrated optical devices from discrete components is often hampered by the lack of a universal substrate for achieving monolithic integration and by incompatibilities between materials. Emergent technologies such as chip-scale biophotonics, organic optoelectronics, and optofluidics present a host of new challenges for optical device integration, which cannot be solved with existing bonding techniques. Here, we report a new method for substrate independent integration of dissimilar optical components by way of biological recognition-directed assembly. Bonding in this scheme is achieved by locally modifying the substrate with a protein receptor and the optical component with a biomolecular ligand or vice versa. The key features of this new technology include substrate independent assembly, cross-platform vertical scale integration, and selective registration of components based on complementary biomolecular interactions. PMID- 21053922 TI - Control of self organization in conjugated polymer fibers. AB - We propose new strategy to facilitate the fabrication of conjugated polymer fiber with higher oriented structures, which focused on electrospinning of a blend solution of regioregular poly(3-hexylthiophene) (rr-P3HT) and poly(vinyl pyrrolidone) (PVP). SEM observation revealed that the blend system forms homogeneous composite nanofibers. This system exhibits the specific feature of strong interchain contribution of P3HT from UV-vis absorption, fluorescence spectroscopic, XRD, and photoelectron spectrometric (for HOMO levels) investigations. We also demonstrate the removal of the PVP component from the P3HT/PVP composite fibers through the selective extraction and such strong interchain stacking of pristine P3HT fiber mat can be remarkably maintained. PMID- 21053923 TI - Reproducibility of protein identification of selected cell types in Barrett's esophagus analyzed by combining laser-capture microdissection and mass spectrometry. AB - Barrett's esophagus (BE) is associated with increased risk of esophageal adenocarcinoma (EAC) and characterized by replacement of normal esophageal squamous epithelium by columnar epithelium. These alterations are also reflected in changes in the protein-expression profiles of the cell types involved. To separately investigate the proteomes of selected cell-types we combined laser capture microdissection (LCM) and liquid chromatography-mass spectrometry (LC MS). Aims were to determine the sensitivity, specificity, and technical reproducibility of the sampling method, and the biological variability within and between biopsies and patients. Frozen biopsies were cryo-sectioned, samples of around 2000 epithelial or stroma cells microdissected, digested and measured by Orbitrap LC-MS. Proteins were then identified by MS/MS database search and quantified by label-free analysis. An average of 366 protein-groups were identified per sample, and more protein-groups were found in epithelial samples than in stromal samples (442 vs 301, p < 0.0001). Altogether, 1254 distinct protein-groups were found, 289 and 88 of them significantly more often in epithelial and stroma samples, respectively. We assessed five different types of reproducibilities (run-to-run, intrabiopsy, biopsy-to-biopsy, experiment-to experiment, and patient-to-patient) for protein identification and protein quantification. Reproducibility of protein identification ranged from 78 to 57%, and standard deviation of protein quantification was on patient-to-patient level four times higher than for run-to-run. We conclude that sampling around 2000 cells requires groups of 32 samples to detect significant, over 10-fold differences in protein abundances and thus creates a successful compromise between throughput and quality of results. We therefore believe that this method is suitable for investigating protein-expression profiles during carcinogenesis. PMID- 21053924 TI - Dithiocarbamates as capping ligands for water-soluble quantum dots. AB - We investigated the suitability of dithiocarbamate (DTC) species as capping ligands for colloidal CdSe-ZnS quantum dots (QDs). DTC ligands are generated by reacting carbon disulfide (CS(2)) with primary or secondary amines on appropriate precursor molecules. A biphasic exchange procedure efficiently replaces the existing hydrophobic capping ligands on the QD surface with the newly formed DTCs. The reaction conversion is conveniently monitored by UV-vis absorption spectroscopy. Due to their inherent water solubility and variety of side chain functional groups, we used several amino acids as precursors in this reaction/exchange procedure. The performance of DTC-ligands, as evaluated by the preservation of luminescence and colloidal stability, varied widely among amino precursors. For the best DTC-ligand and QD combinations, the quantum yield of the water-soluble QDs rivaled that of the original hydrophobic-capped QDs dispersed in organic solvents. The mean density of DTC-ligands per nanocrystal was estimated through a mass balance calculation which suggested nearly complete coverage of the available nanocrystal surface. The accessibility of the QD surface was evaluated by self-assembly of His-tagged dye-labeled proteins and peptides using fluorescence resonance energy transfer. DTC-capped QDs were also exposed to cell cultures to evaluate their stability and potential use for biological applications. In general, DTC-capped CdSe-ZnS QDs have many advantages over other water-soluble QD formulations and provide a flexible chemistry for controlling the QD surface functionalization. Despite previous literature reports of DTC-stabilized nanocrystals, this study is the first formal investigation of a biphasic exchange method for generating biocompatible core-shell QDs. PMID- 21053925 TI - Comprehensive plasma thiol redox status determination for metabolomics. AB - Thiol homeostasis plays an important role in human health and aging by regulation of cellular responses to oxidative stress. Due to major constraints that hamper reliable plasma thiol/disulfide redox status assessment in clinical research, we introduce an improved strategy for comprehensive thiol speciation using capillary electrophoresis-electrospray ionization-mass spectrometry (CE-ESI-MS) that overcomes sensitivity, selectivity and dynamic range constraints of conventional techniques. This method integrates both specific and nonspecific approaches toward sensitivity enhancement for artifact-free quantification of labile plasma thiols without complicated sample handling. A multivariate model was developed to predict increases in ionization efficiency for reduced thiols when conjugated to various maleimide analogs based on their intrinsic physicochemical properties. Optimization of maleimide labeling in conjunction with online sample preconcentration allowed for simultaneous analysis of nanomolar levels of reduced thiols and free oxidized thiols as their intact symmetric or mixed disulfides. Identification of low-abundance thiols and various other polar metabolites detected in plasma was supported by prediction of their relative migration times using CE as a qualitative tool complementary to ESI-MS. Plasma thiol redox status determination together with untargeted metabolite profiling offers a systemic approach for elucidation of the causal role of dysregulated thiol metabolism in the etiology of human diseases. PMID- 21053926 TI - Nonequilibrium thermodynamics and Nose-Hoover dynamics. AB - We show that systems driven by an external force and described by Nose-Hoover dynamics allow for a consistent nonequilibrium thermodynamics description when the thermostatted variable is initially assumed in a state of canonical equilibrium. By treating the "real" variables as the system and the thermostatted variable as the reservoir, we establish the first and second law of thermodynamics. As for Hamiltonian systems, the entropy production can be expressed as a relative entropy measuring the system-reservoir correlations established during the dynamics. PMID- 21053927 TI - Identification of quinone imine containing glutathione conjugates of diclofenac in rat bile. AB - High-resolution accurate MS with an LTQ-Orbitrap was used to identify quinone imine metabolites derived from the 5-hydroxy (5-OH) and 4 prime-hydroxy (4'-OH) glutathione conjugates of diclofenac in rat bile. The initial quinone imine metabolites formed by oxidation of diclofenac have been postulated to be reactive intermediates potentially involved in diclofenac-mediated hepatotoxicity; while these metabolites could be formed using in vitro systems, they have never been detected in vivo. This report describes the identification of secondary quinone imine metabolites derived from 5-OH and 4'-OH diclofenac glutathione conjugates in rat bile. To verify the proposed structures, the diclofenac quinone imine GSH conjugate standards were prepared synthetically and enzymatically. The novel metabolite peaks displayed the identical retention times, accurate mass MS/MS spectra, and the fragmentation patterns as the corresponding authentic standards. The formation of these secondary quinone metabolites occurs only under conditions where bile salt homeostasis was experimentally altered. Standard practice in biliary excretion experiments using bile duct-cannulated rats includes infusion of taurocholic acid and/or other bile acids to replace those lost due to continuous collection of bile; for this experiment, the rats received no replacement bile acid infusion. High-resolution accurate mass spectrometry data and comparison with chemically and enzymatically prepared quinone imines of diclofenac glutathione conjugates support the identification of these metabolites. A mechanism for the formation of these reactive quinone imine containing glutathione conjugates of diclofenac is proposed. PMID- 21053928 TI - Photoinduced reactivity of liquid ethanol at high pressure. AB - The room temperature photoinduced reactivity of liquid ethanol has been studied as a function of pressure up to 1.5 GPa by means of a diamond anvil cell. Exploiting the dissociative character of the lowest electronic excited states, reached through two-photon absorption of near-UV photons (350 nm), irreversible reactive processes have been triggered in the pure system. The active species are radicals forming along two main dissociation channels involving the split of C-O and O-H bonds. The characterization of the reaction products has been performed by in situ FTIR and Raman spectroscopy. At pressures of a few megapascals, molecular hydrogen is the main reaction product, an important issue in the framework of environmentally friendly synthesis of this energetic vector. In the gigapascal range, the main products are ethane, 2-butanol, 2,3-butanediol, 1,1 diethoxyethane, and some carbonylic compounds. The relative amount of these species changes with pressure reflecting the nature of the radicals formed in the photodissociation process. As the pressure increases, the processes requiring a greater molecularity are favored, whereas those requiring internal rearrangements are inhibited. Disproportion products like CH(4), H(2)O, and CO(2) increase when the amount of ethanol decreases due to the reaction, becoming the main products only when ethanol is exhausted. PMID- 21053929 TI - Endocrine disrupting chemicals targeting estrogen receptor signaling: identification and mechanisms of action. AB - Many endocrine disrupting chemicals (EDCs) adversely impact estrogen signaling by interacting with two estrogen receptors (ERs): ERalpha and ERbeta. Though the receptors have similar ligand binding and DNA binding domains, ERalpha and ERbeta have some unique properties in terms of ligand selectivity and target gene regulation. EDCs that target ER signaling can modify genomic and nongenomic ER activity through direct interactions with ERs, indirectly through transcription factors such as the aryl hydrocarbon receptor (AhR), or through modulation of metabolic enzymes that are critical for normal estrogen synthesis and metabolism. Many EDCs act through multiple mechanisms as exemplified by chemicals that bind both AhR and ER, such as 3-methylcholanthrene. Other EDCs that target ER signaling include phytoestrogens, bisphenolics, and organochlorine pesticides, and many alter normal ER signaling through multiple mechanisms. EDCs can also display tissue-selective ER agonist and antagonist activities similar to selective estrogen receptor modulators (SERMs) designed for pharmaceutical use. Thus, biological effects of EDCs need to be carefully interpreted because EDCs can act through complex tissue-selective modulation of ERs and other signaling pathways in vivo. Current requirements by the U.S. Environmental Protection Agency require some in vitro and cell-based assays to identify EDCs that target ER signaling through direct and metabolic mechanisms. Additional assays may be useful screens for identifying EDCs that act through alternative mechanisms prior to further in vivo study. PMID- 21053930 TI - Structure-function relationships of inhibition of human cytochromes P450 1A1, 1A2, 1B1, 2C9, and 3A4 by 33 flavonoid derivatives. AB - Structure-function relationships for the inhibition of human cytochrome P450s (P450s) 1A1, 1A2, 1B1, 2C9, and 3A4 by 33 flavonoid derivatives were studied. Thirty-two of the 33 flavonoids tested produced reverse type I binding spectra with P450 1B1, and the potencies of binding were correlated with the abilities to inhibit 7-ethoxyresorufin O-deethylation activity. The presence of a hydroxyl group in flavones, for example, 3-, 5-, and 7-monohydroxy- and 5,7 dihydroxyflavone, decreased the 50% inhibition concentration (IC50) of P450 1B1 from 0.6 MUM to 0.09, 0.21, 0.25, and 0.27 MUM, respectively, and 3,5,7 trihydroxyflavone (galangin) was the most potent, with an IC50 of 0.003 MUM. The introduction of a 4'-methoxy- or 3',4'-dimethoxy group into 5,7-dihydroxyflavone yielded other active inhibitors of P450 1B1 with IC50 values of 0.014 and 0.019 MUM, respectively. The above hydroxyl and/or methoxy groups in flavone molecules also increased the inhibition activity with P450 1A1 but not always toward P450 1A2, where 3-, 5-, or 7-hydroxyflavone and 4'-methoxy-5,7-dihydroxyflavone were less inhibitory than flavone itself. P450 2C9 was more inhibited by 7-hydroxy-, 5,7-dihydroxy-, and 3,5,7-trihydroxyflavones than by flavone but was weakly inhibited by 3- and 5-hydroxyflavone. Flavone and several other flavonoids produced type I binding spectra with P450 3A4, but such binding was not always related to the inhibitiory activities toward P450 3A4. These results indicate that there are different mechanisms of inhibition for P450s 1A1, 1A2, 1B1, 2C9, and 3A4 by various flavonoid derivatives and that the number and position of hydroxyl and/or methoxy groups highly influence the inhibitory actions of flavonoids toward these enzymes. Molecular docking studies suggest that there are different mechanisms involved in the interaction of various flavonoids with the active site of P450s, thus causing differences in inhibition of these P450 catalytic activities by flavonoids. PMID- 21053931 TI - Hydration of lanthanide chloride salts: a quantum chemical and classical molecular dynamics simulation study. AB - We present the results of a quantum chemical and classical molecular dynamics simulation study of some solutions containing chloride salts of La(3+), Gd(3+), and Er(3+) at various concentrations (from 0.05 to 5 M), with the purpose of understanding their structure and dynamics and analyzing how the coordination varies along the lanthanide series. In the La-Cl case, nine water molecules surround the central La(3+) cation in the first solvation shell, and chloride is present only in the second shell for all solutions but the most concentrated one (5 M). In the Gd(3+) case, the coordination number is ~8.6 for the two lowest concentrations (0.05 and 0.1 M), and then it decreases rapidly. In the Er(3+) case, the coordination number is 7.4 for the two lowest concentrations (0.05 and 0.1 M), and then it decreases. The counterion Cl(-) is not present in the first solvation shell in the La(3+) case for most of the solutions, but it becomes progressively closer to the central cation in the Gd(3+) and Er(3+) cases, even at low concentrations. PMID- 21053932 TI - Ion channels and anisotropic ion mobility in a liquid-crystalline columnar phase as observed by multinuclear NMR diffusometry. AB - The anisotropic diffusion of anions and cations in the columnar and isotropic phases of a fan-shaped imidazolium hexafluorophosphate salt is measured by (1)H and (19)F diffusion NMR experiments. The macroscopic orientation of the columnar phase is investigated by (2)H NMR spectroscopy. We find that the anions, confined by the cations, diffuse faster than the cations along the columns but slowly across them, which exemplifies the ion channel model of these materials. The cations and anions are dissociated in the columnar phase but are paired or clustered in the isotropic phase. PMID- 21053933 TI - Complete physicochemical characterization of DNA/chitosan complexes by multiple detection using asymmetrical flow field-flow fractionation. AB - Asymmetrical flow field-flow fractionation (AF4) coupled with UV-vis spectrophotometry, multiangle light scattering (MALS), and dynamic light scattering (DLS) detection was used to analyze dispersions of DNA/rhodamine B labeled chitosan (Ch-rho) complexes frequently used as gene delivery vectors. The method yielded, in a single experiment, important characteristics of the complexes, such as their hydrodynamic radius, size distribution, conformation, composition, and the amount of free Ch-rho in the dispersions. Samples for analysis were obtained by varying experimental parameters known to influence the transfection efficiency of DNA/chitosan complexes, including the DNA concentration at mixing (82-164 MUg/mL), the ratio of chitosan amino groups to DNA phosphate groups (3 <= N/P ratio <= 15), the chitosan molecular weight (10-76 kDa), and its degree of deacetylation. In all preparations, DNA/Ch-rho complexes had hydrodynamic radii ranging from 15 to 160 nm. Both the DNA concentration and the Ch-rho molecular weight influence the size distribution of the complexes: a greater fraction of large particles was detected in dispersions prepared with the most concentrated DNA solution or the Ch-rho of highest molar mass. All dispersions contained free Ch-rho in solution. The free Ch-rho content ranged from 53 to 92% of the total Ch-rho concentration in dispersions prepared with N/P ratios from 3 to 15, respectively, implying that the N/P ratio of the complexes ranged from 1.3 to 1.6 in all samples. The accuracy of the free Ch-rho determination by AF4/UV-vis/MALS+DLS was confirmed by an independent method involving (1) ultracentrifugation of the dispersions prepared with unlabeled chitosan and (2) analysis of the supernatant by the Orange II dye depletion method. This study demonstrates the ability of AF4/UV-vis/MALS+DLS to provide a complete physicochemical characterization of DNA/polycation complexes used in nonviral gene delivery. PMID- 21053934 TI - Key residues that play a critical role in urea-induced lysozyme unfolding. AB - In this paper, we have developed a simple sensitivity score, based on the relative population of solvent molecules near each residue, to analyze the detailed motions of both urea and water around the hen egg-white lysozyme protein (W62G mutant) during its early stage of urea-induced unfolding for a better understanding of the atomic picture of the chemical denaturation process. Our simulation and analysis show that some hydrophobic core residues can keep dry from water for tens of nanoseconds in 8 M urea, while their contacts with urea increase significantly at the same time, forming a molten dry-globule-like state. Also, different from previously proposed actions that urea molecules preferentially absorb onto charged residues, our analysis shows that the noncharged residues, rather than the charged ones, attract more urea molecules in their surroundings (acting as attractants for urea), which is consistent with our earlier findings that urea molecules preferentially bind to protein through their stronger dispersion interactions than water. Once the initial adsorption surrounding the protein surface is accomplished, the further intrusion is found to be facilitated by a group of key residues, including Leu8, Met12, Val29, and Ala95, which play a critical role in the formation of the dry-globule structure. These hydrophobic dry residues form a local contact map which excludes the intrusion of water but accommodates the presence of urea due to their stronger binding to protein during this swelling process, thus maintaining an interesting transient dry-globule state. PMID- 21053935 TI - A facile approach toward multicomponent supramolecular structures: selective self assembly via charge separation. AB - A novel approach toward the construction of multicomponent two-dimensional (2-D) and three-dimensional (3-D) metallosupramolecules is reported. Simply by mixing carboxylate and pyridyl ligands with cis-Pt(PEt(3))(2)(OTf)(2) in a proper ratio, coordination-driven self-assembly occurs, allowing for the selective generation of discrete multicomponent structures via charge separation on the metal centers. Using this method, a variety of 2-D rectangles and 3-D prisms were prepared under mild conditions. Moreover, multicomponent self-assembly can also be achieved by supramolecule-to-supramolecule transformations. The products were characterized by (31)P and (1)H multinuclear NMR spectroscopy, electrospray ionization mass spectrometry, and pulsed-field-gradient spin echo NMR techniques together with computational simulations. PMID- 21053936 TI - Highly enantioselective Michael addition of nitroalkanes to chalcones using chiral squaramides as hydrogen bonding organocatalysts. AB - A series of squaramide-based organocatalysts were facilely synthesized and applied as hydrogen bonding organocatalysts in the enantioselective Michael addition of nitroalkanes to chalcones. These organocatalysts promoted the Michael addition with low catalyst loading under high temperature (80 degrees C), affording the desired R or S enantiomers of the products flexibly in high yields with excellent enantioselectivities (93-96% ee) by the appropriate choice of organocatalysts. PMID- 21053937 TI - A dynamic model of the fate of organic chemicals in a multilayered air/soil system: development and illustrative application. AB - A new site-specific, dynamic model (SoilPlus) was developed to simulate the fate of nonionized organic chemicals in the air/litter/soil system; key features of the model are the double-layered air compartment interacting dynamically with multilayered litter and soil compartments, with seasonal dissolved organic carbon (DOC) fluxes. The model describes the soil environment calculating separate mass balances for water, chemical, and organic matter. SoilPlus underwent a process of benchmarking and evaluation in order to reach a satisfying confirmation of its predictive capability. Several simulations were performed to estimate the role of litter and DOC in affecting the fate of a model contaminant for POPs (hexachlorobenzene). The model shows that litter can behave as a buffer in the process of transferring hexachlorobenzene from air to the mineral soil and as a trap when hexachlorobenzene tends to move from a contaminated field toward clean air. DOC seems to behave as a leaching-enhancer in certain climatic conditions (heavy rainfall, high DOC concentrations), but it does not appear to move significant amounts of HCB in a year calculation. PMID- 21053938 TI - Use of experimental design for the optimization of the production of new secondary metabolites by two Penicillium species. AB - A fractional factorial design approach has been used to enhance secondary metabolite production by two Penicillium strains. The method was initially used to improve the production of bioactive extracts as a whole and subsequently to optimize the production of particular bioactive metabolites. Enhancements of over 500% in secondary metabolite production were observed for both P. oxalicum and P. citrinum. Two new alkaloids, citrinalins A (5) and B (6), were isolated and identified from P. citrinum cultures optimized for production of minor metabolites. PMID- 21053939 TI - The second-shell metal ligands of human arginase affect coordination of the nucleophile and substrate. AB - The active sites of eukaryotic arginase enzymes are strictly conserved, especially the first- and second-shell ligands that coordinate the two divalent metal cations that generate a hydroxide molecule for nucleophilic attack on the guanidinium carbon of l-arginine and the subsequent production of urea and l ornithine. Here by using comprehensive pairwise saturation mutagenesis of the first- and second-shell metal ligands in human arginase I, we demonstrate that several metal binding ligands are actually quite tolerant to amino acid substitutions. Of >2800 double mutants of first- and second-shell residues analyzed, we found more than 80 unique amino acid substitutions, of which four were in first-shell residues. Remarkably, certain second-shell mutations could modulate the binding of both the nucleophilic water/hydroxide molecule and substrate or product ligands, resulting in activity greater than that of the wild type enzyme. The data presented here constitute the first comprehensive saturation mutagenesis analysis of a metallohydrolase active site and reveal that the strict conservation of the second-shell metal binding residues in eukaryotic arginases does not reflect kinetic optimization of the enzyme during the course of evolution. PMID- 21053941 TI - Global exploration of the enthalpy landscape of calcium carbide. AB - The enthalpy landscape of CaC(2) was investigated on the ab initio level, and possible (meta)stable structures are predicted. Simulated annealing was used as a global exploration method for the determination of the local minima on the enthalpy landscapes, where the only information supplied was the number of atoms per unit cell. Subsequently, the structure candidates found were locally optimized. At all stages of the search, the energy calculations were performed on the ab initio level. Furthermore, we investigated the enthalpies of different modifications as a function of pressure, and we found that, at a transition pressure of about 30 GPa, CaC(2) should transform from a 6-fold coordinated structure resembling a rock-salt structure to an 8-fold coordinated one similar to the CsCl structure. At standard pressure, two new energetically low-lying (metastable) structures were found, and at high pressure an additional new metastable structure was also predicted to be capable of existence. PMID- 21053940 TI - Targeting of protein phosphatases PP2A and PP2B to the C-terminus of the L-type calcium channel Ca v1.2. AB - The L-type Ca(2+) channel Ca(v)1.2 forms macromolecular signaling complexes that comprise the beta(2) adrenergic receptor, trimeric G(s) protein, adenylyl cyclase, and cAMP-dependent protein kinase (PKA) for efficient signaling in heart and brain. The protein phosphatases PP2A and PP2B are part of this complex. PP2A counteracts increase in Ca(v)1.2 channel activity by PKA and other protein kinases, whereas PP2B can either augment or decrease Ca(v)1.2 currents in cardiomyocytes depending on the precise experimental conditions. We found that PP2A binds to two regions in the C-terminus of the central, pore-forming alpha(1) subunit of Ca(v)1.2: one region spans residues 1795-1818 and the other residues 1965-1971. PP2B binds immediately downstream of residue 1971. Injection of a peptide that contained residues 1965-1971 and displaced PP2A but not PP2B from endogenous Ca(v)1.2 increased basal and isoproterenol-stimulated L-type Ca(2+) currents in acutely isolated cardiomyocytes. Together with our biochemical data, these physiological results indicate that anchoring of PP2A at this site of Ca(v)1.2 in the heart negatively regulates cardiac L-type currents, likely by counterbalancing basal and stimulated phosphorylation that is mediated by PKA and possibly other kinases. PMID- 21053942 TI - Structure, dynamics, and energetics of lysobisphosphatidic acid (LBPA) isomers. AB - Lysobisphosphatidic acid (LBPA), or bis(monoacylglycerol)phosphate, is a very interesting lipid, that is mainly found in late endosomes. It has several intriguing characteristics, which differ from those of other animal glycerophospholipids, that may be related to its specific functions, particularly in the metabolism of cholesterol. Its phosphodiester group is bonded at the sn-1 (sn-1') positions of the glycerols rather than at sn-3 (sn-3'); the position of the two fatty acid chains is still under debate but, increasingly, arguments favor the sn-2, sn-2' position in the native molecule, whereas isolation procedures or acidic conditions lead to the thermodynamically more stable sn-3, sn-3' structure. Because of these peculiar features, it can be expected that LBPA shape and interactions with membrane lipids and proteins are related to its structure at the molecular level. We applied quantum mechanical methods to study the structures and stabilities of the 2,2' and 3,3' LBPA isomers, using a step-by step procedure from glycerol to precursors (in vitro syntheses) and to the final isoforms. The structures of the two positional LBPA isomers are substantially different, showing that the binding positions of the fatty acid chains on the glycerol backbone determine the shape of the LBPA molecule and thus, possibly, its functions. The 3,3' LBPA structures obtained are more stable with respect to the 2,2' form, as expected from experiment. If one argues that the in vivo synthesis starts from the present glycerol conformers and considering the most stable bis(glycero)phosphate structures, the 2,2' isoform should be the most probable isomer. PMID- 21053943 TI - Microscopic study of a ligand induced electroless plating process onto polymers. AB - The ligand induced electroless plating (LIEP) process was recently developed and thoroughly demonstrated with one of the most used polymers for plating processes: acrylonitrile-butadiene-styrene (ABS). This generic process is based, thanks to the use of diazonium salts as precursors, on the covalent grafting of a thin layer of poly(acrylic acid) (PAA) acting as ligand for metallic salts onto pristine polymer surfaces. This strategy takes advantage of the PAA ion exchange properties. Indeed, carboxylate groups contained in PAA allow one to complex copper ions which are eventually reduced and used as catalysts of the metallic deposition. Essentially based on ABS, ABS-PC (ABS-polycarbonate) and PA (polyamide) substrates, the present paper focuses on the role of the polymer substrate and the relationships between the macroscopic properties and microscopic characterizations such as infrared (IR), X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM). The adhesion strength of the metallic layer deposited via that LIEP process with the bulk polymer substrates was successfully compared with the adhesion of similar copper films deposited by the usual process based on chromic acid etching and palladium-based seed layer, by measuring the T-peel adhesion strength, and by carrying out the common industrial scotch tape test. Lastly, the electrical properties of the deposited layer were studied thanks to a four-point probe and scanning tunneling microscopy (STM) measurements. PMID- 21053944 TI - Biocatalytic synthesis and in vitro release of biodegradable linear polyesters with pendant ketoprofen. AB - Enzyme-catalyzed polycondensation for the synthesis of polyester prodrugs of ketoprofen was reported. Lipase acrylic resin from Candida antarctica (CAL-B) was used to synthesize the linear polyesters with pendent ketoprofen groups based on ketoprofen glycerol ester, poly(ethylene glycol), and divinyl sebacate. The products were characterized by GPC and (1)H NMR. The results indicated that the molecular weight and yields of the polyesters depend on experimental conditions such as temperature and feed ratio. The in vitro study showed that the drug release from the polyester was slow under physiological conditions, which indicated that the polyester could be a promising prodrug with extended pharmacological effects by delayed release of ketoprofen. PMID- 21053945 TI - Toward a consistent evaluative framework for POP risk characterization. AB - The purpose of Annex E in the Stockholm Convention (SC) on Persistent Organic Pollutants (POPs) is to assess whether a chemical is likely, as a result of its long-range environmental transport, to lead to significant adverse human health or environmental effects, such that global action is warranted. To date, risk profiles for nominated POPs have not consistently selected assessment endpoints or completed mandated risk characterizations. An assessment endpoint hierarchy is proposed to facilitate risk characterization for the implementation of the SC. The framework is illustrated for a nominated POP, hexabromocyclododecane (HBCD), using three risk estimation methods. Based on current monitoring and toxicity data, the screening-level results indicate that humans and ecological receptors in remote regions such as the Arctic are unlikely to experience significant adverse effects (i.e., low risk) due to long-range environmental transport of HBCD. The results for birds are more uncertain than the results for fish and mammals due to the paucity of avian toxicity data. Risk characterization results for HBCD and for some listed POPs are compared to illustrate how the proposed methods can further assist decision-making and chemical management. PMID- 21053946 TI - Inhibition of free DNA degradation by the deformation of DNA exposed to trace polycyclic aromatic hydrocarbon contaminants. AB - A rapid inhibitory effect of polycyclic aromatic hydrocarbons (PAHs) on DNA degradation was examined by conventional spectral analysis and microtitration. The purpose was to determine whether PAHs inhibited free DNA degradation by the enzyme DNase I. The results showed that model PAHs phenanthrene and pyrene combined with free DNA to decelerate DNA degradation by DNase I. Phenanthrene induced inhibition was stronger than that of pyrene. Trace level of PAHs did not induce DNase I deactivation. The DNase I enzyme exhibited only slight shifts in IR absorption bands related to amide II and III upon PAH exposure, and no change was observed with other bands. The decelerating degradation of DNA is attributed to the changes in structure, backbone composition, and guanine constituents of DNA induced by PAHs inserted into double strands, and to the imidazole-like derivates from the combination of imidazole rings with pyrene. PMID- 21053947 TI - Degradation patterns in water and oxygen of an inverted polymer solar cell. AB - The spatial distribution of reaction products in multilayer polymer solar cells induced by water and oxygen atmospheres was mapped and used to elucidate the degradation patterns and failure mechanisms in an inverted polymer solar cell. The active material comprised a bulk heterojunction formed by poly(3 hexylthiophene) (P3HT) and [6,6]-phenyl-C61-butyric acid methyl ester (PCBM) sandwiched between a layer of zinc oxide and a layer of poly(3,4 ethylenedioxythiophene) poly(styrenesulfonate) (PEDOT:PSS) that acted as, respectively, electron and hole transporting layers between the active material and the two electrodes indium-tin-oxide (ITO) and printed silver. X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (TOF-SIMS) in conjunction with isotopic labeling using H(2)(18)O and (18)O(2) enabled detailed information on where and to what extent uptake took place. A comparison was made between the use of a humid (oxygen-free) atmosphere and a dry oxygen atmosphere during testing of devices that were kept in the dark and devices that were subjected to illumination under simulated sunlight. It was found that the reactions taking place at the interface between the active layer and the PEDOT:PSS were the major cause of device failure in the case of these inverted devices, which are compatible with full roll-to-roll (R2R) coating and industrial manufacture. The PEDOT:PSS was found to phase separate, with the PEDOT rich phase being responsible for most of the interface degradation in oxygen atmospheres. In water atmospheres, little chemically induced degradation was observed, whereas a large partially reversible dependence of the open circuit voltage on the relative humidity was observed. In addition, temporal aspects are discussed in regard to degradation mechanisms. Finally, analytical aspects in regard to storing devices are discussed. PMID- 21053950 TI - Lipid-induced calcitonin fibrillation blocks membrane interactions of a peptide antibiotic. AB - Interactions between membranes and amyloid proteins are believed to be a major factor contributing to pathogenesis in amyloid diseases. Furthermore, membranes have been shown to closely affect fibrillation processes of varied amyloidogenic peptides. Here we describe an intriguing phenomenon in which bilayer-induced fibrillation of human calcitonin (hCT) gave rise to significant inhibition of membrane interactions of alamethicin, an antibiotic, membrane-permeating peptide. This "membrane shielding" effect was apparent only when fibrillation of hCT occurred in the presence of cholesterol-containing vesicles; no interference with membrane binding was detected when hCT fibrillar species were formed in noncholesterol lipid environments, or when hCT amyloid aggregates were separately added to lipid bilayers. The experimental data indicate that cholesterol-promoted formation of amyloid fibril network at the bilayer interface is most likely responsible for the shielding effect. This phenomenon might point to a role of amyloid fibers in preventing membrane disruption by antibiotic peptides and other toxic species. PMID- 21053951 TI - Quantitative proteomic analysis of cell wall and plasma membrane fractions from multidrug-resistant Acinetobacter baumannii. AB - Acinetobacter baumannii is a Gram-negative, nonmotile aerobic bacterium that has emerged as an important nosocomial pathogen. Multidrug-resistant (MDR) A. baumannii is difficult to treat with antibiotics, and treatment failure in infected patients is of great concern in clinical settings. To investigate proteome regulation in A. baumannii under antibiotic stress conditions, quantitative membrane proteomic analyses of a clinical MDR A. baumannii strain cultured in subminimal inhibitory concentrations of tetracycline and imipenem were performed using a combination of label-free (one-dimensional electrophoresis liquid chromatography-tandem mass spectrometry) and label (isobaric tag for relative and absolute quantitation) approaches. In total, 484 proteins were identified, and 302 were classified as outer membrane, periplasmic, or plasma membrane proteins. The clinical A. baumannii strain DU202 responded specifically and induced different cell wall and membrane protein sets that provided resistance to the antibiotics. The induction of resistance-nodulation-cell division transporters and protein kinases, and the repression of outer membrane proteins were common responses in the presence of tetracycline and imipenem. Induction of a tetracycline resistant pump, ribosomal proteins, and iron-uptake transporters appeared to be dependent on tetracycline conditions, whereas beta lactamase and penicillin-binding proteins appeared to be dependent on imipenem conditions. These results suggest that combined liquid chromatography-based proteomic approaches can be used to identify cell wall and membrane proteins involved in the antibiotic resistance of A. baumannii. PMID- 21053952 TI - Effects of charge on antibody tissue distribution and pharmacokinetics. AB - Antibody pharmacokinetics and pharmacodynamics are often governed by biological processes such as binding to antigens and other cognate receptors. Emphasis must also be placed, however, on fundamental physicochemical properties that define antibodies as complex macromolecules, including shape, size, hydrophobicity, and charge. Electrostatic interactions between anionic cell membranes and the predominantly positive surface charge of most antibodies can influence blood concentration and tissue disposition kinetics in a manner that is independent of antigen recognition. In this context, the deliberate modification of antibodies by chemical means has been exploited as a valuable preclinical research tool to investigate the relationship between net molecular charge and biological disposition. Findings from these exploratory investigations may be summarized as follows: (I) shifts in isoelectric point of approximately one pI unit or more can produce measurable changes in tissue distribution and kinetics, (II) increases in net positive charge generally result in increased tissue retention and increased blood clearance, and (III) decreases in net positive charge generally result in decreased tissue retention and increased whole body clearance. Understanding electrostatic interactions between antibodies and biological matrices holds relevance in biotechnology, especially with regard to the development of immunoconjugates. The guiding principles and knowledge gained from preclinical evaluation of chemically modified antibodies will be discussed and placed in the context of therapeutic antibodies that are currently marketed or under development, with a particular emphasis on pharmacokinetic and disposition properties. PMID- 21053953 TI - Synthetic spider silk fibers spun from Pyriform Spidroin 2, a glue silk protein discovered in orb-weaving spider attachment discs. AB - Spider attachment disc silk fibers are spun into a viscous liquid that rapidly solidifies, gluing dragline silk fibers to substrates for locomotion or web construction. Here we report the identification and artificial spinning of a novel attachment disc glue silk fibroin, Pyriform Spidroin 2 (PySp2), from the golden orb weaver Nephila clavipes . MS studies support PySp2 is a constituent of the pyriform gland that is spun into attachment discs. Analysis of the PySp2 protein architecture reveals sequence divergence relative to the other silk family members, including the cob weaver glue silk fibroin PySp1. PySp2 contains internal block repeats that consist of two subrepeat units: one dominated by Ser, Gln, and Ala and the other Pro-rich. Artificial spinning of recombinant PySp2 truncations shows that the Ser-Gln-Ala-rich subrepeat is sufficient for the assembly of polymeric subunits and subsequent fiber formation. These studies support that both orb- and cob-weaving spiders have evolved highly polar block repeat sequences with the ability to self-assemble into fibers, suggesting a strategy to allow fiber fabrication in the liquid environment of the attachment discs. PMID- 21053954 TI - Environmental impacts of remediation of a trichloroethene-contaminated site: life cycle assessment of remediation alternatives. AB - The environmental impacts of remediation of a chloroethene-contaminated site were evaluated using life cycle assessment (LCA). The compared remediation options are (i) in situ bioremediation by enhanced reductive dechlorination (ERD), (ii) in situ thermal desorption (ISTD), and (iii) excavation of the contaminated soil followed by off-site treatment and disposal. The results showed that choosing the ERD option will reduce the life-cycle impacts of remediation remarkably compared to choosing either ISTD or excavation, which are more energy-demanding. In addition to the secondary impacts of remediation, this study includes assessment of local toxic impacts (the primary impact) related to the on-site contaminant leaching to groundwater and subsequent human exposure via drinking water. The primary human toxic impacts were high for ERD due to the formation and leaching of chlorinated degradation products, especially vinyl chloride during remediation. However, the secondary human toxic impacts of ISTD and excavation are likely to be even higher, particularly due to upstream impacts from steel production. The newly launched model, USEtox, was applied for characterization of primary and secondary toxic impacts and combined with a site-dependent fate model of the leaching of chlorinated ethenes from the fractured clay till site. PMID- 21053955 TI - Diagnosis and quantification of glycerol assimilating denitrifying bacteria in an integrated fixed-film activated sludge reactor via 13C DNA stable-isotope probing. AB - Glycerol, a byproduct of biodiesel and oleo-chemical manufacturing operations, represents an attractive alternate to methanol as a carbon and electron donor for enhanced denitrification. However, unlike methanol, little is known about the diversity and activity of glycerol assimilating bacteria in activated sludge. In this study, the microbial ecology of glycerol assimilating denitrifying bacteria in a sequencing batch integrated fixed film activated sludge (SB-IFAS) reactor was investigated using (13)C-DNA stable isotope probing (SIP). During steady state SB-IFAS reactor operation, near complete nitrate removal (92.7 +/- 5.8%) was achieved. Based on (13)C DNA clone libraries obtained after 360 days of SB IFAS reactor operation, bacteria related to Comamonas spp. and Diaphorobacter spp. dominated in the suspended phase communities. (13)C assimilating members in the biofilm community were phylogenetically more diverse and were related to Comamonas spp., Bradyrhizobium spp., and Tessaracoccus spp. Possibly owing to greater substrate availability in the suspended phase, the glycerol-assimilating denitrifying populations (quantified by real-time PCR) were more abundant therein than in the biofilm phase. The biomass in the suspended phase also had a higher specific denitrification rate than the biofilm phase (p = 4.33e-4), and contributed to 69.7 +/- 4.5% of the overall N-removal on a mass basis. The kinetics of glycerol based denitrification by suspended phase biomass were approximately 3 times higher than with methanol. Previously identified methanol assimilating denitrifying bacteria were not associated with glycerol assimilation, thereby suggesting limited cross-utilization of these two substrates for denitrification in the system tested. PMID- 21053956 TI - Complexation of Cm(III) with fluoride in aqueous solution in the temperature range from 20 to 90 degrees C. A joint TRLFS and quantum chemical study. AB - The formation of hydrated CmF2+ and CmF2+ species in aqueous solutions are studied in the temperature range of 20-90 degrees C at different fluoride concentrations and at constant ionic strength as well as at constant fluoride concentration and different ionic strengths by means of time-resolved laser fluorescence spectroscopy (TRLFS). The molar fractions of the Cm3+ aqua ion, CmF2+, and CmF2+ species are determined by peak deconvolution of the emission spectra. An increase of the mono- and difluoro complexes is observed with increasing fluoride concentration and/or increasing temperature. Using the specific ion interaction theory (SIT), the thermodynamic stability constants log K10 (CmF2+) and log K20 (CmF2+) as well as the values of Deltaepsilon1 and Deltaepsilon2 are determined as a function of temperature. The log K10 values increase from 3.56 +/- 0.07 to 3.98 +/- 0.06 and the log K20 values increase from 2.20 +/- 0.84 to 3.34 +/- 0.21 with increasing temperature from 20 to 90 degrees C. The value of Deltaepsilon1 determined at 25 degrees C is in good agreement with literature data and shows a negligible temperature dependency in the studied temperature range. The value of Deltaepsilon2 also shows only a moderate variation in the studied temperature range. The thermodynamic standard state data (DeltarHm0, DeltarSm0, DeltarGm0) are determined from the temperature dependence of the equilibrium constants at Im = 0 using the integrated Van't Hoff equation. The fluorescence lifetime of the 6D'7/2(Cm3+) state is found to be constant at 63 +/- 5 MUs with increasing fluoride concentration. A model based on density functional theory (DFT) calculations is introduced to account for the additional quenching occurring through the near second sphere waters in the [Cm(H2O)8F]2+(H2O)18 complex. PMID- 21053957 TI - Effects of humic acid and sunlight on the generation and aggregation state of aqu/C60 nanoparticles. AB - Aqueous suspensions of nanoscale C(60) aggregates (aqu/C(60)) were produced by stirring in water with Suwanee River Humic Acid (humic acid) and water from Call's Creek, a small stream near Athens, GA. Time course experiments were conducted to determine the effects of sunlight and solution chemistry on the mass of aqu/C(60) suspended, nanoparticle size, and zeta potential. For all treatments, sunlight had the greatest effect on the mass of aqu/C(60) suspended. The sunlight-exposed Call's Creek samples exhibited the greatest increase in mass suspended with aqu/C(60) concentrations 17 times greater than those of the dark controls, followed by the humic acid treatments, 8.1 times, and deionized water, 3.4 times. Asymmetric flow field-flow fractionation indicated that aqu/C(60) nanoparticles in humic acid were the smallest and their mass was evenly distributed in the 120-300 nm hydrodynamic diameter (D(h)) size range, whereas aqu/C(60) nanoparticles in Call's Creek water were the largest and were evenly distributed in the size range of 200-300 nm D(h). Aqu/C(60) in deionized water and humic acid treatments exposed to sunlight exhibited a trend of increasingly negative zeta potentials as suspension time increased; however, this trend was not observed for the Call's Creek treatment. PMID- 21053958 TI - Degradation product partitioning in source zones containing chlorinated ethene dense non-aqueous-phase liquid. AB - Abiotic and biotic reductive dechlorination with chlorinated ethene dense non aqueous-phase liquid (DNAPL) source zones can lead to significant fluxes of complete and incomplete transformation products. Accurate assessment of in situ rates of transformation and the potential for product sequestration requires knowledge of the distribution of these products among the solid, aqueous, and organic liquid phases present within the source zone. Here we consider the fluid fluid partitioning of two of the most common incomplete transformation products, cis-1,2-dichloroethene (cis-DCE) and vinyl chloride (VC). The distributions of cis-DCE and VC between the aqueous phase and tetrachloroethene (PCE) and trichloroethene (TCE) DNAPLs, respectively, were quantified at 22 degrees C for the environmentally relevant, dilute range. The results suggest that partition coefficients (concentration basis) for VC and cis-DCE are 70 +/- 1 L(aq)/L(TCE DNAPL) and 105 +/- 1 L(aq)/L(PCE DNAPL,) respectively. VC partitioning data (in the dilute region) were reasonably approximated using the Raoult's law analogy for liquid-liquid equilibrium. In contrast, data for the partitioning of cis-DCE were well described only when well-parametrized models for the excess Gibbs free energy were employed. In addition, available vapor-liquid and liquid-liquid data were employed with our measurements to assess the temperature dependence of the cis-DCE and VC partition coefficients. Overall, the results suggest that there is a strong thermodynamic driving force for the reversible sequestration of cis-DC and VC within DNAPL source zones. Implications of this partitioning include retardation during transport and underestimation of the transformation rates observed through analysis of aqueous-phase samples. PMID- 21053959 TI - Reaction of stabilized Criegee intermediates from ozonolysis of limonene with sulfur dioxide: ab initio and DFT study. AB - The mechanism of the reaction of the sulfur dioxide (SO(2)) with four stabilized Criegee intermediates (stabCI-CH(3)-OO, stabCI-OO, stabCIx-OO, and stabCH(2)OO) produced via the ozonolysis of limonene have been investigated using ab initio and DFT (density functional theory) methods. It has been shown that the intermediate adduct formed by the initiation of these reactions may be followed by two different reaction pathways such as H migration reaction to form carboxylic acids and rearrangement of oxygen to produce the sulfur trioxide (SO(3)) from the terminal oxygen of the COO group and SO(2). We found that the reaction of stabCI-OO and stabCH(2)OO with SO(2) can occur via both the aforementioned scenarios, whereas that of stabCI-CH(3)-OO and stabCIx-OO with SO(2) is limited to the second pathway only due to the absence of migrating H atoms. It has been shown that at the CCSD(T)/6-31G(d) + CF level of theory the activation energies of six reaction pathways are in the range of 14.18-22.59 kcal mol(-1), with the reaction between stabCIx-OO and SO(2) as the most favorable pathway of 14.18 kcal mol(-1) activation energy and that the reaction of stabCI OO and stabCH(2)OO with SO(2) occurs mainly via the second reaction path. The thermochemical analysis of the reaction between SO(2) and stabilized Criegee intermediates indicates that the reaction of SO(2) and stabilized Criegee intermediates formed from the exocyclic primary ozonide decomposition is the main pathway of the SO(3) formation. This is likely to explain the large (~100%) difference in the production rate in the favor of the exocyclic compounds observed in recent experiments on the formation of H(2)SO(4) from exocyclic and endocyclic compounds. PMID- 21053960 TI - Hyperaromatic stabilization of arenium ions. AB - Benzene-cis- and trans-1,2-dihydrodiols undergo acid-catalyzed dehydration at remarkably different rates: k(cis)/k(trans) = 4500. This is explained by formation of a beta-hydroxycarbocation intermediate in different initial conformations, one of which is stabilized by hyperconjugation amplified by an aromatic no-bond resonance structure (HOC(6)H(6)(+) <-> HOC(6)H(5) H(+)). MP2 calculations and an unfavorable effect of benzoannelation on benzenium ion stability, implied by pK(R) measurements of -2.3, -8.0, and -11.9 for benzenium, 1-naphthalenium, and 9-phenanthrenium ions, respectively, support the explanation. PMID- 21053961 TI - Theory of repeating nucleation in point contact reactions between nanowires. AB - Modification of the classical Zeldovich nucleation theory for nonstationary conditions is presented. It is applied to the recently discovered repeating nucleation events in point contact reactions between metal and silicon nanowires to form epitaxial silicides; the nucleation provides the reproducible quasi stationary conditions satisfying the fundamental suppositions of the modified theory. The modified theory enables us to predict the rate of repeating nucleation at nanoscale level by developing a theory of the incubation time. The understanding is extremely important for the design and applications of nanoheterostructures. PMID- 21053962 TI - Forster resonant energy transfer in orthogonally arranged chromophores. AB - We investigate the ultrafast resonant energy transfer of a perylene bisimide dyad by pump-probe spectroscopy, chemical variation, and calculations. This dyad undergoes transfer with near-unit quantum efficiency, although the transition dipole moments of the donor and acceptor are in a perfectly orthogonal arrangement to each other in the equilibrium geometry. According to the point dipole approximation used in Forster theory, no energy transfer should occur. Experimentally we do, however, find an ultrafast transfer time of 9.4 ps. With the transition density cube approach we show that in the orthogonal arrangement the Coulombic interactions do not contribute to the electronic coupling. Through the change of the spacer in both length and chemical character, we can clearly exclude any Dexter-type energy transfer. The temperature effects on the Forster resonant energy transfer rate demonstrate that energy transfer is enabled through low-frequency ground-state vibrations, which break the orthogonal arrangement of the transition dipole moments. The dyads presented here therefore are a first example that shows with extreme clarity the decisive role vibrational motion plays in energy transfer processes. PMID- 21053963 TI - Carrier multiplication in graphene. AB - Graphene as a zero-bandgap semiconductor is an ideal model structure to study the carrier relaxation channels, which are inefficient in conventional semiconductors. In particular, it is of fundamental interest to address the question whether Auger-type processes significantly influence the carrier dynamics in graphene. These scattering channels bridge the valence and conduction band allowing carrier multiplication, a process that generates multiple charge carriers from the absorption of a single photon. This has been suggested in literature for improving the efficiency of solar cells. Here we show, based on microscopic calculations within the density matrix formalism, that Auger processes do play an unusually strong role for the relaxation dynamics of photoexcited charge carriers in graphene. We predict that a considerable carrier multiplication takes place, confirming the potential of graphene as a new material for high-efficiency photodevices. PMID- 21053964 TI - Strong gate coupling of high-Q nanomechanical resonators. AB - The detection of mechanical vibrations near the quantum limit is a formidable challenge since the displacement becomes vanishingly small when the number of phonon quanta tends toward zero. An interesting setup for on-chip nanomechanical resonators is that of coupling them to electrical microwave cavities for detection and manipulation. Here we show how to achieve a large cavity coupling energy of up to (2pi) 1 MHz/nm for metallic beam resonators at tens of megahertz. We used focused ion beam (FIB) cutting to produce uniform slits down to 10 nm, separating patterned resonators from their gate electrodes, in suspended aluminum films. We measured the thermomechanical vibrations down to a temperature of 25 mK, and we obtained a low number of about 20 phonons at the equilibrium bath temperature. The mechanical properties of Al were excellent after FIB cutting, and we recorded a quality factor of Q ~ 3 * 10(5) for a 67 MHz resonator at a temperature of 25 mK. Between 0.2 and 2 K we find that the dissipation is linearly proportional to the temperature. PMID- 21053966 TI - Diffusion of organic dyes in immobilized and free catanionic vesicles. AB - Fluorescence correlation spectroscopy (FCS) has been used to study the motion of fluorescent dyes in a giant (diameter 20 000 nm = 20 MUm) catanionic vesicle comprised of the surfactant sodium dodecyl sulfate (SDS) and dodecyltrimethyl ammonium bromide (DTAB). The diffusion in the anion (SDS) rich catanionic vesicle was studied both in bulk water and in an immobilized vesicle attached to a positively charged glass surface. In the case of the immobilized vesicle, the diffusion coefficients (D(t)) of R6G (rhodamine 6G), DCM (4-dicyanomethylene-2 methyl-6-p-dimethyl aminostyryl-4H-pyran), and C343 (coumarin 343) are found to be 1.5, 2.5, and 10 MUm(2)/s, respectively, which are 280, 120, and 55 times slower compared to those for the same dyes in bulk water. The magnitude of D(t) is found to vary for different vesicles. This was attributed to the difference in size and shape of the immobilized vesicles. In bulk, R6G binds completely to the vesicle and exhibits extremely slow diffusion with D(t) = 0.5 +/- 0.1 MUm(2)/s (~850 and 3 times slower compared to that of R6G in bulk water and within the immobilized vesicle). This is attributed to very slow overall diffusion of the very large size vesicles (20 MUm = 20 000 nm). Both of the dye molecules (DCM and C343) show two different diffusion coefficients for the vesicles in bulk. In this case, the small D(t) (0.5 +/- 0.1 MUm(2)/s) corresponds to the diffusion of the vesicle as a whole and the large D(t) value (300 and 550 MUm(2)/s for DCM and C343, respectively) corresponds to the free dye molecules in bulk water. PMID- 21053965 TI - An optofluidic nanoplasmonic biosensor for direct detection of live viruses from biological media. AB - Fast and sensitive virus detection techniques, which can be rapidly deployed at multiple sites, are essential to prevent and control future epidemics and bioterrorism threats. In this Letter, we demonstrate a label-free optofluidic nanoplasmonic sensor that can directly detect intact viruses from biological media at clinically relevant concentrations with little to no sample preparation. Our sensing platform is based on an extraordinary light transmission effect in plasmonic nanoholes and utilizes group-specific antibodies for highly divergent strains of rapidly evolving viruses. So far, the questions remain for the possible limitations of this technique for virus detection, as the penetration depths of the surface plasmon polaritons are comparable to the dimensions of the pathogens. Here, we demonstrate detection and recognition of small enveloped RNA viruses (vesicular stomatitis virus and pseudotyped Ebola) as well as large enveloped DNA viruses (vaccinia virus) within a dynamic range spanning 3 orders of magnitude. Our platform, by enabling high signal to noise measurements without any mechanical or optical isolation, opens up opportunities for detection of a broad range of pathogens in typical biology laboratory settings. PMID- 21053967 TI - Influencing particle size and stability of ionic dendrimer--dye assemblies. AB - This article focuses on the physical chemical aspects of the formation of supramolecular nanoparticles with defined size and varying shape through electrostatic self-assembly of macroions and multivalent aromatic counterions. For cationic poly(amidoamine) dendrimers and different di- and trivalent sulfonate groups carrying azo dyes, the onset of interdendrimer connection and assembly size (hydrodynamic radius 20 nm < R(h) < 150 nm) depend on counterion/macroion loading ratio. Centrifugation and dialysis experiments show assemblies coexisting with individual dye-loaded dendrimers with lower dye/dendrimer ratio at small loading ratio, while around charge stoichiometry only assemblies are present. Zeta-potential measurements reveal a positive charge for samples with excess dendrimer. For excess dye, overloading to negatively charged assemblies is possible for some dyes, which is consistent with concentration-dependent stability revealing a second mode of more loosely bound dye ions. Kinetic versus thermodynamic effects are discussed based on varying the preparation route. The interaction enthalpy is an important factor in determining assembly size. Solution structures are characterized by static and dynamic light scattering, while atomic force microscopy showed that assemblies can also be deposited on surfaces. PMID- 21053968 TI - Ionic liquids and dense carbon dioxide: a beneficial biphasic system for catalysis. PMID- 21053969 TI - Cu-catalyzed efficient synthetic methodology for ebselen and related Se-N heterocycles. AB - An efficient copper-catalyzed method for the synthesis of biologically important ebselen and related analogues containing a Se-N bond has been developed. This is the first report of a catalytic process of selenation and Se-N bond formation reaction. Copper-catalyzed reaction tolerates functional groups such as amides, hydroxyls, ethers, nitro, fluorides, and chlorides. The best results are obtained by using a combination of potassium carbonate as a base, iodo- or bromo-arylamide substrates, selenium powder, and copper iodide catalyst. PMID- 21053970 TI - Homogeneous esterification of xylan-rich hemicelluloses with maleic anhydride in ionic liquid. AB - Generation of bioenergy, new functional polymers, or chemicals and biomaterials from hemicelluloses are important uses for biomass. In this paper, a novel functional biopolymer with carbon-carbon double bond and carboxyl groups was prepared by a homogeneous esterification of xylan-rich hemicelluloses (XH) with maleic anhydride in 1-butyl-3-methylimidazolium chloride ([BMIM]Cl) ionic liquid using LiOH as catalyst. The biopolymers with degrees of substitution (DS) between 0.095 and 0.75 were accessible in a completely homogeneous system by changing reaction temperature, reaction time, the dosage of catalyst, and the molar ratio of maleic anhydride to anhydroxylose unit in XH. Results obtained from FT-IR and (13)C NMR spectroscopies confirmed the structure of hemicellulosic derivatives with carbon-carbon double bond and carboxyl groups, implying an efficient method to prepare a novel and important functional biopolymer for biomaterials. PMID- 21053971 TI - Proton-coupled electron transfer in cytochrome oxidase. PMID- 21053972 TI - Using sludge fermentation liquid to improve wastewater short-cut nitrification denitrification and denitrifying phosphorus removal via nitrite. AB - Wastewater biological nutrient removal (BNR) by short-cut nitrification denitrification (SCND) and denitrifying phosphorus removal via nitrite (DPRN) has several advantages, such as organic carbon source saving. In this paper, a new method, i.e., by using waste activated sludge alkaline fermentation liquid as BNR carbon source, for simultaneously improving SCND and DPRN was reported. First, the performance of SCND and DPRN with sludge fermentation liquid as carbon source was compared with acetic acid, which was commonly used in literatures. Sludge fermentation liquid showed much higher nitrite accumulation during aerobic nitrification than acetic acid (81.8% versus 40.9%), and the former had significant anoxic denitrification and phosphorus uptake. The soluble phosphorus and total nitrogen removal efficiencies with sludge fermentation liquid were much higher than with acetic acid (97.6% against 73.4% and 98.7% versus 79.2%). Then the mechanisms for sludge fermentation liquid showed higher SCND and DPRN than acetic acid were investigated from the aspects of wastewater composition, microorganisms assayed by 16S rRNA gene clone library, and fluorescence in situ hybridization. More NO(2)(-)-N accumulated by the use of sludge fermentation liquid was attributed to be more humic acids in the influent, which inhibited nitrite oxidizing bacteria (NOB) more serious than ammonia oxidizing bacteria (AOB), and more AOB but less NOB were observed in the BNR system. The reasons for sludge fermentation liquid BNR system exhibiting greater short-cut denitrifying phosphorus removal were that there were less glycogen accumulating organisms and more phosphorus accumulating organisms and anoxic denitrifying phosphorus removal bacteria with higher nitrite reductase activity. PMID- 21053973 TI - Cellular uptake and nanoscale localization of gold nanoparticles in cancer using label-free confocal Raman microscopy. AB - This work demonstrates the use of confocal Raman microscopy (CRM) to measure the dynamics of cellular uptake and localization of gold nanoparticles (GNP) with nanoscale resolution. This is important as nanoparticle cellular interactions are increasingly under investigation to support applications as diverse as drug delivery, gene transfection and a variety of heat and radiation based therapeutics. At the heart of these applications is a need to know the dynamics of nanoparticle cellular uptake and localization (i.e., cell membrane, cytoplasm or nucleus). This process can change dramatically based on size, charge, shape and ligand attached to the nanoparticle. While electron microscopy, atomic emission spectroscopy and histology can be used to assess cellular uptake, they are labor intensive and post-mortem and can miss critical dynamics of the process. For this reason investigators are increasingly turning to optically active nanoparticles that allow direct microscopic interrogation of uptake. Here we show that CRM adds to this evolving armamentarium as a fast, noninvasive, and label-free technique to dynamically study cellular uptake of GNPs with subcellular detail in cancer. Raman laser interaction with GNPs inside cells shows unique spectroscopic features corresponding to the intracellular localization of GNPs over 2 to 24 h at the membrane, cytoplasm or nucleus that are separately verified by histology (silver staining) and electron microscopy. These results show that CRM has the potential to facilitate high-throughput study of the dynamics and localization of a variety of GNPs in multiple cell types. PMID- 21053974 TI - Interplay between structure and fluidity of model lipid membranes under oxidative attack. AB - A proper regulation of membrane fluidity is critical for cellular activities such as communication between cells, mitosis, and endocytosis. Unsaturated lipids, a main component of biological membranes, are particularly susceptible to oxidative attack of reactive oxygen species. The oxidation of lipids can produce structural derangement of membranes and eventually alter the membrane fluidity. We have applied fluorescence correlation spectroscopy (FCS) and Raman spectroscopy to investigate the fluidity and structure of model membranes subject to oxidative attack. Hydrogen peroxide has little effect on the lateral fluidity of membranes, whereas hydroxyl radical causes a significantly increased fluidity. The latter is rationalized with the cleavage of the acyl chains of lipids caused by hydroxyl radical; this interpretation is founded on the diminished intensities of lines in Raman spectra associated with -CH(2) and C?C moieties in lipids and supported by mass-spectral measurements. The same approach provides a mechanistic account of the inhibitory capability of vitamins C and E against the increased membrane fluidity resulting from an oxidative attack. Membranes with much cholesterol exhibit a novel resistance against altered membrane fluidity induced with oxidative attack; this finding has biological implications. Our approach combining FCS and Raman measurements reveals the interplay between the structure and fluidity of membranes and provides insight into the pathophysiology of cellular oxidative injury. PMID- 21053975 TI - Metal-organogermanate frameworks built by two kinds of infinite Ge-O chains with high thermostability and luminescent properties. AB - A bifunctional metalloligand with metal-C (Ge-C) bonds, bis(carboxyethylgermanium) sesquioxide (H(2)E(2)Ge(2)O(3), where E = CH(2)CH(2)COO(-)), has been used to make metal-organometallic frameworks (MOMFs) Cd(1.5)(E(3)Ge(3)O(5)) (1) and Pb(E(2)Ge(2)O(3)) (2). In the structures, the inorganic Ge(2)O(3) cores of the metalloligands polymerize to form two kinds of infinite Ge-O chains, and the organic carboxyls induce the Cd and Pb ions to produce Cd-O and Pb-O chains. Further, the Ge-O chains link the Cd-O/Pb-O chains via -CH(2)CH(2)- groups to result in two novel MOMFs, showing high thermostability and blue-violet emission. PMID- 21053976 TI - Application of tetrazole-functionalized thioethers with different spacer lengths in the self-assembly of polyoxometalate-based hybrid compounds. AB - Three metal-organic networks based on Keggin-type polyoxometalates (POMs) have been hydrothermally synthesized by tuning the spacer lengths of bis(tetrazole) functionalized thioether ligands and structurally characterized: [Cu(4)(bmtm)(4)][SiW(12)O(40)].2H(2)O (1), [Cu(4)(bmte)(3.5)][SiW(12)O(40)] (2), and [Cu(4)(bmtp)(4)][SiW(12)O(40)] (3) [bmtm = 1,1'-bis(1-methyl-5-mercapto 1,2,3,4-tetrazole)methane, bmte = 1,2-bis(1-methyl-5-mercapto-1,2,3,4 tetrazole)ethane, and bmtp = 1,5-bis(1-methyl-5-mercapto-1,2,3,4 tetrazole)pentane]. The spacer lengths and sulfhydryl of bis(tetrazole) functionalized thioether ligands play important roles in the final framework formation, as shown by X-ray diffraction analysis. In compound 1, with the connection of a N,S bridge of bmtm, two kinds of binuclear Cu(I) units are formed and linked to construct a one-dimensional (1D) chain. The [SiW(12)O(40)](4-) (SiW(12)) cluster provides four terminal O atoms linking four binuclear units to generate a two-dimensional layer with (8(3))(2)(8(5).10) topology. In compound 2, centrosymmetric octameric moieties composed of two equivalent tetrameric Cu(I) units are bridged by bmte ligands to form a 1D chain. The SiW(12) clusters show an unusual (2,8)-connected mode to connect with the 1D chain and construct a four connected three-dimensional (3D) network with 5(3).6(2).7 topology. Compound 3 exhibits a rare 3D host framework with a type of large cavity and two types of small windows. The SiW(12) clusters as templates are strongly cemented into the large cavities and completely encircled by small windows. Furthermore, the compound 2 bulk-modified carbon-paste electrode (2-CPE) displays good electrocatalytic activity toward the reduction of nitrite. PMID- 21053978 TI - A vicarious one-pot transformation of a fluorocyclitol to a polycyclitol: observation of a formal 4-fold axial-equatorial epimerization on a conformationally locked scaffold. AB - A conformationally locked fluoropentol undergoes an interesting transformation to (trans,anti,trans,anti,trans)-perhydro-2,3,4a,6,7,8a-naphthalenehexol essentially under conditions of base-induced transesterification. The proposed rationale for the observed metamorphosis involves a nucleophilic displacement of fluoride, and subsequent stereo- and regioselective anti-Furst-Plattner-type ring-opening of the epoxide thus formed. PMID- 21053979 TI - Singlet fission. PMID- 21053980 TI - Poole-Frenkel effect and phonon-assisted tunneling in GaAs nanowires. AB - We present electronic transport measurements of GaAs nanowires grown by catalyst free metal-organic chemical vapor deposition. Despite the nanowires being doped with a relatively high concentration of substitutional impurities, we find them inordinately resistive. By measuring sufficiently high aspect ratio nanowires individually in situ, we decouple the role of the contacts and show that this semi-insulating electrical behavior is the result of trap-mediated carrier transport. We observe Poole-Frenkel transport that crosses over to phonon assisted tunneling at higher fields, with a tunneling time found to depend predominantly on fundamental physical constants as predicted by theory. By using in situ electron beam irradiation of individual nanowires, we probe the nanowire electronic transport when free carriers are made available, thus revealing the nature of the contacts. PMID- 21053981 TI - Isomerization of allyl ethers initiated by lithium diisopropylamide. AB - Lithium diisopropylamide (LDA) promotes virtually quantitative conversion of allylic ethers to (Z)-propenyl ethers. It was discovered that allylic ethers can be isomerized efficiently with very high stereoselectivity to (Z)-propenyl ethers by LDA in THF at room temperature. The reaction time for the conversion increases with more sterically hindered allylic ethers. Different amides were also compared with LDA for their ability to effect this isomerization. PMID- 21053982 TI - Active surfaces for CO oxidation on palladium in the hyperactive state. AB - Hyperactivity was previously observed for CO oxidation over palladium, rhodium, and platinum surfaces under oxygen-rich conditions, characterized by reaction rates 2-3 orders higher than those observed under stoichiometric reaction conditions [Chen et al. Surf. Sci. 2007, 601, 5326]. In the present study, the formation of large amounts of CO(2) and the depletion of CO at the hyperactive state on both Pd(100) and polycrystalline Pd foil were evidenced by the infrared intensities of the gas phase CO(2) and CO, respectively. The active surfaces at the hyperactive state for palladium were characterized using infrared reflection absorption spectroscopy (IRAS, 450-4000 cm(-1)) under the realistic catalytic reaction condition. Palladium oxide on a Pd(100) surface was reduced eventually by CO at 450 K, and also under CO oxidation conditions at 450 K. In situ IRAS combined with isotopic (18)O(2) revealed that the active surfaces for CO oxidation on Pd(100) and Pd foil are not a palladium oxide at the hyperactive state and under oxygen-rich reaction conditions. The results demonstrate that a chemisorbed oxygen-rich surface of Pd is the active surface corresponding to the hyperactivity for CO oxidation on Pd. In the hyperactive region, the CO(2) formation rate is limited by the mass transfer of CO to the surface. PMID- 21053983 TI - Revisiting the synthesis of a well-known comb-graft copolymer stabilizer and its application to the dispersion polymerization of poly(methyl methacrylate) in organic media. AB - Polymeric stabilizers are an essential ingredient for the dispersion polymerization of poly(methyl methacrylate) (PMMA) in nonpolar media. In this contribution, we focus on the synthesis of an amphipathic copolymer consisting of pendant poly(12-hydroxystearic acid) (PHS) chains grafted to an insoluble PMMA backbone. This type of steric stabilizer is well established and capable of producing spherically shaped, monodisperse PMMA colloids. Unfortunately, the comb graft copolymer is not available commercially; furthermore, the multistep synthesis of the desired stabilizer has proven challenging to reproduce. We discuss the practical matter of preparing PHS-graft-PMMA, and report specific techniques developed over several years in our lab. Gel permeation chromatography, mass spectroscopy, and end group analysis of the stabilizer and the precursor macromonomer reveal important, previously unreported details about the chemical synthesis. Our protocol is reproducible and resulted in the production of low polydispersity PMMA particles. PMID- 21053984 TI - Structural characterization of a spin-assisted colloid-polyelectrolyte assembly: stratified multilayer thin films. AB - The assembly of polyelectrolytes and gold nanoparticles yields stratified multilayers with very low roughness and high structural perfection. The films are prepared by spin-assisted layer-by-layer self-assembly (LbL) and are characterized by X-ray reflectivity (XRR), UV-vis spectroscopy, atomic force microscopy (AFM), and transmission electron microscopy (TEM). Typical structures have four repeat units, each of which consists of eight double layers (DL) of poly(sodium 4-styrenesulfonate)/poly(allylamine hydrochloride), one monolayer of gold nanoparticles (10 nm diameter), and another layer of poly(allylamine hydrochloride). XRR scans show small-angle Bragg peaks up to seventh order, evidencing the highly stratified structure. Pronounced Kiessig fringes indicate a low global roughness, which is confirmed by local AFM measurements. TEM images corroborate the layered structure in the growth direction and nicely show the distinct separation of the individual particle layers. An AFM study reveals the lateral gold particle distribution within one individual particle layer. Interestingly, the spin-assisted deposition of polyelectrolytes reduces the roughness induced by the particle layers, leading to self-healing of roughness defects and a rather perfect stratification. PMID- 21053985 TI - Aggregation behavior of Brij-35/perfluorononanoic acid mixtures. AB - The mixed system of a nonionic hydrocarbon surfactant, polyoxyethylene (23) lauryl ether (Brij-35), and a perfluorinated surfactant, perfluorononanoic acid, was investigated by a combination of methods. The critical micelle concentrations (cmc's) have been determined over a wide range of sample compositions by fluorescence and UV-visible spectrophotometry using pyrene and N-(4-nitrophenyl) perfluorononanamide, respectively, as molecular probes. The values of the cmc's obtained were considerably different with the two techniques employed. Measurements of the (19)F nuclear magnetic resonance chemical shift of the same mixtures showed two breaks in the plots of Deltadelta(f) versus molar fraction of the perfluorinated surfactant. Conductivity and surface tension measurements also showed two breaks. The behavior is attributed to the formation of mixed micelles that change their composition when the fraction of the fluorinated compound increases and some segregation of the fluorinated compound takes place at a high total surfactant concentration. PMID- 21053986 TI - Size-controlled fabrication of supramolecular vesicles for the construction of conjugated polymer sensors with enhanced optical properties. AB - Polymerizable supramolecular monomer vesicles are readily fabricated by employing a hydrodynamic focusing method on a microfluidic chip. The polymerized diacetylenene nanovesicles, generated using the microfluidic method, display an improved fluorescence property compared to those prepared by employing a conventional bulk method. The flexibility of the vesicle size control by manipulating the flow conditions is another significant feature of the new microfluidic approach. PMID- 21053987 TI - Diagnosis and management of autoimmune myasthenia gravis. AB - Earlier diagnosis and the availability of effective treatments have reduced the burden of high mortality and severe disability previously associated with myasthenia gravis (MG). Consequently, the prognosis of MG is now much improved. However, despite extensive knowledge of MG and its aetiology, diagnosing the disease remains problematic and can be delayed because of its nonspecific and fluctuating symptoms, and the management of MG is associated with considerable limitations. Current treatments based on immunomodulation are associated with adverse effects arising from prolonged immune suppression. There is a need for improved awareness among primary caregivers about this relatively rare, but treatable, disease. PMID- 21053988 TI - Pirlindole in the treatment of depression: a meta-analysis. AB - BACKGROUND: Depressive disorders are common health problems. Both preclinical and clinical studies have shown that pirlindole, a tetracyclic compound, is suitable for the management of depression; however, a systematic review is needed to accurately select randomized controlled trials (RCTs) for a meta-analysis that will provide more consistent and accurate results regarding the efficacy and tolerability of the drug. OBJECTIVES: To evaluate the efficacy and frequency of adverse events with pirlindole in comparison with active comparators (monoamine oxidase inhibitors [MAOIs], tricyclic antidepressants, tetracyclic antidepressants, and selective serotonin reuptake inhibitors [SSRIs]) for the treatment of major depression. METHODS: Data were searched through MEDLINE (via PubMed), EMBASE, the Cochrane Central Register of Controlled Trials and a manual search through the sponsor's available archives (1966 to 30 August 2010). The meta-analysis was performed using the Mantel-Haenszel technique and analysing data through Comprehensive Meta-Analysis software version 1.0.23. Studies were included if they were RCTs evaluating the efficacy and number of reported adverse events with pirlindole in comparison with active comparators for the treatment of major depression in adults. Placebo-controlled trials were excluded to minimize study heterogeneity. RESULTS: This systematic review included ten published articles and one non-published report corresponding to a total of 13 clinical trials in the adult population. Two RCTs were excluded from the meta-analysis because the comparator was placebo. Two more studies were excluded, one because randomization could not be confirmed and the other because it described follow-up data on patients from a study that had already been included in the meta analysis. Therefore, only nine RCTs were included in the meta-analysis. No differences were found between pirlindole and its active comparators with regard to the percentage of patients whose clinical condition improved by 50% according to the Hamilton Depression Rating Scale (HDRS) [odds ratio (OR) 1.52; 95% confidence interval [CI] 0.92, 2.51; p = 0.11] and Hamilton Anxiety Rating Scale (HARS) [OR 1.15; 95% CI 0.69, 1.90; p = 0.59]. With regard to the improvements in HDRS and HARS, the results were favourable for patients treated with pirlindole (depression: absolute value 0.18; 95% CI -0.01, 0.37; p = 0.06; anxiety: absolute value 0.26; 95% CI 0.03, 0.48; p = 0.03). CONCLUSION: This systematic review and meta-analysis showed that all RCTs included reported efficacy outcomes for pirlindole comparable to those of its comparators, and that pirlindole was significantly better in terms of reducing anxiety symptoms. However, the analysis of these results should take into account the quality of the original included articles, which had a mean Jadad trial quality score of 3.7 (out of 5). Therefore, further clinical trials should be conducted to evaluate the benefits of pirlindole. PMID- 21053990 TI - Effect of intrinsic and extrinsic factors on the clinical pharmacokinetics and pharmacodynamics of prasugrel. AB - Thienopyridines are inactive prodrugs that are converted in vivo to active metabolites, which irreversibly bind to and inactivate platelet P2Y(12) receptors, and inhibit platelet activation and aggregation. Prasugrel is a third generation thienopyridine, recently approved for prevention of thrombotic cardiovascular complications in patients with an acute coronary syndrome undergoing percutaneous coronary intervention. Prasugrel is converted to its active metabolite (Pras-AM; compound R-138727) in two sequential steps: (i) rapid and complete hydrolysis by intestinal human carboxylesterase-2 to form a thiolactone intermediate; and (ii) oxidation of the thiolactone by cytochrome P450 (CYP) enzymes in the gut and/or the liver. CYP3A and CYP2B6 are the primary CYPs contributing to Pras-AM formation, with smaller contributions from CYP2C9 and CYP2C19. Prasugrel is rapidly absorbed and metabolized, with Pras-AM plasma concentrations peaking at about 0.5 hours after oral administration; this helps to account for the rapid onset of inhibition of platelet aggregation (IPA) achieved by prasugrel. In the clinical pharmacology programme for prasugrel, bodyweight had the greatest effect of all covariates that were tested. In the phase III TRITON-TIMI 38 trial, the mean exposure to Pras-AM was 42% greater in patients weighing < 60 kg than in patients with the study population median bodyweight of 85 kg. In a pharmacodynamic meta-analysis of data from healthy subjects a decrease of 1 kg in bodyweight was associated with an increase in IPA of approximately 0.26 percentage points (p < 0.0001). Pras-AM exposure was greater in subjects aged >= 75 years, but exposure differences were not as large as those for bodyweight. Pras-AM exposure was greater in Asians than in Caucasians, but this appeared to result from a disproportionately greater exposure difference in Asian subjects with low bodyweight. Sex and allelic variation in CYPs 1A2, 2B6, 2C19, 2C9, 3A4 and 3A5 appeared to have no clinically relevant effect on Pras-AM exposure or IPA. Consistent with the lack of association between genetic status and these pharmacokinetic and pharmacodynamic results in healthy subjects, no significant association was detected between these allelic variants and the composite primary endpoint (cardiovascular death, non-fatal myocardial infarction or non-fatal stroke) in the TRITON-TIMI 38 trial. Studies in renally impaired subjects and subjects with mild or moderate hepatic impairment have indicated that dose adjustment is not required in these patient populations. Prasugrel has few clinically significant drug-drug interactions. Potent CYP3A inhibitors, gastric acid suppressants and food have been shown to reduce the rate of formation of Pras-AM but not its overall exposure. This pharmacokinetic effect reduced the rate of onset of IPA after a loading dose but did not affect the peak IPA after a loading dose or the IPA during maintenance dosing. Potent induction of CYP3A, as well as smoking--which induces CYP1A2--did not affect Pras-AM exposure or IPA. Prior treatment with clopidogrel did not influence tolerability to prasugrel and did not appear to alter IPA during prasugrel treatment. Prasugrel did not affect the activities of CYP2C9, CYP2C19 or P-glycoprotein, but it weakly inhibited CYP2B6. The inhibition of CYP2B6 is potentially clinically significant only for drugs that have a narrow therapeutic window and have CYP2B6 as the primary elimination pathway. No interaction was detected between prasugrel and heparin. Although prasugrel did not alter warfarin pharmacokinetics, prasugrel and warfarin should not be used together, because of an increased bleeding risk associated with their concomitant use. PMID- 21053991 TI - A review of the clinical pharmacokinetics and pharmacodynamics of varenicline for smoking cessation. AB - Varenicline tartrate (Chantix(r)/Champix(r)) is a selective partial agonist of the alpha(4)beta(2) nicotinic acetylcholine receptor and is approved as an aid to smoking cessation. The usual oral dosage in adults is 1 mg twice daily for 12 weeks, with an initial titration week. Several clinical pharmacology studies have characterized the pharmacokinetics of varenicline in adult smokers aged 18-55 years, elderly smokers and nonsmokers aged >= 65 years, adolescent smokers aged 12-17 years and subjects with impaired renal function. Varenicline exhibits linear pharmacokinetics following single- and multiple-dose administration of up to 3 mg/day. After oral administration absorption is virtually complete and systemic availability is high. Oral bioavailability is not affected by food or time-of-day dosing; maximum plasma drug concentrations typically occur within 3-4 hours after dosing. Protein binding of varenicline is low (<= 20%) and independent of age and renal function. Varenicline is almost exclusively excreted unchanged in urine, primarily through glomerular filtration, with some component of active tubular secretion via human organic cation transporter, hOCT-2. Varenicline does not undergo significant metabolism and is not metabolized by hepatic microsomal cytochrome P450 (CYP) enzymes. Consistent with an elimination half-life of ~24 hours, steady-state conditions are reached within 4 days of repeat dosing. There are no remarkable differences between smokers and nonsmokers in metabolism or excretion of varenicline. In vitro, varenicline does not inhibit nor induce the activity of the major CYP enzymes. No clinically meaningful pharmacokinetic drug interactions are observed when varenicline is coadministered with the narrow therapeutic index drugs warfarin or digoxin, the smoking cessation therapies bupropion or transdermal nicotine, and the renally secreted drugs cimetidine or metformin. An integrated model-based analysis of varenicline pharmacokinetics across several studies in adult smokers further showed that renal function was the clinically important factor leading to interindividual variability in systemic exposure to varenicline. Although no dose adjustment is required for subjects with mild to moderate renal impairment, a dose reduction to 1 mg/day is indicated for subjects with severe renal insufficiency. After accounting for renal function, there was no apparent effect of age, sex or race on varenicline pharmacokinetics. Varenicline pharmacokinetics in adolescents were generally comparable to those in adults; the bodyweight effect, which resulted in greater exposure in individuals of smaller body size (weighing <= 55 kg), was adequately offset by administration of half the dose recommended in adults. (It is, however, important to note that varenicline is currently not approved for use in smokers aged under 18 years). Exposure-response analyses relating individual specific drug exposure to clinical responses consistently showed that the end-of treatment abstinence rate in adult smokers increased linearly with increasing varenicline exposure; the 1 mg twice-daily dose regimen was reliably associated with greater exposure and an increased probability of achieving a stable quit within 1 year from the start of treatment. Nausea was the single most frequently reported adverse event in varenicline clinical trials, with an incidence that was sex-related and increased with varenicline exposure. In all, the predictable pharmacokinetic properties and straightforward dispositional profile of varenicline simplify its use in clinical practice. PMID- 21053992 TI - Pharmacokinetics and pharmacodynamics of single rising intravenous doses (0.5 mg 10 mg) and determination of absolute bioavailability of the dipeptidyl peptidase 4 inhibitor linagliptin (BI 1356) in healthy male subjects. AB - BACKGROUND AND OBJECTIVES: Linagliptin (BI 1356) is a highly specific inhibitor of dipeptidyl peptidase (DPP)-4, which is currently in phase III clinical development for the treatment of type 2 diabetes mellitus. Linagliptin exhibits nonlinear pharmacokinetics after oral administration, which are mainly related to concentration-dependent binding of linagliptin to its target, DPP-4. The objectives of the study were to investigate the pharmacokinetics and pharmacodynamics after intravenous administration of linagliptin and to determine its absolute bioavailability (F). SUBJECTS AND METHODS: This was a single rising dose, randomized, four-group, placebo-controlled, single-blind (within dose groups) study. Thirty-six healthy men aged 18-50 years were enrolled and randomized into four sequential treatment groups. Group 1 received linagliptin 0.5 mg intravenously, group 2 received 2.5 mg intravenously and group 4 received 10 mg intravenously. In group 3, subjects underwent a two-way randomized crossover, receiving 5 mg intravenously and a 10 mg oral tablet. Linagliptin concentrations in plasma and urine, as well as plasma DPP-4 activity, were determined by validated assays. Noncompartmental analysis and population pharmacokinetic modelling were performed. RESULTS: Linagliptin showed nonlinear pharmacokinetics after intravenous infusion of 0.5-10 mg, with a less than dose proportional increase in exposure. Noncompartmental parameters were calculated on the basis of total (i.e. bound and unbound) plasma concentrations. The total clearance value was low and increased with dose from 2.51 to 14.3 L/h. The apparent steady-state volume of distribution (V(ss)) increased with dose from 380 to 1540 L. Renal excretion of the unchanged parent compound increased with increasing plasma concentrations from 2.72% in the 0.5 mg dose group to 23.0% in the 10 mg dose group. The terminal elimination half-life was comparable across dose groups (126-139 hours). Because of the nonlinear pharmacokinetics, the standard approach of comparing the area under the plasma concentration-time curve (AUC) after oral administration with the AUC after intravenous administration led to dose-dependent estimates of the absolute bioavailability. Therefore, a population pharmacokinetic model was developed, accounting for the concentration dependent protein binding of linagliptin to its target enzyme, DPP-4. The model derived estimates of the V(ss) and clearance of linagliptin not bound to DPP-4 were 402.2 L and 26.9 L/h, respectively. The absolute bioavailability was estimated to be about 30% for the linagliptin 10 mg tablet. CONCLUSION: The nonlinear pharmacokinetic characteristics and the pharmacokinetic/pharmacodynamic relationship of linagliptin were independent of the mode of administration (intravenous or oral). Because of the nonlinear pharmacokinetics, the standard approach of comparing the AUC after oral administration with the AUC after intravenous administration was inappropriate to determine the absolute bioavailability of linagliptin. By a modelling approach, the absolute bioavailability of the 10 mg linagliptin tablet was estimated to be about 30%. PMID- 21053993 TI - Exploiting the therapeutic potential of microRNAs in viral diseases: expectations and limitations. AB - New therapeutic approaches are urgently needed for serious diseases, including cancer, cardiovascular diseases, viral infections, and others. A recent direction in drug development is the utilization of nucleic acid-based therapeutic molecules, such as antisense oligonucleotides, ribozymes, short interfering RNA (siRNA), and microRNA (miRNA). miRNAs are endogenous, short, non-coding RNA molecules. Some viruses encode their own miRNAs, which play pivotal roles in viral replication and immune evasion strategies. Conversely, viruses that do not encode miRNAs may manipulate host cell miRNAs for the benefits of their replication. miRNAs have therefore become attractive tools for the study of viral pathogenesis. Lately, novel therapeutic strategies based on miRNA technology for the treatment of viral diseases have been progressing rapidly. Although this new generation of molecular therapy is promising, there are still several challenges to face, such as targeting delivery to specific tissues, avoiding off-target effects of miRNAs, reducing the toxicity of the drugs, and overcoming mutations and drug resistance. In this article, we review the current knowledge of the role and therapeutic potential of miRNAs in viral diseases, and discuss the limitations of these therapies, as well as strategies to overcome them to provide safe and effective clinical applications of these new therapeutics. PMID- 21053994 TI - Molecular diagnostic assays for detection of viral respiratory pathogens in institutional outbreaks. AB - Outbreaks of viral respiratory disease in institutions may be associated with high morbidity and mortality, depending upon the viral etiology and the age and immune status of the affected patients. Control of outbreaks may include isolation and/or cohorting, and prophylaxis or treatment with specific antiviral agents may be indicated, all dependent upon the specific cause of the outbreak. Conventional methods of viral diagnosis detect only a limited number of the viruses that are known to cause outbreaks. The availability of sensitive and specific molecular assays has facilitated rapid diagnosis of a wider range of viruses from respiratory outbreaks. Molecular methods have distinct advantages over conventional methods, including the ability to rapidly develop assays for emerging viruses and new variants of existing viruses. In addition, molecular testing allows rapid detection of resistance to antiviral agents or mutations leading to increased virulence. However, high-throughput molecular testing requires batch processes that may compromise the ability to respond quickly to urgent testing demands. PMID- 21053995 TI - Non-malignant drivers of elevated C-reactive protein levels differ in patients with and without a history of cancer. AB - PURPOSE: Elevations in C-reactive protein (CRP) levels predict metastasis and mortality in a number of malignancies. However, the impact of non-malignant factors on CRP levels in patients with cancer remains unknown. To address this issue, we conducted an investigation of the National Social Life, Health, and Aging Project (NSHAP) cohort. METHODS: NSHAP participants with a history of malignancy were included. The 222-participant cohort was subdivided by CRP levels into low-risk (CRP <3 mg/L) and high-risk (CRP >=3 mg/L) groups. Univariate and multivariate binary logistic regression analyses examined the impact of variables spanning social factors, demographic characteristics, and past medical history on high-risk CRP levels. RESULTS: Of the cohort, 42.3% exhibited high-risk CRP levels. These participants were more likely to be unmarried (p = 0.013), to be a racial/ethnic minority (p = 0.012), to not use HMG-CoA reductase inhibitor (statin) medications (p = 0.032), and to be obese (p = 0.002). On multivariate logistic regression analysis, these variables were also significant predictors of high-risk CRP levels. For example, compared with participants who had a normal body mass index (BMI), obese participants were nearly 5 times more likely (odds ratio 5.725; 95% CI 1.848, 12.079; p = 0.001) to exhibit high-risk CRP levels. CONCLUSIONS: CRP remains an important prognostic biomarker in the management of known malignancies. However, patients with a known history of cancer can also exhibit elevated CRP levels due to non-malignant factors such as race and ethnicity, statin use, marital status, and BMI. Consequently, further studies are needed to assess the predictive potential of CRP levels for cancer prognostication in the face of these social and biologic variables before use of this biomarker is widely adopted in clinical practice. PMID- 21053996 TI - Performance of NucliSens HIV-1 EasyQ Version 2.0 compared with six commercially available quantitative nucleic acid assays for detection of HIV-1 in China. AB - BACKGROUND AND OBJECTIVES: Six HIV-1 viral load assays have been widely used in China. These include the Cobas Amplicor HIV-1 Monitor Version 1.5 ('Amplicor'), Cobas AmpliPrep/Cobas TaqMan HIV-1 test Version 1.0 ('CAP/CTM'), Versant HIV-1 RNA Version 3.0 (branched DNA [bDNA]-based assay; 'Versant bDNA'), Abbott RealTime HIV-1 assay ('Abbott RealTime'), NucliSens HIV-1 QT (nucleic acid sequence-based amplification assay; 'NucliSens NASBA'), and NucliSens EasyQ HIV-1 Version 1.1 ('EasyQ V1.1'). Recently, an updated version of EasyQ V1.1, NucliSens EasyQ HIV-1 Version 2.0 ('EasyQ V2.0') was introduced into China. It is important to evaluate the impact of HIV-1 genotypes on the updated assay compared with the other commercial available assays in China. METHODS: A total of 175 plasma samples with different HIV-1 clades prevalent in China were collected from treatment-naive patients. The viral loads of those samples were determined with the seven HIV-1 viral load assays, and the quantitative differences between them were evaluated. RESULTS: Overall, EasyQ V2.0 exhibited a significant correlation (R = 0.769-0.850, p <= 0.001) and high agreement (94.77-97.13%, using the Bland Altman model) with the other six assays. Although no significant differences between EasyQ V2.0 and the other six assays were observed when quantifying clade B' samples, there were statistically significant differences between EasyQ V2.0 and the Amplicor, Versant bDNA, and Abbott RealTime assays when quantifying clade BC samples, and between EasyQ V2.0 and the Versant bDNA and Abbott RealTime assays when quantifying clade AE samples. For clade BC samples, the quantitative differences between EasyQ V2.0 and the Amplicor, Versant bDNA, and Abbott RealTime assays exceeded 0.5 log(10) IU/mL in approximately 50% of samples and exceeded 1 log(10) IU/mL in approximately 15% of samples. For clade AE samples, the quantitative differences between EasyQ V2.0 and the CAP/CTM, Versant bDNA, and Abbott RealTime assays exceeded 0.5 log(10) IU/mL in approximately 50% of samples, and the differences between EasyQ V2.0 and CAP/CTM exceeded 1 log(10) IU/mL in approximately 15% of samples. CONCLUSION: Genotypes may affect the quantification of HIV-1 RNA, especially in clade BC samples with respect to EasyQ V2.0 and the Amplicor, Versant bDNA, or Abbott RealTime assays, and in clade AE samples with respect to EasyQ V2.0 and the Versant bDNA or Abbott RealTime assays. It is therefore strongly suggested that, where possible, the HIV-1 viral load in infected patients be quantified at follow-up by the same version of the same assay that was used initially. PMID- 21053997 TI - Predictive value of the lymphocyte toxicity assay in the diagnosis of drug hypersensitivity syndrome. AB - BACKGROUND: Drug hypersensitivity syndrome (DHS) is a rare but potentially fatal adverse drug reaction that develops in susceptible patients following exposure to certain drugs. Because of the variable clinical picture of DHS and its resemblance to other diseases, the diagnosis of DHS is challenging. The lymphocyte toxicity assay (LTA) is an in vitro test that has been used in the diagnosis of DHS. However, its predictive values are still controversial because of the lack of a 'gold standard' test to measure it against. OBJECTIVES: To determine the sensitivity, specificity, negative predictive value (NPV), and positive predictive value (PPV) of the LTA in the diagnosis of DHS due to different classes of drugs, based on systemic re-exposure as a gold standard, and to evaluate the current clinical utility of the LTA in clinical practice. METHODS: Potential participants were identified from their medical records and contacted to obtain their consent to participate in the study. One hundred forty seven patients were recruited and interviewed by telephone to identify events of re-exposure and their consequences. These data were used to determine true positive, false positive, true negative, and false negative results of the test, which were then used to estimate the predictive value of the test. RESULTS: We identified 26 re-exposure events in 22 patients: 4 were true positives, 17 were true negatives, 1 was a false positive, and 4 were false negatives, as determined by systemic re-exposure. Although the number of identified re-exposures limited the ability to calculate the predictive values, our data provide an estimate of the clinical value of the test for the diagnosis of DHS. The data also highlight the effect of the type of drug involved in the reaction on the predictive value of the test. CONCLUSION: The LTA is potentially a valuable diagnostic tool for DHS; however, its sensitivity, specificity, NPV, and PPV seem to vary according to the drug involved in the reaction. PMID- 21053999 TI - Communication: Rotational g-factor and spin-rotation constant of CH+. AB - The rotational g-factor and spin-rotation constants of the methylidynium ion CH(+) have been calculated for the first time with a large multiconfigurational self-consistent field wave function and at the coupled-cluster singles and doubles level augmented by a perturbative triples correction. The results for an equilibrium internuclear distance as well as for the v=0, J=1 vibration rotational state are presented. PMID- 21054000 TI - On Koopmans' theorem in density functional theory. AB - This paper clarifies why long-range corrected (LC) density functional theory gives orbital energies quantitatively. First, the highest occupied molecular orbital and the lowest unoccupied molecular orbital energies of typical molecules are compared with the minus vertical ionization potentials (IPs) and electron affinities (EAs), respectively. Consequently, only LC exchange functionals are found to give the orbital energies close to the minus IPs and EAs, while other functionals considerably underestimate them. The reproducibility of orbital energies is hardly affected by the difference in the short-range part of LC functionals. Fractional occupation calculations are then carried out to clarify the reason for the accurate orbital energies of LC functionals. As a result, only LC functionals are found to keep the orbital energies almost constant for fractional occupied orbitals. The direct orbital energy dependence on the fractional occupation is expressed by the exchange self-interaction (SI) energy through the potential derivative of the exchange functional plus the Coulomb SI energy. On the basis of this, the exchange SI energies through the potential derivatives are compared with the minus Coulomb SI energy. Consequently, these are revealed to be cancelled out only by LC functionals except for H, He, and Ne atoms. PMID- 21054001 TI - Segmented contracted basis sets for one- and two-component Dirac-Fock effective core potentials. AB - Segmented contracted basis sets for 4d, 5d, 5s, and 6s elements of split (double zeta) valence to quadruple zeta valence quality optimized for Dirac-Fock effective core potentials (ECPs) are presented. They were obtained from previous bases optimized for Wood-Boring ECPs by comparably small modifications and reoptimizations. Additionally extensions for two-component self-consistent-field treatments accounting for spin-orbit (SO) coupling were designed and optimized. Reliability for chemical applications was assessed by comparing results to those obtained with a very large (19s16p17d7f6g) reference basis for a set of more than 80 representatively chosen 5s-5d compounds. Moreover, the effect of different types of ECPs and that of the SO-coupling at the basis set limit of density functional theory is documented for the above set of molecules extended by 40 5p 6p compounds. PMID- 21054002 TI - Design of an infrared laser pulse to control the multiphoton dissociation of the Fe-CO bond in CO-heme compounds. AB - Optimal control theory is used to design a laser pulse for the multiphoton dissociation of the Fe-CO bond in the CO-heme compounds. The study uses a hexacoordinated iron-porphyrin-imidazole-CO complex in its ground electronic state as a model for CO liganded to the heme group. The potential energy and dipole moment surfaces for the interaction of the CO ligand with the heme group are calculated using density functional theory. Optimal control theory, combined with a time-dependent quantum dynamical treatment of the laser-molecule interaction, is then used to design a laser pulse capable of efficiently dissociating the CO-heme complex model. The genetic algorithm method is used within the mathematical framework of optimal control theory to perform the optimization process. This method provides good control over the parameters of the laser pulse, allowing optimized pulses with simple time and frequency structures to be designed. The dependence of photodissociation yield on the choice of initial vibrational state and of initial laser field parameters is also investigated. The current work uses a reduced dimensionality model in which only the Fe-C and C-O stretching coordinates are explicitly taken into account in the time-dependent quantum dynamical calculations. The limitations arising from this are discussed in Sec. IV. PMID- 21054003 TI - Structure of poly(ethylene glycol)-water mixture studied by polymer reference interaction site model theory. AB - In this work, the polymer reference interaction site model is applied to investigate the structure of poly(ethylene glycol) (PEG) aqueous solution with the strong hydrogen-bond interactions. In the theoretical model, the renormalized technique of electrostatic potentials is combined with our recently proposed multisite semiflexible chain model to describe the inter- and intramolecular correlations. To test the model for the description of hydrogen bonding, the intermolecular correlation functions of water, ethylene glycol (EG), and EG-water binary mixture are calculated. The results are in good agreement with the corresponding simulation or experimental data. The validated model is then employed to predict the intermolecular correlation functions of different sites of the PEG and its aqueous solution. Another priority of the model is that it can obtain the corresponding direct correlation functions directly. PMID- 21054004 TI - Simulations of high-dielectric Stockmayer fluids in hyperspherical geometry. AB - The static dielectric properties of Stockmayer fluids are investigated in the hyperspherical geometry, S(3). Different methods of obtaining the static dielectric constant epsilon(r) are compared. Tested methods include the evaluation of the Kirkwood factor, fluctuations of the total dipole moment, and a two-center potential correlation formula to obtain the dielectric constant through effective interactions. With no coupling to the "surrounding," the different methods give consistent estimates of the dielectric constant. Adding a coupling to the surrounding gives large size dependencies and the two-center potential correlation formula breaks down. For low dipole moments, there is a good agreement in the dielectric constant with previous studies. PMID- 21054005 TI - State-dependent biasing method for importance sampling in the weighted stochastic simulation algorithm. AB - The weighted stochastic simulation algorithm (wSSA) was developed by Kuwahara and Mura [J. Chem. Phys. 129, 165101 (2008)] to efficiently estimate the probabilities of rare events in discrete stochastic systems. The wSSA uses importance sampling to enhance the statistical accuracy in the estimation of the probability of the rare event. The original algorithm biases the reaction selection step with a fixed importance sampling parameter. In this paper, we introduce a novel method where the biasing parameter is state-dependent. The new method features improved accuracy, efficiency, and robustness. PMID- 21054006 TI - Computation of nucleation at a nonequilibrium first-order phase transition using a rare-event algorithm. AB - We introduce a new forward flux sampling in time algorithm to efficiently measure transition times in rare-event processes in nonequilibrium systems and apply it to study the first-order (discontinuous) kinetic transition in the Ziff-Gulari Barshad model of catalytic surface reaction. The average time for the transition to take place, as well as both the spinodal and transition points, is efficiently found by this method. PMID- 21054007 TI - Classical photodissociation dynamics with Bohr quantization. AB - The standard classical expression of the state-resolved photodissociation cross section is not consistent with an efficient Bohr quantization of product internal motions. A new and strictly equivalent expression not suffering from this drawback is proposed. This expression opens the way to more realistic classical simulations of direct polyatomic photodissociations in the quantum regime where only a few states are available to the products. PMID- 21054008 TI - The reweighted path ensemble. AB - We introduce a reweighting scheme for the path ensembles in the transition interface sampling framework. The reweighting allows for the analysis of free energy landscapes and committor projections in any collective variable space. We illustrate the reweighting scheme on a two dimensional potential with a nonlinear reaction coordinate and on a more realistic simulation of the Trp-cage folding process. We suggest that the reweighted path ensemble can be used to optimize possible nonlinear reaction coordinates. PMID- 21054009 TI - Nonlinear reaction coordinate analysis in the reweighted path ensemble. AB - We present a flexible nonlinear reaction coordinate analysis method for the transition path ensemble based on the likelihood maximization approach developed by Peters and Trout [J. Chem. Phys. 125, 054108 (2006)]. By parametrizing the reaction coordinate by a string of images in a collective variable space, we can optimize the likelihood that the string correctly models the committor data obtained from a path sampling simulation. The collective variable space with the maximum likelihood is considered to contain the best description of the reaction. The use of the reweighted path ensemble [J. Rogal et al., J. Chem. Phys. 133, 174109 (2010)] allows a complete reaction coordinate description from the initial to the final state. We illustrate the method on a z-shaped two-dimensional potential. While developed for use with path sampling, this analysis method can also be applied to regular molecular dynamics trajectories. PMID- 21054010 TI - Time-dependent density functional approach for the calculation of inelastic x-ray scattering spectra of molecules. AB - We apply time-dependent density functional theory to study the valence electron excitations of molecules and generalize the typically used time-propagation scheme and Casida's method to calculate the full wavevector dependent response function. This allows the computational study of dipole-forbidden valence electron transitions and the dispersion of spectral weight as a function of the wavevector. The method provides a novel analysis tool for spectroscopic methods such as inelastic x-ray scattering and electron energy loss spectroscopy. We present results for benzene and CF(3)Cl and make a comparison with experimental results. PMID- 21054011 TI - Tetrahydrides of third-row transition elements: spin-orbit coupling effects on the stability of rhenium tetrahydride. AB - The potential energy surfaces of low-lying states in rhenium tetrahydride (ReH(4)) were explored by using the multiconfiguration self-consistent field (MCSCF) method together with the SBKJC effective core potentials and the associated basis sets augmented by a set of f functions on rhenium atom and by a set of p functions on hydrogen atoms, followed by spin-orbit coupling (SOC) calculations to incorporate nonscalar relativistic effects. The most stable structure of ReH(4) was found to have a D(2d) symmetry and its ground state is (4)A(2). It is found that this is lower in energy than the dissociation limit, ReH(2)+H(2), after dynamic correlation effects are taken into account by using second-order multireference Moller-Plesset perturbation (MRMP2) calculations. This reasonably agrees with previous results reported by Andrews et al. [J. Phys. Chem. 107, 4081 (2003)]. The present investigation further revealed that the dissociation reaction of ReH(4) cannot occur without electronic transition from the lowest quartet state to the lowest sextet state. This spin-forbidden transition can easily occur because of large SOC effects among low-lying states in such heavy metal-containing compounds. The minimum-energy crossing (MEX) point between the lowest quartet and sextet states is proved to be energetically and geometrically close to the transition state for the dissociation reaction on the potential energy surface of the lowest spin-mixed state. The MEX point (C(2) symmetry) was estimated to be 9184 cm(-1) (26.3 kcal/mol) higher than the (4)A(2) state in D(2d) symmetry at the MRMP2 level of theory. After inclusion of SOC effects, an energy maximum on the lowest spin-mixed state appears near the MEX point and is recognized as the transition state for the dissociation reaction to ReH(2)+H(2). The energy barrier for the dissociation, evaluated to be MEX in the adiabatic picture, was calculated to be 5643 cm(-1) (16.1 kcal/mol) on the lowest spin-mixed state when SOC effects were estimated at the MCSCF level of theory. PMID- 21054012 TI - Spin-component-scaled Moller-Plesset (SCS-MP) perturbation theory: a generalization of the MP approach with improved properties. AB - A rigorous perturbation theory is proposed, which has the same second order energy as the spin-component-scaled Moller-Plesset second order (SCS-MP2) method of Grimme [J. Chem. Phys. 118, 9095 (2003)]. This upgrades SCS-MP2 to a systematically improvable, true wave-function-based method. The perturbation theory is defined by an unperturbed Hamiltonian, H(0), that contains the ordinary Fock operator and spin operators S(2) that act either on the occupied or the virtual orbital spaces. Two choices for H(0) are discussed and the importance of a spin-pure H((0)) is underlined. Like the SCS-MP2 approach, the theory contains two parameters (c(os) and c(ss)) that scale the opposite-spin and the same-spin contributions to the second order perturbation energy. It is shown that these parameters can be determined from theoretical considerations by a Feenberg scaling approach or a fit of the wave functions from the perturbation theory to the exact one from a full configuration interaction calculation. The parameters c(os)=1.15 and c(ss)=0.75 are found to be optimal for a reasonable test set of molecules. The meaning of these parameters and the consequences following from a well defined improved MP method are discussed. PMID- 21054013 TI - State-selective optimization of local excited electronic states in extended systems. AB - Standard implementations of time-dependent density-functional theory (TDDFT) for the calculation of excitation energies give access to a number of the lowest lying electronic excitations of a molecule under study. For extended systems, this can become cumbersome if a particular excited state is sought-after because many electronic transitions may be present. This often means that even for systems of moderate size, a multitude of excited states needs to be calculated to cover a certain energy range. Here, we present an algorithm for the selective determination of predefined excited electronic states in an extended system. A guess transition density in terms of orbital transitions has to be provided for the excitation that shall be optimized. The approach employs root-homing techniques together with iterative subspace diagonalization methods to optimize the electronic transition. We illustrate the advantages of this method for solvated molecules, core-excitations of metal complexes, and adsorbates at cluster surfaces. In particular, we study the local pi->pi(*) excitation of a pyridine molecule adsorbed at a silver cluster. It is shown that the method works very efficiently even for high-lying excited states. We demonstrate that the assumption of a single, well-defined local excitation is, in general, not justified for extended systems, which can lead to root-switching during optimization. In those cases, the method can give important information about the spectral distribution of the orbital transition employed as a guess. PMID- 21054014 TI - Correcting for dispersion interaction and beyond in density functional theory through force matching. AB - The force matching method is used to improve density functional theory (DFT) by designing a supplemental potential to capture the difference in atomic forces between a DFT functional and a high-quality post Hartree-Fock method. The supplemental potential has two-body terms designed to correct for dispersion and hydrogen bond interactions. The potential also has one-body terms to improve the description of the intramolecular potential energy surface. Our procedure is tested by providing corrections to the Becke-Lee-Yang-Parr exchange-correlation functional for water and is found to perform significantly better than the standard DFT-D approach, giving QCISD quality predictions for relative cluster energies, atomic forces, and molecular structures. It is found that a simple Lennard-Jones term does a good job at correcting for van der Waals interactions and possibly also providing corrections to exchange repulsion. The one-body corrections, while contributing only slightly to improving relative cluster energies, significantly reduce the errors in binding energies and atomic forces for the systems studied. PMID- 21054015 TI - Molecular core-valence correlation effects involving the post-d elements Ga-Rn: benchmarks and new pseudopotential-based correlation consistent basis sets. AB - Correlation consistent basis sets that are suitable for the correlation of the outer-core (n-1)spd electrons of the post-d elements Ga-Rn have been developed. These new sets, denoted by cc-pwCVXZ-PP (X=D,T,Q,5), are based on the previously reported cc-pVXZ-PP sets that were built in conjunction with accurate small-core relativistic pseudopotentials (PPs) and designed only for valence nsp correlation. These new basis sets have been utilized in benchmark coupled cluster calculations of the core-valence correlation effects on the dissociation energies and spectroscopic properties of several small molecules. As expected, the most important contribution is the correlation of the (n-1)d electrons. For example, in the case of the group 13 homonuclear diatomics (Ga(2),In(2),Tl(2)), this leads to a dissociation energy increase compared to a valence-only treatment from 1.5 to 3.2 kcal/mol, bond length shortenings from -0.076 to -0.125 A, and harmonic frequency increases of 7-8 cm(-1). Even in the group 15 cases (As(2),Sb(2),Bi(2)), the analogous effects of (n-1)d electron correlation are certainly not insignificant, the largest values being +4.4 kcal/mol, -0.049 A, and +9.6 cm(-1) for the effects on D(e), r(e), and omega(e), respectively. In general, the effects increase in magnitude down a group from 4p to 6p. Correlation of the outer-core (n-1)p electrons is about an order of magnitude less important than (n-1)d but larger than that of the (n-1)s. The effect of additional tight functions for Hartree-Fock and valence sp correlation was found to be surprisingly large, especially for the post-4d and post-5d elements. The pseudopotential results for the molecules containing post-3d elements are also compared to the analogous all-electron calculations employing the Douglas-Kroll Hess Hamiltonian. The errors attributed to the PP approximation are found to be very small. PMID- 21054016 TI - Explicitly correlated coupled-cluster theory using cusp conditions. I. Perturbation analysis of coupled-cluster singles and doubles (CCSD-F12). AB - Geminal functions based on Slater-type correlation factors and fixed expansion coefficients, determined by cusp conditions, have in recent years been forwarded as an efficient and numerically stable method for introducing explicit electron correlation into coupled-cluster theory. In this work, we analyze the equations of explicitly correlated coupled-cluster singles and doubles (CCSD-F12) theory and introduce an ordering scheme based on perturbation theory which can be used to characterize and understand the various approximations found in the literature. Numerical results for a test set of 29 molecules support our analysis and give additional insight. In particular, our results help rationalize the success of the CCSD(F12) approximation which is based on a very systematic cancellation of the neglected, otherwise individually large third-order geminal geminal coupling terms. Further approximations to CCSD(F12) can be introduced without sacrificing the accuracy if the entire set of third-order coupling terms between the conventional doubles cluster amplitudes and the geminal doubles amplitudes is retained, leading to the recently proposed CCSD[F12] and CCSD(F12(*)) models, which have negligible overhead compared to conventional CCSD calculations. Particularly, the importance of the ring-term type contribution is pointed out which may be used to improve on other existing approximations such as CCSD-F12b. For small basis sets, it might be advantageous to keep certain higher order terms leading to CCSD-F12(*), which, for the case of the SP ansatz, merely involves a noniterative correction to CCSD(F12(*)). PMID- 21054017 TI - Explicitly correlated coupled-cluster theory using cusp conditions. II. Treatment of connected triple excitations. AB - The coupled-cluster singles and doubles method augmented with single Slater-type correlation factors (CCSD-F12) determined by the cusp conditions (also denoted as SP ansatz) yields results close to the basis set limit with only small overhead compared to conventional CCSD. Quantitative calculations on many-electron systems, however, require to include the effect of connected triple excitations at least. In this contribution, the recently proposed [A. Kohn, J. Chem. Phys. 130, 131101 (2009)] extended SP ansatz and its application to the noniterative triples correction CCSD(T) is reviewed. The approach allows to include explicit correlation into connected triple excitations without introducing additional unknown parameters. The explicit expressions are presented and analyzed, and possible simplifications to arrive at a computationally efficient scheme are suggested. Numerical tests based on an implementation obtained by an automated approach are presented. Using a partial wave expansion for the neon atom, we can show that the proposed ansatz indeed leads to the expected (L(max)+1)(-7) convergence of the noniterative triples correction, where L(max) is the maximum angular momentum in the orbital expansion. Further results are reported for a test set of 29 molecules, employing Peterson's F12-optimized basis sets. We find that the customary approach of using the conventional noniterative triples correction on top of a CCSD-F12 calculation leads to significant basis set errors. This, however, is not always directly visible for total CCSD(T) energies due to fortuitous error compensation. The new approach offers a thoroughly explicitly correlated CCSD(T)-F12 method with improved basis set convergence of the triples contributions to both total and relative energies. PMID- 21054018 TI - The adiabatic approximation in time-dependent density matrix functional theory: response properties from dynamics of phase-including natural orbitals. AB - The adiabatic approximation is problematic in time-dependent density matrix functional theory. With pure density matrix functionals (invariant under phase change of the natural orbitals) it leads to lack of response in the occupation numbers, hence wrong frequency dependent responses, in particular alpha(omega >0)?alpha(0) (the static polarizability). We propose to relinquish the requirement that the functional must be a pure one-body reduced density matrix (1RDM) functional, and to introduce additional variables which can be interpreted as phases of the one-particle states of the independent particle reference system formed with the natural orbitals, thus obtaining so-called phase-including natural orbital (PINO) functionals. We also stress the importance of the correct choice of the complex conjugation in the two-electron integrals in the commonly used functionals (they should not be of exchange type). We demonstrate with the Lowdin-Shull energy expression for two-electron systems, which is an example of a PINO functional, that for two-electron systems exact responses (polarizabilities, excitation energies) are obtained, while writing this energy expression in the usual way as a 1RDM functional yields erroneous responses. PMID- 21054019 TI - Optimum and efficient sampling for variational quantum Monte Carlo. AB - Quantum mechanics for many-body systems may be reduced to the evaluation of integrals in 3N dimensions using Monte Carlo, providing the Quantum Monte Carlo ab initio methods. Here we limit ourselves to expectation values for trial wave functions, that is to variational quantum Monte Carlo. Almost all previous implementations employ samples distributed as the physical probability density of the trial wave function, and assume the central limit theorem to be valid. In this paper we provide an analysis of random error in estimation and optimization that leads naturally to new sampling strategies with improved computational and statistical properties. A rigorous lower limit to the random error is derived, and an efficient sampling strategy presented that significantly increases computational efficiency. In addition the infinite variance heavy tailed random errors of optimum parameters in conventional methods are replaced with a Normal random error, strengthening the theoretical basis of optimization. The method is applied to a number of first row systems and compared with previously published results. PMID- 21054020 TI - Effective Floquet Hamiltonians for dipolar and quadrupolar coupled N-spin systems in solid state nuclear magnetic resonance under magic angle spinning. AB - Spin dynamics under magic angle spinning has been studied using different theoretical approaches and also by extensive numerical simulation programs. In this article we present a general theoretical approach that leads to analytic forms for effective Hamiltonians for an N-spin dipolar and quadrupolar coupled system under magic angle spinning (MAS) conditions, using a combination of Floquet theory and van Vleck (contact) transformation. The analytic forms presented are shown to be useful for the study of MAS spin dynamics in solids with the help of a number of simulations in two, three, and four coupled, spin 1/2 systems as well as spins in which quadrupolar interactions are also present. PMID- 21054021 TI - Density cumulant functional theory: first implementation and benchmark results for the DCFT-06 model. AB - Density cumulant functional theory [W. Kutzelnigg, J. Chem. Phys. 125, 171101 (2006)] is implemented for the first time. Benchmark results are provided for atoms and diatomic molecules, demonstrating the performance of DCFT-06 for both nonbonded and bonded interactions. The results show that DCFT-06 appears to perform similarly to coupled cluster theory with single and double excitations (CCSD) in describing dispersion. For covalently bound systems, the physical properties predicted by DCFT-06 appear to be at least of CCSD quality around equilibrium geometries. The computational scaling of both DCFT-06 and CCSD is O(N(6)), but the former has reduced nonlinearities among the variables and a Hermitian energy functional, making it an attractive alternative. PMID- 21054022 TI - The complemented system approach: a novel method for calculating the x-ray scattering from computer simulations. AB - In this paper, we review the main problem concerning the calculation of x-ray scattering of simulated model systems, namely, their finite size. A novel method based on the Rayleigh-Debye-Gans approximation was derived, which allows sidestepping this issue by complementing the missing surroundings of each particle with an average image of the system. The method was designed to operate directly on particle configurations without an intermediate step (e.g., calculation of pair distribution functions): in this way, all information contained in the configurations was preserved. A comparison of the results against those of other known methods showed that the new method combined several favorable properties: an arbitrary q-scale, scattering curves free of truncation artifacts, and good behavior down to the theoretical lower limit of the q-scale. A test of computational efficiency was also performed to establish a relative scale between the speeds of all known methods: the reciprocal lattice approach, the brute force method, the Fourier transform approach, and the newly presented complemented system approach. PMID- 21054023 TI - A transition state view on reactive scattering: initial state-selected reaction probabilities for the H + CH4 -> H2 + CH3 reaction studied in full dimensionality. AB - Initial state-selected reaction probabilities for the H+CH(4)->H(2)+CH(3) reaction are computed for vanishing total angular momentum by full-dimensional calculations employing the multiconfigurational time-dependent Hartree approach. An ensemble of wave packets completely describing reactivity for total energies up to 0.58 eV is constructed in the transition state region by diagonalization of the thermal flux operator. These wave packets are then propagated into the reactant asymptotic region to obtain the initial state-selected reaction probabilities. Reaction probabilities for reactants in all rotational states of the vibrational 1A(1), 1F(2), and 1E levels of methane are presented. Vibrational excitation is found to decrease reactivity when reaction probabilities at equivalent total energies are compared but to increase reaction probabilities when the comparison is done at the basis of equivalent collision energies. Only a fraction of the initial vibrational energy can be utilized to promote the reaction. The effect of rotational excitation on the reactivity differs depending on the initial vibrational state of methane. For the 1A(1) and 1F(2) vibrational states of methane, rotational excitation decreases the reaction probability even when comparing reaction probabilities at equivalent collision energies. In contrast, rotational energy is even more efficient than translational energy in increasing the reaction probability when the reaction starts from the 1E vibrational state of methane. All findings can be explained employing a transition state based interpretation of the reaction process. PMID- 21054024 TI - Picture change error correction of radon atom electron density. AB - The importance of the picture change error (PCE) correction in the quasirelativistic electron density of radon atom is presented. PCE correction is considered for the infinite order two-component (IOTC) and second order Douglas Kroll-Hess (DKH2) wave functions. Implementation details of PCE correction of electron density are outlined. The result section presents the radial distributions of electron density rho(r) and the 4pir(2)rho(r) function of the radon atom in the nuclear region. The PCE corrected and contaminated DKH2, IOTC electron densities are presented and compared with the Dirac-Coulomb Hamiltonian and nonrelativistic electron densities. Besides, some additional effects in electron density and SCF energy are considered, such as spin-orbit coupling, the inclusion of the Gaunt term, and the finite nucleus model effects. The effects of p(2)Vp(2) and p(2)rho(r)p(2) analytic integral classes within IOTC Hamiltonian and PCE correction of IOTC electron density are considered. PMID- 21054025 TI - Perturbative correction for the basis set incompleteness error of complete-active space self-consistent field. AB - To reduce the basis set incompleteness of the complete-active-space self consistent field (CASSCF) wave function and energy we develop a second-order perturbation correction due to single excitations to complete set of unoccupied states. Other than the one- and two-electron integrals, only one- and two particle reduced density matrices are required to compute the correction, denoted as [2](S). Benchmark calculations on prototypical ground-state bond-breaking problems show that only the aug-cc-pVXZ basis is needed with the [2](S) correction to match the accuracy of CASSCF energies of the aug-cc-pV(X+1)Z quality. PMID- 21054026 TI - The rotational spectrum of CuCCH(X 1Sigma+): a Fourier transform microwave discharge assisted laser ablation spectroscopy and millimeter/submillimeter study. AB - The pure rotational spectrum of CuCCH in its ground electronic state (X (1)Sigma(+)) has been measured in the frequency range of 7-305 GHz using Fourier transform microwave (FTMW) and direct absorption millimeter/submillimeter methods. This work is the first spectroscopic study of CuCCH, a model system for copper acetylides. The molecule was synthesized using a new technique, discharge assisted laser ablation spectroscopy (DALAS). Four to five rotational transitions were measured for this species in six isotopologues ((63)CuCCH, (65)CuCCH, (63)Cu(13)CCH, (63)CuC(13)CH, (63)Cu(13)C(13)CH, and (63)CuCCD); hyperfine interactions arising from the copper nucleus were resolved, as well as smaller splittings in CuCCD due to deuterium quadrupole coupling. Five rotational transitions were also recorded in the millimeter region for (63)CuCCH and (65)CuCCH, using a Broida oven source. The combined FTMW and millimeter spectra were analyzed with an effective Hamiltonian, and rotational, electric quadrupole (Cu and D) and copper nuclear spin-rotation constants were determined. From the rotational constants, an r(m)(2) structure for CuCCH was established, with r(Cu C) = 1.8177(6) A, r(C-C) = 1.2174(6) A, and r(C-H) = 1.046(2) A. The geometry suggests that CuCCH is primarily a covalent species with the copper atom singly bonded to the C=C-H moiety. The copper quadrupole constant indicates that the bonding orbital of this atom may be sp hybridized. The DALAS technique promises to be fruitful in the study of other small, metal-containing molecules of chemical interest. PMID- 21054027 TI - Full-dimensional quantum dynamics of vibrationally highly excited NHD2. AB - We report on full-dimensional vibrational quantum dynamics of the highly excited ammonia isotopologue NHD(2) using a newly developed potential energy surface and the MCTDH program package. The calculations allow to realistically simulate an infrared laser induced stereomutation reaction at the pyramidal nitrogen atom in the femtosecond time domain. Our results allow for a thorough qualitative and quantitative understanding of infrared photoinduced stereomutation kinetics, the underlying quantum dynamics, and the reaction mechanisms. Comparison is made with a previous, reduced dimensionality study of the same reaction [R. Marquardt, M. Quack, I. Thanopulos, and D. Luckhaus, J. Chem. Phys. 118, 643 (2003)], and it is shown that slight variances of reduced spaces lead to significantly different kinetics. Because the quantum dynamics depends subtly on variances of reduced spaces, reduced dimensionality treatments are not reliable even for qualitative predictions of the stereomutation kinetics. The first direct comparison between the Multiconfigurational Time Dependent Hartree [M. H. Beck, A. Jackle, G. A. Worth et al., Phys. Rep. 324, 1 (2000)] and Unimolecular Reactions Induced by Monochromatic Infrared Radiation [M. Quack and E. Sutcliffe, QCPE Bulletin 6, 98 (1986)] program packages on a specific, four dimensional quantum dynamical problem allows for their full validation in the present work. PMID- 21054028 TI - Can theory quantitatively model stratospheric photolysis? Ab initio estimate of absolute absorption cross sections of ClOOCl. AB - We have calculated the absorption spectrum of dichlorine peroxide (ClOOCl) in the spectral range 250-400 nm. We have employed five different approaches to quantitatively model the absorption cross section (empirical broadening scheme, linearized harmonic reflection principle and full reflection principle with ground state density calculated using harmonic approximation, classical molecular dynamics, and path-integral molecular dynamics). We have also tested various single and multireference methods. We found that (i) the excitation characteristics of ClOOCl are sensitive on molecular geometries and therefore the ground state density has to be properly sampled and that (ii) single-reference methods tend to overestimate the absorption cross section and lead to a blueshift in the absorption maximum. The absorption spectrum calculated at the CASPT2 level with complete active space-configuration interaction (CAS-SCF) transition dipole moment with the ground state sampled via path-integral molecular dynamics is in a good agreement with the experiment. We, however, did not reach full agreement in the atmospherically relevant low-energy tail of the spectrum. The general message is that quantitative predictions are difficult even for a relatively small molecule as ClOOCl and the convergence, with respect to the electronic structure method, basis set used, and ground state sampling, needs to be carefully examined. PMID- 21054029 TI - Microwave spectrum and structural parameters for the formamide-formic acid dimer. AB - The rotational spectra for six isotopologues of the complex formed between formamide and formic acid have been measured using a pulsed-beam Fourier transform microwave spectrometer and analyzed to obtain rotational constants and quadrupole coupling parameters. The rotational constants and quadrupole coupling strengths obtained for H (12)COOH-H(2) (14)NCOH are A = 5889.465(2), B = 2148.7409(7), 1575.1234(6), eQq(aa) = 1.014(5), eQq(bb) = 1.99(1), and eQq(cc) = 3.00(1) MHz. Using the 15 rotational constants obtained for the H (13)COOH, HCOOD, DCOOH, and H(2) (15)NCHO isotopologues, key structural parameters were obtained from a least-squares structure fit. Hydrogen bond distances of 1.78 A for R(O3?H1) and 1.79 A for R(H4?O1) were obtained. The "best fit" value for the angle(C-O-H) of formic acid is significantly larger than the monomer value of 106.9 degrees with an optimum value of 121.7(3) degrees . The complex is nearly planar with inertial defect Delta = -0.158 amu A(2). The formamide proton is moved out of the molecular plane by 15(3) degrees for the best fit structure. Density functional theory using B3PW91, HCTH407, and TPSS as well as MP2 and CCSD calculations were performed using 6-311++G(d,p) and the results were compared to experimentally determined parameters. PMID- 21054030 TI - Binary nucleation rates for ethanol/water mixtures in supersonic Laval nozzles. AB - Although the conditions corresponding to the onset of condensation of aqueous alcohol mixtures have been measured in supersonic nozzles [B. E. Wyslouzil et al., J. Chem. Phys. 113, 7317 (2000)], the true nucleation rates have not. Here, we propose a new analytical method to estimate the temperature, the concentrations of condensable species in both the vapor and the liquid phases, and the amount of the condensate using only the measured static pressure profiles in the nozzle. We applied the method to ethanol/water (CH(3)CH(2)OH/D(2)O or CH(3)CH(2)OD/D(2)O) mixtures and confirmed that the aerosol volume fractions derived from pressure measurements and small angle neutron scattering measurements are in very good agreement when this method is used. Combining the results from the pressure measurements with the number densities of the condensed droplets, measured either by small angle neutron or small angle x-ray scattering, we determined the first quantitative ethanol/water binary nucleation rates in the supersonic nozzle at a temperature of 229+/-1 K. PMID- 21054031 TI - Direct assessment of quantum nuclear effects on hydrogen bond strength by constrained-centroid ab initio path integral molecular dynamics. AB - The impact of quantum nuclear effects on hydrogen (H-) bond strength has been inferred in earlier work from bond lengths obtained from path integral molecular dynamics (PIMD) simulations. To obtain a direct quantitative assessment of such effects, we use constrained-centroid PIMD simulations to calculate the free energy changes upon breaking the H-bonds in dimers of HF and water. Comparing ab initio simulations performed using PIMD and classical nucleus molecular dynamics (MD), we find smaller dissociation free energies with the PIMD method. Specifically, at 50 K, the H-bond in (HF)(2) is about 30% weaker when quantum nuclear effects are included, while that in (H(2)O)(2) is about 15% weaker. In a complementary set of simulations, we compare unconstrained PIMD and classical nucleus MD simulations to assess the influence of quantum nuclei on the structures of these systems. We find increased heavy atom distances, indicating weakening of the H-bond consistent with that observed by direct calculation of the free energies of dissociation. PMID- 21054032 TI - A theoretical approach to the photochemical activation of matrix isolated aluminum atoms and their reaction with methane. AB - The photochemical activation of Al atoms in cryogenic matrices to induce their reaction with methane has been experimentally studied before. Here, a theoretical study of the nonadiabatic transition probabilities for the ground ((2)P:3s(2)3p(1)) and the lowest excited states ((2)S:3s(2)4s(1) and (2)D:3s(2)3d(1)) of an aluminum atom interacting with a methane molecule (CH(4)) was carried out through ab initio Hartree-Fock self-consistent field calculations. This was followed by a multiconfigurational study of the correlation energy obtained by extensive variational and perturbational configuration interaction analyses using the CIPSI program. The (2)D state is readily inserted into a C-H bond, this being a prelude to a sequence of avoided crossings with the initially repulsive (to CH(4)) lower lying states (2)P and (2)S. We then use a direct extension of the Landau-Zener theory to obtain transition probabilities at each avoided crossing, allowing the formation of an HAlCH(3) intermediate that eventually leads to the final pair of products H+AlCH(3) and HAl+CH(3). PMID- 21054033 TI - (2+1) laser-induced fluorescence of spin-polarized hydrogen atoms. AB - We report the measurement of the spin polarization of hydrogen (SPH) atoms by (2+1) laser-induced fluorescence, produced via the photodissociation of thermal HBr molecules with circularly polarized 193 nm light. This scheme, which involves two-photon laser excitation at 205 nm and fluorescence at 656 nm, offers an experimentally simpler polarization-detection method than the previously reported vacuum ultraviolet detection scheme, allowing the detection of SPH atoms to be performed more straightforwardly, from the photodissociation of a wide range of molecules and from a variety of collision experiments. PMID- 21054034 TI - An unusually large nonadiabatic error in the BNB molecule. AB - The vibronic coupling model of Kouppel, Domcke, and Cederbaum in one dimension is introduced as a means to estimate the effects of electronic nonadiabaticity on the vibrational energy levels of molecules that exhibit vibronic coupling. For the BNB molecule, the nonadiabatic contribution to the nominal fundamental vibrational energy of the antisymmetric stretching mode is approximately -80 cm( 1). The surprisingly large effect for this mode, which corresponds to an adiabatic potential that is essentially flat near the minimum due to the vibronic interaction, is contrasted with another model system that also exhibits a flat potential (precisely, a vanishing quadratic force constant) but has a significantly larger gap between interacting electronic states. For the latter case, the nonadiabatic contribution to the level energies is about two orders of magnitude smaller even though the effect on the potential is qualitatively identical. A simple analysis shows that significant nonadiabatic corrections to energy levels should occur only when the affected vibrational frequency is large enough to be of comparable magnitude to the energy gap involved in the coupling. The results provide evidence that nonadiabatic corrections should be given as much weight as issues such as high-level electron correlation, relativistic corrections, etc., in quantum chemical calculations of energy levels for radicals with close-lying and strongly coupled electronic states even in cases where conical intersections are not obviously involved. The same can be said for high accuracy thermochemical studies, as the zero-point vibrational energy of the BNB example contains a nonadiabatic contribution of approximately -70 cm(-1) (-0.9 kJ mol(-1)). PMID- 21054035 TI - The infrared spectrum of cyclic-N3: theoretical prediction. AB - We have carried out the first calculations of the infrared absorption spectrum of cyclic-N(3). Accurate vibrational energies and wave functions computed with incorporation of the geometric phase effect (via gauge theory) and using an ab initio potential energy surface were employed in this work. A sophisticated fully dimensional dipole moment function was constructed using accurate ab initio calculations and a three-dimensional-spline interpolation. Transformation of the dipole moment vector function from the reference frame associated with instantaneous principal axes of inertia to the laboratory-fixed reference frame was carried out using hyperspherical coordinates. We found that the permanent dipole moment of cyclic-N(3) in the ground vibrational state is relatively small (170 mD). The excited vibrational states show permanent dipole moments in the 10 25 mD range. The most intense part of the infrared absorption spectrum is observed in the deep infrared part of spectrum, 75-275 cm(-1), where five lines exhibit absolute absorption intensities in the range between 0.5 and 1.2 km/mol. These transitions correspond to excitation of the pseudorotational progression of states. Several unique spectroscopic features discussed in the paper should help to identify cyclic-N(3) in the laboratory. PMID- 21054036 TI - Vibronic coupling in the superoxide anion: the vibrational dependence of the photoelectron angular distribution. AB - We present a comprehensive photoelectron imaging study of the O(2)(X (3)Sigma(g)(-),v(')=0-6)<-O(2)(-)(X (2)Pi(g),v(")=0) and O(2)(a (1)Delta(g),v(')=0-4)<-O(2)(-)(X (2)Pi(g),v(")=0) photodetachment bands at wavelengths between 900 and 455 nm, examining the effect of vibronic coupling on the photoelectron angular distribution (PAD). This work extends the v(')=1-4 data for detachment into the ground electronic state, presented in a recent communication [R. Mabbs, F. Mbaiwa, J. Wei, M. Van Duzor, S. T. Gibson, S. J. Cavanagh, and B. R. Lewis, Phys. Rev. A 82, 011401(R) (2010)]. Measured vibronic intensities are compared to Franck-Condon predictions and used as supporting evidence of vibronic coupling. The results are analyzed within the context of the one-electron, zero core contribution (ZCC) model [R. M. Stehman and S. B. Woo, Phys. Rev. A 23, 2866 (1981)]. For both bands, the photoelectron anisotropy parameter variation with electron kinetic energy, beta(E), displays the characteristics of photodetachment from a d-like orbital, consistent with the pi(g)(*) 2p highest occupied molecular orbital of O(2)(-). However, differences exist between the beta(E) trends for detachment into different vibrational levels of the X (3)Sigma(g)(-) and a (1)Delta(g) electronic states of O(2). The ZCC model invokes vibrational channel specific "detachment orbitals" and attributes this behavior to coupling of the electronic and nuclear motion in the parent anion. The spatial extent of the model detachment orbital is dependent on the final state of O(2): the higher the neutral vibrational excitation, the larger the electron binding energy. Although vibronic coupling is ignored in most theoretical treatments of PADs in the direct photodetachment of molecular anions, the present findings clearly show that it can be important. These results represent a benchmark data set for a relatively simple system, upon which to base rigorous tests of more sophisticated models. PMID- 21054037 TI - Valence photoelectron spectroscopy of N2 and CO: recoil-induced rotational excitation, relative intensities, and atomic orbital composition of molecular orbitals. AB - Recoil-induced rotational excitation accompanying photoionization has been measured for the X, A, and B states of N(2)(+) and CO(+) over a range of photon energies from 60 to 900 eV. The mean recoil excitation increases linearly with the kinetic energy of the photoelectron, with slopes ranging from 0.73*10(-5) to 1.40*10(-5). These slopes are generally (but not completely) in accord with a simple model that treats the electrons as if they were emitted from isolated atoms. This treatment takes into account the atom from which the electron is emitted, the molecular-frame angular distribution of the electron, and the dependence of the photoelectron cross section on photon energy, on atomic identity, and on the type of atomic orbital from which the electron is ejected. These measurements thus provide a tool for investigating the atomic orbital composition of the molecular orbitals. Additional insight into this composition is obtained from the relative intensities of the various photolines in the spectrum and their variation with photon energy. Although there are some discrepancies between the predictions of the model and the observations, many of these can be understood qualitatively from a comparison of atomic and molecular wavefunctions. A quantum-mechanical treatment of recoil-induced excitation predicts an oscillatory variation with photon energy of the excitation. However, the predicted oscillations are small compared with the uncertainties in the data, and, as a result, the currently available results cannot provide confirmation of the quantum-mechanical theory. PMID- 21054038 TI - The pure rotational spectrum of the CrS radical in its X 5Pi(r) state. AB - The pure rotational spectrum of the CrS radical has been measured in its ground X (5)Pi(r) state using gas-phase millimeter/submillimeter direct absorption methods. The molecule was created by the reaction of chromium vapor, sublimed in a Broida-type oven, with hydrogen sulfide. Eleven rotational transitions were recorded for this free radical in the frequency range of 280-405 GHz; in most transitions, all five spin components were observed, and lambda-doubling was resolved in the Omega=0, 1, and 2 ladders. The data were fit with a Hund's case (a) Hamiltonian and rotational, spin-orbit, spin-spin, and lambda-doubling constants were established. Higher order spin and spin-orbit terms were essential in the analysis. The lambda-doubling constants indicate a nearby (5)Sigma(+) state at an energy of ~1500-2000 cm(-1). A bond length of 2.0781 A was derived for CrS from the data, which is larger than the value of 2.0682 A found for MnS by ~0.01 A. In contrast, the bond distance for MnO is greater than that of CrO by 0.03 A, an illustration of the subtle differences between 3d oxide and sulfides. CrS is the second molecule in a (5)Pi state that has been studied by rotational spectroscopy. PMID- 21054039 TI - Experimental and theoretical studies of reactions of neutral vanadium and tantalum oxide clusters with NO and NH3. AB - Reactions of neutral vanadium and tantalum oxide clusters with NO, NH(3), and an NO/NH(3) mixture in a fast flow reactor are investigated by time of flight mass spectrometry and density functional theory (DFT) calculations. Single photon ionization through a 46.9 nm (26.5 eV) extreme ultraviolet (EUV) laser is employed to detect both neutral cluster distributions and reaction products. Association products VO(3)NO and V(2)O(5)NO are detected for V(m)O(n) clusters reacting with pure NO, and reaction products, TaO(3,4)(NO)(1,2), Ta(2)O(5)NO, Ta(2)O(6)(NO)(1-3), and Ta(3)O(8)(NO)(1,2) are generated for Ta(m)O(n) clusters reacting with NO. In both instances, oxygen-rich clusters are the active metal oxide species for the reaction M(m)O(n)+NO->M(m)O(n)(NO)(x). Both V(m)O(n) and Ta(m)O(n) cluster systems are very active with NH(3). The main products of the reactions with NH(3) result from the adsorption of one or two NH(3) molecules on the respective clusters. A gas mixture of NO:NH(3) (9:1) is also added into the fast flow reactor: the V(m)O(n) cluster system forms stable, observable clusters with only NH(3) and no V(m)O(n)(NO)(x)(NH(3))(y) species are detected; the Ta(m)O(n) cluster system forms stable, observable mixed clusters, Ta(m)O(n)(NO)(x)(NH(3))(y), as well as Ta(m)O(n)(NO)(x) and Ta(m)O(n)(NH(3))(y) individual clusters, under similar conditions. The mechanisms for the reactions of neutral V(m)O(n) and Ta(m)O(n) clusters with NO/NH(3) are explored via DFT calculations. Ta(m)O(n) clusters form stable complexes based on the coadsorption of NO and NH(3). V(m)O(n) clusters form weakly bound complexes following the reaction pathway toward end products N(2)+H(2)O without barrier. The calculations give an interpretation of the experimental data that is consistent with the condensed phase reactivity of V(m)O(n) catalyst and suggest the formation of intermediates in the catalytic chemistry. PMID- 21054040 TI - Energy transfer of highly vibrationally excited biphenyl. AB - The energy transfer between Kr atoms and highly vibrationally excited, rotationally cold biphenyl in the triplet state was investigated using crossed beam/time-of-flight mass spectrometer/time-sliced velocity map ion imaging techniques. Compared to the energy transfer of naphthalene, energy transfer of biphenyl shows more forward scattering, less complex formation, larger cross section for vibrational to translational (V->T) energy transfer, smaller cross section for translational to vibrational and rotational (T->VR) energy transfer, larger total collisional cross section, and more energy transferred from vibration to translation. Significant increase in the large V->T energy transfer probabilities, termed supercollisions, was observed. The difference in the energy transfer of highly vibrationally excited molecules between rotationally cold naphthalene and rotationally cold biphenyl is very similar to the difference in the energy transfer of highly vibrationally excited molecules between rotationally cold naphthalene and rotationally hot naphthalene. The low-frequency vibrational modes with out-of-plane motion and rotationlike wide-angle motion are attributed to make the energy transfer of biphenyl different from that of naphthalene. PMID- 21054041 TI - Nonadiabatic quantum reactive scattering of the OH(A 2Sigma+) + D2. AB - The seams of conical intersection exist between the ground (1 (2)A(')) and the first-excited (2 (2)A(')) electronic potential energy surfaces (PESs) of OH(A (2)Sigma(+),X (2)Pi) + H(2) system. This intersection induces the nonadiabatic quenching of OH(A (2)Sigma(+)) by D(2). We present nonadiabatic quantum dynamics study for OH(A (2)Sigma(+)) + D(2) on new five-dimensional coplanar PESs. The ab initio calculations of PESs are based on multireference configuration interaction (MRCI)/aug-cc-pVQZ level. A back-propagation neural network is utilized to fit the PESs and nonadiabatic coupling. High degrees of rotational excitation of quenched OH(X (2)Pi) products are found in nonreactive quenching channel, and the quenched D(2) products are vibrationally excited up to quantum number v(2) (')=8. The theoretical results of nonadiabatic time-dependent wave-packet calculation are in good agreement with the existing experimental data. PMID- 21054042 TI - Submillimeter-wave and far-infrared spectroscopy of high-J transitions of the ground and nu2 = 1 states of ammonia. AB - Complete and reliable knowledge of the ammonia spectrum is needed to enable the analysis and interpretation of astrophysical and planetary observations. Ammonia has been observed in the interstellar medium up to J=18 and more highly excited transitions are expected to appear in hot exoplanets and brown dwarfs. As a result, there is considerable interest in observing and assigning the high J (rovibrational) spectrum. In this work, numerous spectroscopic techniques were employed to study its high J transitions in the ground and nu(2)=1 states. Measurements were carried out using a frequency multiplied submillimeter spectrometer at Jet Propulsion Laboratory (JPL), a tunable far-infrared spectrometer at University of Toyama, and a high-resolution Bruker IFS 125 Fourier transform spectrometer (FTS) at Synchrotron SOLEIL. Highly excited ammonia was created with a radiofrequency discharge and a dc discharge, which allowed assignments of transitions with J up to 35. One hundred and seventy seven ground state and nu(2)=1 inversion transitions were observed with microwave accuracy in the 0.3-4.7 THz region. Of these, 125 were observed for the first time, including 26 DeltaK=3 transitions. Over 2000 far-infrared transitions were assigned to the ground state and nu(2)=1 inversion bands as well as the nu(2) fundamental band. Of these, 1912 were assigned using the FTS data for the first time, including 222 DeltaK=3 transitions. The accuracy of these measurements has been estimated to be 0.0003-0.0006 cm(-1). A reduced root mean square error of 0.9 was obtained for a global fit of the ground and nu(2)=1 states, which includes the lines assigned in this work and all previously available microwave, terahertz, far-infrared, and mid-infrared data. The new measurements and predictions reported here will support the analyses of astronomical observations by high-resolution spectroscopy telescopes such as Herschel, SOFIA, and ALMA. The comprehensive experimental rovibrational energy levels reported here will permit further refinement of the potential energy surface to improve ammonia ab initio calculations and facilitate assignment of new high-resolution spectra of hot ammonia. PMID- 21054043 TI - Benchmarks of electronically excited states: basis set effects on CASPT2 results. AB - Vertical excitation energies and one-electron properties are computed for the valence excited states of 28 medium-sized organic benchmark molecules using multistate multiconfigurational second-order perturbation theory (MS-CASPT2) and the augmented correlation-consistent aug-cc-pVTZ basis set. They are compared with previously reported MS-CASPT2 results obtained with the smaller TZVP basis. The basis set extension from TZVP to aug-cc-pVTZ causes rather minor and systematic shifts in the vertical excitation energies that are normally slightly reduced (on average by 0.11 eV for the singlets and by 0.09 eV for the triplets), whereas the changes in the calculated oscillator strengths and dipole moments are somewhat more pronounced on a relative scale. These basis set effects at the MS CASPT2 level are qualitatively and quantitatively similar to those found at the coupled cluster level for the same set of benchmark molecules. The previously proposed theoretical best estimates (TBE-1) for the vertical excitation energies for 104 singlet and 63 triplet excited states of the benchmark molecules are upgraded by replacing TZVP with aug-cc-pVTZ data that yields a new reference set (TBE-2). Statistical evaluations of the performance of density functional theory (DFT) and semiempirical methods lead to the same ranking and very similar quantitative results for TBE-1 and TBE-2, with slightly better performance measures with respect to TBE-2. DFT/MRCI is most accurate among the investigated DFT-based approaches, while the OMx methods with orthogonalization corrections perform best at the semiempirical level. PMID- 21054044 TI - Correlation of electronic structures of three cyclic dipeptides with their photoemission spectra. AB - We have investigated the electronic structure of three cyclic dipeptides: cyclo(Glycyl-Glycyl) (cGG), cyclo(Leucyl-Prolyl) (cLP), and cyclo(Phenylalanyl Prolyl) (cPP). These compounds are biologically active and cLP and cPP are derived from cGG (also known as diketopiperazine), by the addition of the respective functional groups of the amino acids, namely, phenyl, alkyl or a fused pyrrolidine ring (proline). Experimental valence and core level spectra have been interpreted in the light of theoretical calculations to identify the basic chemical properties associated with the central ring, and with the additional functional groups in cLP and cPP. The theoretically simulated spectra of all three cyclic dipeptides in both valence and core spaces agreed reasonably well with the experimental spectra. The three molecules displayed similarities in their core spectra, suggesting that the diketopiperazine structure plays an important role in determining the inner shell spectrum. The experimental C 1s spectra of cLP and cPP are analogous but differ from cGG due to the side chains attached to the diketopiperazine structure. Single spectral peaks in the N 1s (and O 1s) spectra of the dipeptides indicate that the chemical environment of the nitrogen atoms (and oxygen atoms) are very similar, although they show a small splitting in the simulated spectra of cPL and cPP, due to the reduction of their point group symmetry. Valence band spectra of the three dipeptides in the frontier orbital region of 9-11 eV exhibit similarities; however theoretical analysis shows that significant changes occur due to the involvement of the side chain in the frontier orbitals of cPP, while lesser changes are found for cLP. PMID- 21054045 TI - Study of the isotropic to smectic-A phase transition in liquid crystal and acetone binary mixtures. AB - The first-order transition from the isotropic (I) to smectic-A (Sm A) phase in the liquid crystal 4-cyano-4(')-decylbiphenyl (10CB) doped with the polar solvent acetone (ace) has been studied as a function of solvent concentration by high resolution ac-calorimetry. Heating and cooling scans were performed for miscible 10CB+ace samples having acetone mole fractions from x(ace)=0.05 (1 wt %) to 0.36 (10%) over a wide temperature range from 310 to 327 K. Two distinct first-order phase transition features are observed in the mixture whereas there is only one transition (I-Sm A) in the pure 10CB for that particular temperature range. Both calorimetric features reproduce on repeated heating and cooling scans and evolve with increasing x(ace) with the high-temperature feature relatively stable in temperature but reduced in size while the low-temperature feature shifts dramatically to lower temperature and exhibits increased dispersion. The coexistence region increases for the low-temperature feature but remains fairly constant for the high-temperature feature as a function of x(ace). Polarizing optical microscopy supports the identification of a smectic phase below the high temperature heat capacity signature indicating that the low-temperature feature represents an injected smectic-smectic phase transition. These effects may be the consequence of screening the intermolecular potential of the liquid crystals by the solvent that stabilizes a weak smectic phase intermediate of the isotropic and pure smectic-A. PMID- 21054046 TI - Evidence for a simple monatomic ideal glass former: the thermodynamic glass transition from a stable liquid phase. AB - Under cooling, a liquid can undergo a transition to the glassy state either as a result of a continuous slowing down or by a first-order polyamorphous phase transition. The second scenario has so far always been observed in a metastable liquid domain below the melting point where crystalline nucleation interfered with the glass formation. We report the first observation of the liquid-glass transition by a first-order polyamorphous phase transition from the equilibrium stable liquid phase. The observation was made in a molecular dynamics simulation of a one-component system with a model metallic pair potential. In this way, the model, demonstrating the thermodynamic glass transition from a stable liquid phase, may be regarded as a candidate for a simple monatomic ideal glass former. This observation is of conceptual importance in the context of continuing attempts to resolve the long-standing Kauzmann paradox. The possibility of a thermodynamic glass transition from an equilibrium melt in a metallic system also indicates a new strategy for the development of bulk metallic glass-forming alloys. PMID- 21054047 TI - Structure and photoabsorption properties of cationic alkali dimers solvated in neon clusters. AB - We present a theoretical investigation of the structure and optical absorption of M(2)(+) alkali dimers (M=Li,Na,K) solvated in Ne(n) clusters for n=1 to a few tens Ne atoms. For all these alkali, the lowest-energy isomers are obtained by aggregation of the first Ne atoms at the extremity of the alkali molecule. This particular geometry, common to other M(2)(+)-rare gas clusters, is intimately related to the shape of the electronic density of the X (2)Sigma(g)(+) ground state of the bare M(2)(+) molecules. The structure of the first solvation shell presents equilateral Ne(3) and capped pentagonal Ne(6) motifs, which are characteristic of pure rare gas clusters. The size and geometry of the complete solvation shell depend on the alkali and were obtained at n=22 with a D(4h) symmetry for Li and at n=27 with a D(5h) symmetry for Na. For K, our study suggests that the closure of the first solvation shell occurs well beyond n=36. We show that the atomic arrangement of these clusters has a profound influence on their optical absorption spectrum. In particular, the XSigma transition from the X (2)Sigma(g)(+) ground state to the first excited (2)Sigma(u)(+) state is strongly blueshifted in the Frank-Condon area. PMID- 21054048 TI - Measuring diffusivity in supercooled liquid nanoscale films using inert gas permeation. I. Kinetic model and scaling methods. AB - We describe in detail a diffusion model used to simulate inert gas transport through supercooled liquid overlayers. In recent work, the transport of the inert gas has been shown to be an effective probe of the diffusivity of supercooled liquid methanol in the experimentally challenging regime near the glass transition temperature. The model simulations accurately and quantitatively describe the inert gas permeation desorption spectra. The simulation results are used to validate universal scaling relationships between the diffusivity, overlayer thickness, and the temperature ramp rate for isothermal and temperature programmed desorption. From these scaling relationships we derive simple equations from which the diffusivity can be obtained using the peak desorption time or temperature for an isothermal or set of TPD experiments, respectively, without numerical simulation. The results presented here demonstrate that the permeation of gases through amorphous overlayers has the potential to be a powerful technique to obtain diffusivity data in deeply supercooled liquids. PMID- 21054049 TI - Measuring diffusivity in supercooled liquid nanoscale films using inert gas permeation. II. Diffusion of Ar, Kr, Xe, and CH4 through methanol. AB - We present an experimental technique to measure the diffusivity of supercooled liquids at temperatures near their T(g). The approach uses the permeation of inert gases through supercooled liquid overlayers as a measure of the diffusivity of the supercooled liquid itself. The desorption spectra of the probe gas are used to extract the low temperature supercooled liquid diffusivities. In the preceding companion paper, we derived equations using ideal model simulations from which the diffusivity could be extracted using the desorption peak times for isothermal or peak temperatures for temperature programmed desorption experiments. Here, we discuss the experimental conditions for which these equations are valid and demonstrate their utility using amorphous methanol with Ar, Kr, Xe, and CH(4) as probe gases. The approach offers a new method by which the diffusivities of supercooled liquids can be measured in the experimentally challenging temperature regime near the glass transition temperature. PMID- 21054050 TI - Watching solvent friction impede ultrafast barrier crossings: a direct test of Kramers theory. AB - A systematic investigation of the solvent's dynamic influence on activated barrier crossings on an electronic ground state is performed using ultrafast two dimensional infrared chemical exchange spectroscopy. These measurements facilitate a direct comparison with the widely adopted Kramers theory of condensed phase reaction kinetics, and for the first time avoid the significant complication of electronic excitation to probe directly in the time domain a ground electronic state reaction with a well-defined transition state. The picosecond timescale interconversion between two stable isomers of the metal carbonyl complex Co(2)(CO)(8) in a series of linear alkane solvents shows negligible energetic variation with solvent carbon chain length, providing an exclusive probe of the effects of solvent friction. Relative to the linear alkane series, cyclohexane does alter the potential energy surface by preferentially stabilizing one of the isomers. Despite this pronounced modification of the reaction barrier energetics, combination of experiment and computation enables the removal of the nondynamical barrier contribution to the rate constant, isolating the dynamical influence of solvent friction. The experimental data, supported with quantum and classical computations, show agreement with a simple Markovian Kramers theory for the isomerization rate constant's dependence on solvent viscosity. PMID- 21054051 TI - Equation of state of water under negative pressure. AB - We report on the simultaneous measurements of the speed of sound and the density in liquid water under negative pressure. Application of a focused acoustic wave to the bulk liquid is able to generate negative pressures before nucleation of the vapor phase occurs. A method for time-resolved Brillouin scattering is developed to measure the speed of sound during the passage of a 1 MHz ultrasonic wave. This is coupled with a fiber optic probe hydrophone which allows the determination of the density. Together, these methods give an ambient temperature equation of state of metastable liquid water down to the acoustic cavitation threshold. Empirical equations of state of water are based on experimental data at positive pressure; the validity of their extrapolation to negative pressures had been tested only indirectly or with very weakly metastable liquid. We provide thermodynamic data that prove the fidelity of recent equations of state down to 26 MPa. However, this raises questions regarding the nature of the cavitation threshold observed in acoustic experiments, which is far less negative than expected. PMID- 21054052 TI - Manifestation of macroscopic correlations in elementary reaction kinetics. II. Irreversible reaction A+B->C. AB - The applicability of the Encounter Theory (ET) (the prototype of the Collision Theory) concepts for widely occurring diffusion assisted irreversible bulk reaction A+B->C (for example, radical reaction) in dilute solutions with arbitrary ratio of initial concentrations of reactants has been treated theoretically with modern many-particle method for the derivation of non Markovian binary kinetic equations. The method shows that, just as in the reaction A+A->C considered earlier, the agreement with the Encounter Theory is observed when the familiar Integral Encounter Theory is used which is just a step in the derivation of kinetic equations in the framework of the method employed. It allows for two-particle correlations only, and fails to consider the correlation of reactant simultaneously with a partner and with a reactant in the bulk. However, the next step leading to the Modified Encounter Theory under reduction of equations to a regular form both extends the time applicability interval of ET homogeneous rate equation (as for reactions proceeding in excess of one of the reactants), and yields the inhomogeneous equation of the Generalized Encounter Theory (GET) that reveals macroscopic correlations induced by the encounters in a reservoir of free walks in full agreement with physical considerations. This means that the encounters of reactants in solution are correlated at rather large time interval of the reaction course. However, unlike the reaction A+A->C of identical reactants, the reaction A+B->C accumulation of the above macroscopic correlations (even with the initial concentrations of reactants being equal) proceeds much slower. Another distinction is that for the reaction A+A->C the long-term behavior of ET and GET kinetics is the same, while in the reaction A+B->C these kinetics behave differently. It is of interest that just taking account of the above macroscopic correlations in the reaction A+B->C (in GET) results in the universal character of the long-term behavior of the kinetics for the case of equal initial concentrations of reactants and that where one of the reactants is in excess. This is more natural from the point of view of the reaction course on the encounters of reactants in solutions. PMID- 21054053 TI - Nuclear magnetic resonance spectroscopic investigations of phase biaxiality in the nematic glass of a shape-persistent V-shaped mesogen. AB - Deuterium and carbon-13 NMR spectroscopy were used to study both the high temperature uniaxial nematic and the low temperature biaxial nematic glass of a shape-persistent V-shaped mesogen. It was found that biaxial ordering determined in the domains of the latter has symmetry lower than D(2h) and is compatible with C(2h) symmetry or lower. In particular, elements of the ordering matrix including biaxial phase order parameters were determined from (2)H NMR at two temperatures, one just below the glass transition, and the other deep inside the biaxial glass, which allowed for the characterization of the dominant molecular motions at these temperatures. (13)C NMR magic angle spinning sideband patterns, collected both in the high temperature nematic phase and in the nematic glass, clearly show the difference between them in terms of the phase symmetry. PMID- 21054054 TI - Spectroscopy of Cs2, RbCs, and Rb2 in solid 4He. AB - We present comparative experimental and theoretical studies of the absorption and fluorescence spectra of the alkali-metal dimer molecules Cs(2) and RbCs immersed in a solid helium matrix, thereby extending our recent observations of Rb(2) in solid (4)He. The laser-excited molecular states are mostly quenched by the interaction with the He matrix. The quenching efficiently populates the second lowest excited state of the molecule, i.e., (1) (3)Pi((u)) that is metastable in the homonuclear dimers. Molecular excitation and emission bands are modeled by calculating Franck-Condon factors that give a reasonable agreement with the experimental findings. PMID- 21054055 TI - Homogeneous nucleation and growth in simple fluids. I. Fundamental issues and free energy surfaces of bubble and droplet formation. AB - The free energy of forming a droplet and a bubble with a given particle number n and volume v within the pure-component Lennard-Jones supercooled vapor and superheated liquid, respectively, are further explored using density-functional theory. Similar to what was found previously [M. J. Uline and D. S. Corti, Phys. Rev. Lett. 99, 076102 (2007); M. J. Uline and D. S. Corti, J. Chem. Phys. 129, 234507 (2008)], the limits of stability again appear within both free energy surfaces evaluated at two other metastability conditions, one closer to the binodal and one closer to the spinodal. Furthermore, an ad hoc bond connectivity criterion is also applied in an attempt, however approximately, to eliminate certain configurational redundancies that arise from the chosen droplet and bubble definitions. What results are free energy surfaces describing the formation of equilibrium embryos that should be an improved representation of the fluctuations that are relevant to those nonequilibrium embryos seen in an actual nucleation event. Finally, we discuss in some detail the use of the (n,v) reaction coordinate within the framework of an equilibrium-based theory and its relation to other descriptions of nucleation. PMID- 21054056 TI - Homogeneous nucleation and growth in simple fluids. II. Scaling behavior, instabilities, and the (n,v) order parameter. AB - The free energy of forming a droplet and a bubble with a given number of particles n inside a volume v within the pure component Lennard-Jones supercooled vapor and superheated liquid, respectively, is further explored using density functional theory. Certain key aspects of the free energy surface for bubble formation, such as the radius of the bubble at a stability limit, are found to scale in a nearly temperature independent manner when plotted versus a parameter that quantifies the location of the given state point in the metastable region. The corresponding work at this stability limit exhibits scaling for small values of n, but shows a strong temperature dependence for large n. No aspect of the free energy surface for droplet formation shows scaling over the full range of metastability conditions, including the work of forming the critical droplet and the radius of a droplet at its stability limit. Hence, there is no "universal" surface for embryo formation in metastable fluids. We also generate by thermodynamic arguments alone droplet and bubble trajectories along the corresponding free energy surfaces that avoid by construction the locus of instabilities, which match quite well the results obtained from other approaches. We also discuss in greater detail the use of the (n,v) order parameter within an equilibrium-based description of embryo formation, focusing on why the density profile of the embryo is found to be discontinuous at the embryo surface and why stability limits are expected to develop at certain bubble radii. PMID- 21054057 TI - Al3+, Ca2+, Mg2+, and Li+ in aqueous solution: calculated first-shell anharmonic OH vibrations at 300 K. AB - The anharmonic OH stretching vibrational frequencies, nu(OH), for the first-shell water molecules around the Li(+), Ca(2+), Mg(2+), and Al(3+) ions in dilute aqueous solutions have been calculated based on classical molecular dynamics (MD) simulations and quantum-mechanical (QM) calculations. For Li(+)(aq), Ca(2+)(aq), Mg(2+)(aq), and Al(3+)(aq), our calculated IR frequency shifts, Deltanu(OH), with respect to the gas-phase water frequency, are about -300, -350, -450, and -750 cm(-1), compared to -290, -290, -420, and -830 cm(-1) from experimental infrared (IR) studies. The agreement is thus quite good, except for the order between Li(+) and Ca(2+). Given that the polarizing field from the Ca(2+) ion ought to be larger than that from Li(+)(aq), our calculated result seems reasonable. Also the absolute OH frequencies agree well with experiment. The method we used is a sequential four-step procedure: QM(electronic) to make a force field+MD simulation+QM(electronic) for point-charge-embedded M(n+) (H(2)O)(y) (second shell) (H(2)O)(z) (third shell) clusters+QM(vibrational) to yield the OH spectrum. The many-body Ca(2+)-water force-field presented in this paper is new. IR intensity-weighting of the density-of-states frequency distributions was carried out by means of the squared dipole moment derivatives. PMID- 21054058 TI - Physical aging of molecular glasses studied by a device allowing for rapid thermal equilibration. AB - Aging to the equilibrium liquid state of organic glasses is studied. The glasses were prepared by cooling the liquid to temperatures just below the glass transition. Aging following a temperature jump was studied by measuring the dielectric loss at a fixed frequency using a microregulator in which temperature is controlled by means of a Peltier element. Compared to conventional equipment, the new device adds almost two orders of magnitude to the span of observable aging times. Data for the following five glass-forming liquids are presented: dibutyl phthalate, diethyl phthalate, 2,3-epoxy propyl-phenyl-ether, 5-polyphenyl ether, and triphenyl phosphite. The aging data were analyzed using the Tool Narayanaswamy formalism. The following features are found for all five liquids: (1) The liquid has an "internal clock," a fact that is established by showing that aging is controlled by the same material time that controls the dielectric properties. (2) There are no so-called expansion gaps between the long-time limits of the relaxation rates following up and down jumps to the same temperature. (3) At long times, the structural relaxation appears to follow a simple exponential decay. (4) For small temperature steps, the rate of the long time exponential structural relaxation is identical to that of the long-time decay of the dipole autocorrelation function. PMID- 21054059 TI - Does viscosity describe the kinetic barrier for crystal growth from the liquidus to the glass transition? AB - An analysis of the kinetic coefficient of crystal growth U(kin), recently proposed by Ediger et al. [J. Chem. Phys. 128, 034709 (2008)], indicates that the Stokes-Einstein/Eyring (SE/E) equation does not describe the diffusion process controlling crystal growth rates in fragile glass-forming liquids. U(kin) was defined using the normal growth model and tested for crystal data for inorganic and organic liquids covering a viscosity range of about 10(4)-10(12) Pa s. Here, we revisit their interesting finding considering two other models: the screw dislocation (SD) and the two-dimensional surface nucleated (2D) growth models for nine undercooled oxide liquids, in a wider temperature range, from slightly below the melting point down to the glass transition region T(g), thus covering a wider viscosity range: 10(1)-10(13) Pa s. We then propose and use normalized kinetic coefficients (M(kin)) for the SD and 2D growth models. These new kinetic coefficients restore the ability of viscosity to describe the transport part of crystal growth rates (M(kin)~1/eta and xi~1) from low to moderate viscosities (eta<10(6) Pa s), and thus the SE/E equation works well in this viscosity range for all systems tested. For strong glasses, the SE/E equation works well from low to high viscosities, from the melting point down to T(g)! However, for at least three fragile liquids, diopside (kink at 1.08T(g), eta=1.6*10(8) Pa s), lead metasilicate (kink at 1.14T(g), eta=4.3*10(6) Pa s), and lithium disilicate (kink at 1.11T(g), eta=1.6*10(8) Pa s), there are clear signs of a breakdown of the SE/E equation at these higher viscosities. Our results corroborate the findings of Ediger et al. and demonstrate that viscosity data cannot be used to describe the transport part of the crystal growth (via the SE/E equation) in fragile glasses in the neighborhood of T(g). PMID- 21054060 TI - Nonmonotoic fluctuation-induced interactions between dielectric slabs carrying charge disorder. AB - We investigate the effect of monopolar charge disorder on the classical fluctuation-induced interactions between randomly charged net-neutral dielectric slabs and discuss various generalizations of recent results [A. Naji et al., Phys. Rev. Lett. 104, 060601 (2010)] to highly inhomogeneous dielectric systems with and without statistical disorder correlations. We shall focus on the specific case of two generally dissimilar plane-parallel slabs, which interact across vacuum or an arbitrary intervening dielectric medium. Monopolar charge disorder is considered to be present on the bounding surfaces and/or in the bulk of the slabs, may be in general quenched or annealed and may possess a finite lateral correlation length reflecting possible "patchiness" of the random charge distribution. In the case of quenched disorder, the bulk disorder is shown to give rise to an additive long-range contribution to the total force, which decays as the inverse distance between the slabs and may be attractive or repulsive depending on the dielectric constants of the slabs. By contrast, the force induced by annealed disorder in general combines with the underlying van der Waals forces in a nonadditive fashion, and the net force decays as an inverse cube law at large separations. We show, however, that in the case of two dissimilar slabs, the net effect due to the interplay between the disorder induced and the pure van der Waals interactions can lead to a variety of unusual nonmonotonic interaction profiles between the dielectric slabs. In particular, when the intervening medium has a larger dielectric constant than the two slabs, we find that the net interaction can become repulsive and exhibit a potential barrier, while the underlying van der Waals force is attractive. On the contrary, when the intervening medium has a dielectric constant between that of the two slabs, the net interaction can become attractive and exhibit a free energy minimum, while the pure van der Waals force is repulsive. Therefore, the charge disorder, if present, can drastically alter the effective interaction between net neutral objects. PMID- 21054061 TI - Roles of radical characters of pristine and nitrogen-substituted hydrographene in dioxygen bindings. AB - We investigate by means of density functional theory (DFT) calculations how hydrogen-terminated graphenes (hydrographenes) with and without nitrogen impurities interact with dioxygen. The current study aims at searching whether hydrographenes can be utilized as cathode catalysts in fuel cell with a focus on dioxygen binding, the first step in oxygen reduction reaction (ORR). If hydrographenes have a nanometer-size rhombic structure with zigzag edges, unpaired electrons are localized at their edges with or without the nitrogen impurities. Spin localization comes from frontier orbitals of the nanometer-size hydrographenes whose amplitudes appear only at their edges. Due to their radical characters, dioxygen can bind to an edge carbon atom of the hydrographenes under the condition where fuel cell is usually operated. There are two types of dioxygen binding into a hydrographene: one is a Pauling fashion where one C-O bond is formed and the other is a bridging fashion with two formed C-O bonds. In the bridging fashion, the formation of the two C-O bonds activates dioxygen, and then radical characters of the oxygen atoms completely disappear. In contrast, the Pauling fashions retain an unpaired electron on the oxygen atom that does not participate to the C-O bond formation. The existence of radical oxygen atoms would facilitate the next step in ORR (the initial proton transfer to an adsorbed dioxygen), whereas such facilitative effects cannot be seen in its absence. According to DFT calculations, the Pauling-type bindings are always energetically preferred over the bridging-type bindings. In particular, the C->N substitution enhances the preferences of the Pauling-type binding over the bridging-type binding compared with the pristine case. Accordingly DFT calculations demonstrate that radical characters of edge carbons of a nanometer-sized rhombic hydrographene play a crucial role in dioxygen bindings in a Pauling fashion that would be responsible for enhancing the catalytic activity in fuel cell. PMID- 21054062 TI - Entropy and dynamics of water in hydration layers of a bilayer. AB - We compute the entropy and transport properties of water in the hydration layer of dipalmitoylphosphatidylcholine bilayer by using a recently developed theoretical scheme [two-phase thermodynamic model, termed as 2PT method; S.-T. Lin et al., J. Chem. Phys. 119, 11792 (2003)] based on the translational and rotational velocity autocorrelation functions and their power spectra. The weights of translational and rotational power spectra shift from higher to lower frequency as one goes from the bilayer interface to the bulk. Water molecules near the bilayer head groups have substantially lower entropy (48.36 J/mol/K) than water molecules in the intermediate region (51.36 J/mol/K), which have again lower entropy than the molecules (60.52 J/mol/K) in bulk. Thus, the entropic contribution to the free energy change (TDeltaS) of transferring an interface water molecule to the bulk is 3.65 kJ/mol and of transferring intermediate water to the bulk is 2.75 kJ/mol at 300 K, which is to be compared with 6.03 kJ/mol for melting of ice at 273 K. The translational diffusion of water in the vicinity of the head groups is found to be in a subdiffusive regime and the rotational diffusion constant increases going away from the interface. This behavior is supported by the slower reorientational relaxation of the dipole vector and OH bond vector of interfacial water. The ratio of reorientational relaxation time for Legendre polynomials of order 1 and 2 is approximately 2 for interface, intermediate, and bulk water, indicating the presence of jump dynamics in these water molecules. PMID- 21054063 TI - Observation of the missing mode effect in a poly-phenylenevinylene derivative: effect of solvent, chain packing, and composition. AB - Optical emission spectra of poly[2-methoxy-5-[3('),7(')-dimethyloctyloxy)-1,4 phenylenevinylene] (MDMO-PPV) in dilute solutions exhibit a vibronic progression interval (~1225 cm(-1)) that does not correspond to any ground state vibrational mode frequency. This phenomenon is assigned as the missing mode effect (MIME) in which five key displaced polymer backbone vibrational modes in the range of 800 1600 cm(-1) contribute to the MIME interval. Emission spectra are calculated by analytically solving the time-dependent Schrodinger equation using estimates of mode-specific vibrational displacements determined independently from preresonance Raman intensities. Emission spectra of MDMO-PPV thin films and nanoparticles are measured and lineshapes show an increase of the MIME frequency to ~1340 cm(-1) in addition to changes in vibronic intensity distributions and energies. Composite blend thin films consisting of MDMO-PPV and a fullerene derivative (1:1 w/w) exhibit a substantially larger MIME interval (~1450 cm(-1)) that arises from an increase in polymer chain planarity. This structural change is most apparent from large decreases of the excited state displacement of an out of-plane C-H bending mode (961 cm(-1)) that becomes forbidden in the planar structure. PMID- 21054064 TI - Chain contraction and nonlinear stress damping in primitive chain network simulations. AB - Doi and Edwards (DE) proposed that the relaxation of entangled linear polymers under large deformation occurs in two steps: the fast chain contraction (via the longitudinal Rouse mode of the chain backbone) and the slow orientational relaxation (due to reptation). The DE model assumes these relaxation processes to be independent and decoupled. However, this decoupling is invalid for a generalized convective constraint release (CCR) mechanism that releases the entanglement on every occasion of the contraction of surrounding chains. Indeed, the decoupling does not occur in the sliplink models where the entanglement is represented by the binary interaction (hooking) of chains. Thus, we conducted primitive chain network simulations based on a multichain sliplink model to investigate the chain contraction under step shear. The simulation quantitatively reproduced experimental features of the nonlinear relaxation modulus G(t,gamma). Namely, G(t,gamma) was cast in the time-strain separable form, G(t,gamma)=h(gamma)G(t) with h(gamma)=damping function and G(t)=linear modulus, but this rigorous separability was valid only at times t comparable to the terminal relaxation time, although a deviation from this form was rather small (within +/-10%) at t>tau(R) (longest Rouse relaxation time). A molecular origin of this delicate failure of time-strain separability at t~tau(R) was examined for the chain contour length, subchain length, and subchain stretch. These quantities were found to relax in three steps, the fast, intermediate, and terminal steps, governed by the local force balance between the subchains, the longitudinal Rouse relaxation, and the reptation, respectively. The contributions of the terminal reptative mode to the chain length relaxation as well as the subchain length/stretch relaxation, not considered in the original DE model, emerged because the sliplinks (entanglement) were removed via the generalized CCR mechanism explained above and the reformation of the sliplinks was slow at around the chain center compared to the more rapidly fluctuating chain end. The number of monomers in the subchain were kept larger at the chain center than at the chain end because of the slow entanglement reformation at the center, thereby reducing the tension of the stretched subchain at the chain center compared to the DE prediction. This reduction of the tension at the chain center prevented completion of the length equilibration of subchains at t~tau(R) (which contradicts to the DE prediction), and it forces the equilibration to complete through the reptative mode at t?tau(R). The delicate failure of time-strain separability seen for G(t,gamma) at t~tau(R) reflects this retarded length equilibration. PMID- 21054065 TI - Field-theoretic model of inhomogeneous supramolecular polymer networks and gels. AB - We present a field-theoretic model of the gelation transition in inhomogeneous reversibly bonding systems and demonstrate that our model reproduces the classical Flory-Stockmayer theory of gelation in the homogeneous limit. As an illustration of our model in the context of inhomogeneous gelation, we analyze the mean-field behavior of an equilibrium system of reacting trifunctional units in a good solvent confined within a slit bounded by parallel, repulsive walls. Our results indicate higher conversions and, consequently, higher concentrations of gel following the gelation transition near the center of the slit relative to the edges. PMID- 21054066 TI - Effect of confinement on the collapsing mechanism of a flexible polymer chain. AB - In this paper, Brownian dynamics simulation (BDS) studies are executed to demonstrate the distinctive influences of the extent of confinement on the collapsing mechanism and kinetics of a flexible hydrophobic polymer chain in a poor solvent. The collapsing behavior is quantified by the time of collapse, which below a critical dimension of the confinement (h(c)), encounters a drastic reduction with a further strengthening in the degree of confinement. For dimensions greater than this critical one, the collapse occurs through the well known hydrodynamic interaction (HI) controlled multiple-globule-mediated mechanisms. However, for channel dimensions less than this critical one, the collapse mechanism is drastically altered. Under such circumstances, the collapse gets predominantly controlled by the confinement effects (with negligible contribution of the HIs) and occurs via the formation of a single central globule. This central globule rapidly engulfs the noncondensed polymer segments, and in the process largely hastens up the collapsing event. Under such circumstances, the collapse time is found to decrease linearly with decrements in the channel height. On the contrary, for channel heights greater than h(c), the multiple-globule-mediated collapse is characterized by a collapse time that shows an exponential dependence on the channel height, rapidly attaining a state in which the confinement effect becomes inconsequential and HIs dictate the entire collapsing behavior. We further propose detailed arguments based on physical reasoning as well as free energy estimations to conclusively support the qualitative and quantitative nature of influences of the confinement on the polymer collapse. PMID- 21054067 TI - Aggregation of amphiphilic polymers in the presence of adhesive small colloidal particles. AB - The interaction of amphiphilic polymers with small colloids, capable to reversibly stick onto the chains, is studied. Adhesive small colloids in solution are able to dynamically bind two polymer segments. This association leads to topological changes in the polymer network configurations, such as looping and cross-linking, although the reversible adhesion permits the colloid to slide along the chain backbone. Previous analyses only consider static topologies in the chain network. We show that the sliding degree of freedom ensures the dominance of small loops, over other structures, giving rise to a new perspective in the analysis of the problem. The results are applied to the analysis of the equilibrium between colloidal particles and star polymers, as well as to block copolymer micelles. The results are relevant for the reversible adsorption of silica particles onto hydrophilic polymers, used in the process of formation of mesoporous materials of the type SBA or MCM, cross-linked cyclodextrin molecules threading on the polymers and forming the structures known as polyrotaxanes. Adhesion of colloids on the corona of the latter induce micellization and growth of larger micelles as the number of colloids increase, in agreement with experimental data. PMID- 21054068 TI - The axial methionine ligand may control the redox reorganizations in the active site of blue copper proteins. AB - Structural and energetic reorganizations in redox reaction of type 1 copper proteins are studied by density functional and ab initio molecular orbital calculations. Model complexes of the active site with varying number of ligands, from Cu(SCH(3))(0/+) to Cu(SCH(3))(Im)(2)(S(CH(3))(2))(0/+), where Im denotes imidazole, are investigated. Following the findings of structural instability in Cu(I)(SCH(3))(Im)(2) and its stabilization by the addition of the axial methionine (Met) ligand model, the structure and energetics are examined as functions of the Cu-S(Met) distance in the range of 2.1-3.3 A. The reorganization energies in both redox states exhibit a minimum at the Cu-S(Met) distance of ~2.4 A, whereas the ionization potential increases monotonically. The changes of reorganization energies correlate well with one of the Cu-N(His) distances rather than the Cu-S(Cys) distance. The estimated Arrhenius factor for oxidation of plastocyanin by P700(+) (in photosystem I) changes by an order of magnitude when the Cu-S(Met) distance fluctuates between 2.4 and 3.0 A, whereas the factor for reduction of plastocyanin by cytochrome f is nearly constant. Together with the data from our previous classical molecular dynamics simulation of solvated protein, we argue that the electron transfer rate is affected, and thus may be controlled, by the fluctuation of a weakly bound axial Met ligand. We also present the assessment of various exchange-correlation functionals, including those with the long-range correction, against the CCSD(T) reference and on the basis of a perturbative adiabatic connection model. For Cu(SCH(3)) and Cu(SCH(3))(Im), simple correlations have been found between the reorganization energies and the amount of Hartree-Fock exchange. PMID- 21054069 TI - Surface layer protein characterization by small angle x-ray scattering and a fractal mean force concept: from protein structure to nanodisk assemblies. AB - Surface layers (S-layers) are the most commonly observed cell surface structure of prokaryotic organisms. They are made up of proteins that spontaneously self assemble into functional crystalline lattices in solution, on various solid surfaces, and interfaces. While classical experimental techniques failed to recover a complete structural model of an unmodified S-layer protein, small angle x-ray scattering (SAXS) provides an opportunity to study the structure of S-layer monomers in solution and of self-assembled two-dimensional sheets. For the protein under investigation we recently suggested an atomistic structural model by the use of molecular dynamics simulations. This structural model is now refined on the basis of SAXS data together with a fractal assembly approach. Here we show that a nondiluted critical system of proteins, which crystallize into monomolecular structures, might be analyzed by SAXS if protein-protein interactions are taken into account by relating a fractal local density distribution to a fractal local mean potential, which has to fulfill the Poisson equation. The present work demonstrates an important step into the elucidation of the structure of S-layers and offers a tool to analyze the structure of self assembling systems in solution by means of SAXS and computer simulations. PMID- 21054073 TI - Three-dimensional optical imaging of microvascular networks within intact lymph node in vivo. AB - Sentinel lymph nodes (SLNs) are the first lymph nodes to drain wastes originated from cancerous tissue. There is a need for an in vivo imaging method that can image the intact SLN to further our understanding of its normal as well as abnormal functions. We report the use of ultrahigh sensitive optical microangiography (UHS-OMAG) to image functional microvascular and lymphatic vessel networks that innervate the intact lymph node in mice in vivo. The promising results show a potential role of UHS-OMAG in the future understanding and diagnosis of the SLN involvement in cancer development. PMID- 21054074 TI - Cancer-cell microsurgery using nonlinear optical endomicroscopy. AB - Near-infrared laser-based microsurgery is promising for noninvasive cancer treatment. To make it a safe technique, a therapeutic process should be controllable and energy efficient, which requires the cancer cells to be identifiable and observable. In this work, for the first time we use a miniaturized nonlinear optical endomicroscope to achieve microtreatment of cancer cells labeled with gold nanorods. Due to the high two-photon-excited photoluminescence of gold nanorods, HeLa cells inside a tissue phantom up to 250 MUm deep can be imaged by the nonlinear optical endomicroscope. This facilitates microsurgery of selected cancer cells by inducing instant damage through the necrosis process, or by stopping cell proliferation through the apoptosis process. The results indicate that a combination of nonlinear endomicroscopy with gold nanoparticles is potentially viable for minimally invasive cancer treatment. PMID- 21054075 TI - Pioneers in biomedical optics: special section honoring Professor Tayyaba Hasan. PMID- 21054076 TI - Comparing implementations of magnetic-resonance-guided fluorescence molecular tomography for diagnostic classification of brain tumors. AB - Fluorescence molecular tomography (FMT) systems coupled to conventional imaging modalities such as magnetic resonance imaging (MRI) and computed tomography provide unique opportunities to combine data sets and improve image quality and content. Yet, the ideal approach to combine these complementary data is still not obvious. This preclinical study compares several methods for incorporating MRI spatial prior information into FMT imaging algorithms in the context of in vivo tissue diagnosis. Populations of mice inoculated with brain tumors that expressed either high or low levels of epidermal growth factor receptor (EGFR) were imaged using an EGF-bound near-infrared dye and a spectrometer-based MRI-FMT scanner. All data were spectrally unmixed to extract the dye fluorescence from the tissue autofluorescence. Methods to combine the two data sets were compared using student's t-tests and receiver operating characteristic analysis. Bulk fluorescence measurements that made up the optical imaging data set were also considered in the comparison. While most techniques were able to distinguish EGFR(+) tumors from EGFR(-) tumors and control animals, with area-under-the-curve values=1, only a handful were able to distinguish EGFR(-) tumors from controls. Bulk fluorescence spectroscopy techniques performed as well as most imaging techniques, suggesting that complex imaging algorithms may be unnecessary to diagnose EGFR status in these tissue volumes. PMID- 21054077 TI - Quantitative imaging reveals heterogeneous growth dynamics and treatment dependent residual tumor distributions in a three-dimensional ovarian cancer model. AB - Three-dimensional tumor models have emerged as valuable in vitro research tools, though the power of such systems as quantitative reporters of tumor growth and treatment response has not been adequately explored. We introduce an approach combining a 3-D model of disseminated ovarian cancer with high-throughput processing of image data for quantification of growth characteristics and cytotoxic response. We developed custom MATLAB routines to analyze longitudinally acquired dark-field microscopy images containing thousands of 3-D nodules. These data reveal a reproducible bimodal log-normal size distribution. Growth behavior is driven by migration and assembly, causing an exponential decay in spatial density concomitant with increasing mean size. At day 10, cultures are treated with either carboplatin or photodynamic therapy (PDT). We quantify size-dependent cytotoxic response for each treatment on a nodule by nodule basis using automated segmentation combined with ratiometric batch-processing of calcein and ethidium bromide fluorescence intensity data (indicating live and dead cells, respectively). Both treatments reduce viability, though carboplatin leaves micronodules largely structurally intact with a size distribution similar to untreated cultures. In contrast, PDT treatment disrupts micronodular structure, causing punctate regions of toxicity, shifting the distribution toward smaller sizes, and potentially increasing vulnerability to subsequent chemotherapeutic treatment. PMID- 21054078 TI - Binding to and photo-oxidation of cardiolipin by the phthalocyanine photosensitizer Pc 4. AB - Cardiolipin is a unique phospholipid of the mitochondrial inner membrane. Its peroxidation correlates with release of cytochrome c and induction of apoptosis. The phthalocyanine photosensitizer Pc 4 binds preferentially to the mitochondria and endoplasmic reticulum. Earlier Forster resonance energy transfer studies showed colocalization of Pc 4 and cardiolipin, which suggests cardiolipin as a target of photodynamic therapy (PDT) with Pc 4. Using liposomes as membrane models, we find that Pc 4 binds to cardiolipin-containing liposomes similarly to those that do not contain cardiolipin. Pc 4 binding is also studied in MCF-7c3 cells and those whose cardiolipin content was reduced by treatment with palmitate. Decreased levels of cardiolipin are quantified by thin-layer chromatography. The similar level of binding of Pc 4 to cells, irrespective of palmitate treatment, supports the lack of specificity of Pc 4 binding. Thus, factors other than cardiolipin are likely responsible for the preferential localization of Pc 4 in mitochondria. Nonetheless, cardiolipin within liposomes is readily oxidized by Pc 4 and light, yielding apparently mono- and dihydroperoxidized cardiolipin. If similar products result from exposure of cells to Pc 4-PDT, they could be part of the early events leading to apoptosis following Pc 4-PDT. PMID- 21054079 TI - On the use of fluorescence probes for detecting reactive oxygen and nitrogen species associated with photodynamic therapy. AB - Fluorescent probes are frequently employed for the detection of different reactive oxygen and nitrogen species formed during the irradiation of photosensitized cells and tissues. Investigators often interpret the results in terms of information provided with the different probes without examining specificity or determinants of fluorogenic reactions. We examine five fluorescent probes in a cell-free system: reduced 2',7'-dichlorofluorescein, dihydroethidine, dihydrorhodamine, 3'-(p aminophenyl) fluorescein (APF), and 4',5' diaminofluorescein. Of these, only APF demonstrates a high degree of specificity for a single reactive species. There is a substantial influence of peroxidase activity on all fluorogenic interactions. The fluorescence of the photosensitizing agent also must be taken into account in evaluating results. PMID- 21054080 TI - High-magnification vascular imaging to reject false-positive sites in situ during Hexvix(r) fluorescence cystoscopy. AB - Fluorescence imaging for detection of non-muscle-invasive bladder cancer is based on the selective production and accumulation of fluorescing porphyrins-mainly, protoporphyrin IX-in cancerous tissues after the instillation of Hexvix(r). Although the sensitivity of this procedure is very good, its specificity is somewhat limited due to fluorescence false-positive sites. Consequently, magnification cystoscopy has been investigated in order to discriminate false from true fluorescence positive findings. Both white-light and fluorescence modes are possible with the magnification cystoscope, allowing observation of the bladder wall with magnification ranging between 30* for standard observation and 650*. The optical zooming setup allows adjusting the magnification continuously in situ. In the high-magnification (HM) regime, the smallest diameter of the field of view is 600 microns and the resolution is 2.5 microns when in contact with the bladder wall. With this cystoscope, we characterized the superficial vascularization of the fluorescing sites in order to discriminate cancerous from noncancerous tissues. This procedure allowed us to establish a classification based on observed vascular patterns. Seventy-two patients subject to Hexvix(r) fluorescence cystoscopy were included in the study. Comparison of HM cystoscopy classification with histopathology results confirmed 32/33 (97%) cancerous biopsies and rejected 17/20 (85%) noncancerous lesions. PMID- 21054081 TI - Noninvasive fluorescence monitoring of protoporphyrin IX production and clinical outcomes in actinic keratoses following short-contact application of 5 aminolevulinate. AB - Topical 5-aminolevulinic acid (ALA) is widely used in photodynamic therapy (PDT) of actinic keratoses (AK), a type of premalignant skin lesion. However, the optimal time between ALA application and exposure to light has not been carefully investigated. Our objective is to study the kinetics of protoporphyrin IX (PpIX) accumulation in AK after short contact ALA and relate this to erythemal responses. Using a noninvasive dosimeter, PpIX fluorescence measurements (5 replicates) were taken at 20-min intervals for 2 h following ALA application, in 63 AK in 20 patients. Data were analyzed for maximal fluorescent signal obtained, kinetic slope, and changes in erythema. Our results show that PpIX accumulation was linear over time, becoming statistically higher than background in 48% of all lesions by 20 min, 92% of lesions by 1 h, and 100% of lesions by 2 h. PpIX accumulation was roughly correlated with changes in lesional erythema post-PDT. We conclude that significant amounts of PpIX are produced in all AK lesions by 2 h. The linear kinetics of accumulation suggest that shorter ALA application times may be efficacious in many patients. Noninvasive fluorescence monitoring of PpIX may be useful to delineate areas of high PpIX accumulation within precancerous areas of the skin. PMID- 21054082 TI - How tissue optics affect dosimetry of photodynamic therapy. AB - We describe three lessons learned about how tissue optics affect the dosimetry of red to near-infrared treatment light during PDT, based on working with Dr. Tayyaba Hasan. Lesson 1-The optical fluence rate phi near the tissue surface exceeds the delivered irradiance (E). A broad beam penetrates into tissue to a depth (z) as phi=Eke(-MUz), with an attenuation constant MU and a backscatter term k. In tissues, k is typically in the range 3-5, and 1/MU equals delta, the 1/e optical penetration depth. Lesson 2-Edge losses at the periphery of a uniform treatment beam extend about 3delta from the beam edge. If the beam diameter exceeds 6delta, then there is a central zone of uniform fluence rate in the tissue. Lesson 3-The depth of treatment is linearly proportional to delta (and the melanin content of pigmented epidermis in skin) while proportional to the logarithm of all other factors, such as irradiance, exposure time, or the photosensitizer properties (concentration, extinction coefficient, quantum yield for oxidizing species). The lessons illustrate how tissue optics play a dominant role in specifying the treatment zone during PDT. PMID- 21054083 TI - Relationship between wave aberrations and histological features in ex vivo porcine crystalline lenses. AB - Wave aberrations of isolated ex vivo porcine crystalline lenses were measured by using a point-diffraction interferometer. This method allowed us to gain greater insight into the detailed aberration structure of eye lenses showing systematic presence of some dominant aberrations. In order of significance, astigmatism together with spherical aberration, coma, and trefoil are the main aberrations present in all lenses. We found a high correlation between the axis of both astigmatism and trefoil with the Y-shaped suture planes of the lens, revealing a subtle relationship between the induced aberrations and the histological features. PMID- 21054084 TI - Molecular basis for optical clearing of collagenous tissues. AB - Molecular interactions of optical clearing agents were investigated using a combination of molecular dynamics (MD) simulations and optical spectroscopy. For a series of sugar alcohols with low to high optical clearing potential, Raman spectroscopy and integrating sphere measurements were used to quantitatively characterize tissue water loss and reduction in light scattering following agent exposures. The rate of tissue water loss was found to correlate with agent optical clearing potential, but equivalent tissue optical clearing was measured in native and fixed tissue in vitro, given long-enough exposure times to the polyol series. MD simulations showed that the rate of tissue optical clearing correlated with the preferential formation of hydrogen bond bridges between agent and collagen. Hydrogen bond bridge formation disrupts the collagen hydration layer and facilitates replacement by a chemical agent to homogenize tissue refractive index. However, the reduction in tissue light scattering did not correlate with the agent index of refraction. Our results suggest that a necessary property of optical clearing agents is hyperosmolarity to tissue, but that the most effective agents with the highest rates of optical clearing are a subset with the highest collagen solubilities. PMID- 21054085 TI - Optical characteristics of cartilage at a wavelength of 1560 nm and their dynamic behavior under laser heating conditions. AB - A double-integrating-sphere system was used to measure the diffuse transmittance, diffuse reflectance, and collimated transmittance of cartilage and polyacrylamide hydrogel samples as a function of temperature under 1560-nm laser heating conditions. The dynamic behavior of the absorption and scattering coefficients and scattering anisotropy of the biomaterials was calculated by the inverse Monte Carlo method. The absorption coefficient of the cartilage and hydrogel samples proved to be linear in temperature. Raising the temperature of the cartilage samples to 80 degrees C caused their absorption coefficient to decrease by some 25%. The temperature-induced change of the absorption spectrum of the interstitial water was found to be responsible for the clarification of the cartilage tissue observed to occur under 1560-nm laser heating conditions. The temperature field produced in the tissue by the laser energy deposited therein was calculated using a bioheat transfer equation with temperature-dependent parameters. The calculation results demonstrated that the temperature-induced changes of the optical parameters of biological tissues should be taken into account to make their 1560-nm laser treatment effective and safe. PMID- 21054086 TI - Rat muscle opacity decrease due to the osmosis of a simple mixture. AB - It is known that the fibrous structure of muscle causes light scattering. This phenomenon occurs due to the refractive index discontinuities located between muscle fibers and interstitial fluid. To study the possibility of reducing light scattering inside muscle, we consider its spectral transmittance evolution during an immersion treatment with an optical clearing solution containing ethanol, glycerol, and distilled water. Our methodology consists of registering spectral transmittance of muscle samples while immersed in that solution. With the spectral data collected, we represent the transmittance evolution for some wavelengths during the treatment applied. Additionally, we study the variations that the treatment has caused on the samples regarding tissue refractive index and mass. By analyzing microscopic photographs of tissue cross section, we can also verify changes in the internal arrangement of muscle fibers caused by the immersion treatment. Due to a mathematical model that we develop, we can explain the variations observed in the studied parameters and estimate the amount of optical clearing agent that has diffused into the tissue samples during the immersion treatment. At the end of the study, we observe and explain the improvement in tissue spectral transmittance, which is approximately 65% after 20 min. PMID- 21054087 TI - Influence of osmolarity on the optical properties of human erythrocytes. AB - Plasma osmolarity influences the volume and shape of red blood cells (RBCs). The volume change is inversely related to the hemoglobin concentration and as a consequence to the complex refractive index within the cell. These morphological changes can be linked to changes in the optical behavior of the cells. The optical parameters, absorption coefficient MUa, scattering coefficient MUs, and effective scattering phase function of red blood cells are investigated in dependence on osmolarity in the spectral range from 250 to 1100 nm. Integrating sphere measurements of light transmittance and reflectance in combination with inverse Monte-Carlo simulations are carried out for osmolarities from 225 to 400 mosmol/L. Osmolarity changes have a significant influence on the optical parameters, which can in part be explained by changes in the complex refractive index, cell shape, and cell volume. Spherical forms of RBCs induced by low osmolarity show reduced scattering effects compared to the normal RBC biconcave disk shape. Spinocytes, which are crenated erythrocytes induced by high osmolarity, show the highest scattering effects. Even only a 10% change in osmolarity has a drastic influence on the optical parameters, which appears to be of the same order as for 10% hematocrit and oxygen saturation changes. PMID- 21054088 TI - Far-field superposition method for three-dimensional computation of light scattering from multiple cells. AB - A linear coherent superposition method for estimating the plane wave far-field scattering pattern from multiple biological cells computed by the finite difference time-domain (FDTD) method is presented. The method enables the FDTD simulation results of scattering from a small number of complex scatterers, such as biological cells, to be used to estimate the far-field pattern from a large group of those same scatterers. The superposition method can be used to reduce the computational cost of FDTD simulations by enabling a single large scattering problem to be broken into smaller problems with more practical computational requirements. It is found that the method works best in cases where there is little multiple scattering interaction between adjacent cells, so the far-field pattern of multicell geometry can simply be calculated as a phase-adjusted linear superposition of the scattering from individual cells. A strategy is also presented for choosing the minimum number of cells in cases with significant multiple scattering interactions between cells. PMID- 21054089 TI - Fast Monte Carlo simulations of ultrasound-modulated light using a graphics processing unit. AB - Ultrasound-modulated optical tomography (UOT) is based on "tagging" light in turbid media with focused ultrasound. In comparison to diffuse optical imaging, UOT can potentially offer a better spatial resolution. The existing Monte Carlo (MC) model for simulating ultrasound-modulated light is central processing unit (CPU) based and has been employed in several UOT related studies. We reimplemented the MC model with a graphics processing unit [(GPU), Nvidia GeForce 9800] that can execute the algorithm up to 125 times faster than its CPU (Intel Core Quad) counterpart for a particular set of optical and acoustic parameters. We also show that the incorporation of ultrasound propagation in photon migration modeling increases the computational time considerably, by a factor of at least 6, in one case, even with a GPU. With slight adjustment to the code, MC simulations were also performed to demonstrate the effect of ultrasonic modulation on the speckle pattern generated by the light model (available as animation). This was computed in 4 s with our GPU implementation as compared to 290 s using the CPU. PMID- 21054090 TI - Influence of the absorption behavior of sunscreens in the short-wavelength UV range (UVB) and the long-wavelength UV range (UVA) on the relation of the UVB absorption to sun protection factor. AB - The absorption of filter substances in sunscreens, reducing the incident ultraviolet (UV) radiation, is the basis for the protecting ability of such formulations. The erythema-correlated sun protection factor (SPF), depending mainly on the intensity of the UVB radiation, is the common value to quantify the efficacy of the formulations avoiding sunburn. An ex vivo method combining tape stripping and optical spectroscopy is applied to measure the absorption of sunscreens in the entire UV spectral range. The obtained relations between the short-wavelength UV (UVB) absorption and the SPF confirm a clear influence of the long-wavelength UV (UVA) absorption on the SPF values. The data reflect the historical development of the relation of the concentration of UVB and UVA filters in sunscreens and points to the influence of additional ingredients, e.g., antioxidants and cell-protecting agents on the efficacy of the products. PMID- 21054091 TI - Hemoglobin degradation in human erythrocytes with long-duration near-infrared laser exposure in Raman optical tweezers. AB - Near-infrared laser (785-nm)-excited Raman spectra from a red blood cell, optically trapped using the same laser beam, show significant changes as a function of trapping duration even at trapping power level of a few milliwatts. These changes in the Raman spectra and the bright-field images of the trapped cell, which show a gradual accumulation of the cell mass at the trap focus, suggest photoinduced aggregation of intracellular heme. The possible role of photoinduced protein denaturation and hemichrome formation in the observed aggregation of heme is discussed. PMID- 21054092 TI - Influence of linear birefringence in the computation of scattering phase functions. AB - Birefringent media, like biological tissues, are usually assumed to be uniaxial. For biological tissues, the influence of linear birefringence on the scattering phase function is assumed to be neglectable. In order to examine this, a numerical study of the influence of linear birefringence on the scattering phase function and the resulting backscattering Mueller matrices was performed. It is assumed that the media consist of spherical scattering particles embedded in a nonabsorbing medium, which allows us to employ the Lorenz-Mie theory. In the Monte Carlo framework, the influence of linear birefringence on the components of the electric field vector is captured through the Jones N-matrix formalism. The Lorenz-Mie theory indicates that a given linear birefringence value Deltan has a bigger impact on the scattering phase function for large particles. This conclusion is further supported by Monte Carlo simulations, where the phase function was calculated based on the refractive index once in the ordinary direction and once in the extraordinary one. For large particles, comparisons of the resulting backscattering Mueller matrices show significant differences even for small Deltan values. PMID- 21054093 TI - Feasibility of noncontact piezoelectric detection of photoacoustic signals in tissue-mimicking phantoms. AB - The feasibility of air-coupled ultrasound transducers to detect laser-induced ultrasound from artificial blood vessels embedded in an optically scattering phantom is demonstrated. These air-coupled transducers allow new applications in biomedical photoacoustic imaging where contact with tissue is not preferred. One promising application of such transducers is the addition of photoacoustic imaging to the regular x-ray mammographic screening procedure. PMID- 21054094 TI - Continuous-wave infrared optical nerve stimulation for potential diagnostic applications. AB - Optical nerve stimulation using infrared laser radiation has recently been developed as a potential alternative to electrical nerve stimulation. However, recent studies have focused primarily on pulsed delivery of the laser radiation and at relatively low pulse rates. The objective of this study is to demonstrate faster optical stimulation of the prostate cavernous nerves using continuous-wave (cw) infrared laser radiation for potential diagnostic applications. A thulium fiber laser (lambda=1870 nm) is used for noncontact optical stimulation of the rat prostate cavernous nerves in vivo. Optical nerve stimulation, as measured by an intracavernous pressure (ICP) response in the penis, is achieved with the laser operating in either cw mode, or with a 5-ms pulse duration at 10, 20, 30, 40, 50, and 100 Hz. Successful optical stimulation is observed to be primarily dependent on a threshold nerve temperature (42 to 45 degrees C), rather than an incident fluence, as previously reported. cw optical nerve stimulation provides a significantly faster ICP response time using a lower power (and also less expensive) laser than pulsed stimulation. cw optical nerve stimulation may therefore represent an alternative mode of stimulation for intraoperative diagnostic applications where a rapid response is critical, such as identification of the cavernous nerves during prostate cancer surgery. PMID- 21054095 TI - Imaging of normal and pathologic joint synovium using nonlinear optical microscopy as a potential diagnostic tool. AB - An estimated 1.3 million people in the United States suffer from rheumatoid arthritis (RA). RA causes profound changes in the synovial membrane of joints, and without early diagnosis and intervention, progresses to permanent alterations in joint structure and function. The purpose of this study is to determine if nonlinear optical microscopy (NLOM) can utilize the natural intrinsic fluorescence properties of tissue to generate images that would allow visualization of the structural and cellular composition of fresh, unfixed normal and pathologic synovial tissue. NLOM is performed on rabbit knee joint synovial samples using 730- and 800-nm excitation wavelengths. Less than 30 mW of excitation power delivered with a 40*, 0.8-NA water immersion objective is sufficient for the visualization of synovial structures to a maximum depth of 70 MUm without tissue damage. NLOM imaging of normal and pathologic synovial tissue reveals the cellular structure, synoviocytes, adipocytes, collagen, vascular structures, and differential characteristics of inflammatory infiltrates without requiring tissue processing or staining. Further study to evaluate the ability of NLOM to assess the characteristics of pathologic synovial tissue and its potential role for the management of disease is warranted. PMID- 21054096 TI - Identification of the optimal wavelengths for optical topography: a photon measurement density function analysis. AB - A method is presented to select the optimal wavelengths for multispectral optical topography, which not only gives good separation between chromophores, absorption, and scattering, but also minimizes the differences between interrogated volumes. This method uses the sum of squared differences to compare photon measurement density functions, which were generated for wavelengths in the near-infrared (NIR) range for a suitable model of tissue optical properties. It is found that including this condition significantly influences the range of optimal wavelengths. However, for the adult human head, the differences between interrogated volumes at NIR wavelengths are very small and image reconstruction is only slightly improved when measurements with overlapping sensitivities are used. PMID- 21054097 TI - Actin cytoskeleton-dependent Rab GTPase-regulated angiotensin type I receptor lysosomal degradation studied by fluorescence lifetime imaging microscopy. AB - The dynamic regulation of the cellular trafficking of human angiotensin (Ang) type 1 receptor (AT1R) is not well understood. Therefore, we investigated the cellular trafficking of AT1R-enhanced green fluorescent protein (EGFP) (AT1R EGFP) heterologously expressed in HEK293 cells by determining the change in donor lifetime (AT1R-EGFP) in the presence or absence of acceptor(s) using fluorescence lifetime imaging-fluorescence resonance energy transfer (FRET) microscopy. The average lifetime of AT1R-EGFP in our donor-alone samples was ~2.33 ns. The basal state lifetime was shortened slightly in the presence of Rab5 (2.01+/-0.10 ns) or Rab7 (2.11+/-0.11 ns) labeled with Alexa 555, as the acceptor fluorophore. A 5 min Ang II treatment markedly shortened the lifetime of AT1R-EGFP in the presence of Rab5-Alexa 555 (1.78+/-0.31 ns) but was affected minimally in the presence of Rab7-Alexa 555 (2.09+/-0.37 ns). A 30-min Ang II treatment further decreased the AT1R-EGFP lifetime in the presence of both Rab5- and Rab7-Alexa 555. Latrunculin A but not nocodazole pretreatment blocked the ability of Ang II to shorten the AT1R-EGFP lifetime. The occurrence of FRET between AT1R-EGFP (donor) and LAMP1 Alexa 555 (acceptor) with Ang II stimulation was impaired by photobleaching the acceptor. These studies demonstrate that Ang II-induced AT1R lysosomal degradation through its association with LAMP1 is regulated by Rab5/7 via mechanisms that are dependent on intact actin cytoskeletons. PMID- 21054098 TI - Polarimetric analysis of the human cornea measured by polarization-sensitive optical coherence tomography. AB - Corneal polarimetry measurement has been the object of several papers. The results of techniques like polarization-sensitive optical coherence tomography (PS-OCT), scanning laser polarimetry, or polarization microscopy are contradictory. Some studies propose a biaxial-like birefringence pattern, while others postulate that birefringence grows at corneal periphery. Several theoretical approaches were proposed for the interpretation of these measurements, but they usually lack accuracy and an adequate consideration of the nonnormal incidence on the tissue. We analyze corneal polarization effects measured by PS-OCT. In vivo and in vitro PS-OCT images of the human cornea are acquired. PS-OCT measurements are apparently not in agreement with the biaxial like birefringence pattern. We present a polarimetric model of the human cornea based on the extended Jones matrix formalism applied to multilayered systems. We also apply the Poincare equivalence theorem to extract optic axis orientation and birefringence. The results show that for a fibrils orientation pattern composed by alternating circular and radial fibrils, the birefringence is biaxial-like at the corneal center, and there is an almost circularly symmetric high birefringence area at corneal periphery. The model could be useful for diagnosis of corneal diseases or corneal compensation in retinal polarimetric imaging. PMID- 21054099 TI - Phase-sensitive optical coherence tomography imaging of the tissue motion within the organ of Corti at a subnanometer scale: a preliminary study. AB - Hearing loss can mean severe impairment to the quality of life. However, the biomechanical mechanisms of how the hearing organ, i.e., the organ of Corti (OC), responds to sound are still elusive, largely because there is currently no means available to image the 3-D motion characteristics of the OC. We present a novel use of the phase-sensitive spectral domain optical coherence tomography (PSOCT) to characterize the motion of cellular compartments within the OC at a subnanometer scale. The PSOCT system operates at 1310 nm with a spatial resolution of ~16 MUm and an imaging speed of 47,000 A-lines/s. The phase changes of the spectral interferograms induced by the localized tissue motion are used to quantify the vibration magnitude. Fourier transform analysis of the phase changes improves the system sensitivity to sense minute vibrations smaller than 1 nm. We demonstrate that the PSOCT system is feasible to image the meaningful vibration of cellular compartments within the OC with an unprecedented sensitivity down to ~0.5 A. PMID- 21054100 TI - Human graft cornea and laser incisions imaging with micrometer scale resolution full-field optical coherence tomography. AB - Micrometer scale resolution full-field optical coherence tomography (FF-OCT) is developed for imaging human graft corneas. Three-dimensional (3-D) images with ultrahigh resolution (respectively, 1 and 1.5 MUm in the axial and transverse directions), comparable to traditional histological sections, are obtained allowing the visualization of the cells and the precise structure of the different layers that compose the tissue. The sensitivity of our device enables imaging the entire thickness of the cornea, even in edematous corneas more than 800 MUm thick. Furthermore, we provide tomographic 3-D images of laser incisions inside the tissue at various depths without slicing the studied corneas. The effects of laser ablations can be observed, along various optical sections, directly in the bulk of the sample with high accuracy, providing information on the interface quality and also imaging tiny changes of the tissue structure. FF OCT appears to be a powerful tool for subcellular imaging of the corneal structure and pathologies on the entire thickness of the tissue as well as interface quality and changes in the collagen structure due to laser incisions on ex vivo human cornea. PMID- 21054101 TI - Toward surface quantification of liver fibrosis progression. AB - Monitoring liver fibrosis progression by liver biopsy is important for certain treatment decisions, but repeated biopsy is invasive. We envision redefinition or elimination of liver biopsy with surface scanning of the liver with minimally invasive optical methods. This would be possible only if the information contained on or near liver surfaces accurately reflects the liver fibrosis progression in the liver interior. In our study, we acquired the second-harmonic generation and two-photon excitation fluorescence microscopy images of liver tissues from bile duct-ligated rat model of liver fibrosis. We extracted morphology-based features, such as total collagen, collagen in bile duct areas, bile duct proliferation, and areas occupied by remnant hepatocytes, and defined the capsule and subcapsular regions on the liver surface based on image analysis of features. We discovered a strong correlation between the liver fibrosis progression on the anterior surface and interior in both liver lobes, where biopsy is typically obtained. The posterior surface exhibits less correlation with the rest of the liver. Therefore, scanning the anterior liver surface would obtain similar information to that obtained from biopsy for monitoring liver fibrosis progression. PMID- 21054102 TI - Photoacoustic and high-frequency power Doppler ultrasound biomicroscopy: a comparative study. AB - Both photoacoustic imaging and power Doppler ultrasound are capable of producing images of the vasculature of living subjects, however, the contrast mechanisms of the two modalities are very different. We present a quantitative and objective comparison of the two methods using phantom data, highlighting relative merits and shortcomings. An imaging system for combined photoacoustic and high-frequency power Doppler ultrasound microscopy is presented. This system uses a swept-scan 25-MHz ultrasound transducer with confocal dark-field laser illumination optics. A pulse-sequencer enables ultrasonic and laser pulses to be interlaced so that photoacoustic and power Doppler ultrasound images can be coregistered. Experiments are performed on flow phantoms with various combinations of vessel size, flow velocity, and optical wavelength. For the task of blood volume detection, power Doppler is seen to be advantageous for large vessels and high flow speeds. For small vessels with low flow speeds, photoacoustic imaging is seen to be more effective than power Doppler at the detection of blood as quantified by receiver operating characteristic analysis. A combination of the two modes could provide improved estimates of fractional blood volume in comparison with either mode used alone. PMID- 21054103 TI - Nonnegative matrix factorization: a blind spectra separation method for in vivo fluorescent optical imaging. AB - Fluorescence imaging in diffusive media is an emerging imaging modality for medical applications that uses injected fluorescent markers that bind to specific targets, e.g., carcinoma. The region of interest is illuminated with near-IR light and the emitted back fluorescence is analyzed to localize the fluorescence sources. To investigate a thick medium, as the fluorescence signal decreases with the light travel distance, any disturbing signal, such as biological tissues intrinsic fluorescence (called autofluorescence) is a limiting factor. Several specific markers may also be simultaneously injected to bind to different molecules, and one may want to isolate each specific fluorescent signal from the others. To remove the unwanted fluorescence contributions or separate different specific markers, a spectroscopic approach is explored. The nonnegative matrix factorization (NMF) is the blind positive source separation method we chose. We run an original regularized NMF algorithm we developed on experimental data, and successfully obtain separated in vivo fluorescence spectra. PMID- 21054104 TI - Combination of Raman tweezers and quantitative differential interference contrast microscopy for measurement of dynamics and heterogeneity during the germination of individual bacterial spores. AB - Raman tweezers and quantitative differential interference contrast (DIC) microscopy are combined to monitor the dynamic germination of individual bacterial spores of Bacillus species, as well as the heterogeneity in this process. The DIC bias phase is set properly such that the brightness of DIC images of individual spores is proportional to the dipicolinic acid (DPA) level of the spores, and an algorithm is developed to retrieve the phase image of an individual spore from its DIC image. We find that during germination, the rapid drop in both the intensity of the original DIC image and the intensity of the reconstructed phase image precisely corresponds to the release of all DPA from that spore. The summed pixel intensity of the DIC image of individual spores adhered on a microscope coverslip is not sensitive to the drift of the slide in both horizontal and vertical directions, which facilitates observation of the germination of thousands of individual spores for long periods of time. A motorized stage and synchronized image acquisition system is further developed to effectively expand the field of view of the DIC imaging. This quantitative DIC technique is used to track the germination of hundreds or thousands of individual spores simultaneously. PMID- 21054105 TI - Comparison of phase-shifting techniques for in vivo full-range, high-speed Fourier-domain optical coherence tomography. AB - Single spectrometer-based complex conjugate artifact removal methods are evaluated for in vivo imaging with complementary metal-oxide semiconductor line scan camera based high-speed Fourier-domain optical coherence tomography (FD-OCT) at 100,000 axial scans per second. Performance of three different phase-shifting methods with the same OCT engine is evaluated using modified data acquisition schemes, depending on the requirements of each phase-shifting technique. The suppression ratio of complex conjugate artifact images using a paperboard is assessed for all tested methods. Several other characteristics, including a list of additional hardware requirements (beyond standard FD-OCT components) and data acquisition schemes for each of the methods is presented. In vivo full-range images of human fingerpad and nail are shown and compared with standard FD-OCT images. Additionally, a complex-conjugate-free human retinal volume acquired at the speed of 100,000 A-scans/s is presented. PMID- 21054106 TI - Brightness-compensated 3-D optical flow algorithm for monitoring cochlear motion patterns. AB - A method for three-dimensional motion analysis designed for live cell imaging by fluorescence confocal microscopy is described. The approach is based on optical flow computation and takes into account brightness variations in the image scene that are not due to motion, such as photobleaching or fluorescence variations that may reflect changes in cellular physiology. The 3-D optical flow algorithm allowed almost perfect motion estimation on noise-free artificial sequences, and performed with a relative error of <10% on noisy images typical of real experiments. The method was applied to a series of 3-D confocal image stacks from an in vitro preparation of the guinea pig cochlea. The complex motions caused by slow pressure changes in the cochlear compartments were quantified. At the surface of the hearing organ, the largest motion component was the transverse one (normal to the surface), but significant radial and longitudinal displacements were also present. The outer hair cell displayed larger radial motion at their basolateral membrane than at their apical surface. These movements reflect mechanical interactions between different cellular structures, which may be important for communicating sound-evoked vibrations to the sensory cells. A better understanding of these interactions is important for testing realistic models of cochlear mechanics. PMID- 21054107 TI - Enhancing precision in time-domain fluorescence lifetime imaging. AB - In biological applications of fluorescence lifetime imaging, low signals from samples can be a challenge, causing poor lifetime precision. We demonstrate how optimal signal gating (a method applied to the temporal dimension of a lifetime image) and novel total variation denoising models (a method applied to the spatial dimension of a lifetime image) can be used in time-domain fluorescence lifetime imaging microscopy (FLIM) to improve lifetime precision. In time-gated FLIM, notable fourfold precision improvements were observed in a low-light example. This approach can be employed to improve FLIM data while minimizing sample light exposure and increasing imaging speed. PMID- 21054108 TI - Absolute blood velocity measured with a modified fundus camera. AB - We present a new method for the quantitative estimation of blood flow velocity, based on the use of the Radon transform. The specific application is for measurement of blood flow velocity in the retina. Our modified fundus camera uses illumination from a green LED and captures imagery with a high-speed CCD camera. The basic theory is presented, and typical results are shown for an in vitro flow model using blood in a capillary tube. Subsequently, representative results are shown for representative fundus imagery. This approach provides absolute velocity and flow direction along the vessel centerline or any lateral displacement therefrom. We also provide an error analysis allowing estimation of confidence intervals for the estimated velocity. PMID- 21054109 TI - Digital holographic microscopy by use of surface plasmon resonance for imaging of cell membranes. AB - A technique called surface plasmon resonance digital holographic microscopy (SPRDHM) for optical imaging of cell membranes is proposed. The intensity and phase distributions of the reflected light that is modulated by the cell membrane in surface plasmon resonance can be simultaneously obtained. The imaging principle and capability are theoretically analyzed and demonstrated by experiments. In addition, the technique is compared with total internal reflection digital holographic microscopy (TIRDHM) in theory and experiment, respectively. The results show that the SPRDHM technique is better in spatial resolution and phase sensitivity than the TIRDHM technique for imaging of cell membranes. PMID- 21054110 TI - Pulse-modulated second harmonic imaging microscope quantitatively demonstrates marked increase of collagen in tumor after chemotherapy. AB - Pulse-modulated second harmonic imaging microscopes (PM-SHIMs) exhibit improved signal-to-noise ratio (SNR) over conventional SHIMs on sensitive imaging and quantification of weak collagen signals inside tissues. We quantify the spatial distribution of sparse collagen inside a xenograft model of human acute myeloid leukemia (AML) tumor specimens treated with a new drug against receptor tyrosine kinase (ABT-869), and observe a significant increase in collagen area percentage, collagen fiber length, fiber width, and fiber number after chemotherapy. This finding reveals new insights into tumor responses to chemotherapy and suggests caution in developing new drugs and therapeutic regimens against cancers. PMID- 21054111 TI - Optical resolution photoacoustic microscopy using novel high-repetition-rate passively Q-switched microchip and fiber lasers. AB - Optical-resolution photoacoustic microscopy (OR-PAM) is a novel imaging technology for visualizing optically absorbing superficial structures in vivo with lateral spatial resolution determined by optical focusing rather than acoustic detection. Since scanning of the illumination spot is required, OR-PAM imaging speed is limited by both scanning speed and laser pulse repetition rate. Unfortunately, lasers with high repetition rates and suitable pulse durations and energies are not widely available and can be cost-prohibitive and bulky. We are developing compact, passively Q-switched fiber and microchip laser sources for this application. The properties of these lasers are discussed, and pulse repetition rates up to 100 kHz are demonstrated. OR-PAM imaging was conducted using a previously developed photoacoustic probe, which enabled flexible scanning of the focused output of the lasers. Phantom studies demonstrate the ability to image with lateral spatial resolution of 7+/-2 MUm with the microchip laser system and 15+/-5 MUm with the fiber laser system. We believe that the high pulse repetition rates and the potentially compact and fiber-coupled nature of these lasers will prove important for clinical imaging applications where real-time imaging performance is essential. PMID- 21054112 TI - Multiphoton microscopy of engineered dermal substitutes: assessment of 3-D collagen matrix remodeling induced by fibroblast contraction. AB - Dermal fibroblasts are responsible for the generation of mechanical forces within their surrounding extracellular matrix and can be potentially targeted by anti aging ingredients. Investigation of the modulation of fibroblast contraction by these ingredients requires the implementation of three-dimensional in situ imaging methodologies. We use multiphoton microscopy to visualize unstained engineered dermal tissue by combining second-harmonic generation that reveals specifically fibrillar collagen and two-photon excited fluorescence from endogenous cellular chromophores. We study the fibroblast-induced reorganization of the collagen matrix and quantitatively evaluate the effect of Y-27632, a RhoA kinase inhibitor, on dermal substitute contraction. We observe that collagen fibrils rearrange around fibroblasts with increasing density in control samples, whereas collagen fibrils show no remodeling in the samples containing the RhoA kinase inhibitor. Moreover, we show that the inhibitory effects are reversible. Our study demonstrates the relevance of multiphoton microscopy to visualize three dimensional remodeling of the extracellular matrix induced by fibroblast contraction or other processes. PMID- 21054113 TI - Objective evaluation of visibility in virtual chromoendoscopy for esophageal squamous carcinoma using a color difference formula. AB - Computed virtual chromoendoscopy with flexible spectral imaging color enhancement (FICE) is a new dyeless imaging technique that enhances mucosal and vascular patterns. However, a method for selecting a suitable wavelength for a particular condition has not been established. The aim of this study is to evaluate the color difference method for quality assessment of FICE images of the intrapapillary capillary loop in magnifying endoscopy for esophageal squamous cell carcinoma. The color difference between 60 microvessels and background mucosa observed using the magnifying endoscope was 8.31+/-2.84 SD under white light and 12.26+/-3.14 (p=0.0031), 11.70+/-4.49 (p=0.0106), and 17.49+/-5.40 (p<0.0001) in FICE modes A, B, and C, respectively. The visibility scores for microvessels observed by medical students were 6.00+/-1.12 points under white light and 11.1+/-2.25 (p<0.0001), 8.65+/-2.06 (p=0.0001), and 12.55+/-2.56 (p<0.0001) in FICE modes A, B, and C, respectively. Furthermore, the measurement of color difference was correlated with the visibility score assigned by medical students (Pearson's correlation coefficient=0.583, p<0.0001) In conclusion, the color difference method corresponds to human vision and is an appropriate method for evaluation of endoscopic images. PMID- 21054114 TI - Heart wall velocimetry and exogenous contrast-based cardiac flow imaging in Drosophila melanogaster using Doppler optical coherence tomography. AB - Drosophila melanogaster (fruit fly) is a central organism in biology and is becoming increasingly important in the cardiovascular sciences. Prior work in optical imaging of the D. melanogaster heart has focused on static and dynamic structural anatomy. In the study, it is demonstrated that Doppler optical coherence tomography can quantify dynamic heart wall velocity and hemolymph flow in adult D. melanogaster. Since hemolymph is optically transparent, a novel exogenous contrast technique is demonstrated to increase the backscatter-based intracardiac Doppler flow signal. The results presented here open up new possibilities for functional cardiovascular phenotyping of normal and mutant D. melanogaster. PMID- 21054115 TI - Tumor bracketing and safety margin estimation using multimodal marker seeds: a proof of concept. AB - Accurate tumor excision is crucial in the locoregional treatment of cancer, and for this purpose, surgeons often rely on guide wires or radioactive markers for guidance toward the lesion. Further improvement may be obtained by adding optical guidance to currently used methods, in the form of intra-operative fluorescence imaging. To achieve such a multimodal approach, we have generated markers that can be used in a pre-, intra-, and post-operative setting, based on a cocktail of a dual-emissive inorganic dye, lipids, and pertechnetate. Phantom experiments demonstrate that these seeds can be placed accurately around a surrogate tumor using ultrasound. Three-dimensional bracketing provides delineation of the entire lesion. Combined with the multimodal nature, this provides the opportunity to predetermine the resection margins by validating the placement accuracy using multiple imaging modalities (namely, x ray, MRI, SPECT/CT, and ultrasound). The dual-emissive fluorescent properties of the dye provide the unique opportunity to intra-operatively estimate the depth of the seed in the tissue via multispectral imaging: emission green lambdamax=520 nm<=5 mm penetration versus emission red lambdamax=660 nm<=12 mm penetration. By using particles with different colors, the original geographic orientation of the excised tissue can be determined. PMID- 21054116 TI - Fluorescence lifetime imaging microscopy for brain tumor image-guided surgery. AB - We demonstrate for the first time the application of an endoscopic fluorescence lifetime imaging microscopy (FLIM) system to the intraoperative diagnosis of glioblastoma multiforme (GBM). The clinically compatible FLIM prototype integrates a gated (down to 0.2 ns) intensifier imaging system with a fiber bundle (fiber image guide of 0.5 mm diameter, 10,000 fibers with a gradient index lens objective 0.5 NA, and 4 mm field of view) to provide intraoperative access to the surgical field. Experiments conducted in three patients undergoing craniotomy for tumor resection demonstrate that FLIM-derived parameters allow for delineation of tumor from normal cortex. For example, at 460+/-25-nm wavelength band emission corresponding to NADH/NADPH fluorescence, GBM exhibited a weaker fluorescence intensity (35% less, p-value<0.05) and a longer lifetime tauGBM Amean=1.59+/-0.24 ns than normal cortex tauNC-Amean=1.28+/-0.04 ns (p value<0.005). Current results demonstrate the potential use of FLIM as a tool for image-guided surgery of brain tumors. PMID- 21054117 TI - Dynamic quantitative photothermal monitoring of cell death of individual human red blood cells upon glucose depletion. AB - Red blood cells (RBCs) have been found to undergo "programmed cell death," or eryptosis, and understanding this process can provide more information about apoptosis of nucleated cells. Photothermal (PT) response, a label-free photothermal noninvasive technique, is proposed as a tool to monitor the cell death process of living human RBCs upon glucose depletion. Since the physiological status of the dying cells is highly sensitive to photothermal parameters (e.g., thermal diffusivity, absorption, etc.), we applied linear PT response to continuously monitor the death mechanism of RBC when depleted of glucose. The kinetics of the assay where the cell's PT response transforms from linear to nonlinear regime is reported. In addition, quantitative monitoring was performed by extracting the relevant photothermal parameters from the PT response. Twofold increases in thermal diffusivity and size reduction were found in the linear PT response during cell death. Our results reveal that photothermal parameters change earlier than phosphatidylserine externalization (used for fluorescent studies), allowing us to detect the initial stage of eryptosis in a quantitative manner. Hence, the proposed tool, in addition to detection of eryptosis earlier than fluorescence, could also reveal physiological status of the cells through quantitative photothermal parameter extraction. PMID- 21054118 TI - Model-based quantitative laser Doppler flowmetry in skin. AB - Laser Doppler flowmetry (LDF) can be used for assessing the microcirculatory perfusion. However, conventional LDF (cLDF) gives only a relative perfusion estimate for an unknown measurement volume, with no information about the blood flow speed distribution. To overcome these limitations, a model-based analysis method for quantitative LDF (qLDF) is proposed. The method uses inverse Monte Carlo technique with an adaptive three-layer skin model. By analyzing the optimal model where measured and simulated LDF spectra detected at two different source detector separations match, the absolute microcirculatory perfusion for a specified speed region in a predefined volume is determined. qLDF displayed errors<12% when evaluated using simulations of physiologically relevant variations in the layer structure, in the optical properties of static tissue, and in blood absorption. Inhomogeneous models containing small blood vessels, hair, and sweat glands displayed errors<5%. Evaluation models containing single larger blood vessels displayed significant errors but could be dismissed by residual analysis. In vivo measurements using local heat provocation displayed a higher perfusion increase with qLDF than cLDF, due to nonlinear effects in the latter. The qLDF showed that the perfusion increase occurred due to an increased amount of red blood cells with a speed>1 mm/s. PMID- 21054119 TI - Cancer detection by native fluorescence of urine. AB - Because cancer is a dreaded disease, a number of techniques such as biomarker evaluation, mammograms, colposcopy, and computed tomography scan are currently employed for early diagnosis. Many of these are specific to a particular site, invasive, and often expensive. Hence, there is a definite need for a simple, generic, noninvasive protocol for cancer detection, comparable to blood and urine tests for diabetes. Our objective is to show the results of a novel study in the diagnosis of several cancer types from the native or intrinsic fluorescence of urine. We use fluorescence emission spectra (FES) and stokes shift spectra (SSS) to analyze the native fluorescence of the first voided urine samples of healthy controls (N=100) and those of cancer patients (N=50) of different etiology. We show that flavoproteins and porphyrins released into urine can act as generic biomarkers of cancer with a specificity of 92%, a sensitivity of 76%, and an overall accuracy of 86.7%. We employ FES and SSS for rapid and cost-effective quantification of certain intrinsic biomarkers in urine for screening and diagnosis of most common cancer types with an overall accuracy of 86.7%. PMID- 21054120 TI - Comparison of time-resolved and continuous-wave near-infrared techniques for measuring cerebral blood flow in piglets. AB - A primary focus of neurointensive care is monitoring the injured brain to detect harmful events that can impair cerebral blood flow (CBF), resulting in further injury. Since current noninvasive methods used in the clinic can only assess blood flow indirectly, the goal of this research is to develop an optical technique for measuring absolute CBF. A time-resolved near-infrared (TR-NIR) apparatus is built and CBF is determined by a bolus-tracking method using indocyanine green as an intravascular flow tracer. As a first step in the validation of this technique, CBF is measured in newborn piglets to avoid signal contamination from extracerebral tissue. Measurements are acquired under three conditions: normocapnia, hypercapnia, and following carotid occlusion. For comparison, CBF is concurrently measured by a previously developed continuous wave NIR method. A strong correlation between CBF measurements from the two techniques is revealed with a slope of 0.79+/-0.06, an intercept of -2.2+/-2.5 ml/100 g/min, and an R2 of 0.810+/-0.088. Results demonstrate that TR-NIR can measure CBF with reasonable accuracy and is sensitive to flow changes. The discrepancy between the two methods at higher CBF could be caused by differences in depth sensitivities between continuous-wave and time-resolved measurements. PMID- 21054121 TI - Spectroscopic method for determination of the absorption coefficient in brain tissue. AB - I use Monte Carlo simulations and phantom measurements to characterize a probe with adjacent optical fibres for diffuse reflectance spectroscopy during stereotactic surgery in the brain. Simulations and measurements have been fitted to a modified Beer-Lambert model for light transport in order to be able to quantify chromophore content based on clinically measured spectra in brain tissue. It was found that it is important to take the impact of the light absorption into account when calculating the apparent optical path length, lp, for the photons in order to get good estimates of the absorption coefficient, MUa. The optical path length was found to be well fitted to the equation lp=a+b ln(Is)+c ln(MUa)+d ln(Is)ln(MUa), where Is is the reflected light intensity for scattering alone (i.e., zero absorption). Although coefficients a-d calculated in this study are specific to the probe used here, the general form of the equation should be applicable to similar probes. PMID- 21054122 TI - Visible light optical spectroscopy is sensitive to neovascularization in the dysplastic cervix. AB - Neovascularization in cervical intraepithelial neoplasia (CIN) is studied because it is the precursor to the third most common female cancer worldwide. Diffuse reflectance from 450-600 nm was collected from 46 patients (76 sites) undergoing colposcopy at Duke University Medical Center. Total hemoglobin, derived using an inverse Monte Carlo model, significantly increased in CIN 2+ (N=12) versus CIN 1 (N=16) and normal tissues (N=48) combined with P<0.004. Immunohistochemistry using monoclonal anti-CD34 was used to quantify microvessel density to validate the increased hemoglobin content. Biopsies from 51 sites were stained, and up to three hot spots per slide were selected for microvessel quantification by two observers. Similar to the optical study results, microvessel density was significantly increased in CIN 2+ (N=16) versus CIN 1 (N=21) and normal tissue (N=14) combined with P<0.007. Total vessel density, however, was not significantly associated with dysplastic grade. Hence, our quantitative optical spectroscopy system is primarily sensitive to dysplastic neovascularization immediately beneath the basement membrane, with minimal confounding from vascularity inherent in the normal stromal environment. This tool could have potential for in vivo applications in screening for cervical cancer, prognostics, and monitoring of antiangiogenic effects in chemoprevention therapies. PMID- 21054123 TI - Noninvasive observation of skeletal muscle contraction using near-infrared time resolved reflectance and diffusing-wave spectroscopy. AB - We introduce a method for noninvasively measuring muscle contraction in vivo, based on near-infrared diffusing-wave spectroscopy (DWS). The method exploits the information about time-dependent shear motions within the contracting muscle that are contained in the temporal autocorrelation function g(1)(tau,t) of the multiply scattered light field measured as a function of lag time, tau, and time after stimulus, t. The analysis of g(1)(tau,t) measured on the human M. biceps brachii during repetitive electrical stimulation, using optical properties measured with time-resolved reflectance spectroscopy, shows that the tissue dynamics giving rise to the speckle fluctuations can be described by a combination of diffusion and shearing. The evolution of the tissue Cauchy strain e(t) shows a strong correlation with the force, indicating that a significant part of the shear observed with DWS is due to muscle contraction. The evolution of the DWS decay time shows quantitative differences between the M. biceps brachii and the M. gastrocnemius, suggesting that DWS allows to discriminate contraction of fast- and slow-twitch muscle fibers. PMID- 21054124 TI - Light scattering and morphology of the lymphocyte as applied to flow cytometry for distinguishing healthy and infected individuals. AB - A simple optical model of single lymphocytes with smooth and nonsmooth surfaces has been developed for healthy and infected individuals. The model can be used for rapid (in the real-time scale) solution of the inverse light-scattering problem on the basis of optical data measured by label-free flow cytometry. Light scattering patterns have been calculated for the model developed. It has been shown that the smooth and nonsmooth cells can be resolved using the intensities of the sideward- and backward-scattered light. We have found by calculations and validated by the flow cytometer experiments that intensity distributions for the cells of lymphocyte populations can be used as a preliminary signatures of some virus infections. Potential biomedical applications of the findings for label free flow cytometry detection of individuals infected with viruses of hepatitis B or C and some others viruses are presented. PMID- 21054125 TI - Clinical research device for ovarian cancer detection by optical spectroscopy in the ultraviolet C-visible. AB - Early detection of ovarian cancer could greatly increase the likelihood of successful treatment. However, present detection techniques are not very effective, and symptoms are more commonly seen in later stage disease. Amino acids, structural proteins, and enzymatic cofactors have endogenous optical properties influenced by precancerous changes and tumor growth. We present the technical details of an optical spectroscopy system used to quantify these properties. A fiber optic probe excites the surface epithelium (origin of 90% of cases) over 270 to 580 nm and collects fluorescence and reflectance at 300 to 800 nm with four or greater orders of magnitude instrument to background suppression. Up to four sites per ovary are investigated on patients giving consent to oophorectomy and the system's in vivo optical evaluation. Data acquisition is completed within 20 s per site. We illustrate design, selection, and development of the components used in the system. Concerns relating to clinical use, performance, calibration, and quality control are addressed. In the future, spectroscopic data will be compared with histological biopsies from the corresponding tissue sites. If proven effective, this technique can be useful in screening women at high risk of developing ovarian cancer to determine whether oophorectomy is necessary. PMID- 21054126 TI - Identification of source of calcium in HeLa cells by femtosecond laser excitation. AB - Calcium is an important messenger in cells and whose store and diffusion dynamics at the subcellular level remain unclear. By inducing a controlled slow subcellular Ca2+ release through femtosecond laser irradiation in HeLa cells immersed in different media, cytoplasm is identified to be the major intracellular Ca2+ store, with the nucleus being the minor store and the extracellular Ca2+ also contributing to the total cellular Ca2+ level. Furthermore, Ca2+ released in either the cytoplasm or nucleus diffuses into the nucleus or cytoplasm, respectively, at different rates and influences the Ca2+ release in those regions. PMID- 21054127 TI - Integrating spheres for improved skin photodynamic therapy. AB - The prescribed radiant exposures for photodynamic therapy (PDT) of superficial skin cancers are chosen empirically to maximize the success of the treatment while minimizing adverse reactions for the majority of patients. They do not take into account the wide range of tissue optical properties for human skin, contributing to relatively low treatment success rates. Additionally, treatment times can be unnecessarily long for large treatment areas if the laser power is not sufficient. Both of these concerns can be addressed by the incorporation of an integrating sphere into the irradiation apparatus. The light fluence rate can be increased by as much as 100%, depending on the tissue optical properties. This improvement can be determined in advance of treatment by measuring the reflectance from the tissue through a side port on the integrating sphere, allowing for patient-specific treatment times. The sphere is also effective at improving beam flatness, and reducing the penumbra, creating a more uniform light field. The side port reflectance measurements are also related to the tissue transport albedo, enabling an approximation of the penetration depth, which is useful for real-time light dosimetry. PMID- 21054128 TI - Comparative study of photothermolysis of cancer cells with nuclear-targeted or cytoplasm-targeted gold nanospheres: continuous wave or pulsed lasers. AB - We conduct a comparative study on the efficiency and cell death pathways of continuous wave (cw) and nanosecond pulsed laser photothermal cancer therapy using gold nanospheres delivered to either the cytoplasm or nucleus of cancer cells. Cytoplasm localization is achieved using arginine-glycine-aspartate peptide modified gold nanospheres, which target integrin receptors on the cell surface and are subsequently internalized by the cells. Nuclear delivery is achieved by conjugating the gold nanospheres with nuclear localization sequence peptides originating from the simian virus. Photothermal experiments show that cell death can be induced with a single pulse of a nanosecond laser more efficiently than with a cw laser. When the cw laser is applied, gold nanospheres localized in the cytoplasm are more effective in inducing cell destruction than gold nanospheres localized at the nucleus. The opposite effect is observed when the nanosecond pulsed laser is used, suggesting that plasmonic field enhancement of the nonlinear absorption processes occurs at high localization of gold nanospheres at the nucleus. Cell death pathways are further investigated via a standard apoptosis kit to show that the cell death mechanisms depend on the type of laser used. While the cw laser induces cell death via apoptosis, the nanosecond pulsed laser leads to cell necrosis. These studies add mechanistic insight to gold nanoparticle-based photothermal therapy of cancer. PMID- 21054129 TI - System for interstitial photodynamic therapy with online dosimetry: first clinical experiences of prostate cancer. AB - The first results from a clinical study for Temoporfin-mediated photodynamic therapy (PDT) of low-grade (T1c) primary prostate cancer using online dosimetry are presented. Dosimetric feedback in real time was applied, for the first time to our knowledge, in interstitial photodynamic therapy. The dosimetry software IDOSE provided dose plans, including optical fiber positions and light doses based on 3-D tissue models generated from ultrasound images. Tissue optical property measurements were obtained using the same fibers used for light delivery. Measurements were taken before, during, and after the treatment session. On the basis of these real-time measured optical properties, the light dose plan was recalculated. The aim of the treatment was to ablate the entire prostate while minimizing exposure to surrounding organs. The results indicate that online dosimetry based on real-time tissue optical property measurements enabled the light dose to be adapted and optimized. However, histopathological analysis of tissue biopsies taken six months post-PDT treatment showed there were still residual viable cancer cells present in the prostate tissue sections. The authors propose that the incomplete treatment of the prostate tissue could be due to a too low light threshold dose, which was set to 5 J/cm2. PMID- 21054135 TI - Personal and contextual factors that contribute to a higher out-of-pocket to total income ratio. AB - INTRODUCTION: This analysis sought to define the out-of-pocket healthcare spending to total income ratio for rural residents, as well as to explore the impact of county-level factors that may contribute to urban-rural differences. METHODS: Three years of pooled data were utilized from the Medical Expenditure Panel Survey (2003-2005). The dependent variable was the ratio of total out-of pocket healthcare spending to total income, at the household level. Unadjusted and adjusted analyses estimated the factors associated with this ratio, including rurality, socio-demographics, and county-level factors. RESULTS: The unadjusted analysis indicated that small adjacent and remote rural residents had higher out of-pocket to total income ratios than urban residents. The adjusted multivariate analysis indicated that when other factors are held equal, rurality is no longer a significant factor. Other factors such as insurance type, healthcare utilization, and income, which differ significantly by rurality, are better predictors of the ratio. CONCLUSIONS: The identification of factors that contribute to a higher ratio among some rural residents is necessary in order to better target interventions that will reduce this financial burden. PMID- 21054136 TI - Analysis of oocyte-like cells differentiated from porcine fetal skin-derived stem cells. AB - We previously reported the differentiation of cells derived from porcine female fetal skin into cells resembling germ cells and oocytes. A subpopulation of these cells expressed germ cell markers and formed aggregates resembling cumulus-oocyte complexes. Some of these aggregates extruded large oocyte-like cells (OLCs) that expressed markers consistent with those of oocytes. The objective of the current study was to further characterize OLCs differentiated from porcine skin-derived stem cells. Reverse transcriptase (RT)-polymerase chain reaction and Western blot revealed the expression of connexin37 and connexin43, both of which are characteristic of ovarian follicles. The expression of meiosis markers DMC1 and synaptonemal complex protein, but not STRA8 and REC8, was detected in the OLC cultures. Immunofluorescence with an antibody against synaptonemal complex protein on chromosome spreads revealed a very small subpopulation of stained OLCs that had a similar pattern to leptotene, zytotene, or pachytene nuclei during prophase I of meiosis. Sodium bisulfite sequencing of the differentially methylated region of H19 indicated that this region is almost completely demethylated in OLCs, similar to in vivo-derived oocytes. We also investigated the differentiation potential of male skin-derived stem cells in the same differentiation medium. Large cells with oocyte morphology were generated in the male stem cell differentiation cultures. These OLCs expressed oocyte genes such as octamer-binding transcription factor 4 (OCT4), growth differentiation factor 9b (GDF9B), deleted in azoospermia-like (DAZL), VASA, zona pellucida B (ZPB), and zona pellucida C (ZPC). It was concluded that skin-derived stem cells from both male and female porcine fetuses are capable of entering an oocyte differentiation pathway, but the culture system currently in place is inadequate to support the complete development of competent oocytes. PMID- 21054137 TI - Standards for reporting randomized controlled trials in neurosurgery. AB - OBJECT: The Consolidated Standards for Reporting of Trials (CONSORT) criteria were published in 1996 to standardize the reporting and improve the quality of clinical trials. Despite having been endorsed by major medical journals and shown to improve the quality of reported trials, neurosurgical journals have yet to formally adopt these reporting criteria. The purpose of this study is to evaluate the quality and reporting of randomized controlled trials (RCTs) in neurosurgery and the factors that may affect the quality of reported trials. METHODS: The authors evaluated all neurosurgical RCTs published in 2006 and 2007 in the principal neurosurgical journals (Journal of Neurosurgery; Neurosurgery; Surgical Neurology; Journal of Neurology, Neurosurgery, and Psychiatry; and Acta Neurochirurgica) and in 3 leading general medical journals (Journal of the American Medical Association, Lancet, and the New England Journal of Medicine). Randomized controlled trials that addressed operative decision making or the treatment of neurosurgical patients were included in this analysis. The RCT quality was evaluated using the Jadad score and the CONSORT checklist. RESULTS: In 2006 and 2007, 27 RCTs relevant to intracranial neurosurgery were reported. Of these trials, only 59% had a Jadad score >= 3. The 3 major medical journals all endorsed the CONSORT guidelines, while none of the neurosurgical journals have adopted these guidelines. Randomized controlled trials published in the 3 major medical journals had a significantly higher mean CONSORT score (mean 41, range 39 44) compared with those published in neurosurgical journals (mean 26.4, range 17 38; p < 0.0001). Jadad scores were also significantly higher for the major medical journals (mean 3.42, range 2-5) than neurosurgical journals (mean 2.45, range 1-5; p = 0.05). CONCLUSIONS: Despite the growing volume of RCTs in neurosurgery, the quality of reporting of these trials remains suboptimal, especially in the neurosurgical journals. Improved awareness of the CONSORT guidelines by journal editors, reviewers, and authors of these papers could improve the methodology and reporting of RCTs in neurosurgery. PMID- 21054138 TI - Finding drugs against CD133+ glioma subpopulations. PMID- 21054139 TI - Celecoxib and radioresistant glioblastoma-derived CD133+ cells: improvement in radiotherapeutic effects. Laboratory investigation. AB - OBJECT: Glioblastoma, the most common primary brain tumor, has a poor prognosis, even with aggressive resection and chemoradiotherapy. Recent studies indicate that CD133(+) cells play a key role in radioresistance and recurrence of glioblastoma. Cyclooxygenase-2 (COX-2), which converts arachidonic acid to prostaglandins, is over-expressed in a variety of tumors, including CD133(+) glioblastomas. The COX-2-derived prostaglandins promote neovascularization during tumor development, and conventional radiotherapy increases the proportion of CD133(+) cells rather than eradicating them. The aim of the present study was to investigate the role of celecoxib, a selective COX-2 inhibitor, in enhancing the therapeutic effects of radiation on CD133(+) glioblastomas. METHODS: Cells positive for CD133 were isolated from glioblastoma specimens and characterized by flow cytometry, then treated with celecoxib and/or ionizing radiation (IR). Clonogenic assay, cell irradiation, cell cycle analysis, Western blot, and xenotransplantation were used to assess the effects of celecoxib alone, IR alone, and IR with celecoxib on CD133(+) and CD133(-) glioblastoma cells. Three separate xenotransplantation experiments were carried out using 310 severe combined immunodeficient (SCID) mice: 1) an initial tumorigenicity evaluation in which 3 different quantities of untreated CD133(-) cells or untreated or pretreated CD133(+) cells (5 treatment conditions) from 7 different tumors were injected into the striatum of 2 mice (210 mice total); 2) a tumor growth study (50 mice); and 3) a survival study (50 mice). For these last 2 studies the same 5 categories of cells were used as in the tumorigenicity (untreated CD133(-) cells, untreated or pretreated CD133(+) cells, with pretreatment consisting of celecoxib alone, IR alone, or IR and celecoxib), but only 1 cell source (Case 2) and quantity (5 * 10(4) cells) were used. RESULTS: High levels of COX-2 protein were detected in the CD133(+) but not the CD133(-) glioblastoma cells. The authors further demonstrated that 30 MUM celecoxib was able to effectively enhance the IR effect in inhibiting colony formation and increasing IR-mediated apoptosis in celecoxib treated CD133(+) glioblastoma cells. Furthermore, reduction in radioresistance was correlated with the induction of G2/M arrest, which was partially mediated through the increase in the level of phosphorylated-cdc2. In vivo xenotransplant analysis further confirmed that CD133(+)-associated tumorigenicity was significantly suppressed by celecoxib treatment. Importantly, pretreatment of CD133(+) glioblastoma cells with a combination of celecoxib and IR before injection into the striatum of SCID mice resulted in a statistically significant reduction in tumor growth and a statistically significant increase in the mean survival rate of the mice. CONCLUSIONS: Celecoxib combined with radiation plays a critical role in the suppression of growth of CD133(+) glioblastoma stemlike cells. Celecoxib is therefore a radiosensitizing drug for clinical application in glioblastoma. PMID- 21054140 TI - Extended endoscopic endonasal approach for selected pituitary adenomas: early experience. AB - OBJECT: Whereas most pituitary adenomas are removable via the transsphenoidal approach, certain cases, such as dumbbell-shaped or suprasellar adenomas and recurrent and/or fibrous tumors, remain difficult to treat. The authors present their experience with the extended endoscopic endonasal approach to the suprasellar area in managing this subset of tumors, which are classically treated through a transcranial route. METHODS: From June 1997 to December 2008, 615 patients underwent endoscopic endonasal transsphenoidal surgery for pituitary adenomas in the Department of Neurosurgery of the Universita degli Studi di Napoli Federico II. Of this group, 20 patients with pituitary adenomas needed an extended endoscopic endonasal transtuberculum/transplanum approach for tumor removal. Two surgical corridors were used during the transsphenoidal approach: 1) the conventional endosellar extraarachnoidal corridor and 2) a suprasellar transarachnoidal corridor. RESULTS: The extent of resection was gross total in 12 (60%) of the 20 patients, near total in 4 (20%), subtotal in 3 (15%), and partial in 1 (5%). Postoperative CSF leakage occurred in 1 patient. One patient experienced worsening of temporal hemianopsia. CONCLUSIONS: The authors' initial results with the extended endoscopic approach to the suprasellar area for selected pituitary adenomas are promising and may justify a widening of the current classical indications for transsphenoidal surgery. PMID- 21054141 TI - Randomized clinical trials. PMID- 21054142 TI - Intraoperative high-resolution ultrasound: a new technique in the management of peripheral nerve disorders. AB - OBJECT: Surgical treatment of nerve lesions in continuity remains difficult, even in the most experienced hands. The regenerative potential of those injuries can be evaluated by intraoperative electrophysiological studies and/or intraneural dissection. The present study examines the value of intraoperative high-frequency ultrasound as an imaging tool for decision making in the management of traumatic nerve lesions in continuity. METHODS: Intraoperative high-frequency ultrasound was applied to 19 traumatic or iatrogenic nerve lesions of differing extents. The information obtained was correlated with intraoperative electrophysiological, microsurgical intraneural dissection, and histopathological findings in resected nerve segments. RESULTS: The intraoperative application of high-resolution, high frequency ultrasound enabled morphological examination of nerve lesions in continuity, with good image quality. The assessment of the severity of the underlying nerve injury matched perfectly with the judgment obtained from intraoperative electrophysiological studies. Both intraneural nerve dissection and neuropathological examination of the resected nerve segments confirmed the sonographic findings. In addition, intraoperative ultrasound proved to be very time efficient. CONCLUSIONS: With intraoperative ultrasound, the extent of traumatic peripheral nerve lesions can be examined morphologically for the first time. It is a promising, noninvasive method that seems capable of assessing the type (intraneural/perineural) and grade of nerve fibrosis. Therefore, in combination with intraoperative neurophysiological studies, intraoperative high resolution ultrasound may represent a major tool for noninvasive assessment of the regenerative potential of a nerve lesion. PMID- 21054143 TI - A longitudinal proton magnetic resonance spectroscopy study of mild traumatic brain injury. AB - Despite the prevalence and impact of mild traumatic brain injury (mTBI), common clinical assessment methods for mTBI have insufficient sensitivity and specificity. Moreover, few researchers have attempted to document underlying changes in physiology as a function of recovery from mTBI. Proton magnetic resonance spectroscopy (1H-MRS) was used to assess neurometabolite concentrations in a supraventricular tissue slab in 30 individuals with semi-acute mTBI, and 30 sex-, age-, and education-matched controls. No significant group differences were evident on traditional measures of attention, memory, working memory, processing speed, and executive skills, though the mTBI group reported significantly more somatic, cognitive, and emotional symptoms. At a mean of 13 days post-injury, white matter concentrations of creatine (Cre) and phosphocreatine (PCre) and the combined glutamate-glutamine signal (Glx) were elevated in the mTBI group, while gray matter concentrations of Glx were reduced. Partial normalization of these three neurometabolites and N-acetyl aspartate occurred in the early days post injury, during the semi-acute period of recovery. In addition, 17 mTBI patients (57%) returned for a follow-up evaluation (mean = 120 days post-injury). A significant group * time interaction indicated recovery in the mTBI group for gray matter Glx, and trends toward recovery in white matter Cre and Glx. An estimate of premorbid intelligence predicted the magnitude of neurometabolite normalization over the follow-up interval for the mTBI group, indicating that biological factors underlying intelligence may also be associated with more rapid recovery. PMID- 21054144 TI - A novel surgical procedure for coronally repositioning of the buccal implant mucosa using acellular dermal matrix: a case report. AB - BACKGROUND: This case report describes a surgical procedure for coronally advancing the peri-implant mucosa to treat a soft tissue dehiscence in a single tooth implant-supported restoration in combination with an acellular dermal matrix graft. METHODS: The patient was a 41-year-old systemically healthy, non smoking female. Her chief complaint pertained to the unesthetic appearance of her right lateral upper incisor, caused by recession of the mucosal margin. On examination, a 3-mm recession could be observed. The periodontium was classified as thin. A 2-mm band of keratinized peri-implant mucosa was present. Keratinized gingiva was approximately 6 mm at adjacent areas. The surgical technique included a novel incision design to coronally position the flap over an acellular dermal matrix graft. RESULTS: Partial coverage of the recession was achieved. After a 6 month period, tissues appeared thicker than preoperatively, with no bleeding on probing and no probing depth >2 mm. The patient was satisfied with the overall treatment result. CONCLUSIONS: This case report shows the possibility of achieving partial soft tissue coverage over an implant-supported restoration with the combined use of an acellular dermal matrix and a coronally positioned flap. A novel technique is presented that allowed advancing the flap over the graft in a single-tooth restoration where enough keratinized tissue was present preoperatively. PMID- 21054146 TI - Antimicrobial activities of Conyzolide and Conyzoflavone from Conyza canadensis. AB - Antibacterial and antifungal activities of the two isolated compounds from Conyza canadensis have been reported in the current study. The two isolated compounds i.e. Conyzolide (1) and Conyzoflavone (2) were tested against six bacterial and five fungal strains, employing hole diffusion and macrodilution methods. Both the compounds showed significant activities against the tested pathogens with special reference to E. coli, P. aeruginosa, S. aureus, Trichophytom longifusus, C. albicans, and C. glaberata. Conyzolide revealed comparatively better antibacterial activity against E. coli (minimum inhibitory concentration (MIC): 25 ug/mL) in comparison to Conyzoflavone. However, in case of antifungal activities, Conyzoflavone exhibited superior antifungal activity against C. albicans (MIC: 10 ug/mL) as compared to Conyzolide. PMID- 21054147 TI - Synthesis, characterization, antiamoebic activity and cytotoxicity of new pyrazolo[3, 4-d]pyrimidine-6-one derivatives. AB - A new series of pyrazolo[3,4-d]pyrimidine-6-one derivatives (2a-2j) were prepared by using the Biginelli multicomponent cyclocondensation of 3-methyl-1-phenyl-1H pyrazol-5(4H)-one (1a), different aromatic aldehydes, and urea with a catalytic amount of HCl at reflux temperature. These compounds were characterized by IR, (1)H NMR, (13)C NMR, and Mass spectral data. In vitro antiamoebic activity was performed against HM1:IMSS strain of Entamoeba histolytica. The results showed that the compounds 2b, 2i, and 2j with IC(50) values of 0.37 uM, 0.04 uM, and 0.06 uM, respectively, exhibited better antiamoebic activity than the standard drug metronidazole (IC(50) = 1.33 uM). The toxicological studies of these compounds on human breast cancer MCF-7 cell line showed that the compounds 2b, 2i, and 2j exhibited >80% viability at the concentration range of 1.56-50 uM. PMID- 21054148 TI - Association of multiple myeloma with different neoplasms: systematic analysis in consecutive patients with myeloma. AB - Multiple myeloma (MM) has been suggested to be associated with different neoplasms. Of 589 consecutive patients with MM, 59 (10%) had different neoplasms: solid tumors in 78% and hematological neoplasms in 22%. Different neoplasms were separated into those emerging prior or synchronously (p/s; n = 41) versus subsequently after the MM (n = 18). The rate of different neoplasms at the time of MM diagnosis was estimated as 6.6%, and estimated different neoplasm rates at 2, 5, and 10 years were 7.8%, 10.3%, and 11.6%, respectively. Patients with MM with p/s different neoplasms showed a hazard ratio (HR) for impaired overall survival of 1.2 (95% CI 0.8-2.0), whereas in those with subsequent neoplasms the HR was 2.5 (95% CI 1.4-4.4). This demonstrates that (1) p/s are more frequent compared with subsequent different neoplasms, and (2) the prognosis is more impaired with subsequent different neoplasms. Age >=60 years was a confounding covariable with a HR of 2.021 (95% CI 1.6-2.6). PMID- 21054149 TI - Phase II trials of single-agent anti-VEGF therapy for patients with chronic lymphocytic leukemia. AB - Between 2005 and 2008, we conducted separate phase II clinical testing of three distinct anti-VEGF therapies for patients with relapsed/refractory CLL. Collectively, 46 patients were accrued to trials of single-agent anti-VEGF antibody (bevacizumab, n = 13) or one of two receptor tyrosine kinase inhibitors (AZD2171, n = 15; sunitinib malate, n = 18). All patients have completed treatment. Patients received a median of two cycles of bevacizumab, AZD2171, or sunitinib malate. All three trials were closed early due to lack of efficacy. No complete or partial remissions were observed. Individually and collectively, these studies indicate that single-agent anti-VEGF therapy has minimal clinical activity for patients with relapsed/refractory CLL. PMID- 21054150 TI - Clinical features and prognostic factors of angioimmunoblastic T-cell lymphoma in Taiwan: a single-institution experience. AB - Angioimmunoblastic T-cell lymphoma (AITL) is a rare subtype of peripheral T-cell lymphoma that carries a poor prognosis. This study retrospectively analyzed patients with AITL from a single institution in Taiwan, aiming to define the clinical features and prognostic factors. Patients with AITL treated at our institution from February 1988 through January 2010 were enrolled. Factors associated with overall survival (OS) were determined by statistical methods. A total of 31 Taiwanese patients (21 males) were identified. The median age was 74 years (range, 27-90). Among all patients, 67.7% were Ann Arbor stage III or IV, 58.1% presented with B symptoms, 48.4% had hypoalbuminenia (<35 g/L), and 63.3% had elevated lactate dehydrogenase (LDH) at diagnosis. First-line chemotherapy was mostly CHOP (cyclophosphamide, vincristine, doxorubicin, and prednisolone) based and complete response (CR) was achieved in 25% of patients. The actuarial 2 year survival rate was 38.7%, and the median OS was 14.9 months. In multivariate analysis, initial presentation with fever (p = 0.035), advanced stage (p = 0.024), and failure to achieve CR (p = 0.029) were independent adverse factors associated with poorer OS. Interestingly, OS did not differ whether chemotherapy regimens contained anthracycline or not. Taiwanese patients with AITL were usually elderly. Despite the prognosis being generally poor, patients with AITL should be treated with the goal of achieving CR, regardless of anthracycline- or non-anthracycline-based chemotherapy. PMID- 21054151 TI - Comparing adult and pediatric Hodgkin lymphoma in the Surveillance, Epidemiology and End Results Program, 1988-2005: an analysis of 21 734 cases. AB - We analyzed data from 18 898 adults (age >=20 years) and 2836 children/adolescents reported in the Surveillance, Epidemiology and End Results (SEER) database as having Hodgkin lymphoma (HL), diagnosed from 1988 to 2005. The nodular sclerosis subtype was significantly more common in the pediatric age group (76% in children/adolescents vs. 61% in adults, p < 0.001). The mixed cellularity subtype was more prevalent in children <10 years old (22%), but less likely in older children/adolescents (8.5%). Systemic symptoms were reported in 39% of children/adolescents and in 48% of adults (p < 0.001). Children/adolescents had significantly better HL-specific survival than adults (5 year survival rate, 96% +/- 0.4% vs.88% +/- 0.3%, p < 0.001). Using a Cox proportional-hazards regression model in patients with classical HL, the prognostic factors significantly impacting survival were age, histology, stage, B symptoms, year of diagnosis, and race. The only adverse prognostic factors that were significant when this analysis was restricted to children/adolescents were stage IV disease and the presence of B symptoms. In conclusion, several differences in clinicopathologic features and outcomes were identified between children/adolescents and adults with HL, and this was particularly noted in young children (<10 years). PMID- 21054152 TI - RUNX1-MTG16 fusion gene in de novo acute myeloblastic leukemia with t(16;21)(q24;q22). PMID- 21054153 TI - Outcome of adults with acute lymphoblastic leukemia treated with a pediatric inspired therapy: a single institution experience. PMID- 21054154 TI - Emergent properties of proteostasis-COPII coupled systems in human health and disease. AB - In eukaryotic membrane trafficking, emergent protein folding pathways dictated by the proteostasis network (the 'PN') in each cell type are linked to the coat protein complex II (COPII) system that initiates transport through the exocytic pathway. These coupled pathways direct the transit of protein cargo from the endoplasmic reticulum (ER) to diverse subcellular and extracellular destinations. Understanding how the COPII system selectively manages the trafficking of distinct folded states of nascent cargo (comprising one-third of the proteins synthesized by the eukaryotic genome) in close cooperation with the PN remains a formidable challenge to the field. Whereas the PN may contain a thousand component, the minimal COPII coat components that drive all vesicle budding from the ER include Sar1 (a GTPase), Sec12 (a guanine nucleotide exchange factor), Sec23-Sec24 complexes (protein cargo selectors) and the Sec13-Sec31 complex (that functions as a protein cargo collector and as a polymeric lattice generator to promote vesicle budding). A wealth of data suggests a hierarchical role of the PN and COPII components in coupling protein folding with recruitment and assembly of vesicle coats on the ER. In this minireview, we focus on insights recently gained from the study of inherited human disease states of the COPII machinery. We explore the relevance of the COPII system to human biology in the context of its inherent link with the remarkably flexible folding capacity of the PN in each cell type and in response to the environment. The pharmacological manipulation of this coupled system has important therapeutic implications for restoration of function in human disease. PMID- 21054155 TI - Bidirectional transport between the trans-Golgi network and the endosomal system. AB - The exchange of proteins and lipids between the trans-Golgi network (TGN) and the endosomal system requires multiple cellular machines, whose activities are coordinated in space and time to generate pleomorphic, tubulo-vesicular carriers that deliver their content to their target compartments. These machines and their associated protein networks are recruited and/or activated on specific membrane domains where they select proteins and lipids into carriers, contribute to deform/elongate and partition membrane domains using the mechanical forces generated by actin polymerization or movement along microtubules. The coordinated action of these protein networks contributes to regulate the dynamic state of multiple receptors recycling between the cell surface, endosomes and the TGN, to maintain cell homeostasis as exemplified by the biogenesis of lysosomes and related organelles, and to establish/maintain cell polarity. The dynamic assembly and disassembly of these protein networks mediating the exchange of membrane domains between the TGN and endosomes regulates cell-cell signalling and thus the development of multi-cellular organisms. Somatic mutations in single network components lead to changes in transport dynamics that may contribute to pathological modifications underlying several human diseases such as mental retardation. PMID- 21054156 TI - Wilms tumor arising in extracoelomic paravertebral soft tissues. AB - Extrarenal Wilms tumor (ERWT) is a well-established entity which most commonly arises within the genitourinary tract, including intracoelomic paranephric soft tissue. Rarely, ERWT arises within teratoma, and it tends to occur predominantly in distinct settings, such as females with spinal defects and males with testicular teratomas. We report a unique ERWT arising within an extracoelomic teratoma of the paraspinal musculature, thereby expanding the range of reported locations for this unusual tumor. PMID- 21054157 TI - Infectious disease immunohistochemistry in placentas from HIV-positive and HIV negative patients. AB - Studies comparing placental pathology between human immunodeficiency virus (HIV) positive and HIV-negative patients have shown conflicting results. In addition, few studies have evaluated the infectious etiology of placental inflammation in HIV-positive patients. We examined a cohort of placentas from 73 HIV-positive and 41 HIV-negative patients to gain a better understanding of the spectrum of placental inflammatory lesions. Bacterial and viral immunohistochemistry (IHC) was run on a subset of placentas (12 HIV-positive and 7 HIV-negative) with the greatest amount of inflammation. Although few histologic differences were seen between the HIV-positive and HIV-negative groups, chorioamnionitis was of a higher stage in the HIV-positive placentas. An infectious agent was found by IHC in 3 of 7 HIV-negative patients (2 Neisseria spp. and 1 group B Streptococcus ). One HIV-positive placenta showed gram-positive cocci on fetal membranes; organisms were not detected by IHC. In 2 patients, the etiologic agent was not suspected prior to IHC. This study identified that acute inflammation is less common in placentas from HIV-positive patients, compared with HIV-negative patients. However, when severe inflammation is present, infectious organisms may be identified by IHC, providing a more specific diagnosis and offering a beneficial impact in maternal and fetal management. PMID- 21054158 TI - Renal tumors in children aged 10-16 Years: a report from the United Kingdom Children's Cancer and Leukaemia Group. AB - Wilms tumor is the most common renal tumor of childhood. However, other epithelial, mesenchymal, and neuroectodermal neoplasms may also arise in the kidney during childhood, several of which show specific age distributions; in the 1st year of life, mesoblastic nephroma and rhabdoid tumor are more common, whereas renal cell carcinoma, primitive neuroectodermal tumor, and anaplastic Wilms tumors are relatively more frequent in older children and adolescents. The aim of this study is to describe the spectrum of renal tumors in children aged 10 16 years using data from 1492 patients registered in the UK Wilms Tumour 3 Trial (1991-2001) and International Society of Paediatric Oncology Wilms Tumour Trial 2001 (2002-2008) clinical trials of renal tumors in childhood. There were 67 (4.6%) tumors in children aged 10-16 years: 50 Wilms tumors (74.6%), 10 (14.9%) renal cell carcinomas, 3 (4.5%) renal medullary carcinomas, 2 (3%) primitive neuroectodermal tumors, 1 clear cell sarcoma of kidney, and 1 desmoplastic small round cell tumor. Fourteen percent of the Wilms tumors in this age group had diffuse anaplasia. Among the 10 renal cell carcinomas, 4 were associated with t(Xp-11.2), 3 were of papillary type II, 1 was papillary type I, 1 was clear cell type, and 1 was unclassified. Five-year overall survival for Wilms tumor was 63% (43% for anaplastic tumors), significantly lower than reported overall survival for all pediatric Wilms tumors. Only 40% of patients with renal cell carcinoma survived, and all patients with other tumors died. PMID- 21054159 TI - Infantile osteopetrosis and juvenile xanthogranuloma presenting together in a newborn: a case report and literature review. AB - Osteopetrosis (OP) is a clinically and genetically heterogeneous disorder characterized by increased bone density. Associations between OP and other clinical entities are rare but include muscular degeneration, Dandy-Walker syndrome, craniosynostosis, and poikiloderma. Infantile OP has also been diagnosed in a group of infants with neuronal storage disease. An association between OP and juvenile xanthogranuloma (JXG) has never been previously reported. Herein we present a case of an intermediate form of OP in a newborn who presented with hepatosplenomegaly and pancytopenia. Histologic evaluation of a bone marrow biopsy demonstrated abnormally thickened bony trabeculae. A liver biopsy demonstrated prominent expansion of portal areas by a histiocytic infiltrate expressing CD45, CD14, CD68, CD163, factor XIIIa, and fascin, while the biopsy was negative for S100 and CD1a. These findings were those associated with JXG. Genetic testing demonstrated a mutation involving the Pleckstrin homology domain containing family M member 1 ( PLEKHM1 ) gene. A different mutation in this gene has been previously reported in one other patient with OP. Our case is the 2nd reported case with PLEKHM1 mutation in a patient with a mild form of OP. It also demonstrates the 1st reported occurrence of OP concomitantly with JXG. PMID- 21054160 TI - Rhabdomyosarcoma-associated renal cell carcinoma: a link with constitutional Tp53 mutation. AB - The 2004 World Health Organization classification includes the new entity "neuroblastoma-associated renal cell carcinoma." The pathogenetic link between these entities is unknown as yet. The patient reported herein developed renal cell carcinoma after anaplastic embryonal rhabdomyosarcoma, a previously unknown association. The 2nd malignancy developed very soon after the 1st one, prompting concern for inherent cancer predisposition rather than a therapy-induced 2nd malignancy. A variety of features raised suspicion for Tp53 mutation, and indeed a pathogenic germline Tp53 mutation was identified in this child, despite a negative family history for Li-Fraumeni syndrome. Consideration of underlying predisposition is advocated in the context of rapid evolution of 2nd childhood malignancy. PMID- 21054161 TI - Resistance of wild Solanum accessions to aphids and other potato pests in Quebec field conditions. AB - Two experiments were done to determine the susceptibility of six wild potato accessions to the aphids Macrosiphum euphorbiae (Thomas) (Hemiptera: Aphididae) and Myzus persicae (Sulzer). Densities of aphid colonies were compared between caged Solanum pinnatisectum Dunal (Solanales: Solanaceae), S. polyadenium Greenmam, S. tarijense Hawkes, S. infundibuliforme Philippi, S. oplocense Hawkes, and S. stoloniferum Schlechted and Bouche, and the commercially cultivated potato plant S. tuberosum L. cv. Desiree. Moreover the susceptibility of S. polyadenium and S. tarijense to the Colorado potato beetle Leptinotarsa decemlineata (Say) (Coleoptera: Chrlysomelidae), the potato flea beetle Epitrix cucumeris (Harris), and the potato leafhopper Empoasca fabae (Harris) (Hemiptera: Cicadellidae) was compared to that of S. tuberosum cv. Desiree in the field. Results indicated that S. polyadenium and S. tarijense were more resistant to M. persicae than S. pinnatisectum and the commercially cultivated S. tuberosum cv. Desiree. Solanum polyadenium was more resistant to aphids than S. tarijense in 2004, but not in 2005. Moreover, S. polyadenium and S. tarijense were more resistant than S. tuberosum cv. Desiree to L. decemlineata, E. cucumeris and E. fabae. PMID- 21054162 TI - Predictors of serum levels of high sensitivity C-reactive protein and systolic blood pressure in overweight and obese nondiabetic women in Tehran: a cross sectional study. AB - OBJECTIVE: Extra fat mass is usually accompanied by metabolic as well as clinical derangements, including systemic inflammation and high blood pressure. This study aimed to evaluate the associations among anthropometric indicators, blood levels of high-sensitivity C-reactive protein (hsCRP), lipid profile, blood glucose, insulin resistance, and blood pressure and determine the actual predictors of hsCRP and blood pressure in overweight/obese nondiabetic women in Tehran. SUBJECTS AND METHODS: A total of 200 women with body mass index (BMI) of >=25 kg/m2 were enrolled in a cross-sectional study. Dietary intake and anthropometric as well as laboratory evaluations, including fasting plasma glucose (FPG), lipid profile, serum insulin, and hsCRP, were performed for all the subjects. Pearson (r) and Spearman (r(s)) correlation coefficients and multivariate linear regression analysis were used to establish a model to predict hsCRP and systolic blood pressure (SBP) variations. RESULTS: Although serum hsCRP directly correlated with levels of FPG, triglycerides (TG), total cholesterol, BMI, and waist circumference (WC), its strongest association was found with percent of body fat mass (FM) (r(s) = 0.326, p < 0.001). Also, SBP directly correlated with FPG, TG, and FM, but it was more strongly correlated with BMI (r = 0.343, p < 0.001) and WC (r(s) = 0.350, p < 0.001). No association was found between blood or anthropometric variables and dietary data. In different regression models, WC and FM were the predictors of hsCRP, but BMI was the significant predictor of SBP. CONCLUSION: Adiposity in Iranian middle-aged women can affect both inflammatory biomarkers and SBP, thus predisposing for metabolic syndrome and further morbidities. We identified FM and WC as the predictors of serum hsCRP levels and BMI as the predictor of SBP in our population. PMID- 21054164 TI - The lung: the natural boundary between nature and nurture. AB - Common lung diseases such as asthma, COPD, and pulmonary fibrosis cause significant morbidity and mortality in the U.S. and worldwide. Research investigating the mechanisms of disease etiology has clearly indicated that genetic attributes and environmental exposures each play important roles in the development of these diseases. Emerging evidence underscores the importance of the interplay between genetic predisposition and environmental factors in fully understanding the development of lung disease. Herein we discuss recent advances in knowledge and technology surrounding the role of genetics, the environment, and gene-environment interactions in these common lung diseases. PMID- 21054163 TI - Mesenchymal cells of the intestinal lamina propria. AB - The mesenchymal elements of the intestinal lamina propria reviewed here are the myofibroblasts, fibroblasts, mural cells (pericytes) of the vasculature, bone marrow-derived stromal stem cells, smooth muscle of the muscularis mucosae, and smooth muscle surrounding the lymphatic lacteals. These cells share similar marker molecules, origins, and coordinated biological functions previously ascribed solely to subepithelial myofibroblasts. We review the functional anatomy of intestinal mesenchymal cells and describe what is known about their origin in the embryo and their replacement in adults. As part of their putative role in intestinal mucosal morphogenesis, we consider the intestinal stem cell niche. Lastly, we review emerging information about myofibroblasts as nonprofessional immune cells that may be important as an alarm system for the gut and as a participant in peripheral immune tolerance. PMID- 21054165 TI - Endometriosis: the role of neuroangiogenesis. AB - Endometriosis is a common cause of pelvic pain and infertility, affecting ~10% of reproductive-age women. Annual costs for medical and surgical care in the United States exceed $20 billion. The disorder is characterized by implants of endometrial tissue outside the uterine cavity. Endometriotic lesions induce a state of chronic peritoneal inflammation, accompanied by elevated prostaglandin, cytokine, and growth factor concentrations. The current therapy is surgical ablation of ectopic implants and hormones that block the hypothalamic-pituitary ovarian axis, but these approaches are expensive, carry perioperative risks, or have unpleasant side effects of hypoestrogenism. Recent evidence indicates that ectopic endometriotic implants recruit their own unique neural and vascular supplies through neuroangiogenesis. It is believed that these nascent nerve fibers in endometriosis implants influence dorsal root neurons within the central nervous system, increasing pain perception in patients. We consider the mechanisms and therapeutic implications of neuroangiogenesis in these lesions and propose potential treatments for the control or elimination of endometriosis associated pain. PMID- 21054166 TI - Role of chitin and chitinase/chitinase-like proteins in inflammation, tissue remodeling, and injury. AB - The 18 glycosyl hydrolase family of chitinases is an ancient gene family that is widely expressed from prokaryotes to eukaryotes. In mammals, despite the absence of endogenous chitin, a number of chitinases and chitinase-like proteins (C/CLPs) have been identified. However, their roles have only recently begun to be elucidated. Acidic mammalian chitinase (AMCase) inhibits chitin-induced innate inflammation; augments chitin-free, allergen-induced Th2 inflammation; and mediates effector functions of IL-13. The CLPs BRP-39/YKL-40 (also termed chitinase 3-like 1) inhibit oxidant-induced lung injury, augments adaptive Th2 immunity, regulates apoptosis, stimulates alternative macrophage activation, and contributes to fibrosis and wound healing. In accord with these findings, levels of YKL-40 in the lung and serum are increased in asthma and other inflammatory and remodeling disorders and often correlate with disease severity. Our understanding of the roles of C/CLPs in inflammation, tissue remodeling, and tissue injury in health and disease is reviewed below. PMID- 21054168 TI - Epithelial-mesenchymal interactions in pulmonary fibrosis. AB - Lung epithelial cells have emerged as a frequent target of injury, a driver of normal repair, and a key element in the pathobiology of fibrotic lung diseases. An important aspect of epithelial cells is their capacity to respond to microenvironmental cues by undergoing epithelial-mesenchymal transition (EMT). EMT is not simply widespread conversion of epithelial cells to fibroblasts but a graded response whereby epithelial cells reversibly acquire mesenchymal features and enhanced capacity for mesenchymal cross-talk. Recent studies elucidate distinct integrin-sensing systems that coordinate activity of TGFbeta1, a critical signaling element regulating EMT, with the presence of proinflammatory signals and cell injury. Repeated injury superimposes persistent inflammation and hypoxia onto these highly regulated repair pathways, potentially overwhelming orderly repair and creating sustained fibrogenesis. Understanding specific signaling mechanisms driving the mesenchymal response to TGFbeta1 may reveal therapeutics to attenuate fibrogenesis yet preserve the important homeostatic functions of TGFbeta1. PMID- 21054167 TI - Regulation of electroneutral NaCl absorption by the small intestine. AB - Na(+) and Cl(-) movement across the intestinal epithelium occurs by several interconnected mechanisms: (a) nutrient-coupled Na(+) absorption, (b) electroneutral NaCl absorption, (c) electrogenic Cl(-) secretion by CFTR, and (d) electrogenic Na(+) absorption by ENaC. All these transport modes require a favorable electrochemical gradient maintained by the basolateral Na(+)/K(+) ATPase, a Cl(-) channel, and K(+) channels. Electroneutral NaCl absorption is observed from the small intestine to the distal colon. This transport is mediated by apical Na(+)/H(+) (NHE2/3) and Cl(-)/HCO(3)(-) (Slc26a3/a6 and others) exchangers that provide the major route of NaCl absorption. Electroneutral NaCl absorption and Cl(-) secretion by CFTR are oppositely regulated by the autonomic nerve system, the immune system, and the endocrine system via PKAalpha, PKCalpha, cGKII, and/or SGK1. This integrated regulation requires the formation of macromolecular complexes, which are mediated by the NHERF family of scaffold proteins and involve internalization of NHE3. Through use of knockout mice and human mutations, a more detailed understanding of the integrated as well as subtle regulation of electroneutral NaCl absorption by the mammalian intestine has emerged. PMID- 21054169 TI - Endocrine disruptors: from endocrine to metabolic disruption. AB - Synthetic chemicals currently used in a variety of industrial and agricultural applications are leading to widespread contamination of the environment. Even though the intended uses of pesticides, plasticizers, antimicrobials, and flame retardants are beneficial, effects on human health are a global concern. These so called endocrine-disrupting chemicals (EDCs) can disrupt hormonal balance and result in developmental and reproductive abnormalities. New in vitro, in vivo, and epidemiological studies link human EDC exposure with obesity, metabolic syndrome, and type 2 diabetes. Here we review the main chemical compounds that may contribute to metabolic disruption. We then present their demonstrated or suggested mechanisms of action with respect to nuclear receptor signaling. Finally, we discuss the difficulties of fairly assessing the risks linked to EDC exposure, including developmental exposure, problems of high- and low-dose exposure, and the complexity of current chemical environments. PMID- 21054170 TI - New approaches to the treatment of osteoporosis. AB - Although safe and effective agents are currently available to treat osteoporosis, fragility fractures remain a significant problem worldwide. Recent improvements in the understanding of the cellular, biochemical, and molecular pathways of bone biology have led to the development of newer agents to treat osteoporosis, which may lead to further improvements in outcomes. In this review, we summarize the most recent advances in the field, including new modes of administration of existing drug classes, various approaches to combination therapy, and drugs with novel mechanisms of action to treat osteoporosis. PMID- 21054171 TI - Early events in sexual transmission of HIV and SIV and opportunities for interventions. AB - To constrain the growth of the HIV/AIDS pandemic and ultimately end it, effective measures must be developed to prevent sexual mucosal transmission, the major route by which new infections are acquired. I review sexual mucosal transmission of HIV and SIV, with a focus on vaginal transmission in the SIV rhesus macaque animal model, and the evidence for small founder populations of infected cells and the local expansion at the portal of entry necessary to establish systemic infection. These early events represent windows of maximum opportunity for interventions to prevent systemic infection. I highlight the paradoxical role the innate immune response plays in actually facilitating transmission, and a novel microbicide strategy that targets this innate response to prevent systemic infection, and I conclude with an agenda for future research that emphasizes mucosal immunology, virology and pathogenesis studies at each anatomic site of entry. PMID- 21054172 TI - Advances in palliative medicine and end-of-life care. AB - Palliative care improves the quality and cost-effectiveness of adult and pediatric patient care, and it decreases unwanted hospitalizations and aggressive care at the end of life. National palliative care quality standards and preferred practices can be used for benchmarking by institutions, health care systems, and accrediting bodies. Pain and symptom management and the management of delirium for patients is now possible for the vast majority of patients, even those with advanced disease. However, because of shortages of specialists providing "tertiary" palliative care, significant improvements are needed in generalist level palliative care among oncologists, intensivists, and specialists caring for patients with advanced cardiac, pulmonary, renal, and hepatic diseases. POLST (Physician Orders for Life-Sustaining Treatment) forms are a major advance in end of-life care. They enable patients' advance directives to be valid wherever they are cared for (home, hospital, or nursing facility). PMID- 21054173 TI - Antiestrogens and their therapeutic applications in breast cancer and other diseases. AB - The identification of the link between breast cancer and estrogens has led to the development of antiestrogens, in particular tamoxifen, to inhibit the activities of estrogen receptors (ERs) in breast cancer cells. The clinical use of tamoxifen has played a major part in decreasing breast cancer mortality over the past 30 years. Though antiestrogenic in the breast, some antiestrogens have estrogen-like actions in other tissues, acting to promote bone density and protect against cardiovascular disease, thus raising the possibility of their use in counteracting the effects of estrogen loss following menopause. Moreover, antiestrogens show efficacy as chemopreventive agents in women at high risk of developing breast cancer. Thus, antiestrogens define an important and well understood class of cancer drug, which continue to be a mainstay in breast cancer treatment. PMID- 21054174 TI - The ultrafast pathway of photon-induced electrocyclic ring-opening reactions: the case of 1,3-cyclohexadiene. AB - The photochemically induced electrocyclic ring-opening reaction of 1,3 cyclohexadiene to 1,3,5-hexatriene serves as a prototype for many important reactions in chemistry and in biological systems. Based on experimental and computational studies, a detailed picture of the reaction has now emerged: Excitation to the Franck-Condon region places the molecule on a steeply repulsive part of the 1B potential energy surface, which propels the molecule in exactly the conrotatory direction that conforms to the Woodward-Hoffmann rules of orbital symmetry. Bypassing a cusp in a symmetry-breaking direction, the wave packet enters the 2A state within 55 fs. It continues to move directly toward the 2A/1A conical intersection, where it crosses in approximately 80 fs to the ground state. This article summarizes the published experimental and theoretical work to describe the current understanding of the reaction while pointing to important questions that remain to be addressed. PMID- 21054175 TI - The health effects of economic decline. AB - Political pronouncements and policy statements include much conjecture concerning the health and behavioral effects of economic decline. We both summarize empirical research concerned with those effects and suggest questions for future research priorities. We separate the studies into groups defined by questions asked, mechanisms invoked, and outcomes studied. We conclude that although much research shows that undesirable job and financial experiences increase the risk of psychological and behavioral disorder, many other suspected associations remain poorly studied or unsupported. The intuition that mortality increases when the economy declines, for example, appears wrong. We note that the research informs public health programming by identifying risk factors, such as job loss, made more frequent by economic decline. The promise that the research would identify health costs and benefits of economic policy choices, however, remains unfulfilled and will likely remain so without stronger theory and greater methodological agreement. PMID- 21054176 TI - Comparison of the 1999 and 2006 trauma triage guidelines: where do patients go? AB - BACKGROUND: In 2006, the Centers for Disease Control and Prevention (CDC) released a revised Field Triage Decision Scheme. It is unknown how this modified scheme will affect the number of patients identified by emergency medical services (EMS) for transport to a trauma center. OBJECTIVES: To determine the change in the number of patients transported by EMS who meet the 2006 scheme, compared with the 1999 scheme, and to determine how the scheme change would affect under- and overtriage rates. METHODS: The EMS providers in charge of care for injured adult patients transported to a regional trauma center in three mid sized cities were interviewed immediately after completing transport. All injured patients were included, regardless of severity. The interview included patient demographics, vital signs, apparent anatomic injury, and the mechanism of injury. Included patients were then followed through hospital discharge. The 1999 and 2006 scheme criteria were each retrospectively applied to the collected data. The numbers of patients identified by the two schemes were determined. Patients were considered to have needed a trauma center if they had nonorthopedic surgery within 24 hours, were admitted to an intensive care unit (ICU), or died. Data were analyzed using descriptive statistics including 95% confidence intervals. RESULTS: EMS interviews were conducted for 11,892 patients and outcome data were unavailable for one patient. The average patient age was 48 years; 51% of the patients were men. Providers reported bringing 54% of the enrolled patients to the trauma center based on their local trauma protocol. Medical record review identified 12% of the enrolled patients as needing a trauma center. Use of the 2006 scheme would have resulted in 1,423 fewer patients (12%; 95% confidence interval [CI]:11%-13%) being identified as needing a trauma center by EMS providers (40%; 95% CI: 39%-41% versus 28%; 95% CI: 27%-29%). Of those patients, 1,344 (94%) did not actually need the resources of a trauma center, whereas 78 (6%) actually needed the resources of a trauma center and would have been undertriaged. CONCLUSION: Use of the 2006 Field Triage Decision Scheme would have resulted in a significant decrease in the number of patients identified as needing the resources of a trauma center. These changes reduced overtriage while causing a small increase in the number of patients who would have been undertriaged. PMID- 21054177 TI - Rearrest after prehospital resuscitation. AB - OBJECTIVES: To determine how often out-of-hospital cardiac arrest (OHCA) patients who achieve return of spontaneous circulation (ROSC) experience rearrest during their emergency medical services (EMS) care and to analyze their arrest characteristics, including survival to hospital discharge. METHODS: A retrospective patient care record review was conducted for all treated OHCA patients between January 1, 2000, and February 28, 2008. Data were obtained from a countywide EMS patient care database that included treating EMS provider documentation and receiving hospital patient outcomes. If resuscitation was attempted, all OHCA patients, regardless of age, were included in the study. Those who achieved ROSC were identified and the number of patients who experienced rearrest was determined. Return of spontaneous circulation was defined as EMS documentation of a palpable pulse, and rearrest was defined as a change in cardiac rhythm associated with loss of a palpable pulse, regardless of duration. Cardiac arrest characteristics were analyzed using chi-square and t test for resuscitated patients who experienced rearrest compared with those who did not. RESULTS: During the study period, OHCA resuscitation was attempted on 7,296 patients. Of these, 2,454 had field ROSC (34%; 95% confidence interval [CI]: 33%-35%). Of those who achieved ROSC, 951 experienced rearrest prior to hospital arrival (39%; 95% CI: 37%-41%). The average age of the patients who experienced rearrest was 2 years higher than those who did not experience rearrest (64 years vs. 62 years; p < 0.011). The proportion of women in the rearrest group was less than that in the non-rearrest group (40% vs. 46%; p < 0.008). Bystander-witnessed arrest rates were similar between the two groups (65% vs. 68%; p < 0.124). Fewer patients who experienced rearrest survived to hospital admission (53% vs. 85%; p < 0.000) and hospital discharge (15% vs. 35%; p < 0.000). Of those who experienced rearrest, 102 (11%) experienced rearrest while being transported. There was no difference in survival for those whose rearrests occurred prior to transport (14%) compared with those whose rearrests occurred during transport (16%) (p < 0.671). CONCLUSION: A significant number of OHCA patients who achieved field ROSC experienced rearrest prior to hospital arrival. Patients who experienced rearrest were less likely to survive. PMID- 21054178 TI - Posaconazole for chronic pulmonary aspergillosis: the next strategy against the threat of azole-resistant Aspergillus infection. PMID- 21054179 TI - Efficacy and safety of posaconazole for chronic pulmonary aspergillosis. AB - BACKGROUND: Chronic pulmonary aspergillosis (CPA) is a severe, progressive respiratory infection characterized by multiple pulmonary cavities and increased levels of antibodies to Aspergillus species. We report the first use of posaconazole in patients with CPA. METHODS: A retrospective study was performed. A composite clinical and radiological evaluation was used to assess response to posaconazole therapy. The rates of clinical response and failure after 6 and 12 months of therapy were determined. Kaplan-Meier survival models were developed to describe the time to clinical response and failure. The underlying diagnosis, the type of therapy (primary or salvage), Aspergillus antibody titer, and posaconazole serum concentrations were assessed as covariates. Aspergillus species were identified and minimum inhibitory concentrations (MICs) of triazoles were determined using standard techniques. RESULTS: There were 79 patients that initially received posaconazole 400 mg twice per day. The median age of patients was 61 years, and 57% were male. Response to posaconazole was observed in 61% of patients at 6 months and in 46% at 12 months. Kaplan-Meier plots showed that the first response to posaconazole was observed in some patients only after approximately 1 year of therapy. Covariates were not significant. Adverse reactions were observed in 12 patients (15%) (nausea in 5, rash in 5, headache in 1, and lethargy in 1), leading to withdrawal of treatment for 9 patients. Aspergillus species were recovered from 22 patients. A posaconazole MIC of >8 mg/L was found in 4 isolates; in 1 of these isolates, this emerged during therapy. Treatment failed in all 4 patients from whom these 4 isolates had been recovered. CONCLUSION: Posaconazole is a safe and partially effective treatment for CPA. Prospective comparative studies are now required. PMID- 21054180 TI - AIDS-associated Penicillium marneffei infection of the central nervous system. AB - Penicillium marneffei is an important human immunodeficiency virus-associated opportunistic infection endemic in Southeast Asia. Central nervous system infection has not been described. We report the first case series of 21 human immunodeficiency virus-infected patients who presented with a syndrome consistent with acute central nervous system infection and who had Penicillium marneffei isolated from cerebrospinal fluid. PMID- 21054182 TI - Implementing a community-supported school-based influenza immunization program. AB - School-based influenza immunization programs are increasingly recognized as a key component of community-based efforts to control annual influenza epidemics. Computer modeling suggests that immunizing 70% of schoolchildren could protect an entire community from the flu. Most of the school-based influenza immunization programs described in the literature have had support from industry or federal grants. This article describes a program that used only community resources to administer live, attenuated influenza vaccine supplied by the state health department. Beginning in 2006, the Alachua County Health Department and school system, working in collaboration with the University of Florida, began exploration of a non-mandatory community-wide school-based influenza immunization program, with the goal of achieving high levels of immunization of the ~22,000 public and private pre-K through grade 8 students in the county. In 2009-10 the program was repeated. This report describes the procedures developed to achieve the goal, the barriers that were encountered, and solutions to problems that occurred during the implementation of the program. Preliminary data suggest that the crude immunization rate in the schools was approximately 55% and that at least 10% more students were immunized by their health providers. At an operational level, it is possible to achieve high immunization rates if the stakeholders share a common vision and there is extensive community involvement. PMID- 21054181 TI - Long-term survival of HIV-infected children receiving antiretroviral therapy in Thailand: a 5-year observational cohort study. AB - BACKGROUND: There are scarce data on the long-term survival of human immunodeficiency virus (HIV)-infected children receiving antiretroviral therapy (ART) in lower-middle income countries beyond 2 years of follow-up. METHODS: Previously untreated children who initiated ART on meeting immunological and/or clinical criteria were followed in a prospective cohort in Thailand. The probability of survival up to 5 years from initiation was estimated using Kaplan Meier methods, and factors associated with mortality were assessed using Cox regression analyses. RESULTS: Five hundred seventy-eight children received ART; of these, 111 (19.2%) were followed since birth. At start of ART (baseline), the median age was 6.7 years, 128 children (22%) were aged <2 years, and the median CD4 cell percentage was 7%. Median duration of follow-up was 53 months; 42 children (7%) died, and 38 (7%) were lost to follow-up. Age <12 months, low CD4 cell percentage, and low weight-for-height z score at ART initiation were independently associated with mortality (P < .001). The probability of survival among infants aged <12 months at baseline was 84.3% at 1 year and 76.7% at 5 years of ART, compared with 95.7% and 94.8%, respectively, among children aged >=1 year. Low CD4 cell percentage and wasting at baseline had a strong association with mortality among older children but weak or no association among infants. CONCLUSIONS: Children who initiated ART as infants after meeting immunological and/or clinical criteria had a high risk of mortality which persisted beyond the first year of therapy. Among older children, those with severe wasting or low CD4 cell percentage at treatment initiation were at high risk of mortality during the first 6 months of therapy. These findings support the scale-up of early HIV diagnosis and immediate treatment in infants, before advanced disease progression in older children. PMID- 21054183 TI - Development of the Barkin Index of Maternal Functioning. AB - BACKGROUND: Maternal functional status is important to capture in the 12 months after childbirth, as this period marks a critical window for both mother and child. In most cases, mothers are the primary caregivers and are, therefore, responsible for the majority of the work related to infant care tasks, such as feeding, diaper changes, and doctor's appointments. Additionally, the quality of mother-child interaction in the year after childbirth affects child development. To date, postpartum functioning has exacted scarce coverage, with only one instrument claiming to measure the concept explicitly. This necessitated the development of the Barkin Index of Maternal Functioning (BIMF), which was designed to measure functioning in the year after childbirth. METHODS: Three focus groups comprised of 31 new mothers were held to elicit women's concept of functioning in the first postpartum year. Women were asked to discuss the responsibilities associated with new motherhood as well as the circumstances surrounding high and low functioning periods. RESULTS: The qualitative data produced by the focus groups were coded by emotive tone and content and translated into item construction for the BIMF, a 20-item self-report measure of functioning intended for use in the year after childbirth. Before implementation into the screening study, the BIMF was critiqued by a panel of experts and cross checked with the literature to ensure that no major contextual domains were absent. Psychometric testing revealed adequate internal reliability and construct validity, and the BIMF has been implemented successfully in clinical settings. CONCLUSIONS: The high level of patient engagement and psychometric properties associated with the BIMF are indicative of its potential to become a valuable tool for assessing maternal wellness. PMID- 21054184 TI - Feasibility of using maternal cancer screening visits to identify adolescent girls eligible for human papillomavirus vaccination. AB - PURPOSE: Breast or cervical cancer screening visits may present an opportunity to motivate mothers to have their daughters vaccinated against human papillomavirus (HPV). In preparation for a future intervention study, we sought to establish the feasibility of using these visits to identify women with at least one daughter in the appropriate age range for adolescent HPV vaccination. METHODS: We conducted a cross-sectional mailed survey of women who had received breast or cervical cancer screening within the 6-18 months before the survey. The study was conducted at two diverse institutions: one serving a mostly black (54.1%) urban inner-city population and another serving a mostly white (87.5%) suburban population. RESULTS: Our overall response rate was 28% (n = 556) in the urban site and 38% (n = 381) in the suburban site. In the urban site, the proportions of mothers completing mammography or Pap smear visits with HPV vaccine-eligible daughters were 23% and 24%, respectively. In the suburban site, the proportions of mothers completing mammography or Pap smear with at least one vaccine-eligible daughter were 41% and 26%, respectively. CONCLUSIONS: Women who undergo breast or cervical cancer screening in the two different demographic groups evaluated have at least one adolescent daughter at the appropriate age for HPV vaccination. An important implication of this finding in adolescent daughters of urban mothers is the potential use of maternal breast or cervical cancer screening encounters to target a potentially undervaccinated group. PMID- 21054185 TI - Effect of infliximab on tumor necrosis factor-alpha-induced alterations in retinal microvascular endothelial cells and retinal pigment epithelial cells. AB - PURPOSE: Tumor necrosis factor-alpha (TNF-alpha) may disrupt the extracellular matrix components comprising the blood-retinal barrier (BRB) in patients with posterior uveitis, such as Behcet's disease. We investigated changes in the mRNA expression levels of matrix metalloproteinases (MMPs) and tissue inhibitors of metalloproteinases (TIMPs) in human BRB cells in the presence of TNF-alpha in vitro and examined the effect of infliximab addition. METHODS: Cells were cultured in the presence or absence of TNF-alpha, and TNF-alpha-exposed cells were treated with or without infliximab. We measured the expression levels of MMP 1, MMP-2, MMP-3, MMP-9, TIMP-1, and TIMP-2 mRNA in human retinal microvascular endothelial ACBRI181 cells and retinal pigment epithelial ARPE-19 cells by real time polymerase chain reaction. The cell-derived proteins degraded by MMP were observed after sodium dodecyl sulfate-polyacrylamide gel electrophoresis. RESULTS: Expression of MMP-3 increased and TIMP-1 decreased in the presence of 10 ng/mL TNF-alpha in ACBRI181 cells. Expression of MMP-1 increased and TIMP-2 decreased in the presence of 10 ng/mL TNF-alpha in ARPE-19 cells. These altered levels of expression were reversed by the addition of infliximab. The cell derived proteins degraded by MMP-1 and -3 were detected in each set of cells. CONCLUSIONS: The presence of TNF-alpha altered expression of MMPs and TIMPs in cells comprising the BRB, and infliximab counteracted this alteration. PMID- 21054187 TI - Does concealed chronic kidney disease predict survival of older patients discharged from acute care hospitals? AB - We aimed at verifying whether unrecognized chronic kidney disease (CKD) (i.e., reduced estimated glomerular filtration rate in spite of normal serum creatinine) has prognostic significance in an unselected population of older patients discharged from 11 acute care hospitals located throughout Italy. Our series consisted of 396 participants aged 70 and older. Estimated glomerular filtration rate (eGFR) was calculated by the Modification of Diet in Renal Disease (MDRD) study equation. We compared three groups: Normal renal function (normal serum creatinine levels and normal eGFR), concealed (normal serum creatinine levels and reduced eGFR), or overt (increased creatinine levels and reduced eGFR) renal failure. The relationship between renal function and 1-year mortality was evaluated using Kaplan-Meier curves and Cox regression analysis including potential confounders. Overall, 56 patients died over a cumulative follow-up time of 335 months, with an estimated incidence rate of 16.7/100 person-year (PY). The corresponding figures in patients with normal renal function, concealed CKD, and overt CKD were 9.8/100 PY (95% CI, 5.7-15.7), 28.3/100 PY (95% CI, 13.6-52.1), and 23.0 (95% CI, 15.4-33.0), respectively (log rank test p = 0.006). According to the fully adjusted model, both concealed (hazard ratio [HR], 2.35; 95% CI, 1.09-6.01) and overt CKD (HR, 2.09; 95% CI, 1.05-5.34) were significantly associated with the outcome. Concealed CKD contributes to profile the elderly patient at greater risk of death after being discharged from acute care medical wards. If confirmed in broader populations, this finding might have both clinical and epidemiological implications. PMID- 21054188 TI - Use of the CD19 count in a primary care laboratory as a screening method for B cell chronic lymphoproliferative disorders in asymptomatic patients with lymphocytosis. AB - BACKGROUND: Detection of absolute and relative lymphocytosis in otherwise asymptomatic elderly patients is very common in the primary care setting and frequently results in referral for screening of lymphoproliferative disorders. Since many B-cell chronic lymphoproliferative disorders (B-CLPD) are indeed asymptomatic at diagnosis in most patients with lymphocytosis, no sign of such a disorder is usually detected. Currently, specific guidelines for screening of patients with lymphocytosis are lacking. We investigated the practicability and clinical value of a single colour CD19 count performed by a primary care laboratory in order to improve the diagnostic follow-up of patients with lymphocytosis in a primary care laboratory. METHODS: The capability of detecting monoclonal B-cell lymphocytosis and B-CLPD by CD19, was first confirmed in patient samples with known B-CLPD. Next, in a previously defined geographic area, a CD19 count was performed on all samples for patients aged >=40 years with relative or absolute lymphocytosis but without neutropenia. Clinical follow-up, with a median of 4 years, was performed using both a survey among the requesting general practitioners and by analysis of the records of the referral hospitals within the borders of the defined area. RESULTS: A total of 520 cases with asymptomatic lymphocytosis were identified. In all cases, the CD19 count was performed; 207 (40%) showed increased values and 313 (60%) showed normal values. An increase in CD19 proved highly sensitive for detection of B-CLPD (98%, 95% CI; 94%-100%) with a high positive predictive value (57%, 95% CI; 50%-63%). The area under curve, the receiver-operating characteristic curve of the CD19 count (0.93, 95% CI; 0.91-0.96), was significantly higher compared to the absolute lymphocyte count (0.86, 95% CI; 0.83-0.89), especially in patients with moderate lymphocytosis. CONCLUSIONS: This study indicates that the CD19 count, performed by a primary care laboratory, is feasible and a promising tool for initial screening of lymphocytosis to discriminate B-CLPD from benign causes of lymphocytosis. PMID- 21054189 TI - Protein C and protein S deficiencies: similarities and differences between two brothers playing in the same game. AB - Protein C (PC) and protein S (PS) are vitamin K-dependent glycoproteins that play an important role in the regulation of blood coagulation as natural anticoagulants. PC is activated by thrombin and the resulting activated PC (APC) inactivates membrane-bound activated factor VIII and factor V. The free form of PS is an important cofactor of APC. Deficiencies in these proteins lead to an increased risk of venous thromboembolism; a few reports have also associated these deficiencies with arterial diseases. The degree of risk and the prevalence of PC and PS deficiency among patients with thrombosis and in those in the general population have been examined by several population studies with conflicting results, primarily due to methodological variability. The molecular genetic background of PC and PS deficiencies is heterogeneous. Most of the mutations cause type I deficiency (quantitative disorder). Type II deficiency (dysfunctional molecule) is diagnosed in approximately 5%-15% of cases. The diagnosis of PC and PS deficiencies is challenging; functional tests are influenced by several pre-analytical and analytical factors, and the diagnosis using molecular genetics also has special difficulties. Large gene segment deletions often remain undetected by DNA sequencing methods. The presence of the PS pseudogene makes genetic diagnosis even more complicated. PMID- 21054190 TI - Thrombophilia screening--at the right time, for the right patient, with a good reason. AB - Thrombophilia can be identified in about half of all patients presenting with venous thromboembolism (VTE). Thrombophilia screening for various indications has increased tremendously, but whether the results of such tests help in the clinical management of patients is uncertain. Here, current recommendations for thrombophilia screening in selected groups of patients, and considerations whether other high-risk subjects should be tested are reviewed. The methods for determination of the most common thrombophilic defects (antithrombin, protein C, protein S deficiencies, Factor V Leiden and prothrombin G20210A) associated with strong to moderate risk of VTE are described, indicating the timing and location of thrombophilia screening. Circumstances when a positive result of thrombophilia screening helps clinicians decide if adjustments of the anticoagulant regime are needed are discussed. Finally, psychological, social and ethical dilemmas associated with thrombophilia screening are indicated. PMID- 21054191 TI - Methodological issues of genetic association studies. AB - Genetic association studies explore the association between genetic polymorphisms and a certain trait, disease or predisposition to disease. It has long been acknowledged that many genetic association studies fail to replicate their initial positive findings. This raises concern about the methodological quality of these reports. Case-control genetic association studies often suffer from various methodological flaws in study design and data analysis, and are often reported poorly. Flawed methodology and poor reporting leads to distorted results and incorrect conclusions. Many journals have adopted guidelines for reporting genetic association studies. In this review, some major methodological determinants of genetic association studies will be discussed. PMID- 21054192 TI - Platelet physiology and antiplatelet agents. AB - Apart from the central beneficial role platelets play in hemostasis, they are also involved in atherothrombotic diseases. Here, we review the current knowledge of platelet intracellular signal transduction pathways involved in platelet adhesion, activation, amplification of the activation signal and aggregation, as well as pathways limiting platelet aggregation. A thorough understanding of these pathways allows explanation of the mechanism of action of existing antiplatelet agents, but also helps to identify targets for novel drug development. PMID- 21054193 TI - Diagnostic algorithm for thrombophilia screening. AB - Thrombophilia screening is aimed at detecting the most frequent and well-defined causes of venous thrombosis, such as activated protein C resistance/factor V Leiden mutation, prothrombin G20210A gene mutation, deficiencies of natural anticoagulants, such as antithrombin, protein C and protein S, the presence of antiphospholipid antibodies, hyperhomocysteinemia and increased factor VIII activity. At this time, thrombophilia screening is not recommended for those possible congenital or acquired risk factors, whose association with increased risk of thrombosis has not been proven sufficiently. Laboratory investigations should include a step-wise approach to the diagnosis of thrombotic disorders with respect to the assays and methods of analysis that are used. The assays recommended for the first diagnostic step of screening should establish, whether the subject has one of the common causes of thrombophilia. If one or more abnormal results are obtained, the second diagnostic step includes the assays recommended for confirmation and/or characterization of the defect. When performing the investigation of thrombophilia, it is important to consider all pre-analytical and other variables that may affect the results of thrombophilia testing, including time of testing, age, gender, liver function, hormonal status, pregnancy or the acute phase response to inflammatory diseases. This is necessary, in order to avoid, any misinterpretation of the results. This review summarizes the current knowledge concerning thrombophilia investigations, with special focus on the diagnostic algorithm regarding patient selection, the assays and methods of analysis used and all the variables that should be considered when employing tests for the diagnosis of thrombophilia. PMID- 21054194 TI - Systemic treatments for cutaneous warts: a systematic review. AB - BACKGROUND: Systemic therapies are routinely used for the management of cutaneous warts. However, there is a lack of evidence-based data on their effectiveness. OBJECTIVE: To assess the evidence for the efficacy of systemic treatments for cutaneous warts. METHODS: We designed a systematic review of the randomized controlled clinical trials (1962 to April 2010) investigating systemic therapies for the treatment of cutaneous warts. We obtained data from MEDLINE, PubMed, Current Contents, reference lists, and specialist textbooks, with no restriction on language. The main outcome measures were the total clearance of warts and the adverse effects. RESULTS: There was substantial heterogeneity in the design of the trials. No consistent evidence was found for the efficacy of cimetidine, levamisole or homeopathy, and only limited evidence was found for the efficacy of zinc. CONCLUSIONS: Reviewed trials of systemic treatments for cutaneous warts were highly variable in methods and quality, and there was a paucity of evidence from randomized, placebo-controlled trials on which to base the rational use of such therapies. Limited evidence is emerging that zinc may be effective in selected populations with zinc deficiency. PMID- 21054195 TI - Fundus autofluorescence and spectral domain optical coherence tomography in recurrent serpiginous choroiditis: case report. AB - PURPOSE: To report fundus autofluorescence (FA) and spectral domain optical coherence tomography (SDOCT) findings in serpiginous choroiditis. DESIGN: Case report. METHODS: SDOCT and FA imaging of a 37-year-old woman with bilateral recurrent serpiginous choroiditis. RESULTS: Active new lesions disclosed hyperautofluorescence, in contrast to hypoautofluorescent scarred lesions. SDOCT showed increased reflectance of the choroid and deeper retinal layers, along with disruption of the photoreceptor inner and outer segment junction in both active and inactive lesions. CONCLUSION: Autofluorescence imaging and SDOCT are useful noninvasive methods for the evaluation of serpiginous choroiditis. Autofluorescence imaging allows identification of recurrences and retinal pigment epithelium involvement in the follow-up of this disease. PMID- 21054196 TI - Optic disc tuberculoma in a patient with miliary tuberculosis. AB - A 27-year-old female, on anti-tubercular therapy for miliary tuberculosis for 1 week, presented with gradual diminution of right eye vision for 4 months. Right eye visual acuity was counting fingers at 15 cm. Right fundus showed a yellowish white vascularized lesion, 4 disc diameters in size, overlying the optic disc and associated neurosensory macular detachment. The left eye was normal. A Mantoux test was negative. The authors diagnosed presumed optic disc tuberculoma in the right eye. Oral prednisolone in tapering doses was added to the anti-tubercular therapy. The optic disc lesion regressed with residual scarring and vision became 20/30 at 7 months. PMID- 21054197 TI - Etiologies of chronic anterior uveitis at a tertiary referral center over 35 years. AB - PURPOSE: To describe the epidemiology of chronic anterior uveitis (CAU) at a tertiary center over 35 years. METHODS: Data regarding etiology of CAU was collected from medical records of patients evaluated between 1973-2007. Relative frequencies of each diagnosis of CAU were calculated. Linear regression analyses were performed on the common types of CAU. RESULTS: 5970 patients were evaluated between 1973-2007; 31% carried a diagnosis of CAU. Idiopathic disease was diagnosed in 54% of patients (39 to 72% annually), ocular sarcoidosis in 14% of patients (2 to 20% annually), Fuchs heterochromic iridocyclitis (FHI) in 12% of patients (4 to 22% annually), and juvenile idiopathic arthritis (JIA) in 6% of patients (2 to 13% annually). The frequency of diagnosis of idiopathic CAU decreased over time, with no significant change for sarcoidosis, FHI or JIA. An increase in frequency of diagnosis was observed for HLA-B27-related disease and uveitis related to multiple sclerosis and inflammatory bowel disease. CONCLUSIONS: The relative frequency of idiopathic disease has decreased over the past 35 years at our center. This may be related to an increase in the diagnosis of CAU associated with HLA-B27 positivity, inflammatory bowel disease (including family history) and multiple sclerosis. Despite the advances over the last 35 years, idiopathic disease still comprises at least 39% of our patients with CAU each year. PMID- 21054198 TI - Effects of intense pulsed light and ultraviolet A on metalloproteinases and extracellular matrix expression in human skin. AB - OBJECTIVE: The aim of this study was to evaluate the effects of intense pulsed light (IPL) and ultraviolet A (UVA) irradiation on the expression of matrix metalloproteases (MMPs), tissue inhibitor of metalloproteinase 1 (TIMP-1), and extracellular matrix (ECM) in human skin, and to confirm the relative mechanism. BACKGROUND DATA: IPL has been widely used to treat photoaged skin but the underlying mechanism remains unknown. METHODS: Human buttock skin was irradiated by repetitive suberythemal doses of UVA and therapeutic doses of IPL. Skin biopsies were taken and the protein/mRNA expression of MMP-1, -3, -9, and -12 and TIMP-1 was examined by immunohistochemistry, image analysis, and real-time quantitative polymerase chain reaction (qRT-PCR). RESULTS: Compared with normal control skin, both IPL and UVA irradiation induced a general elevation of MMP-1, 3, -9, and -12 and TIMP-1 at protein/mRNA levels, with some differences in the MMP expression patterns, e.g., a remarkable increase of MMP-1, -3, and -12 in UVA exposed skin, while lower MMP-1, -3, and -12 (p < 0.01, p < 0.01, p < 0.01) but higher MMP-9 (p < 0.05) levels in IPL-irradiated skin. Meanwhile, increased collagen I fibers (p < 0.05) were observed in IPL-irradiated skin, whereas fragments of elastic fibers were found in UVA-exposed skin. CONCLUSION: These findings demonstrated that IPL has similar effects on the expression of MMPs/TIMP 1 in human skin to UVA, but the expression pattern differed from that in UVA exposed skin, which may account for IPL's photorejuvenation effects. PMID- 21054199 TI - The effect of different preparation and etching procedures on the microleakage of direct composite veneer restorations. AB - OBJECTIVES: The aim of this in vitro study was to compare the microleakage of direct composite veneer restorations prepared by a conventional dental bur or Er,Cr:YSGG (erbium, chromium doped yttrium scandium gallium garnet) laser and etched with different procedures. METHODS: Fifty maxillary incisor teeth prepared for direct veneers with gingival margins in dentin and incisal margins in enamel were randomly divided into five groups (n = 10): group 1 (control), prepared with diamond bur and etched with phosphoric acid; group 2, prepared with diamond bur and etched with Er,Cr:YSGG laser (Waterlase MD/Biolase); group 3, prepared with laser and not etched; group 4, prepared with laser and etched with phosphoric acid; and group 5, prepared and etched with laser. After the application of the etch and rinse adhesive system (Prime & Bond NT/Dentsply), teeth were restored with the nano ceramic restorative material (Ceram X Duo/Dentsply), subjected to thermocycling and immersed in 0.5% basic fuchsin dye for 24 h. The teeth were sectioned longitudinally and dye penetration was evaluated by a binocular stereomicroscope equipped with a measuring device. Data were analyzed by Kruskal Wallis and Wilcoxon signed ranks tests. The level of significance was set at p = 0.05. RESULTS: Significant differences were observed in enamel of the five groups (p < 0.05). Minimal microleakage was observed in groups 1 and 3. The highest microleakage was evaluated in group 5 (p < 0.05). No significant differences were found among the five groups in dentin (p > 0.05). No differences were recorded between the microleakage values in enamel and dentin within each group and this was valid for all groups (p > 0.05). CONCLUSIONS: The results confirmed that enamel and dentin surfaces prepared with Er,Cr:YSGG laser for direct composite veneer restorations may provide comparable sealing. PMID- 21054200 TI - The effect of low-level laser therapy on salivary glands in patients with xerostomia. AB - OBJECTIVE: The aim of this study was to investigate the effect of low-level laser irradiation on the secretory function of salivary glands in 34 patients with xerostomia (dry mouth). BACKGROUND DATA: Xerostomia, a common complaint of oral dryness within the elderly population, is caused by a reduction in normal salivary secretion due to different causes. Treatment is aimed at increasing salivary flow, although in most cases it remains palliative. MATERIALS AND METHODS: In this study, laser light from a pulsed Ga-As laser operating at 904 nm was applied bilaterally on each salivary gland area: extraorally on the parotid and submandibular gland areas and intraorally on the sublingual gland area. The operational probe distance from the irradiated area was 0.5 cm resulting in an irradiance of 246 mW/cm(2). The exposure time was 120 sec per daily treatment during 10 consecutive days. The average energy density per exposure was 29.5 J/cm(2). The control group consisted of 16 patients who were treated with 15 mL of a 2% citric acid solution applied as a mouth rinse for 30 sec. RESULTS: The average difference in the amount of salivation (dQ-sal, mL/min) before and after laser therapy increased linearly from dQ-sal = 0.05 mL/min on the first day, up to dQ-sal = 0.13 mL/min on the last (10th) day of therapy. In the control group, the average dQ-sal initially demonstrated a gradual increase, with a reversal of the trend toward the end of the therapy period and eventually yielding no correlation between the duration of therapy and dQ-sal. CONCLUSION: The results of our study indicate that the effects of low-level laser therapy on salivary glands are not only stimulating, but also regenerative to a degree since the glandular response to the same amount of applied laser energy increased linearly over time. PMID- 21054201 TI - An in vitro and in vivo study of combination therapy with Photogem(r)-mediated photodynamic therapy and cisplatin on mouse cancer cells (CT-26). AB - OBJECTIVE: This study was designed to evaluate the anticancer effect of cisplatin and photodynamic therapy (PDT) combined in vitro and in vivo. BACKGROUND DATA: PDT, these days, is a promising modality for the treatment of cancer and infections. In order to optimize the treatment, cisplatin is often combined with other chemotherapeutic agents. METHODS: Colon cancer cells were incubated with cisplatin (0.1, 1, and 6 MUg/ml), followed by photosensitization with Photogem(r) and irradiation with a 632 nm diode laser at an energy density of 3.2 J/cm(2). An MTT assay was then used to measure cell viability. For in vivo studies, established tumors were treated with cisplatin (3 mg/kg) alone or with PDT (5 mg/kg of Photogem(r), 600 J/cm(2)). The sizes of the tumors were continuously measured to note the effects. RESULTS: The cell viability of the combined therapy group was 19.88 +/- 0.41, corresponding to a 9% increase compared with that of the cisplatin- or PDT-only groups. In vivo, the tumors treated with PDT or combination therapy disappeared completely three days after each treatment, but on the 14th day, the recurrence rate was significantly lower in the combination therapy group than in the PDT group. CONCLUSIONS: Combination therapy results in an enhanced anticancer effect, presenting the possibility of minimizing the administration dosage of Photogem(r) and cisplatin. PMID- 21054202 TI - Pediatric residents do not feel prepared for the most unsettling situations they face in the pediatric intensive care unit. AB - BACKGROUND: Critical care rotations involve emotionally unsettling situations with greater frequency and intensity than those that are encountered in other portions of residency training. New approaches are needed to optimize the preparation and professionalism of postgraduate medical trainees when managing crisis management scenarios. METHODS: An anonymous survey was conducted that focused on preparedness for dealing with emotionally unsettling situations, training preferences for these encounters, and the utility of resource personnel. A total of 58% of four classes of pediatric residents responded over a 2-year period. RESULTS: Pediatric residents in our program identified sudden patient death and conflicts about goals of care within the team as the most unsettling situations. These were also the scenarios with which they had the least experience and for which they felt least prepared. Team discussion was designated as the most helpful educational tool, in addition to a combination of didactic educational programs and end-of-rotation sessions. CONCLUSIONS: The focus and design of clinical education programming on preparation for crisis management during the care of critically ill patients benefit from the incorporation of trainee perceptions of preparedness and the efficacy of educational formats. Trainee feedback in these areas can be harnessed as a continuous quality improvement tool and as a metric of success in meeting professional training goals. PMID- 21054204 TI - Laparoscopic repair of a rare case of falciform ligament herniation. AB - Epigastric herniation occurs through a weakness in the linea alba from the xiphisternum to the umbilicus. Frequently, the sac is empty or contains a small portion of greater omentum. We report the first case of falciform ligament herniation through an epigsatric hernial defect repaired laparoscopically. PMID- 21054205 TI - Evidence for endogenous retroviruses in human chemokine receptor gene introns: possible evolutionary inferences and biological roles. AB - The human chemokine receptor (CKR) genes CCR2, CCR6, CCR7, CCR9, CCR10, CXCR4, and CXCR5 harbor one or two introns. CCR7, CCR9, CCR10, and CXCR5 introns, (but not CCR2, CCR6, and CXCR4 introns) encompass retrovirus-like inserts with the characteristics of SINEs (short interspersed nuclear elements) up to 300 nucleotides (nt) long. Other characteristic elements of the retroviral genome, such as long terminal repeats and gag, pol, and env genes, are lacking. The inserts likely derived from one (or more) of the following retroviruses: XA34 (NCBI GenBank Nucleotides, U29659), HERV-P-T47D (AF087913), ERV FTD (U27241), HERV-K (Y17832), HML6p (U86698), HERV-H/env60 (AJ289710), XA38 (U37066). Virus like inserts are remarkably homogeneous in all CKR introns, with nt identities of about 80%. Percentages of nt identities between the CKR inserts and the corresponding viral sequences are also about 80%. With reference to the CKR sequence, the viral sequence aligns in some instances Plus/Plus (XA34, HML6p, HERV-H/env60, and XA38) and in other instances Plus/Minus (HERV-P-T47D, ERV FTD, and HERV-K). Some aspects of the evolution of retroviruses and CKRs as well as hypotheses on the biological significance of the SINE inserts are discussed. PMID- 21054206 TI - When an interim analysis of randomized trial changes the practice in oncology: the lesson of adjuvant Trastuzumab and the HERA trial. AB - About 30% of the randomized clinical trials are stopped early because of appearance of clear clinical benefit. Though interim analyses protect patients in case of significant imbalance between two treatment arms, conclusions drawn from truncated studies can be premature and should be viewed with caution. We report the lesson learnt from the Herceptin adjuvant (HERA) trial. PMID- 21054207 TI - Radioiodine therapy in patients with stage I differentiated thyroid cancer. PMID- 21054208 TI - The Thr92Ala 5' type 2 deiodinase gene polymorphism is associated with a delayed triiodothyronine secretion in response to the thyrotropin-releasing hormone stimulation test: a pharmacogenomic study. AB - BACKGROUND: The common Thr92Ala D2 polymorphism has been associated with changes in pituitary-thyroid axis homeostasis, but published results are conflicting. To investigate the effects of the Thr92Ala polymorphism on intrathyroidal thyroxine (T4) to triiodothyronine (T3) conversion, we designed prospective pharmacogenomic intervention aimed to detect differences in T3 levels after thyrotropin (TSH) releasing hormone (TRH)-mediated TSH stimulation of the thyroid gland. METHODS: Eighty-three healthy volunteers were screened and genotyped for the Thr92Ala polymorphism. Fifteen volunteers of each genotype (Thr/Thr, Thr/Ala, and Ala/Ala) underwent a 500 mcg intravenous TRH stimulation test with serial measurements of serum total T3 (TT3), free T4, and TSH over 180 minutes. RESULTS: No differences in baseline thyroid hormone levels were seen among the study groups. Compared to the Thr/Thr group, the Ala/Ala group showed a significantly lower TRH-stimulated increase in serum TT3 at 60 minutes (12.07 +/- 2.67 vs. 21.07 +/- 2.86 ng/dL, p = 0.029). Thr/Ala subjects showed an intermediate response. Compared to Thr/Thr subjects, the Ala/Ala group showed a blunted rate of rise in serum TT3 as measured by mean time to 50% maximum delta serum TT3 (88.42 +/- 6.84 vs. 69.56 +/ 6.06 minutes, p = 0.028). Subjects attained similar maximal (180 minutes) TRH stimulated TT3 levels. TRH-stimulated TSH and free T4 levels were not significantly different among the three genotype groups. CONCLUSIONS: The commonly occurring Thr92Ala D2 variant is associated with a decreased rate of acute TSH-stimulated T3 release from the thyroid consistent with a decrease in intrathyroidal deiodination. These data provide a proof of concept that the Thr92Ala polymorphism is associated with subtle changes in thyroid hormone homeostasis. PMID- 21054209 TI - A postmenopausal woman with gross cystic disease fluid protein-15 and estrogen receptor-positive recurrence of papillary thyroid cancer. AB - BACKGROUND: The presence of estrogen receptors (ERs) in both normal and neoplastic thyroid tissues has been demonstrated in numerous publications. Growth stimulatory effects of estrogen on thyroid tissue have been postulated to account for the prevalence of thyroid cancers in premenopausal women. However, the role of ERs in mediating these effects is by no means clear-cut, and the significance of ER expression by thyroid carcinomas is currently unknown. This article reports a patient with papillary thyroid cancer and a rare profile of immunohistochemical markers. SUMMARY: A 67-year-old woman underwent a total thyroidectomy for papillary thyroid carcinoma and received radioiodine therapy postoperatively. The patient presented 3 months postoperatively with an enlarging neck mass at the surgical site associated with compressive symptoms and vocal cord paralysis. The patient underwent modified radical neck dissection for debulking of the recurrent tumor, which was invading into the prevertebral fascia. Immunohistochemical analysis of the resected mass revealed positive staining for ER-alpha (ER-alpha) and for gross cystic disease fluid protein 15, both markers with specificities for mammary carcinomas. However, evaluation for a possible primary breast cancer was negative. This case represents an unusual presentation of a rapidly recurring papillary thyroid carcinoma masquerading immunohistochemically as a primary breast cancer. Although the existence of ER-positive thyroid cancer has been well established, the dramatic increase in ER expression between initial and recurrent tumors in this postmenopausal woman raises the question of the significance of these receptors to the progression of the disease. Further, this case is the first to our knowledge to document gross cystic disease fluid protein 15 expression by a primary thyroid carcinoma. CONCLUSIONS: This case highlights the need to further explore the possible ramifications of ER expression in thyroid malignancy. PMID- 21054210 TI - Diagnosis of iodide transport defect: do we need to measure the saliva/serum radioactive iodide ratio to diagnose iodide transport defect? AB - BACKGROUND: Iodide transport defect (ITD) is an infrequent condition associated with congenital dyshormonogenetic goiter due to mutations in the Na(+)/I(-) symporter (NIS) gene transmitted in an autosomal recessive manner. Herein, we describe a patient with ITD and discuss the features important for the diagnosis, focusing on whether or not measuring the saliva/serum radioactive iodide ratio is useful. SUMMARY: A 42-year-old Japanese man attended our hospital in 2010. At that time, he had been off L-thyroxine for several months. He had no obvious mental retardation. His parents were cousins and his sister also had a goiter. Since thyroid dyshormonogenesis could not be ruled out, thyroid function tests, scintigraphy, and ultrasonography were performed. The results showed marked hypothyroidism with a high thyroglobulin level of 627 ng/mL. The results for thyroglobulin antibody and thyroid peroxidase antibody were both negative. Ultrasonography showed an enlarged thyroid gland. Neither the thyroid nor the salivary gland was visualized by (99m)TcO(4)(-) scintigraphy. Therefore, we performed genetic testing for the NIS gene without measuring the saliva/serum radioactive iodide ratio. A homozygous mutation, T354P, was identified in the NIS gene. On the basis of this finding, we could make the definitive diagnosis of ITD due to an NIS mutation. CONCLUSIONS: We recommend confirming the presence of the thyroid by ultrasonography of the neck first and then performing (99m)TcO(4)(-) scintigraphy. If neither the salivary gland nor the thyroid is visualized, screening for NIS mutations should be undertaken. This approach obviates the need to undertake measurement of the saliva/serum radioactive iodide ratio to diagnose ITD. PMID- 21054211 TI - Takotsubo cardiomyopathy precipitated by thyrotoxicosis. PMID- 21054212 TI - Prevalence of parietal cell antibodies in a large cohort of patients with autoimmune thyroiditis. AB - BACKGROUND: Autoimmune thyroiditis (AIT) may be associated with other organ specific autoimmune disorders, including autoimmune gastritis, but the prevalence of this association is not entirely quantified. The aim of this study was to investigate the prevalence of parietal cell antibodies (PCA) in a large cohort of consecutive patients with AIT. METHODS: We retrospectively studied 2016 consecutive women and 258 men with AIT seen at our referral center in the period from 2004 to 2008. All patients were screened for the presence of PCA in the serum. RESULTS: The prevalence of serum PCA in female patients was 29.7% and progressively increased from 13% in the first-second decade of life to peak at 42% in the ninth decade. During follow up, 21.1% of the PCA-positive patients converted to PCA-negative status. Mean (+/-standard deviation) basal PCA levels in this group were significantly lower (32 +/- 28 U/mL) compared with those remaining PCA positive (129 +/- 200 U/mL). A similar prevalence (29.8%) with a similar age-dependency was found in male patients. CONCLUSIONS: In conclusion, our study demonstrates a high, age-dependent prevalence of PCA in an unselected large population of patients with AIT. PMID- 21054213 TI - A new minimally invasive lingual thyroidectomy technique. AB - BACKGROUND: The lingual thyroid is uncommon, and the need for resection of this condition is even more rare. Techniques for removal have historically included wide access with associated disfiguring incisions. We sought to describe a minimally invasive and safe technique for the management of the obstructive lingual thyroid gland that achieves optimal hemostasis with the use of technology. METHODS: Institutional Review Board approval was obtained to evaluate the safety and efficacy of a minimally invasive technique to remove the obstructive lingual thyroid gland. The procedure is performed in ~1 hour; requires no splitting of the lip, tongue, or mandible, is associated with negligible blood loss, and is accomplished on an outpatient basis. RESULTS: The procedure was undertaken in a 34-year-old woman with a longstanding lingual thyroid that began to cause dysphagia. She was found to be clinically and biochemically euthyroid, and was referred for surgical intervention. The procedural time was 90 minutes, and the estimated blood loss was 15 mL. She was discharged shortly after recovery, on an outpatient basis. CONCLUSIONS: A number of surgical approaches to the obstructive lingual thyroid have been described, including the use of a lip-split, tongue-split, mandibulotomy, and cervical pharyngotomy approach. We describe a minimally invasive transoral procedure that incorporates Harmonic technology and high-resolution endoscopy and is accomplished with no external incisions on an outpatient basis. PMID- 21054214 TI - Distribution of HIV-1 infection in different T lymphocyte subsets: antiretroviral therapy-naive vs. experienced patients. AB - Memory CD4 T cells are the primary targets of HIV-1 infection, which then subsequently spreads to other T lymphocyte subsets. Antiretroviral therapy (ART) alters the pattern of HIV-1 distribution. Blood samples were collected from ART naive or -experienced HIV-1 patients, and the memory and naive subsets of CD4(+) and CD8(+) T lymphocytes, respectively, were isolated by cell sorting. DNA was extracted and the HIV-1 env C2/V3 region PCR amplified. Amplicons were cloned and sequenced, and genetic relatedness among different HIV-1 compartments was determined by the phylogenetic analysis of clonal sequences. The viral V3 sequence of HIV-1 in each compartment was analyzed by using webPSSM to determine CCR5 or CXCR4 coreceptor binding property of the virus. The direction of viral migration among involved compartments was determined by using the MacClade program. In ART-naive patients, HIV-1 was generally confined to the memory CD4 T (mT4) cell compartment, even though in a few cases, naive CD4 T (nT4) cells were also infected. When this occurred, the HIV-1 gene migrated from nT4 to mT4. In contrast, HIV-1 was detected in nT4 and mT4 as well as in the memory CD8 T (mT8) compartments of ART-experienced patients. However, no clear pattern of directional HIV-1 gene flow among the compartments could be determined because of the small sample size. All HIV-1-infected T cell compartments housed the virus that used either CCR5 or CXCR4 as the coreceptor. PMID- 21054215 TI - Changes in hemostatic parameters after oral hormone therapy in postmenopausal women. AB - OBJECTIVE: Oral hormone therapy (HT) and menopausal age are both prothrombotic risk factors. The aim of our study was to compare the hemostatic parameters in plasma of postmenopausal women after 6 months of oral HT with parameters of control (without treatment) postmenopausal women. METHODS: Twenty-seven postmenopausal women were treated with 17beta-estradiol (1 mg) and dydrogesterone (5 mg) daily for 6 months. The control group (27 women) did not receive any HT. Hemostatic factors, such as fibrinogen (FG) concentration, activated partial thromboplastin time (APTT), platelet (PLT) count, maximum velocity of clot formation, and fibrin lysis half-time were estimated. RESULTS: The hemostatic parameters in both groups differ significantly. After 6 months oral HT, APTT and the level of FG were higher than in the control group (APTT 30.08 seconds vs. 28.18 seconds, p = 0.02; FG 4.14 g/L vs. 3.03 g/L, p < 0.001). However, the higher values of maximal velocity of FG polymerization (153.53 mOD/min vs. 92.87 mOD/min, p < 0.001), maximum absorbance values (0.306 vs. 0.275, p < 0.001), and fibrin lysis half-time (32.33 minutes vs. 18.11 minutes, p < 0.001) compared with values in the control group also were observed. There was no statistically significant difference in PLT counts between control and women treated with oral HT. CONCLUSIONS: Six months of oral combined HT (17beta-estradiol and dydrogesterone) caused increased initial velocity of clot formation and inhibition of fibrinolysis. The increased level of FG and its higher polymerization may help explain the increase in venous thrombosis and cardiovascular events reported after the use of oral HT. PMID- 21054216 TI - Beneficial effects of a switch to a Lopinavir/ritonavir-containing regimen for patients with partial or no immune reconstitution with highly active antiretroviral therapy despite complete viral suppression. AB - The purpose of this study was to determine if switching to an Lopinavir/ritonavir (LPV/r)-containing regimen resulted in greater immune reconstitution in patients with immunologic failure despite complete viral suppression with highly active antiretroviral therapy (HAART). Twenty patients with partial or no immune response to HAART despite viral suppression were enrolled. Ten were randomized to stay on their current regimen and 10 were randomized to LPV/r plus their current NRTI backbone. T cell subsets, ex vivo apoptosis, and the percent of circulating cells with detectable intracellular HIV-1 RNA were measured. The mean increase in CD4(+) count at 6 months was 116/mm(3) (172-288) for the LPV/r-containing arm versus 32/mm(3) (264-296) for continuation regimens (p = 0.03). The number of patients with an increase >=50 cells/mm(3) was also greater in the LPV/r arm (7/9 versus 2/10, p = 0.01). This paralleled a decrease in ex vivo apoptosis of naive CD4(+) T cells at 6 months (21.7-11.0% for the LPV/r arm versus 17.3-18.9% for the continuation arm, p = 0.04) and memory cells (21.1-14.1% for LPV/r versus 20.2-17.9% for continuation arm, NSS). Switching patients to an LPV/r-containing regimen improved CD4(+) counts in patients with prior immunologic failure, and this may be due to an effect of LPV/r on apoptosis. PMID- 21054217 TI - Preparation and evaluation of solid lipid nanoparticles of baicalin for ocular drug delivery system in vitro and in vivo. AB - PURPOSE: To prepare and evaluate the solid lipid nanoparticles of baicalin (BA SLN) for ocular drug delivery system. METHODS: The BA-SLN was prepared by emulsification/ultrasonication method. The appearance of BA-SLN was examined by the negative stain method. The mean diameter and zeta potential of BA-SLN were determined using a Zetasizer. The entrapment efficiency of BA-SLN was determined by Sephadex-G50 column. And the solid-state characterization of BA-SLN was analyzed by DSC and X-ray. The release of drug from BA-SLN was evaluated using dialysis bag diffusion technique. The effects of SLN on corneal permeability of baicalin were investigated in vitro, using isolated rabbit corneas. The in vivo ocular irritation of BA-SLN was tested by pathological section observation using rabbits. The pharmacokinetics was evaluated by microdialysis in the rabbit aqueous humors. RESULTS: The results showed that the BA-SLN had an average diameter of 91.42 +/- 1.02 nm with a zeta potential of -33.5 +/- -1.28 mV and the entrapment efficiency of 62.45 +/- 1.67%. In vitro release studies indicated that the BA-SLN retained the drug entity better than the baicalin ophthalmic solutions (BA-SOL). In the pharmacokinetics studies, the AUC value of BA-SLN was 4.0-fold versus the BA-SOL (P < 0.01), and the Cmax value of BA-SLN versus the BA-SOL was 5.3-fold (P < 0.01). CONCLUSION: SLN can be used as a carrier to enhance ocular bioavailability of baicalin. PMID- 21054218 TI - Effect of (E)-2-isopropyl-5-methylcyclohexyl octadec-9-enoate on transdermal delivery of Aconitum alkaloids. AB - OBJECTIVE: The aim of this work was to evaluate the percutaneous absorption of Aconitum alkaloids using (E)-2-isopropyl-5-methylcyclohexyl octadec-9-enoate (M OA) as an enhancer as well as to investigate the effect of M-OA in isopropyl palmitate (IPP) solution (5% ethanol in IPP, w/v), with or without an enhancer, on the stratum corneum (SC) barrier properties in vitro. METHODS: The in vitro permeation studies of Aconitum alkaloids were conducted in isopropyl myristate (IPM) solution in side-by-side diffusion cells. In addition, scanning electron microscopy (SEM) and attenuated total reflectance Fourier transform infrared (ATR FTIR) spectroscopy were used to evaluate the M-OA biophysical changes in SC barrier function in vitro. RESULTS: The in vitro permeation studies indicated that M-OA had significant enhancing effect on the permeation of mesaconitine (MA) and hypaconitine (HA); however, aconitine (AC) was too low to be detected on the receiver side, and L-menthol had no effect on the penetration of all the Aconitum alkaloids. Morphological changes in the skin after enhancer treatment demonstrated that the extraction of the SC lipids by the enhancers led to disruption of the SC and the desquamation of SC flake. ATR-FTIR spectra of C-H asymmetric/symmetric stretching peak shifts and amide II stretching vibrations were indicative of SC lipid fluidization and changes in protein conformation, respectively. CONCLUSION: The results showed that M-OA was worthy of further investigation as a potential candidate for inclusion in transdermal formulations as a penetration enhancer. PMID- 21054221 TI - Smad7 blocks transforming growth factor-beta1-induced gingival fibroblast myofibroblast transition via inhibitory regulation of Smad2 and connective tissue growth factor. AB - BACKGROUND: Transforming growth factor-beta1 (TGF-beta1), its downstream signaling mediators (Smad proteins), and specific targets, including connective tissue growth factor (CTGF), play important roles in tissue remodeling and fibrosis via myofibroblast activation. We investigated the effect of overexpression of Smad7, a TGF-beta1 signaling inhibitor, on transition of gingival fibroblast to myofibroblast. Moreover, we analyzed the participation of CTGF on TGF-beta1-mediated myofibroblast transformation. METHODS: To study the inhibitory effect of Smad7 on TGF-beta1/CTGF-mediating gingival fibroblast transition into myofibroblasts, we stably overexpressed Smad7 in normal gingival fibroblasts and in myofibroblasts from hereditary gingival fibromatosis (HGF). Myofibroblasts were characterized by the expression of the specific marker isoform alpha of the smooth muscle actin (alpha-SMA) by Western blot, flow cytometry, and immunofluorescence. Enzyme-linked immunosorbent assay for type I collagen was performed to measure myofibroblast activity. CTGF's role on myofibroblast transformation was examined by enzyme-linked immunosorbent assay and small interference RNA. RESULTS: TGF-beta1 induced the expression of alpha SMA and CTGF, and small interference RNA-mediating CTGF silencing prevented fibroblast-myofibroblast switch induced by TGF-beta1. In Smad7-overexpressing fibroblasts, ablation of TGF-beta1-induced Smad2 phosphorylation marked decreased alpha-SMA, CTGF, and type I collagen expression. Similarly, HGF transfectants overexpressing Smad7 demonstrated low levels of alpha-SMA and phospho-Smad2 and significant reduction on CTGF and type I collagen production. CONCLUSIONS: CTGF is critical for TGF-beta1-induced gingival fibroblast-myofibroblast transition, and Smad7 overexpression is effective in the blockage of myofibroblast transformation and activation, suggesting that treatments targeting myofibroblasts by Smad7 overexpression may be clinically effective in gingival fibrotic diseases, such as HGF. PMID- 21054222 TI - Inflammation and genetic risk indicators for early periodontitis in adults. AB - BACKGROUND: This report is a further analysis of a study designed to determine clinical and microbial risk indicators for progressing periodontitis. METHODS: One hundred ninety subjects who were periodontally healthy or had early signs of periodontitis (age range: 20 to 40 years) were monitored clinically at 6-month intervals followed by supragingival cleaning. At each visit, gingival crevicular fluid (GCF) and blood were collected for determination of interleukin (IL)-1beta content (in GCF) and IL-1 genotype (in blood). Interproximal sites with a >1.5-mm increase in clinical attachment over 18 months were considered disease active. Characteristics were compared between active and inactive subjects. RESULTS: IL 1beta levels in GCF increased with the severity of disease and correlated well with clinical signs of incipient disease. However, the IL-1 genotype did not show any significant associations with disease or the extent of disease. CONCLUSION: Indicators of inflammation may be important clinical determinants of future periodontal disease progression, but the IL-1 genotype was not a risk indictor for early (slight) periodontitis as defined in this subject population. PMID- 21054223 TI - Characterization of five different implant surfaces and their effect on osseointegration: a study in dogs. AB - BACKGROUND: Chemical modification of implant surface is typically associated with surface topographic alterations that may affect early osseointegration. This study investigates the effects of controlled surface alterations in early osseointegration in an animal model. METHODS: Five implant surfaces were evaluated: 1) alumina-blasting, 2) biologic blasting, 3) plasma, 4) microblasted resorbable blasting media (microblasted RBM), and 5) alumina-blasting/acid-etched (AB/AE). Surface topography was characterized by scanning electron microscopy and optical interferometry, and chemical assessment by x-ray photoelectron spectroscopy. The implants were placed in the radius of six dogs, remaining 2 and 4 weeks in vivo. After euthanization, specimens were torqued-to-interface failure and non-decalcified-processed for histomorphologic bone-implant contact, and bone area fraction-occupied evaluation. Statistical evaluation was performed by one way analysis of variance (P <0.05) and post hoc testing by the Tukey test. RESULTS: The alumina-blasting surface presented the highest average surface roughness and mean root square of the surface values, the biologic blasting the lowest, and AB/AE an intermediate value. The remaining surfaces presented intermediate values between the biologic blasting and AB/AE. The x-ray photoelectron spectroscopy spectra revealed calcium and phosphorus for the biologic blasting and microblasted RBM surfaces, and the highest oxygen levels for the plasma, microblasted RBM, and AB/AE surfaces. Significantly higher torque was observed at 2 weeks for the microblasted RBM surface (P <0.04), but no differences existed between surfaces at 4 weeks (P >0.74). No significant differences in bone-implant contact and bone area fraction-occupied values were observed at 2 and 4 weeks. CONCLUSION: The five surfaces were osteoconductive and resulted in high degrees of osseointegration and biomechanical fixation. PMID- 21054224 TI - The role of enamel matrix derivative protein in minimally invasive surgery in treating intrabony defects in single-rooted teeth: a randomized clinical trial. AB - BACKGROUND: This study investigates the impact of enamel matrix derivative (EMD) proteins on the outcome of a minimally invasive surgical technique (MIST) for the treatment of intrabony defects. METHODS: Thirty patients who presented with intrabony defects were randomly assigned to treatment with: 1) MIST plus EMD or 2) MIST alone. Probing depth (PD), position of the gingival margin (PGM), and relative clinical attachment level (RCAL) were evaluated at 3 and 6 months after treatment. Radiographs and markers in gingival crevicular fluid associated with periodontal regeneration were also evaluated. RESULTS: Significant PD reductions, RCAL gains, and no changes in PGM were obtained at 3 and 6 months in both groups. Clinical and radiographic evaluations and levels of mediators of wound healing did not present differences between therapies at any time. CONCLUSION: The use of EMD did not provide superior benefits on the outcome of the minimally invasive surgical approach for the treatment of intrabony defects. PMID- 21054225 TI - Assessment of antimicrobial susceptibility of Enterococcus faecalis isolated from chronic periodontitis in biofilm versus planktonic phase. AB - BACKGROUND: Enterococci are often associated with chronic and recurrent infectious diseases because of their antimicrobial resistance. The aim of this study is to assess antimicrobial susceptibility of Enterococcus faecalis in chronic periodontitis. METHODS: Antimicrobial susceptibility was determined on 23 E. faecalis strains isolated from patients with chronic periodontitis. Ampicillin, erythromycin, gentamicin, tetracycline, triclosan, and vancomycin were prepared in two-fold serial dilution up to 8,192 MUg/mL. Enterococcal biofilm was established by a biofilm device and observed by confocal laser microscopy and scanning electron microscopy. The minimum inhibitory concentration (MIC), minimum biofilm inhibitory concentration, and minimum biofilm eradication concentration were determined by spectrophotometer at optical density(650). RESULTS: A few patches of monolayer early biofilm were observed on the surfaces of biofilm device pegs. The colony-forming units of biofilm per peg were 1.2 * 10(3) to 1.7 * 10(4) and 0 to 20 post-triclosan treatment. The MIC(50) was higher than the MIC epidemiologic cut-off for tetracycline and the MIC(90) was higher than the cut-off for erythromycin and tetracycline, respectively. In biofilm, minimum biofilm eradication concentrations were extremely high for all of the drugs except triclosan. CONCLUSIONS: The E. faecalis strains of chronic periodontitis exhibited weak biofilm formation ability at the early stage. Over 50% of the strains were resistant to tetracycline, and a few strains were highly resistant to erythromycin or gentamicin. E. faecalis cells in biofilm were hardly eradicated by most of the agents, even in high concentrations. Triclosan was effective in inhibiting E. faecalis growth in both biofilm and planktonic phase. PMID- 21054226 TI - Periodontal tissue engineering after tooth replantation. AB - BACKGROUND: Blood-derived products, platelet-poor plasma (PPP) and platelet-rich plasma (PRP), constitute an approach in the enhancement of tissue healing. PRP has also been used as a scaffold for bone marrow stem cells in tissue engineering. This study evaluates the effect of PPP, calcium chloride-activated PRP (PRP/Ca), calcium chloride- and thrombin-activated PRP (PRP/Thr/Ca), and bone marrow mononuclear cells and PRP/Ca (BMMCs/PRP/Ca) on the healing of replanted dog teeth. METHODS: After 30 minutes of extraction, teeth were replanted with 1) no material (control); 2) PPP; 3) PRP/Ca; 4) PRP/Thr/Ca; or 5) BMMCs/PRP/Ca. Histologic, histomorphometric, and immunohistochemical analysis was assessed 120 days after replantation. Data from histomorphometric analysis were analyzed statistically (analysis of variance, Tukey; P <0.05). Quantitative immunohistochemical analysis was analyzed by Kruskal-Wallis and Dunn post hoc test (P <0.05). RESULTS: Flow cytometry analysis showed 55.98% of CD34(+) and 32.67% of CD90/Thy-1 for BMMCs sample. BMMCs/PRP/Ca presented the largest areas of replacement resorption characterized by osseous ingrowth into cementum (P <0.05), with intense immunomarcation for tartrate-resistant acid phosphatase. The PRP/Ca group also showed areas of replacement resorption with significant immunomarcation for osteopontin. PRP/Thr/Ca presented no replacement resorption. PPP showed areas of inflammatory resorption, with immunomarcation for tartrate resistant acid phosphatase. CONCLUSIONS: The results suggest that platelets activated with thrombin play an important role in the healing of tissues after tooth replantation. Additional studies are necessary to test other materials, because PRP/Ca did not present an appropriate scaffold for undifferentiated cells in the treatment of avulsed teeth. PMID- 21054227 TI - Phenotypic study of human gingival fibroblasts in a medium enriched with platelet lysate. AB - BACKGROUND: The modulation abilities of gingival fibroblasts open new therapeutic strategies for the treatment of vascular diseases (e.g., aneurism) and irradiation burns. Culture media are classically supplemented with animal sera to provide nutriments. Unfortunately, because of their potential for interspecies transmission of microorganisms, these media are not used for cells destined for human transplantation. This preliminary phenotypic study aims to test a serum free (SF) culture medium for human gingival fibroblasts (hGF) supplemented with human platelet lysates (PLs) for rapid cell expansion. METHODS: An SF medium was first elaborated to compete with hGF proliferation in a reference medium containing 10% fetal bovine serum (BSmedium). Adhesion, proliferation, and doubling kinetics were run in the presence of PLs (SF+PL). Cytoskeletal proteins were analyzed and chromosomal abnormalities were evaluated by karyotype analyses. The SF+PL influence on secretion of molecules implied in tissue remodeling (i.e., matrix metalloproteinases [MMPs], their tissue inhibitors [TIMPs], and several growth factors) was studied. RESULTS: SF+PL increased the proliferation rate 1.5 fold in a week compared to BSmedium. Cytoskeleton protein expression was similar in BSmedium and in SF+PL. Chromosomal abnormalities were rare in SF+PL. MMP-1, MMP-2, MMP-3, MMP-7, MMP-9, TIMP-1, and the growth factors interleukin-1beta and 4 and transforming growth factor-beta1 secretions were stable during the experiment. TIMP-2 and interleukin-6 were slightly decreased in SF+PL compared to BSmedium. CONCLUSION: While waiting confirmation from a proteomic approach, this SF culture medium could allow a secured faster hGF proliferation adapted for human cell transplant therapy. PMID- 21054228 TI - Effect of smoking on Langerhans and dendritic cells in patients with chronic gingivitis. AB - BACKGROUND: Previous literature showed contrasting results regarding dendritic cell (DC) counts in patients with periodontal diseases. Although smoking decreases the number of DCs in the lungs, the effect of smoking on the quantitative distribution of Langerhans cells (LCs) and DCs in patients with chronic gingivitis has not been investigated to our knowledge. METHODS: Gingival samples were obtained from 30 patients (15 smokers and 15 non-smokers). Immunohistochemical staining was performed to identify CD1a+ immature LCs and CD83+ mature DCs. The inflammatory infiltrate was evaluated and counted. Densities of cells were calculated within the oral epithelium (OE), sulcular epithelium (SE), and lamina propria (LP) for CD1a+ cells and within the LP for CD83+ cells. Results were compared between groups. This study evaluates whether the high number of cigarettes and smoking years affects densities of cells. Correlations among densities of LCs and DCs with densities of inflammatory infiltrate, number of cigarettes, and smoking years were performed. RESULTS: Densities of inflammatory infiltrate and CD1a+ cells from the SE and LP were significantly lower for smokers than for non-smokers (P <0.05). This result could not be identified for CD1a+ cells from the OE and for CD83+ cells from the LP. The number of cigarettes and smoking years did not affect densities of cells. No statistically significant correlations could be drawn among densities of LCs and DCs and inflammatory infiltrate, number of cigarettes, and smoking years. CONCLUSION: Smoking proved to affect the quantitative distribution of LCs and DCs in patients with chronic gingivitis. PMID- 21054229 TI - Simultaneous sinus membrane elevation and dental implant placement without bone graft: a 6-month follow-up study. AB - BACKGROUND: Previous studies have shown that simultaneous elevation of the sinus mucosal lining and placement of dental implants without graft materials can be a predictable procedure. Nevertheless, few prospective, controlled, and randomized studies have evaluated this technique. The aim of this prospective, controlled, and randomized clinical study is to evaluate whether sinus membrane elevation and simultaneous placement of dental implants without autogenous bone graft can create sufficient bone support to allow implant success 6 months post-surgically. METHODS: Sinus membrane elevation and simultaneous placement of dental implants were performed bilaterally in 15 patients in a split-mouth design. The sinuses were assigned to two groups: the test group, with simultaneous sinus mucosal lining elevation and placement of dental implants without graft materials; and the control group, with simultaneous sinus mucosal lining elevation and placement of dental implants with intraoral autogenous bone graft. After 6 months of healing, abutments were connected. For each implant, length of implant protrusion into the sinus, resonance frequency analysis, and bone gain were recorded at baseline and 6 months follow-up. RESULTS: Clinical complications were not observed, except for two postoperative fistulas and suppuration in both groups. Only one implant of the test group was lost, reaching a success rate of 96.4% and 100% for the test and control groups, respectively. After healing, radiographic new peri-implant bone was observed in both groups ranging between 8.3 +/- 2.6 and 7.9 +/- 3.6 mm for the control and test groups, respectively (P >0.05). Resonance frequency analysis values were lower for the control group compared to baseline (P <0.05). However, these values were similar at 6 months (P >0.05). A significant positive correlation was found between the protruded implant length/bone gain and implant survival/sinusitis (P <0.0001). CONCLUSION: Implants placed simultaneously to sinus membrane elevation without graft material resulted in bone formation over a period of 6 months. PMID- 21054230 TI - Severe periodontitis is associated with diastolic blood pressure elevation in individuals with heterozygous familial hypercholesterolemia: a pilot study. AB - BACKGROUND: This pilot study evaluates the association of severe periodontitis with pulse wave velocity (PWV), carotid artery intima-medial thickness (IMT), and clinical, metabolic, and atherogenic inflammatory markers in 79 subjects with heterozygous familial hypercholesterolemia (hFH). All subjects were free of previous vascular disease manifestations. METHODS: The body mass index (in kilograms per square meter), plasma lipids, glucose, C-reactive protein, and white blood cell counts were evaluated. After full-mouth periodontal examinations, patients were categorized into the severe periodontitis group (SPG) or non-severe periodontitis group (NSPG). RESULTS: The SPG showed significantly higher values of cholesterol-year scores, triglycerides, glucose, PWV, IMT, and diastolic blood pressure (DBP) (P <=0.05) than the NSPG. After adjustment for traditional risk factors for atherosclerosis, only the association between severe periodontitis and DBP (odds ratio: 3.1; 95% CI: 1.1 to 8.5; P = 0.03) was confirmed. CONCLUSION: In individuals with hFH, severe periodontitis was associated with a higher DBP, which suggests that severe periodontitis, itself, may contribute to the increased cardiovascular risk profile in this population. PMID- 21054232 TI - Science-based practice and the speech-language pathologist. AB - Evidence-based practice (EBP) is a well established concept in the field of speech-language pathology. However, evidence from research may not be the primary information that practitioners use to guide their treatment selection from the many potential options. There are various alternative therapy procedures that are strongly promoted, so clinicians must become skilled at identifying pseudoscience from science in order to determine if a treatment is legitimate or actually quackery. In order to advance the use of EBP, clinicians can gather practice based evidence (PBE) by using the scientific method. By adhering to the principles of science, speech-language pathologists can incorporate science-based practice (SBP) into all aspects of their clinical work. PMID- 21054233 TI - Measures of spectral change and their application to habitual, slow, and clear speaking modes. AB - Spectral measures are sensitive to dysarthric speech. However, it is unclear whether the spectral differences in dysarthric and healthy speech are due to slow articulation rate or reflect other qualitative changes in speech. Spectral measures were used to detect differences between habitual, slow, and "clear" speaking modes in 12 healthy speakers. Matched t-tests were used to determine differences in the rate and degree of spectral change between the speaking modes. Pearson's correlation coefficients were calculated to assess how well rate of spectral change predicts articulation rate (syllables per second). Clear speech had a significantly higher degree of spectral change than habitual speech, and slow speech had a significantly slower rate of spectral change than habitual and clear speaking modes. These differences occurred in all 12 speakers. The rate of spectral change was correlated with articulation rate across all speakers (range of r = .8-.9 within individual speaking modes) and therefore is a gross predictor of articulation rate. These results suggest that measures of the degree and rate of spectral change together can be used to detect changes between clear, slow, and habitual speaking modes, and hold potential as performance measures. PMID- 21054234 TI - Novel N-methyl-D-aspartate receptor antagonists: a review of compounds patented since 2006. AB - IMPORTANCE OF THE FIELD: The NMDA receptor is a complex ligand gated, voltage dependent ion channel. It has been a drug target for > 25 years for neurological and psychiatric indications. Whereas the initial optimism to turn preclinically active compounds rapidly into drugs for human use was dampened, new insights into cellular receptor localization, role of subunits and receptor operation have kept the interest alive to modulate this receptor for therapeutic intervention. AREAS COVERED IN THIS REVIEW: The article describes the NMDA receptor antagonists patented since 2006. Also included are novel NMDA receptor ligands potentially useful for positron emission tomography imaging. WHAT THE READER WILL GAIN: The first section summarizes the current status of NMDA receptor pharmacology. This serves as a base for the next sections discussing the patented compounds with respect to their mode of action, potency and, in some cases, drugability. TAKE HOME MESSAGE: The most important recent strategies aiming for inhibition of NMDA receptor-mediated neurotransmission avoid for safety reasons full receptor blockade but allow a low degree of normal receptor function. Approaches pursued by the latest patents comprise blocking the channel with compounds of low affinity, antagonizing receptor activity by highly potent NR2B ligands, partial agonism at the glutamate or glycine-binding site and improvement of pharmacokinetic properties of well established, safe antagonists by deuteration. PMID- 21054235 TI - Sphingomyelin metabolism in erythrocyte membrane in asthma. AB - BACKGROUND: Sphingomyelin (SM), a major lipid constituent of outer leaflet of plasma membranes, with cholesterol, constitutes microdomains, which are termed as lipid rafts. These rafts provide support to proteins, receptors, enzymes, and so on and organize and orient them to conduct cellular functions including transmembrane signaling to substances in external milieu. The SM contents are regulated by its metabolism, changes in which may affect the composition of lipid rafts and cell response to the triggers of asthma which may lead to the pathophysiology. For studying changes in membranes, erythrocytes, which contain lipid rafts, are considered to be the best cell type. Hence, this study was conducted on plasma membrane of erythrocytes of asthmatic patients. OBJECTIVE: The objective is to understand the changes in SM metabolism in asthma. METHODS: The study included 50 subjects (25 asthmatics and 25 healthy subjects). Erythrocytes were isolated from the peripheral blood and membrane prepared. This was followed by determination of total cholesterol, phospholipids, SM, and sphingomyelinase activity. P < .05 was considered significant. RESULTS AND CONCLUSIONS: In asthmatics, there was a significant decrease in cholesterol contents (p < .05), decrease in total phospholipid contents (p < .005), increase in SM (p < .01), decrease in cholesterol: SM ratio (p < .001) and increase in sphingomyelinase activity (p < .001) in erythrocyte membranes. We conclude that in asthma, the increase in SM contents is associated with increased sphingomyelinase activity which shows an imbalance in SM metabolism, directed toward its accumulation. The ratio of cholesterol to SM, critical for maintenance of lipid rafts, was significantly lower in asthmatics. This indicates changes in structure of lipid rafts which may lead to the pathophysiology and development of asthma. Regulation of SM metabolism may help in disease regulation and its control. PMID- 21054236 TI - A comparison of tabun-inhibited rat brain acetylcholinesterase reactivation by three oximes (HI-6, obidoxime, and K048) in vivo detected by biochemical and histochemical techniques. AB - Tabun belongs to the most toxic nerve agents. Its mechanism of action is based on acetylcholinesterase (AChE) inhibition at the peripheral and central nervous systems. Therapeutic countermeasures comprise administration of atropine with cholinesterase reactivators able to reactivate the inhibited enzyme. Reactivation of AChE is determined mostly biochemically without specification of different brain structures. Histochemical determination allows a fine search for different structures but is performed mostly without quantitative evaluation. In rats intoxicated with tabun and treated with a combination of atropine and HI-6, obidoxime, or new oxime K048, AChE activities in different brain structures were determined using biochemical and quantitative histochemical methods. Inhibition of AChE following untreated tabun intoxication was different in the various brain structures, having the highest degree in the frontal cortex and reticular formation and lowest in the basal ganglia and substantia nigra. Treatment resulted in an increase of AChE activity detected by both methods. The highest increase was observed in the frontal cortex. This reactivation was increased in the order HI-6 < K048 < obidoxime; however, this order was not uniform for all brain parts studied. A correlation between AChE activity detected by histochemical and biochemical methods was demonstrated. The results suggest that for the mechanism of action of the nerve agent tabun, reactivation in various parts of the brain is not of the same physiological importance. AChE activity in the pontomedullar area and frontal cortex seems to be the most important for the therapeutic effect of the reactivators. HI-6 was not a good reactivator for the treatment of tabun intoxication. PMID- 21054237 TI - Effects of some drugs on human erythrocyte glucose 6-phosphate dehydrogenase: an in vitro study. AB - Inhibitory effects of some drugs on glucose 6-phosphate dehydrogenase from the erythrocytes of human have been investigated. For this purpose, at the beginning, erythrocyte glucose 6-phosphate dehydrogenase was purified 2256 times in a yield of 44.22% by using ammonium sulphate precipitation and 2', 5'-ADP Sepharose 4B affinity gel. Temperature of +4 degrees C was maintained during the purification process. Enzyme activity was determined with the Beutler method by using a spectrophotometer at 340 nm. This method was utilized for all kinetic studies. Ketotifen, dacarbazine, thiocolchicoside, meloxicam, methotrexate, furosemide, olanzapine, methylprednizolone acetate, paricalcitol, ritodrine hydrochloride, and gadobenate-dimeglumine were used as drugs. All the drugs indicated the inhibitory effects on the enzyme. Ki constants for glucose 6-phosphate dehydrogenase were found by means of Lineweaver-Burk graphs. While methylprednizolone acetate showed competitive inhibition, the others displayed non-competitive inhibition. In addition, IC(50) values of the drugs were determined by plotting Activity% vs [I]. PMID- 21054238 TI - Synthesis and antimycobacterial evaluation of various 6-substituted pyrazolo[3,4 d]pyrimidine derivatives. AB - Various pyrazolo[3,4-d]pyrimidines carrying a variety of substituents in the 6 position have been synthesised and their ability to inhibit growth of Mycobacterium tuberculosis in vitro has been determined. Compounds 5a, 5b, 6c, 7a, 7b, 8d, 8e and 8f demonstrated a minimum inhibitory concentration (MIC) of <6.25 ug/mL and were found to be active against Mycobacterium tuberculosis strain H(37)RV. Compound 8d was found to be the most active compound in vitro with a MIC of <6.25 ug/mL and inhibitory concentration IC(90) of 1.53 ug/mL. PMID- 21054239 TI - Retrotracheal secondary intrathoracic goiter presenting as cervical thyroid nodules on ultrasonography. PMID- 21054240 TI - Analysis of immune regulatory genes' copy number variants in Graves' disease. AB - BACKGROUND: Copy number variants (CNVs) have recently been reported to be associated with several autoimmune conditions. Moreover, loci involved in immunity are enriched in CNVs. Therefore, we hypothesized that CNVs in immune genes associated with Graves' disease (GD) may contribute to the etiology of disease. METHODS: One hundred ninety-one North American Caucasian GD patients and 192 Caucasian controls were analyzed for CNVs in three major immune regulatory genes: CD40, PTPN22, and CTLA-4. Copy number was determined using quantitative PCR (Q-PCR) assays specifically designed for determining copy numbers in genomic DNA. Additionally, a well-characterized CNV in the amylase gene was typed in a separate dataset of DNA samples that were derived from cell lines or blood. RESULTS: No CNVs could be confirmed in the CD40 and CTLA-4 genes, even though a CD40 CNV is cataloged in the Database of Genomic Variants. Only the PTPN22 CNV was confirmed in our cohort, but it was rare and appeared in only two individuals. A key finding was that the source of DNA has a significant effect on CNV typing. There was a statistically significant increase in amylase locus deletions in cell line-derived DNA compared to blood-derived DNA samples. CONCLUSIONS: We conclude that CNV analysis should be performed only using blood derived DNA Samples. Additionally, the CTLA-4, CD40, and PTPN22 loci do not harbor CNVs that play a role in the etiology of GD. PMID- 21054241 TI - A longitudinal study on the radiation-induced thyroid gland changes after external beam radiotherapy of nasopharyngeal carcinoma. AB - BACKGROUND: Radiation-induced thyroid disorders have been reported in radiotherapy of head and neck cancers. This study evaluated the radiation-induced damages to thyroid gland in patients with nasopharyngeal carcinoma (NPC). METHODS: Forty-five patients with NPC treated by radiotherapy underwent baseline thyroid hormones (free triiodothyronine, free thyroxine [fT4], and thyrotropin [TSH]) examination and CT scan before radiotherapy. The volume of the thyroid gland was calculated by delineating the structure in the corresponding CT slices using the radiotherapy treatment planning system. The thyroid doses were estimated using the treatment planning system. Subsequent CT scans were conducted at 6, 12, and 18 months after radiotherapy, whereas the hormone levels were assessed at 3, 6, 12, and 18 months after radiotherapy. Trend lines of the volume and hormone level changes against time were plotted. The relationship between the dose and the change of thyroid volume and hormone levels were evaluated using the Pearson correlation test. RESULTS: An average of 20% thyroid volume reduction in the first 6 months and a further 8% shrinkage at 12 months after radiotherapy were observed. The volume reduction was dependent on the mean thyroid doses at 6, 12, and 18 months after radiotherapy (r = -0.399, -0.472, and -0.417, respectively). Serum free triiodothyronine and fT4 levels showed mild changes of <2.5% at 6 months, started to drop by 8.8% and 11.3%, respectively, at 12 months, and became stable at 18 months. The mean serum TSH level increased mildly at 6 months after radiotherapy and more steeply after 18 months. At 18 months after radiotherapy, 12 patients had primary hypothyroidism with an elevated serum TSH, in which 4 of them also presented with low serum fT4. There was a significant difference (p = 0.014) in the mean thyroid doses between patients with hypothyroidism and normal thyroid function. CONCLUSIONS: Radiotherapy for patients with NPC caused radiation-induced changes of the thyroid gland. The shrinkage of the gland was greatest in the first 6 months after radiotherapy, whereas the serum fT4 and TSH levels changed at 12 months. Radiation-induced changes were dependent on the mean dose to the gland. Therefore, measures to reduce the thyroid dose in radiotherapy should be considered. PMID- 21054242 TI - Variation by ethnicity in the prevalence of congenital hypothyroidism due to thyroid dysgenesis. AB - BACKGROUND: The scant data on ethnic differences in the prevalence of congenital hypothyroidism (CH) have generally not taken etiology of CH into account. Our hypothesis is that the prevalence of CH due to thyroid dysgenesis (TD) varies by ethnicity. METHODS: This case-control study included all patients with CH due to TD (a condition of unknown origin) or to dyshormonogenesis (DH, of known autosomal recessive transmission) between 1987 and 2009. Etiology was established by (99m)Tc scintigraphy. The parents self-assessed their ethnicity, which we grouped in Caucasian, Hispanic, black, Asian, and Maghreb/Middle East. We compared ethnicity between the 190 patients with TD (147 ectopies, 40 athyreoses, and 3 orthotopic hypoplasias) and the 44 patients with DH. Ethnicity was also compared to the reference population of the city of Montreal. Prevalence odds ratios (POR) were calculated and compared by the bilateral Fisher's exact test. RESULTS: The ethnic composition of the DH group was similar to that of the reference population. In blacks, TD prevalence of 1 in 190 (0.5%) was low compared to that of DH (4 in 44; 9.1%; POR 0.06; 95% confidence interval: 0.001 0.56; p = 0.005). In contrast, Caucasians showed an increased TD prevalence of 166 in 190 (87.3%) compared to that of DH (30 in 44; 68.2%; POR 3.21; 95% confidence interval: 1.37-7.34; p = 0.0052). No statistically significant differences were observed between other ethnic groups. CONCLUSION: TD is less prevalent in blacks and more prevalent in Caucasians. Blacks being more genetically diverse, this is an argument for an oligogenic inheritance of susceptibility to TD. PMID- 21054243 TI - GMP facilities for manufacturing of advanced therapy medicinal products for clinical trials: an overview for clinical researchers. AB - To be able to produce advanced therapy medicinal products, compliance with regulatory standards while maintaining flexibility is mandatory. For this purpose, careful planning is vital in the design or upgrade of a facility. Similarly, extensive foresight is elemental to anticipate upcoming needs and requirements. Failing this may lead to the facility's in-ability to meet the demands. In this chapter we aimed to outline the current issues with regards to the European Union Directives (EUD) and the proposal for Advanced Therapies, which are of importance to cellular and gene therapy facilities in Europe. This chapter is an attempt to elucidate what the minimum requirements for GMP facilities for cell and gene therapy products are and what is considered necessary to comply with the regulations in Europe. PMID- 21054244 TI - Production of non viral DNA vectors. AB - After some decades of research, development and first clinical approaches to use DNA vectors in gene therapy, cell therapy and DNA vaccination, the requirements for the pharmaceutical manufacturing of gene vectors has improved significantly step by step. Even the expression level and specificity of non viral DNA vectors were significantly modified and followed the success of viral vectors. The strict separation of "viral" and "non viral" gene transfer are historic borders between scientist and we will show that both fields together are able to allow the next step towards successful prevention and therapy. Here we summarize the features of producing and modifying these non-viral gene vectors to ensure the required quality to modify cells and to treat human and animals. PMID- 21054245 TI - Large-scale production means for the manufacturing of lentiviral vectors. AB - Lentiviral vectors become more and more famous for the use as gene vector for gene therapy purposes for the treatment of acquired or inherited diseases. In this review, the present state of the art of the production of lentiviral vectors is presented with particular emphasis on the large scale production of these vectors for preclinical and clinical purposes. In contrast to oncoretroviral vectors which are produced using stable producer cell lines, clinical grade lentiviral vectors are essentially produced by transient transfection of 293 or 293T cells grown in Cell Factories. The main reason is that these production processes have been developed when good and safe LV producer cell lines were not available. With respect to the purification of lentiviral and in agreement with actual developments in the biotech industry, rather sophisticated downstream processing protocols have been established in order to remove any potentially dangerous process derived contaminant, such as plasmid or host cell DNA or host cell proteins. This review presents large scale production means for LV vectors, the different downstream processing steps as used for the purification of LV vectors as well as LV specific safety issues. Published large scale production and purification processes of lentiviral vectors and their process performances are compared. PMID- 21054246 TI - Production of retroviral vectors: review. AB - Retroviral vectors are presently amongst the most widely used vectors in gene therapy clinical trials to target pathologies of different origins, such as cancers, genetic diseases or neurological disorders. This review provides an overview on the evolution of retroviral vector design and production for gene therapy applications, including state of the art developments in flexible producer cells and safe vectors. In addition, production and purification processes will be addressed, with a particular focus on the improvements undertaken to increase vector productivity and to reduce the rapid loss of infectivity, which presently represent the main challenges in retroviral vectors production for gene therapy. PMID- 21054247 TI - Adenovirus vector production and purification. AB - Replication deficient adenovirus vectors are frequently used tools for the delivery of transgenes in vitro and in vivo. In addition, several therapeutic products based on adenovirus are under clinical development. This review outlines adenovirus vector production discussing different vector types, available production cell lines and state of the art of production process development and purification. PMID- 21054248 TI - Production, purification and characterization of adeno-associated vectors. AB - The use of recombinant adeno-associated viral vectors (rAAVs) as gene transfer tools has increased dramatically during the past last several years, establishing AAV as the vector of choice for many therapeutic applications. With the steady advance of the field toward clinical studies, and the isolation and engineering of several novel AAV serotypes, efficient, scalable, and versatile production and purification methods are continuously under development. Here, we review the current state of the art in the various production and purification methods for rAAVs. Classical parameters and methodologies to characterize rAAV stocks will be also discussed. PMID- 21054249 TI - Relevance of an academic GMP Pan-European vector infra-structure (PEVI). AB - In the past 5 years, European investigators have played a major role in the development of clinical gene therapy. The provision of substantial funds by some individual member states to construct GMP facilities makes it an opportune time to network available gene therapy GMP facilities at an EU level. The integrated coordination of GMP production facilities and human skills for advanced gene and genetically-modified (GM) cell therapy, can dramatically enhance academic-led "First-in-man" gene therapy trials. Once proof of efficacy is gathered, technology can be transferred to the private sector which will take over further development taking advantage of knowledge and know-how. Complex technical challenges require existing production facilities to adapt to emerging technologies in a coordinated manner. These include a mandatory requirement for the highest quality of production translating gene-transfer technologies with pharmaceutical-grade GMP processes to the clinic. A consensus has emerged on the directions and priorities to adopt, applying to advanced technologies with improved efficacy and safety profiles, in particular AAV, lentivirus-based and oncolytic vectors. Translating cutting-edge research into "First-in-man" trials require that pre-normative research is conducted which aims to develop standard assays, processes and candidate reference materials. This research will help harmonise practices and quality in the production of GMP vector lots and GM cells. In gathering critical expertise in Europe and establish conditions for interoperability, the PEVI infrastructure will contribute to the demands of the advanced therapy medicinal products* regulation and to both health and quality of life of EU-citizens. PMID- 21054250 TI - Polyvalent AIDS vaccines. AB - A major hurdle in the development of a global HIV-1 vaccine is viral diversity. For close to three decades, HIV vaccine development has focused on either the induction of T cell immune responses or antibody responses, and only rarely on both components. After the failure of the STEP trial, the scientific community concluded that a T cell-based vaccine would likely not be protective if the T cell immune responses were elicited against only a few dominant epitopes. Similarly, for vaccines focusing on antibody responses, one of the main criticisms after VaxGen's failed Phase III trials was on the limited antigen breadth included in the two formulations used. The successes of polyvalent vaccine approaches against other antigenically variable pathogens encourage implementation of the same approach for the design of HIV-1 vaccines. A review of the existing HIV-1 vaccination approaches based on the polyvalent principle is included here to provide a historical perspective for the current effort of developing a polyvalent HIV-1 vaccine. Results summarized in this review provide a clear indication that the polyvalent approach is a viable one for the future development of an effective HIV vaccine. PMID- 21054251 TI - HIV-specific T cells: strategies for fighting a moving target. AB - HIV vaccine development faces many hurdles, including the failure of empirical approaches, an incomplete understanding of protective immunity, and the extreme genetic diversity of HIV-1. HIV is a moving target in at least two key ways: 1) within an infected individual, years of evolution lead to the formation of quasispecies, and selection of variants with increased fitness, and 2) during the course of the pandemic, subtypes change in frequency as they are transmitted from host to host. In spite of this, CD8+ T cells are often able to overcome HIV diversity, leading to relatively high levels of cross-reactive and cross-clade responses. Recent research suggests that the cross-reactivity of HIV-specific CD8+ T cell responses should be evaluated comprehensively, using multiple immunological assays (including those that correlate best with protective immunity), and taking into account subtle differences in epitopic variation, presenting HLA allele, and cognate TCR that all influence recognition and escape. In addition, although escape and cross-reactivity are often predictable, important differences can present, particularly in the setting of multiple and different clades. Finally, strategies to optimize the induction of protective, cross-reactive T cells, and towards the likely infecting strain in the mucosa where exposure occurs and opportunities to prevent infection are greatest, are urgently needed. Though some cues can be found from observational studies, more in depth analyses of past and ongoing HIV vaccine trials will be needed to know if and how HIV genetic diversity can be overcome by vaccine-induced T cells. PMID- 21054252 TI - HIV-1 superinfection and its implications for vaccine design. AB - HIV-1 superinfection, which refers to a subsequent HIV-1 infection from a different source partner after the first HIV-1 infection is established, has now been well documented in multiple populations. Some studies suggest that the risk of superinfection may be close to that of initial infection, suggesting that the immunity induced by chronic HIV-1 infection may not be adequate to confer protection from another HIV-1 strain. Detailed studies that examined immune responses in individuals who became superinfected generally support this hypothesis, but such studies have been limited. Indeed, superinfection represents one of the few settings, apart from vaccine trials, where there is an opportunity to gain insights into the role of HIV-specific immunity in protection in humans, and this should be exploited. Likewise, studies of superinfection in HIV-1 positive individuals on antiretroviral therapy who continue to be exposed to HIV could provide insight into the role of antiretroviral treatment in protecting from HIV-1 infection, a concept that is also being explored for its potential to prevent a first HIV-1 infection. To address these questions, larger population based studies that define the incidence and timing of superinfection and include collection of samples for immunological studies are needed. PMID- 21054253 TI - Broadly neutralizing antibodies and their significance for HIV-1 vaccines. AB - Despite extensive efforts, a preventive HIV vaccine has not yet been obtained and remains the main challenge in the field of AIDS research. Empirical approaches which have proved successful for many vaccines are not sufficient to tackle HIV-1 and new strategies to design effective preventive AIDS vaccines are critical. To this aim, further understanding of the mechanisms of action of neutralizing antibodies is required. In this review we summarize our current knowledge on the structure of the gp160 viral envelope and the dynamics of viral entry, the evolution of humoral response in HIV-infected patients and the mechanisms of viral escape. Finally, we describe the few neutralizing antibodies with activity against a broad spectrum of circulating HIV strains and their relevance in the design of new candidates to HIV-1 vaccines. PMID- 21054254 TI - Correlations between HIV-1 clades and HIV-1 antibody neutralization sensitivity: significant for vaccine development? AB - The correlates of protection against HIV-1 infection or disease progression are still unknown which causes an immense challenge for HIV-1 vaccine design. Existing effective vaccines against other viruses generate antibodies that either block the initial infection or contribute to the eradication of the virus before it can cause disease. For HIV-1, a protective vaccine capable of eliciting protective neutralizing antibodies does not exist and the difficulties for the generation of such a vaccine are multiple. Conserved elements on the viral envelope glycoprotein, the target of HIV-specific neutralizing antibodies, seem to be poorly immunogenic and attempts to generate an immunogen that can elicit broadly reactive neutralizing antibodies have remained largely without success. In addition, the envelope of HIV-1 is highly variable with respect to amino acid sequence, length of the variable loops, and glycosylation pattern. To cope with the high sequence variation, vaccine-elicited clade-specific neutralizing antibodies have been suggested as an attractive alternative and recent studies have revealed some evidence for the existence of HIV-1 clade-specific humoral immune responses. Here, we will review these recent findings and hypothesize on the nature of clade-specific humoral immunity also in light of their relevance for HIV-1 vaccine development. PMID- 21054255 TI - Computational design of centralized HIV-1 genes. AB - The extreme genetic diversity of the HIV-1 remains as a daunting challenge for the development of an effective AIDS vaccine. One strategy for creating a single vaccine that protects against the HIV-1 expanding population is to reconstruct centralized immunogenic sequences that minimize the genetic distance to circulating strains that the vaccine is targeting. Such centralized genes can be estimated with inferred consensus, ancestral and center-of-tree sequences. Although the increased breadth of antibody and T-cell responses induced by the centralized vaccines to date are encouraging, they are modest and may only be partly effective in combating HIV-1. One of the reasons of this limited success might be that several features of HIV-1 molecular evolution have not been yet taken into account in the design of these centralized vaccines, the most important likely being its high recombination rate and complex nucleotide substitution process. Here we describe evolutionary methodologies for the inference of centralized HIV-1 genes, with particular focus on the sources of error introduced by recombination and the model of evolution, in order to foster the development of more effective immunogens before synthesis and assessment in the lab, and final testing in AIDS vaccine trials. PMID- 21054256 TI - Do we need more research on platelet function in South Asian immigrants? AB - South Asian Immigrants (SAIs) have high rates of coronary artery disease (CAD) and its risk factors. This is alarming as i) SAIs are the 2nd fastest growing Asian immigrant population in the US; ii) CAD prevalence is 2 times higher than in other immigrant populations; iii) at any risk factor level, SAIs are at a greater risk of CAD; and iv) Type 2 diabetes is a major risk factor and associated co-morbidity in SAIs. Although clinical guidelines for CAD prevention recommend risk management based on Framingham Risk Scores (FRS), available data suggest that FRS underestimate CAD risk in SAIs. This review presents an overview of platelet related research in SAIs, its importance in predicting CAD risk and methods of diagnosis. Further we provide discussion on the importance of platelet function assessment for more appropriate stratification of SAIs based on cardiovascular risk. PMID- 21054257 TI - Platelets and platelet-derived microparticles in vascular inflammatory disease. AB - Atherosclerosis with ensuing atherothrombosis is an inflammatory disease of the large arteries with high mortality and morbidity. Interactions between blood cells and the arterial vessel wall are considered to determine the progression of atherosclerotic plaques and the thrombotic complications. There is increasing evidence for important roles of activated platelets and platelet-derived microparticles in this disease process by contact with leukocytes, endothelial cells and smooth muscle cells. This paper gives an overview of newly described interactions of platelets and microparticles with other cells of the cardiovascular system via direct contact or via mediator release. The possible significance of these interactions is discussed within the context of vascular inflammation. PMID- 21054258 TI - Cognitive enhancing drugs: a blessing for society? PMID- 21054259 TI - Deterioration of executive functioning in chronic ecstasy users; evidence for multiple drugs effects. AB - A quantitative meta-analysis was carried out on the chronic effects of ecstasy use on working memory (WM), assumed to consist of a central executive (CE) and four executive subcomponents: Updating, Attention shifting, Inhibition and Access to long term memory. Publications dating from January 1998 to January 2008 were only included when they fulfilled the criteria for a meta-analysis (number of subjects, means and standard deviations) and when polydrug users were used as controls. In addition, we also determined effect sizes for lifetime consumption differences between the groups of other psycho-active substances than ecstasy. Both Lifetime Total Ecstasy Consumption (LTEC) and the effect sizes for alcohol, nicotine, amphetamine, cocaine and lysergic acid diethylamide (LSD) were regressed on the mean effect sizes (mES) of the WM subcomponents in order to study dose-response relationships. Ecstasy users appeared to score significantly lower on the subcomponents Updating, Attention shifting and Access to long term memory, but not on Inhibition. We did not find significant regressions of LTEC on any of the executive functioning subcomponents mES values. Ecstasy users also consumed significantly more amphetamine, cocaine, alcohol, nicotine and LSD, but less alcohol than polydrug controls. However, also for these drugs no indications were found for a dose-response relationship with executive functioning. PMID- 21054260 TI - Could sigma receptor ligands be a treatment for methamphetamine addiction? AB - Methamphetamine's effects are generally considered to be mediated via monoamine transporters; however, it has comparable affinity for sigma receptors. Sigma receptors influence the downstream dopamine systems that are targeted by methamphetamine treatment. Research investigating the effect of sigma receptor agonists on methamphetamine-associated neurochemical and behavioral properties remains controversial; however, the general trend indicates an enhancement of stimulant effects. In contrast, sigma receptor antagonists attenuate methamphetamine-induced neurotoxic and behavioral properties. Together, these studies highlight an important role for sigma receptors in methamphetamine's addictive properties and the consequences of methamphetamine intoxication. Additional research is necessary to elucidate the precise mechanisms underlying their involvement and their role as a potential target for anti-methamphetamine pharmacotherapies. PMID- 21054261 TI - Development of tamper deterrent formulations: state of the pharmaceutical industry. AB - Prescription drug abuse is a significant and growing health and socio-economical problem in the US and the world. According to the 2008 UN World Drug Report, the number of people who have consumed an illicit drug at least once in 2006/2007 reached 240 million, roughly 6% of the world population aged 15 to 64. In the last few years, pharmaceutical manufacturers started developing new formulations specifically designed to provide tamper deterrent features. The initial focus of these development activities was extended release opioids, owing to their dominant share of reported prescription drug abuse. Tamper deterrent formulations (TDF) for other drugs of abuse, including stimulants and sedatives are also in various stages of development. Three major challenges face the development of TDF: the increased sophistication of the tampering methods used by abusers, the ambiguity of the regulatory requirements for labeling and marketing and the exaggerated expectations of what these formulations can deliver. This review details the approaches used by pharmaceutical manufacturers to impart tamper deterrent features into their formulations; the in vitro and in vivo tests that have been proposed or used to assess the performance of TDF; and the current regulatory landscape. PMID- 21054263 TI - Neuropsychological aspects of dual diagnosis. AB - Dual diagnosis (DD) has been described as the coexistence of a severe mental health condition and a drug abuse and/or dependence disorder. In the last decades, there has been a growing interest in the prevalence and characteristics of dual diagnosis, since it has been argued that DD patients show more clinical treatment difficulties and higher morbidity. Few works have studied the neuropsychological aspects of patients with DD, although neuropsychological deficits have been widely described both in patients showing a severe mental health condition and in those with a drug abuse and/or dependence disorder. Knowledge of the type and severity of potential neuropsychological deficits in patients with DD is of great relevance since it could be an interviewing factor for clinical treatment and prognosis. The present work aims to review the main data on attention, memory, and executive functions in dual diagnosis patients, from an explanatory point of view. We focus on the diagnoses of Schizophrenia and Bipolar Disorder since these have shown the highest prevalence and severity in DD and have provided a wealth of data. We describe the differences in neuropsychological performance found in these patients and the implications for clinical treatment and psychosocial functioning. Finally, we propose possible working ideas for future studies in order to improve our present knowledge of the neuropsychological aspects of DD. PMID- 21054264 TI - The role of T-helper cells in atherosclerosis. AB - Atherosclerosis is rapidly gaining recognition as an inflammatory disease showing contribution from innate and adaptive immunity pathways towards disease initiation and progression. Components of adaptive immunity especially T cells, are shown to be involved in atherogenesis and subsets of T cells are known to drive/ dampen inflammatory processes in atherosclerosis. However, the regulatory balance between the T cell subsets remains unclear. In this review, we summarize the role of T helper cells Th1, 2, 3 and 17, and regulatory cells Treg in atherosclerosis by studying the cytokines involved in Th cell functioning. We further examine the diverse roles of T helper cells for regulating the progression of atherosclerosis. PMID- 21054262 TI - An essential role for adenosine signaling in alcohol abuse. AB - In the central nervous system (CNS), adenosine plays an important role in regulating neuronal activity and modulates signaling by other neurotransmitters, including GABA, glutamate, and dopamine. Adenosine suppresses neurotransmitter release, reduces neuronal excitability, and regulates ion channel function through activation of four classes of G protein-coupled receptors, A(1), A(2A), A(2B), and A(3). Central adenosine are largely controlled by nucleoside transporters, which transport adenosine levels across the plasma membrane. Adenosine has been shown to modulate cortical glutamate signaling and ventral tegmental dopaminergic signaling, which are involved in several aspects of alcohol use disorders. Acute ethanol elevates extracellular adenosine levels by selectively inhibiting the type 1 equilibrative nucleoside transporter, ENT1. Raised adenosine levels mediate the ataxic and sedative/hypnotic effects of ethanol through activation of A(1) receptors in the cerebellum, striatum, and cerebral cortex. Recently, we have shown that pharmacological inhibition or genetic deletion of ENT1 reduces the expression of excitatory amino acid transporter 2 (EAAT2), the primary regulator of extracellular glutamate, in astrocytes. These lines of evidence support a central role for adenosine-mediated glutamate signaling and the involvement of astrocytes in regulating ethanol intoxication and preference. In this paper, we discuss recent findings on the implication of adenosine signaling in alcohol use disorders. PMID- 21054265 TI - Characterization of LC-HCC fusion protein of botulinum neurotoxin type A. AB - Botulinum neurotoxins (BoNTs) are highly potent toxins that inhibit neurotransmitter release from peripheral cholinergic synapses. The gene for encoding the full length light chain with H(CC) (binding) domain of Clostridium botulinum neurotoxin A was synthesized and cloned into a bacterial expression vector pQE30-UA and produced as an N-terminally six-histidine-tagged fusion protein (rBoNT/A LC-H(CC)). This protein was expressed in two different strains of Escherichia coli namely BL21(DE3) and SG13009. Expression at 37 degrees C revealed localization of rBoNT/A LC- H(CC) in inclusion body whereas it was expressed in soluble form at 21 degrees C. The recombinant fusion protein was purified by nickel affinity gel column chromatography and identified by monoclonal antibody and peptide mass fingerprinting. The recombinant protein was shown to bind with synaptic vesicles and gangliosides (GT1b) using enzyme-linked immunosorbent assay. The rBoNT/A LC-H(CC) was also found to be highly active on its substrate (SNAP-25) from rat brain, indicating that the expressed and purified rBoNT/A LC-H(CC) protein retains a functionally active conformation. Biologically active recombinant fusion protein was also evaluated for its immunological potential. PMID- 21054266 TI - Structural characterization of metalloprotease vibriolysin of cholera pathogen Vibrio cholerae. AB - Vibriolysin is among several zinc metalloproteases produced by Vibrio cholerae. It is involved in the molecular pathogenicity of cholera. Here, we cloned and expressed full-length vibriolysin gene from V. cholerae. Electrophoretic and mass spectrometric data showed that the N-terminal pro-peptide was removed from pro vibriolysin generating a 45-kDa segment containing the metalloprotease plus the C terminal domains, and the 35 kDa metalloprotease. The 35 kDa metalloprotease segment of vibriolysin was purified to homogeneity using ion-exchange and gel filtration chromatography. Circular dichroism (CD) analysis of vibriolysin indicated alpha+beta secondary structure, similar to other closely related metalloproteases of known structure. Positive dichroic absorption maxima in near UV CD spectrum provided evidence for bound metal atom(s). Dynamic Light Scattering (DLS) measurements at different pHs were also performed to establish the aggregational properties of purified vibriolysin in solution. The results of DLS studies revealed that vibriolysin exists as a homomer with a hydrodynamic radius of 56.7 nm +/- 2% under physiological conditions and remains catalytic when BSA was used as a protein substrate. While, extreme acidic (pH 3.5-5.4; R(H) = 65-239 nm) and alkaline (pH 8.5-9.4; R(H) = 57-72 nm) buffering conditions induced further aggregation of vibriolysin, without any trace of the monomeric state in solution. PMID- 21054267 TI - A glucuronic acid binding leguminous lectin with mitogenic activity toward mouse splenocytes. AB - A dimeric 64-kDa lectin was purified from seeds of French bean (Phaseolus vulgaris) cultivar number 1. The purification protocol entailed Q-Sepharose, Affi gel blue gel, Mono S and Superdex 75. The lectin-enriched fraction was adsorbed on Q-Sepharose and Affi-gel blue gel and desorbed using 1M NaCl in the starting buffer. Hemagglutinating activity was adsorbed on Mono S and eluted with a linear 0.3-1 M NaCl gradient. Gel filtration on Superdex 75 yielded a single absorbance peak which appeared as a single 32-kDa in sodium dodecyl sulfate poylacylamide gel electrophoresis. Full hemagglutinating activity was observed when the lectin was exposed to a pH ranging from 3 to 11. About 50% activity remained at pH 12, and about 25% at pH 0 to pH 2. Activity was totally abolished at pH 13-14. The activity was completely preserved when the ambient temperature was 20 degrees C 60 degrees C. However, only 50% and 12.5% of the activity remained at 65 degrees C and 70 degrees C, respectively. Activity was barely discernible at 75 degrees C and completely abrogated at and above 80 degrees C. Hemagglutinating activity of the lectin was inhibited by glucuronic acid. Maximum mitogenic activity of the lectin toward murine splenocytes occurred at a lectin concentration of 0.488 uM. The mitogenic activity was nearly eliminated in the presence of 250 mM glucuronic acid. The lectin did not exhibit antiproliferative activity toward hepatoma (HepG2) cells, breast cancer (MCF7) cells, and nasopharynegeal carcinoma CNE stage 1 and stage 2 cells. It was also devoid of significant anti-HIV reverse transcriptase activity. PMID- 21054268 TI - Surface Plasmon Resonance Imaging (SPRI) sensor for cystatin determination based on immobilized papain. AB - A Surface Plasmon Resonance Imaging (SPRI) sensor has been developed for specific determination of cystatin. The sensor contains immobilised papain, which binds cystatin from solution. Papain activated with N-Hydroxysuccinimide (NHS) and N Ethyl-N'-(3-dimethyl aminopropyl)carbodiimide (EDC) was immobilized on an amine modified gold surface. Cysteamine was used for modification of the gold surface. Papain concentration and the pH of interaction were optimised. A concentration of papain of 1.5 MUg mL(-1) and a pH of 6.5 were selected as optimal. The specificity of interaction was verified by the lack of interaction with human albumin. The sensor's dynamic response range is between 0 and 0.6 mg MUL(-1), and the detection limit is 0.09 MUg mL(-1). The results were validated by comparison with the PETIA (particle enhanced immunoturbidimetric assay) method showing good agreement. A calibration curve of chicken egg white cystatin or Cystatin C was used. In order to demonstrate the sensor's potential, cystatin C was determined in blood plasma, urine and saliva, showing good agreement with data reported in the literature. The results for cystatin concentration in the blood plasma of people suffering from leukaemia were found to be below the normal level of cystatin. PMID- 21054269 TI - Purification and characterization of buffalo brain cystatin. AB - Cystatins are thiol proteinase inhibitors ubiquitously present in mammalian body and serve various important physiological functions. AIMS: To purify and characterize Thiol protease inhibitor from buffalo brain and to compare its properties with respect to tissue and organ difference from other mammalian cystatins. MAIN METHODS: Inhibitor has been isolated and purified using alkaline treatment; ammonium sulphate fractionation and gel filtration chromatography on Sephadex G-75 with a % yield of 64.13 and fold purification of 384.72.The inhibitor was studied by U.V and fluorescence spectroscopy. Papain inhibitory activity was measured using casein as substrate. KEY FINDING: The molecular weight of the buffalo brain cystatin (BC), determined by gel filtration and SDS PAGE came out to be 43.6 KDa and 44.20 KDa respectively. BC was found to be stable in broad pH and temperature range. The inhibitor was devoid of any sulphydryl group and carbohydrate content. These properties led to conclusion that BC is variant of type-I cystatin. The stokes radius and diffusion coefficient of the inhibitor were found to be 27 A degrees and 8.1 x 10-7 cm2/sec respectively, the f/f0 ratio was 1.12 signifying that purified cystatin is nearly globular in shape. Kinetic data revealed binding stoichiometry of BC with papain as 1:1. The Ki value with papain ficin and bromelain were found to be 1, 1.85 and 2.25 nM respectively suggesting that cystatin has higher affinity with papain as compared to ficin and bromelain. The fluorescence and UV spectra of BC- papain complex showed significant conformational changes indicative of perturbation in the micro environment of aromatic amino acid residues on the formation of complex. SIGNIFICANCE: This work proliferates our knowledge about cystatins of the mammalian brain on the basis of their physiochemical properties. PMID- 21054270 TI - Improved prediction of palmitoylation sites using PWMs and SVM. AB - Protein palmitoylation is an important and common post-translational lipid modification of proteins and plays a critical role in various cellular processes. Identification of Palmitoylation sites is fundamental to decipher the mechanisms of these biological processes. However, experimental determination of palmitoylation residues without prior knowledge is laborious and costly. Thus computational approaches for prediction of palmitoylation sites in proteins have become highly desirable. Here, we propose PPWMs, a computational predictor using Position Weight Matrices (PWMs) encoding scheme and support vector machine (SVM) for identifying protein palmitoylation sites. Our PPWMs shows a nice predictive performance with the area under the ROC curve (AUC) of 0.9472 for the S palmitoylation sites prediction and 0.9964 for the N-palmitoylation sites prediction on the newly proposed dataset. Comparison results show the superiority of PPWMs over two existing widely known palmitoylation site predictors CSS-Palm 2.0 and CKSAAP-Palm in many cases. Moreover, an attempt of incorporating structure information such as accessible surface area (ASA) and secondary structure (SS) into prediction is made and the structure characteristics are analyzed roughly. The corresponding software can be freely downloaded from http://math.cau.edu.cn/PPWMs.html. PMID- 21054271 TI - Fold prediction problem: the application of new physical and physicochemical based features. AB - One of the most important goals in bioinformatics is the ability to predict tertiary structure of a protein from its amino acid sequence. In this paper, new feature groups based on the physical and physicochemical properties of amino acids (size of the amino acids' side chains, predicted secondary structure based on normalized frequency of beta-Strands, Turns, and Reverse Turns) are proposed to tackle this task. The proposed features are extracted using a modified feature extraction method adapted from Dubchak et al. To study the effectiveness of the proposed features and the modified feature extraction method, AdaBoost.M1, Multi Layer Perceptron (MLP), and Support Vector Machine (SVM) that have been commonly and successfully applied to the protein folding problem are employed. Our experimental results show that the new feature groups altogether with the modified feature extraction method are capable of enhancing the protein fold prediction accuracy better than the previous works found in the literature. PMID- 21054272 TI - Structure-based prediction of the mobility and disorder of water molecules at protein-DNA interface. AB - Water plays an invaluable role in governing the structure, stability, dynamics, and function of biomolecules, which has also been demonstrated to be critical in mediating biomolecular recognition and association. Accurate determination of the dynamic behavior of water molecules at biological complex interface is important for the understanding of the molecular mechanism of water contributing to the binding between biomolecules and could be exploited as an alternative tool to refine the water's positions in X-ray electron density map. In the present study, a method called local hydrophobic descriptors (LHDs) is used to characterize the hydrophobic landscapes of the hydration sites at protein-DNA interface. The resulting variables of the characterization are then correlated with the experimentally measured B-factor values of 4445 elaborately selected water samples derived from a panel of thematically nonredundant, high-quality protein DNA interfaces by using a variety of machine learning methods, including PLS, BPNN, SVM, LSSVM, RF, and GP. The results show that the dynamic behavior of interfacial water molecules is primarily governed by the local hydrophobic feature of the hydration sites that water molecules located, and the nonlinear dependence dominates over the linear component in the water B-factor system. We expect that this structured-based approach can be used for predicting the B factor profile of other biomolecules as well. PMID- 21054273 TI - Dynamic model for enzyme action. AB - BACKGROUND: Protein thermodynamic structure theory is an integrated approach to the study of protein dynamics and the mechanisms of enzyme catalysis. In this paper, a hypothesis arising from this theory is examined. The timescale of an enzymatic reaction (TER) gives a key to characterizing enzyme conformational changes. The aspects of timescale important in our approach are: (i) it is logically related to internal motions of the main chain of a protein; (ii) it sets the upper limit on the size or scope of protein conformational changes. Feature (i) is linked to the dynamic properties of enzyme-reactant complexes. Feature (ii) is linked to the dynamic sites of the main chain (promoting motion) involved in enzyme activity. CONCLUSION: Our analysis shows that a comprehensive understanding of enzymology can be established on the basis of protein thermodynamic structure theory. PMID- 21054274 TI - A novel access to arylated and heteroarylated beta-carboline based PDE5 inhibitors. AB - Starting from a previously reported lead compound GR30040X (a hydantoin tetrahydro-beta-carboline derivative with a 4- pyridinyl ring at C- 5), a series of structurally related tetrahydro-beta-carboline derivatives were prepared. The tetrahydro-beta-carboline skeleton was fused either to a hydantoin or to a piperazindione ring, the pendant aryl group attached to C-5 or C-6 was changed to a 3, 4-dimethoxyphenyl or a 3-pyridinyl ring; different N-substituents on the terminal ring were introduced, a straight chain ethyl group, a branched tert. butyl and P-chlorophenyl group rather than n-butyl group of the lead compound. All four possible diastereomers of target tetrahydro-beta-carboline derivatives were prepared, separated by column chromatography and the significance of these stereochemical manipulations were studied. Synthesized compounds were evaluated for their inhibitory effect versus PDE5. Seven hits were obtained with appreciable inhibitory activity versus PDE5 with IC50s 0.14 - 4.99 uM. PMID- 21054275 TI - Synthesis and cellular pharmacology studies of a series of 2-amino-3-aroyl-4 substituted thiophene derivatives. AB - Microtubules are dynamic structures that play a crucial role in cellular division and are recognized as an important target for cancer therapy. In search of new compounds with strong antiproliferative activity and simple molecular structure, we have synthesized four different series of compounds in which different substituents were linked to the 4- or 5-position of the 2-amino-3-(3',4',5' trimethoxybenzoyl) thiophene system. When these compounds were analyzed in vitro for their inhibition of cell proliferation, the 4-aryl substituted derivatives had little activity. In contrast, the presence of a methylene, oxymethyl, aminomethyl or methylenepiperazino moiety between the aryl and the 4-position of the thiophene ring resulted in statistically significant improvement in activity relative to the 4-aryl substituted derivatives. It is noteworthy that the antiproliferative effects of the synthesized compounds were more pronounced against human Molt/4 and CEM as compared with murine L1210 and FM3A cells. The effects of a selected series of compounds on cell cycle progression correlated well with their strong antiproliferative activity and inhibition of tubulin polymerization. We found that the antiproliferative effects of the most active compounds were associated with increase of the proportion of cells in the G2/M and sub-G1 phases of the cell cycle. The structure-activity relationships observed in the series of compounds described here should permit the design of more active molecules. PMID- 21054276 TI - Using compound similarity and functional domain composition for prediction of drug-target interaction networks. AB - Study of interactions between drugs and target proteins is an essential step in genomic drug discovery. It is very hard to determine the compound-protein interactions or drug-target interactions by experiment alone. As supplementary, effective prediction model using machine learning or data mining methods can provide much help. In this study, a prediction method based on Nearest Neighbor Algorithm and a novel metric, which was obtained by combining compound similarity and functional domain composition, was proposed. The target proteins were divided into the following groups: enzymes, ion channels, G protein-coupled receptors, and nuclear receptors. As a result, four predictors with the optimal parameters were established. The overall prediction accuracies, evaluated by jackknife cross validation test, for four groups of target proteins are 90.23%, 94.74%, 97.80%, and 97.51%, respectively, indicating that compound similarity and functional domain composition are very effective to predict drug-target interaction networks. PMID- 21054277 TI - Anticancer activity of the ascidian polyclinum indicum against cervical cancer cells (HeLa) mediated through apoptosis induction. AB - The cytotoxicity-based chemotherapy treatment for cancer has significant side effects. Therefore, anticancer research is concentrated on identifying an effective drug with minimal side effects. The methanol extract of ascidian Polyclinum indicum and its column fraction PI-8 showed cytotoxicity against cervical cancer cells (HeLa) with an IC50 of 77.5 ug/mL and 1.12 ug/mL. Hoechst staining with PI-8 treated cells portrayed the apoptotic events in drug-induced apoptosis. The PI-8 markedly arrested G2/M and S phases in cell cycle. The occurrence of a second population of apoptotic cells in the range of lower Forward Scatter (FSC) and higher Side Scatter (SSC) compared to control cells indicated the characteristic feature of the cells dying of apoptosis. DNA fragmentation has established the apoptotic event. The study emphasized the fraction PI-8 of P. indicum as a potential lead compound for apoptosis targeted anticancer drugs. The molecular mechanism and chemotherapeutic potential of the fraction PI-8 needs further investigation. PMID- 21054278 TI - ETRAP (efficient trapping and purification) of target protein polyclonal antibodies from GST-protein immune sera. AB - Recombinant GST (glutathione transferase) proteins are widely used as immunogens to generate polyclonal antibodies. Advantages of using GST proteins include: commercially available cloning vectors, vast literature for protein expression in Escherichia coli, the ease of protein purification, immunogen can be used as an ELISA standard and GST can be removed in some systems. However, there are disadvantages: GST oligomerization, inclusion body formation and target protein insolubility after GST removal. Perhaps the most detrimental is the significant generation of anti-GST antibodies by the host animal. A two-column procedure using a glutathione-GST column and a glutathione-(GST-protein) column can yield affinity-purified anti-(GST-protein) polyclonal antibody. Several passes over the first column are often required, though, to completely extract the anti-GST antibodies from the immune sera. We reasoned that knowledge of the target protein linear epitope(s) would allow construction of a peptide affinity resin for a single-pass 'one and done' purification termed ETRAP (efficient trapping and purification). In the present paper, we describe our efforts and present data on rabbits and sheep immunized with GST proteins having target protein molecular masses of ~8, 21 and 33 kDa. The titre and purity of the target antibodies using the ETRAP protocol were comparable to the more laborious multi-column purifications but with a considerable saving in time. PMID- 21054279 TI - Inhibitory effects of vitamin E on UVB-induced apoptosis of chicken embryonic fibroblasts. AB - Apoptosis research has been focused on several model species in the past decades, whereas studies concerned with non-mammalian vertebrate, particularly birds, have rarely been involved. In accord with requirements to expand the biodiversity of apoptotic research, a chicken embryonic fibroblasts model involving UVB (ultraviolet B) as the death stimulus was established through primary explantation and serial passage. Myriads of antioxidants can inhibit UVB-induced apoptosis by virtue of scavenging reactive oxygen species. To improve our understanding of the possible anti-apoptotic effects and mechanisms of Vitamin E against UVB-induced apoptosis in chicken embryonic fibroblasts, cells treated with Vitamin E after UVB irradiation were stained with AO/EB and Fluo-3/AM to visualize chromatin distribution and calcium homoeostasis, respectively. They were also analysed by flow cytometry to detect mitochondrial transmembrane potential, and cell cycle progression and apoptotic rates were recorded. RT-PCR was used to analyse the expression of some apoptosis-related genes. Typical apoptotic events, including cell shrinkage, blebbing and nuclear condensation, occurred after radiation. In the presence of Vitamin E following irradiation, apoptotic cells were reduced. Ca2+ release was temporarily prevented, and cell cycle arrest at S/G2 checkpoint had almost completely reverted to normal. fas decreased, while procaspase-3 remained nearly unchanged with and without Vitamin E, and bcl2/bax ratio was up-regulated, indicating possible anti-apoptotic mechanisms through the mitochondrial pathway. This new investigation of an apoptosis model involving chicken embryonic fibroblasts expands the database of knowledge across a wider spectrum of vertebrate species. PMID- 21054280 TI - Functional psychopharmacology is the way to go in pharmacotherapy for psychiatric disorders. PMID- 21054281 TI - Antidepressants and suicide: population benefit vs. individual risk. PMID- 21054282 TI - Gray matter volume deficits and correlation with insight and negative symptoms in first-psychotic-episode subjects. AB - OBJECTIVE: To determine brain areas reduced in first episode of psychotic subjects and its association with lack of insight and negative symptoms. METHOD: Twenty-one drug naive first-episode subjects and 20 controls underwent a structural MRI scan and were clinically assessed. Optimized voxel-based morphometry analysis (VBM) was implemented to find between-group differences and correlations between GM volume and: (i) lack of insight and (ii) negative symptoms. RESULTS: Patients showed GM reduction in prefrontal and left temporal areas. A significant correlation was found between insight and GM volume in the cerebellum (corrected P = 0.01), inferior temporal gyrus (corrected P = 0.022), medial superior frontal gyrus (corrected P < 0.001), and inferior frontal gyrus (corrected P = 0.012), as the insight decreased, the volume decreased. Negative symptoms correlated with decreased GM volume at cerebellum (corrected P = 0.037) and frontal inferior regions (corrected P < 0.001), the more negative symptoms, the less volume. CONCLUSION: Our findings support an association between prefrontal, temporal, and cerebellar deficits and lack of insight in schizophrenia and confirm previous findings of GM deficits in patients since the first episode of psychosis. PMID- 21054283 TI - Associations between use of cocaine, amphetamines, or psychedelics and psychotic symptoms in a community sample. AB - OBJECTIVE: To investigate the association between use of cocaine, amphetamines, or psychedelics and psychotic symptoms. METHOD: Cumulated lifetime data from a prospective, longitudinal community study of 2588 adolescents and young adults in Munich, Germany, were used. Substance use at baseline, 4-year and 10-year follow up and psychotic symptoms at 4-year and 10-year follow-up were assessed using the Munich-Composite International Diagnostic Interview. Data from all assessment waves were aggregated, and multinomial logistic regression analyses were performed. Additional analyses adjusted for sociodemographics, common mental disorders, other substance use, and childhood adversity (adjusted odds ratios, AOR). RESULTS: After adjusting for potential confounders, lifetime experience of two or more psychotic symptoms was associated with lifetime use of cocaine (AOR 1.94; 95% CI 1.10-3.45) and psychedelics (AOR 2.37; 95% CI 1.20-4.66). Additionally, when mood or anxiety disorders were excluded, lifetime experience of two or more psychotic symptoms was associated with use of psychedelics (AOR 3.56; 95% CI 1.20-10.61). CONCLUSION: Associations between psychotic symptoms and use of cocaine, and/or psychedelics in adolescents and young adults call for further studies to elucidate risk factors and developmental pathways. PMID- 21054284 TI - Loss of muscle strength, mass (sarcopenia), and quality (specific force) and its relationship with functional limitation and physical disability: the Concord Health and Ageing in Men Project. AB - OBJECTIVES: To determine the association between loss of muscle strength, mass, and quality and functional limitation and physical disability in older men. DESIGN: Cross-sectional study of older men participating in the Concord Health and Ageing in Men Project (CHAMP). SETTING: Elderly men living in a defined geographical region in Sydney, Australia. PARTICIPANTS: One thousand seven hundred five community-dwelling men aged 70 and older who participated in the baseline assessments of CHAMP. MEASUREMENTS: Upper and lower extremity strength were measured using dynamometers for grip and quadriceps strength. Appendicular skeletal lean mass was assessed using dual X-ray absorptiometry. Muscle quality was defined as the ratio of strength to mass in upper and lower extremities. For each parameter, subjects in the lowest 20% of the distribution were defined as below normal. Functional limitation was assessed according to self-report and objective lower extremity performance measures. Physical disability was measured according to self-report questionnaire. RESULTS: After adjusting for important confounders, the prevalence ratio (PR) for poor quadriceps strength and self reported functional limitation was 1.91 (95% confidence interval (CI) = 1.10 2.40); for performance-based functional limitation the PR was 1.81 (95% CI = 1.45 2.24). The adjusted PR for poor grip strength and physical disability in instrumental activities of daily living (IADLs) was 1.37 (95% CI = 1.20-1.56). The adjusted PR for low skeletal lean mass (adjusted for fat mass) and physical disability in basic activities of daily living was 2.08 (95% CI = 1.37-3.15). For muscle quality, the PR for lower extremity specific force and functional limitation and physical disability was stronger than upper extremity specific force. CONCLUSION: Muscle strength is the single best measure of age-related muscle change and is associated with physical disability in IADLs and functional limitation. PMID- 21054285 TI - A randomized controlled trial of the effects of vitamin D on muscle strength and mobility in older women with vitamin D insufficiency. AB - OBJECTIVES: To evaluate the effects of vitamin D treatment on muscle strength and mobility in older women with vitamin D insufficiency. DESIGN: One-year population based, double-blind, randomized, controlled trial. SETTING: Perth, Australia (latitude 32 degrees S). PARTICIPANTS: Three hundred two community-dwelling ambulant elderly women aged 70 to 90 with a serum 25-hydroxyvitamin D (25(OH)D) concentration less than 24 ng/mL. INTERVENTION: Vitamin D(2) 1,000 IU/d or identical placebo; calcium citrate (1 g calcium/d) in both groups. MEASUREMENTS: Lower limb muscle strength and mobility as assessed using the Timed Up and Go Test (TUAG). RESULTS: At baseline, mean +/- standard deviation serum 25(OH)D was 17.7 +/- 4.2 ng/mL; this increased to 24.0 +/- 5.6 ng/mL in the vitamin D group after 1 year but remained the same in the placebo group. For hip extensor and adductor strength and TUAG, but not for other muscle groups, a significant interaction between treatment group and baseline values was noted. In those with baseline values in the lowest tertile, vitamin D improved muscle strength and TUAG more than calcium alone (mean (standard error): hip extensors 22.6% (9.5%); hip adductors 13.5% (6.7%), TUAG 17.5% (7.6%), P < .05). Baseline 25(OH)D levels did not influence patient response to supplementation. CONCLUSION: Vitamin D therapy was observed to increase muscle function in those who were the weakest and slowest at baseline. Vitamin D should be given to people with insufficiency or deficiency to improve muscle strength and mobility. PMID- 21054286 TI - Characteristics of muscle fiber type are predictive of skeletal muscle mass and strength in elderly men. AB - OBJECTIVES: To investigate the relationship between skeletal muscle fiber type specific characteristics, circulating hormone concentrations, and skeletal muscle mass and strength in older men. DESIGN: Cross-sectional analyses. SETTING: University research center. PARTICIPANTS: Forty-one community dwelling elderly men (>= 65). MEASUREMENTS: Leg strength (1-repetition maximum, 1RM) and whole body and limb muscle mass were determined, and muscle fiber type composition, cross-sectional area (CSA), myonuclear content, and satellite cell (SC) content were assessed in skeletal muscle biopsy samples. In addition, blood samples were collected to determine serum testosterone, sex hormone-binding globulin, insulinlike growth factor (IGF)-1, and IGF binding protein-3 concentrations. RESULTS: Muscle mass correlated with muscle strength (0.41 <= correlation coefficient (r) <= 0.72; P < .01). Muscle fiber CSA, myonuclear content, and SC content were significantly lower in type II than in type I muscle fibers. Myonuclear and SC content were positively correlated with muscle fiber CSA. Furthermore, greater muscle fiber CSA (type I and II) was associated with greater thigh muscle area and muscle strength (0.30 <= r <= 0.45; P < .05). Testosterone concentration was positively correlated with muscle mass and muscle fiber CSA. Regression analysis showed that SC content, myonuclear content, and testosterone concentration are predictive of muscle fiber CSA. Furthermore, muscle mass and type II muscle fiber CSA are predictive of muscle strength. CONCLUSION: Skeletal muscle mass and strength in elderly men are positively correlated with muscle fiber type-specific CSA, myonuclear content, and SC content. These findings support the assumption that a decline in SC content plays an important role in age-related decline in muscle mass and strength. PMID- 21054287 TI - Heterogeneity in rate of decline in grip, hip, and knee strength and the risk of all-cause mortality: the Women's Health and Aging Study II. AB - OBJECTIVES: To assess the relationship between rate of change in muscle strength and all-cause mortality. DESIGN: Prospective observational study of the causes and course of physical disability. SETTING: Twelve contiguous ZIP code areas in Baltimore, Maryland. PARTICIPANTS: Three hundred seven community-dwelling women aged 70 to 79 at study baseline. MEASUREMENTS: The outcome was all-cause mortality (1994-2009); predictors included up to seven repeated measurements of handgrip, knee extension, and hip flexion strength, with a median follow-up time of 10 years. Demographic factors, body mass index, smoking status, number of chronic diseases, depressive symptoms, physical activity, interleukin-6, and albumin were assessed at baseline and included as confounders. The associations between declining muscle strength and mortality were assessed using a joint longitudinal and survival model. RESULTS: Grip and hip strength declined an average of 1.10 and 1.31 kg/year between age 70 and 75 and 0.50 and 0.39 kg/year thereafter, respectively; knee strength declined at a constant rate of 0.57 kg/year. Faster rates of decline in grip and hip strength, but not knee strength, independently predicted mortality after accounting for baseline levels and potential confounders (hazard ratio (HR) = 1.33, 95% confidence interval (95% CI) = 1.06-1.67, HR = 1.14, 95% CI = 0.91-1.41, and 2.62, 95% CI = 1.43-4.78 for every 0.5 standard deviation increase in rate of decline in grip, knee, and hip strength, respectively). CONCLUSION: Monitoring the rate of decline in grip and hip flexion strength in addition to absolute levels may greatly improve the identification of women most at risk of dying. PMID- 21054288 TI - Hospitalization and change in body composition and strength in a population-based cohort of older persons. AB - OBJECTIVES: To examine the association between hospitalization and annual changes in body composition and strength in older adults. DESIGN: Cohort study. SETTING: Clinic examinations in Pittsburgh, Pennsylvania, or Memphis, Tennessee. PARTICIPANTS: Well-functioning adults aged 70 to 79 who participated in the Health, Aging and Body Composition Study. MEASUREMENTS: Hospitalizations were reported at annual clinic visits and in semiannual phone interviews. In the event of death or reported hospitalization, hospitalizations were adjudicated according to medical record review. Dual X-ray absorptiometry (DXA) assessments of total, lean, and fat mass were conducted in six annual examinations, and measures of knee extensor strength were conducted in two annual examinations. RESULTS: DXA assessments followed 2,309 hospitalizations. In men and women, hospitalization in the previous year was associated with greater declines in total mass (-0.76 and 0.81 kg, respectively), fat mass (-0.41 and -0.54 kg), and lean mass (-0.33 and 0.25 kg) (P < .001 for all) than in nonhospitalized participants, after adjustment for demographics and baseline values. Hospitalization was associated with strength declines in men (-4.02 Nm, P = .046) but not in women. Relationships were similar after adjusting for health behaviors and chronic conditions, although the association between hospitalization and strength was attenuated. Associations increased with number of days hospitalized; hospitalizations totaling 8 days or more in the previous year were associated with significantly greater loss of total, lean, and fat mass and loss of strength in both sexes than in nonhospitalized participants. CONCLUSION: Hospitalization is associated with significant changes in body composition and strength in older persons. These effects appear particularly important in persons hospitalized for 8 or more days per year. PMID- 21054289 TI - Larger body mass index and waist circumference are associated with lower mortality in Chinese long-term care facility residents. AB - OBJECTIVES: To investigate the association between body mass index (BMI) and waist circumference (WC) and all-cause mortality of Chinese residents in long term care facilities in Taiwan. DESIGN: Prospective cohort study. SETTING: Eight long-term care facilities in Taiwan. PARTICIPANTS: Three hundred fifty-four residents aged 60 and older (median 78.4, range 60-101; 156 men, 198 women) were recruited during the study period. MEASUREMENTS: Anthropometrics and metabolic parameters were measured at baseline. Mean BMI was 21.7 +/- 4.2 kg/m(2) (range 11.6-35.3 kg/m(2) , and mean WC was 82.4 +/- 10.9 cm (range 55.0-124.0 cm). Mortality data were from the Department of Health in Taiwan. RESULTS: There were 219 deaths during the 5 years of follow-up. After adjusting for age, sex, albumin, Karnofsky performance status scale, hypertension, and diabetes mellitus, subjects in the highest quartile of BMI (27.3 +/- 2.8 kg/m(2) ) and WC (96.7 +/- 7.4 cm) had a significantly lower mortality rate than did subjects in the lowest quartile (BMI, 16.7 +/- 1.7 kg/m(2) ; WC, 69.6 +/- 4.2 cm). After further stratification according to central obesity status, the subjects in the two highest BMI quartiles had a lower mortality rate than those in the lowest BMI quartile but only in the central obesity group (>= 90 cm in men or >= 80 cm in women). The adjusted relative risk for all-cause mortality in the highest versus lowest BMI quartile was 0.17 (95% confidence interval = 0.05-0.57). CONCLUSION: BMI and WC were negative predictors for all-cause mortality in older Chinese adults living in long-term care facilities. Participants with higher WC and BMI had lower all-cause mortality. PMID- 21054290 TI - Do pedometers increase physical activity in sedentary older women? A randomized controlled trial. AB - OBJECTIVES: To determine the effectiveness of a behavior change intervention (BCI) with or without a pedometer in increasing physical activity in sedentary older women. DESIGN: Prospective randomized controlled trial. SETTING: Primary care, City of Dundee, Scotland. PARTICIPANTS: Two hundred four sedentary women aged 70 and older. INTERVENTIONS: Six months of BCI, BCI plus pedometer (pedometer plus), or usual care. MEASUREMENTS: PRIMARY OUTCOME: change in daily activity counts measured by accelerometry. SECONDARY OUTCOMES: Short Physical Performance Battery, health-related quality of life, depression and anxiety, falls, and National Health Service resource use. RESULTS: One hundred seventy nine of 204 (88%) women completed the 6-month trial. Withdrawals were highest from the BCI group (15/68) followed by the pedometer plus group (8/68) and then the control group (2/64). After adjustment for baseline differences, accelerometry counts increased significantly more in the BCI group at 3 months than in the control group (P = .002) and the pedometer plus group (P = .04). By 6 months, accelerometry counts in both intervention groups had fallen to levels that were no longer statistically significantly different from baseline. There were no significant changes in the secondary outcomes. CONCLUSION: The BCI was effective in objectively increasing physical activity in sedentary older women. Provision of a pedometer yielded no additional benefit in physical activity, but may have motivated participants to remain in the trial. PMID- 21054291 TI - Cognitive training decreases motor vehicle collision involvement of older drivers. AB - OBJECTIVES: To test the effects of cognitive training on subsequent motor vehicle collision (MVC) involvement of older drivers. DESIGN: Randomized, controlled, multisite, single-blind clinical trial. SETTING: Community-dwelling seniors at four U.S. sites: Birmingham, Alabama; Baltimore, Maryland; Indianapolis, Indiana; and State College, Pennsylvania. PARTICIPANTS: Nine hundred eight older drivers (mean age 73.1; 18.6% African American) who were randomized to one of three cognitive interventions or a control condition. INTERVENTIONS: Up to 10 sessions of cognitive training for memory, reasoning, or speed of processing. MEASUREMENTS: State-recorded MVC involvement up to 6 years after study enrollment. RESULTS: Speed-of-processing and reasoning training resulted in lower rates of at-fault collision involvement over the subsequent approximately 6-year period than controls. After adjusting for age, sex, race, education, mental status, health, vision, depressive symptoms, and testing site, participants randomized to the speed-of-processing and reasoning interventions had an approximately 50% lower rate (per person-mile) of at-fault MVCs than the control group (rate ratio (RR) = 0.57, 95% confidence interval (CI) = 0.34-0.96 for speed of processing), and (RR = 0.50, 95% CI = 0.27-0.92 for reasoning). There was no significant difference observed for the memory group. CONCLUSION: Cognitive speed of-processing and reasoning training resulted in a lower at-fault MVC rate in older drivers than in controls. Considering the importance of driving mobility, the costs of crashes, and the benefits of cognitive training, these interventions have great potential to sustain independence and quality of life of older adults. More research is needed to understand the effects of different types and quantities of training. PMID- 21054292 TI - Palliative care for patients with dementia: a national survey. AB - OBJECTIVES: To determine the extent to which hospice and nonhospice palliative care (PC) programs provide services to patients with dementia and to describe barriers and facilitators to providing nonhospice PC. DESIGN: Telephone and Web based surveys. SETTING: U.S. hospice and PC programs from the National Hospice and Palliative Care Organization's program list. PARTICIPANTS: Executive directors of 240 hospice programs, 173 programs providing hospice and nonhospice PC, and 13 programs providing nonhospice PC. MEASUREMENTS: A telephone survey of hospice and PC providers followed by an online survey of programs providing nonhospice PC. RESULTS: Ninety-four percent of hospices and 72% of PC programs had served at least one patient with a primary diagnosis of dementia within the past year. Based on 80 responses to the online survey, the most highly rated barriers to providing PC were lack of awareness of PC by families and referring providers, need for respite services, and reimbursement policies. Highly rated needs were family information, assistance with caregiver burden, and behavioral symptoms. Strategies critical for success were an interdisciplinary team, collaboration with community organizations, and alternatives to aggressive end-of life care. CONCLUSION: Almost all hospices and a majority of nonhospice PC programs serve patients with dementia. Education and policy efforts should focus on education for families and providers, support for caregivers, and reforming reimbursement structures to provide coverage for interdisciplinary PC earlier in the disease, when patients have high needs but are not hospice eligible. PMID- 21054293 TI - The quality of emergency department pain care for older adult patients. AB - OBJECTIVES: To determine whether there are differences in emergency department (ED) pain assessment and treatment for older and younger adults. DESIGN: Retrospective observational cohort. SETTING: Urban, academic tertiary care ED during July and December 2005. PARTICIPANTS: Adult patients with conditions warranting ED pain care. MEASUREMENTS: Age, Charlson comorbidity score, number of prior medications, sex, race and ethnicity, triage severity, degree of pain, treating clinician, and final ED diagnosis. Pain care process measures were pain assessment and treatment and time of activities. RESULTS: One thousand thirty-one ED visits met inclusion criteria; 92% of these had a documented pain assessment. Of those reporting pain, 41% had follow-up pain assessments, and 59% received analgesic medication (58% of these as opioids, 24% as nonsteroidal anti inflammatory drugs (NSAIDs)). In adjusted analyses, there were no differences according to age in pain assessment and receiving any analgesic. Older patients (65-84) were less likely than younger patients (18-64) to receive opioid analgesics for moderate to severe (odds ratio (OR) = 0.44, 95% confidence interval (CI) = 0.22-0.88) and were more likely to more likely to receive NSAIDs for mild pain (OR = 3.72, 95% CI = 0.97-14.24). Older adults had a lower reduction of initial to final recorded pain scores (P = .002). CONCLUSION: There appear to be differences in acute ED pain care for older and younger adults. Lower overall reduction of pain scores and less opioid use for the treatment of painful conditions in older patients highlight disparities of concern. Future studies should determine whether these differences represent inadequate ED pain care. PMID- 21054294 TI - Associations between dietary nutrient intake and muscle mass and strength in community-dwelling older adults: the Tasmanian Older Adult Cohort Study. AB - OBJECTIVES: To describe associations between dietary nutrient intake and progression of sarcopenia, the age-related loss of muscle mass and strength. DESIGN: Prospective cohort study of community-dwelling older adults. SETTING: Southern Tasmania, Australia. PARTICIPANTS: Seven hundred forty noninstitutionalized older adults (50% female; mean age 62 +/- 7) randomly sampled from electoral rolls. MEASUREMENTS: Dietary nutrient intake was examined at baseline and follow-up (2.6 +/- 0.4 years later) using The Cancer Council Victoria's Food Frequency Questionnaire (FFQ). Appendicular lean mass (aLM) was assessed using dual X-ray absorptiometry and muscle strength of the knee extensors using a dynamometer. RESULTS: Failing to meet the recommended dietary intake for protein was associated with significantly lower aLM at baseline (-0.81 kg, 95% confidence interval (CI) = -1.54 to -0.08) and follow-up (-0.79 kg, 95%CI = -1.42 to -0.17). Energy-adjusted protein intake was a positive predictor of change in aLM over 2.6 years (beta = 0.10, P = .003). Energy-adjusted intake of iron (beta = 0.07, P = .02), magnesium (beta = 0.07, P=.02), phosphorus (beta = 0.07, P = .047), and zinc (beta = 0.08, P = .02) were positive predictors of change in aLM, whereas retinol (beta = -0.09, P = .005) was a negative predictor of change in aLM after adjustment for protein intake. No significant associations were observed between nutrient intake and muscle strength. CONCLUSION: Protein and several other dietary nutrients are associated with muscle mass and rate of muscle loss (but not strength) in older adults, suggesting that multiple dietary components may ameliorate the progression of sarcopenia. PMID- 21054295 TI - Lower frailty incidence in older Mexican Americans than in older European Americans: the San Antonio Longitudinal Study of Aging. AB - OBJECTIVES: To directly compare frailty incidence of older Mexican American (MA) and European American (EA) adults. DESIGN: Longitudinal, observational cohort study. SETTING: Socioeconomically diverse neighborhoods in San Antonio, Texas. PARTICIPANTS: Three hundred one older MA and 305 older EA adults in the San Antonio Longitudinal Study of Aging (SALSA) who were nonfrail at baseline. MEASUREMENTS: Frailty was assessed at baseline, and three follow-ups conducted over an average of 9.9 years using well-established criteria from the Cardiovascular Health Study. Covariates were baseline age, sex, socioeconomic status (SES), prefrailty status, diabetes mellitus, and comorbidity. The adjusted ethnic odds (MA vs EA) of incident frailty were estimated using generalized estimating equations. RESULTS: There was no ethnic difference in the unadjusted incidence of frailty over the three follow-up examinations (odds ratio (OR) = 0.97, 95% confidence interval (CI) = 0.62-1.52), even though baseline SES was significantly lower in MAs than EAs. After covariate adjustment, the odds of incident frailty were significantly lower for MAs than EAs (OR = 0.40, 95% CI = 0.23-0.72). Other significant predictors of frailty in the adjusted model were pre-frailty (present vs absent OR = 3.19, 95% CI = 1.86-5.47), education (1-year increment OR = 0.89, 95% CI = 0.83-0.96), and income (1-year increment OR = 0.88, 95% CI = 0.79-2.04). CONCLUSION: These findings lend support to the Hispanic Paradox and suggest that MAs who live to older ages are less likely than similarly aged EAs to become frail. Further research is needed to identify the underlying biological and social mechanisms that explain this finding to enhance the development of interventions for the prevention and treatment of this clinical geriatric syndrome. PMID- 21054296 TI - Frailty, mortality, and health-related quality of life in older Mexican Americans. AB - OBJECTIVES: To determine the effect of health-related quality of life (HRQOL) on the relationship between frailty status and survival in older Mexican-American adults. DESIGN: Prospective analysis of participants in the Hispanic Established Populations for Epidemiologic Study of the Elderly. SETTING: Urban and rural areas of five southwestern states: Arizona, California, Colorado, New Mexico, and Texas. PARTICIPANTS: One thousand eight Mexican-American adults aged 74 to 101 interviewed between 2005 and 2006. MEASUREMENTS: Trained interviewers collected information on patient demographics, health conditions, frailty status, HRQOL, and survival. RESULTS: Frailty was associated with a greater odds of death (odds ratio = 2.72, 95% confidence interval = 1.5-5.1) over a period of 2 to 3 years. After adjusting for the physical component of HRQOL, being frail (as opposed to prefrail or nonfrail) was no longer significantly associated with mortality. The mental health component of HRQOL did not affect the relationship between frailty and mortality. CONCLUSION: Older Mexican Americans identified as frail experienced poorer survival in this sample than their prefrail or nonfrail counterparts. Adjusting for the physical component of HRQOL attenuated poorer survival in persons categorized as frail. PMID- 21054297 TI - Quality of life and barriers in the urban outdoor environment in old age. AB - OBJECTIVES: To examine the association between barriers in the outdoor environment and perceived quality of life (QoL) in old age and to assess whether fear of moving outdoors and unmet physical activity need contribute to this association. DESIGN: Cross-sectional. SETTING: Community and research center. PARTICIPANTS: Five hundred eighty-nine, community-dwelling people aged 75 to 81 took part in face-to-face home-interviews and examinations in the research center. MEASUREMENTS: QoL was assessed using the LEIPAD questionnaire. Environmental barriers were studied based on self-reports of lack of resting places or long distances (distances), noisy traffic or dangerous crossroads (traffic), and hilly terrain or poor street condition (terrain). Fear of moving outdoors and unmet physical activity need were self-reported, and maximal walking speed was measured over 10 m. A path analyses model using LISREL was used for the statistical analyses. RESULTS: QoL was worse among those who reported more barriers in their outdoor environment, experienced fear of moving outdoors or unmet physical activity need, and had slower walking speed and more chronic diseases. In the path model, in which 36% of the variation in QoL was explained, terrain, traffic and distances influenced QoL through fear of moving outdoors or unmet physical activity need, whereas distances had a direct association with QoL. CONCLUSION: An outdoor environment that encumbers outdoor mobility increases perceptions of fear of moving outdoors and unmet physical activity need and is associated with poor QoL in older people. More research is needed to confirm the temporal order and causality of these observations. PMID- 21054298 TI - Pain and self-injury ideation in elderly men and women receiving home care. AB - OBJECTIVES: To investigate the associations between self-injury ideation and pain severity, pain control, and their combination in older adults receiving home care and to examine sex differences in the associations. DESIGN: Secondary data analysis, mixed-model repeated-measures design. SETTING: Two publicly funded home care programs in Michigan. PARTICIPANTS: Elderly participants of home care programs (N=16,700). MEASUREMENTS: All participants received in-home assessments at baseline and every 3 months thereafter using a standardized instrument that included questions about self-injury ideation and pain experience. Assessment data collected over 1 year after baseline were used. RESULTS: Participants' average age was 77.5; 72.2% were female, and 81.4% were white. At baseline, 1.4% of the sample (2.1% of men and 1.2% of women) had self-injury ideation. The risk of self-injury ideation in men increased with pain severity (some pain: adjusted odds ratio (AOR)=1.88, 95% confidence interval (CI)=1.12-3.13; severe pain: AOR=2.36, 95% CI=1.29-4.30) and pain control (controlled by medication: AOR=1.81, 95% CI=1.08-3.04; uncontrolled by medication: AOR=3.39, 95% CI=1.45-7.95). Men with severe and uncontrolled pain were at especially high risk (AOR=4.10, 95% CI=1.37-12.28). No measures of pain were significantly associated with self injury ideation in women. Sex differences in the association between pain severity and self-injury ideation were significant at P<.05. CONCLUSION: Pain in older adults receiving home care should be taken seriously and treated as one means to reduce risk of suicide. Pain assessment should include severity and control of pain. In men, complaints about pain should prompt questioning about self-injury ideation. PMID- 21054299 TI - The script concordance test as a measure of clinical reasoning skills in geriatric urinary incontinence. AB - OBJECTIVES: To validate the use of a script concordance test (SCT), a tool to assess clinical reasoning in contexts of uncertainty, which are common in clinical geriatrics practice, on geriatric urinary incontinence (UI) to discriminate levels of expertise in this content area. DESIGN: A reference panel (15 geriatricians) and 12 respondents (10 senior geriatrics fellows and 2 interns) completed an online 100-item SCT test covering major topics in UI. The test was then optimized by discarding items with negative item-total correlation; the remaining 70 questions covered all major topics in UI. The test was then administered to a second group of participants with different levels of experience, mostly from the University of Miami: eight geriatricians, nine junior geriatrics fellows, 53 internal medicine residents, and 26 medical students. Investigators assessed test reliability and construct validity (to discriminate between levels of expertise). SETTING: Tertiary academic medical center and affiliated medical school. PARTICIPANTS: Medical students, internal medicine residents, geriatric medicine fellows, and practicing geriatricians. MEASUREMENTS: Seventy-item SCT. RESULTS: The Cronbach alpha for the 70-item test was 0.72. Mean scores were 75.3 +/- 7.9 for geriatricians (n = 23), 69.0 +/- 9.3 for senior geriatrics fellows (n = 10), 66.4 +/- 6.8 for junior geriatrics fellows n = (9), 66.1 +/- 5.7 for residents (n = 53), and 65.6 +/- 8.5 for students (n = 26). Using analysis of variance, significant differences were found between the mean scores of the geriatricians and all other participants except senior fellows. CONCLUSION: The geriatric UI SCT demonstrated moderate reliability and evidence of construct validity, discriminating between experienced and nonexperienced physicians. PMID- 21054300 TI - Assistive technology use of older American Indians in a southeastern tribe: the native elder care study. AB - The objectives of this study were to examine the prevalence of assistive technology (AT) use, type of assistance used for each activity of daily living (ADL) limitation, and correlates of AT use among Native Indian aged 55 and older. Data were collected as part of a cross-sectional study of disability with 505 members of a federally recognized tribe using in-person interviewer administered surveys. Participants who reported difficulty with ADLs, including bathing, dressing, eating, transferring, walking, toileting, grooming, and getting outside, were asked about AT use. Other measures were demographics, living arrangements, receipt of personal care, Medicare and Medicaid beneficiary status, number of chronic conditions, lower body function, and personal mastery. Results indicated that 22.3% of participants aged 55 and older and 26.0% aged 65 and older reported AT use. Toileting had the largest percentage of participants who relied on AT only and getting outside had the largest percentage of participants relying on a combination of AT and personal care. Multiple variable logistic regression analysis identified receipt of personal care, having more chronic conditions, and poorer lower body function as significantly associated with higher odds of AT use. The results suggest that there is greater AT use in this sample than in same-aged adults in national samples. This greater use may be reflective of a combination of higher disability rates, cultural factors, and greater access to AT. Clinicians can use this information to identify the activities with which their patients are experiencing the most difficulty and which ones can be addressed with AT versus personal care. PMID- 21054301 TI - A novel emergency medical services-based program to identify and assist older adults in a rural community. AB - Rural-dwelling older adults experience unique challenges related to accessing medical and social services. This article describes the development, implementation, and experience of a novel, community-based program to identify rural-dwelling older adults with unmet medical and social needs that leveraged the existing emergency medical services (EMS) system. The program specifically included geriatrics training for EMS providers; screening of older adult EMS patients for falls, depression, and medication management strategies by EMS providers; communication of EMS findings to community-based case managers; in home evaluation by case managers; and referral to community resources for medical and social interventions. Measures used to evaluate the program included patient needs identified by EMS or the in-home assessment, referrals provided to patients, and patient satisfaction. EMS screened 1,231 of 1,444 visits to older patients (85%). Of those receiving specific screens, 45% had fall-related, 69% medication management-related, and 20% depression-related needs identified. One hundred and seventy-one eligible EMS patients who could be contacted accepted the in-home assessment. Of the 153 individuals completing the assessment, 91% had identified needs and received referrals or interventions. This project demonstrated that screening by EMS during emergency care for common geriatric syndromes and linkage to case managers is feasible in this rural community, although many will refuse the services. Further patient evaluations by case managers, with subsequent interventions by existing service providers as required, can facilitate the needed linkages between vulnerable rural-dwelling older adults and needed community-based social and medical services. PMID- 21054303 TI - Defining chronic obstructive pulmonary disease in an aging population. PMID- 21054304 TI - The association between serum sodium levels at time of admission and mortality and morbidity in acutely admitted elderly patients: a prospective cohort study. PMID- 21054305 TI - Reliability and validity of the visual analogue scale for fear of falling in older persons. PMID- 21054306 TI - The mortality survey of older patients with cirrhosis in Taiwan--a single-center experience. PMID- 21054307 TI - Daytime systolic blood pressure load and previous stroke predict cardiovascular events in treated octogenarians with hypertension. PMID- 21054308 TI - Elderly woman with massive pericardial effusion, cardiac tamponade, and hypothyroidism. PMID- 21054309 TI - Do adiponectin isoforms in older adults with or without coronary artery disease differ according to glucose tolerance states and lifestyle factors? PMID- 21054310 TI - Outcome after primary angioplasty for acute myocardial infarction in old age. PMID- 21054313 TI - High vitamin B12 levels and in-hospital mortality. PMID- 21054314 TI - Outcome studies on older patients undergoing surgery are missing the mark. PMID- 21054315 TI - Thalamotomy for postapoplectic hemiballistic chorea in older adults. PMID- 21054316 TI - Successful treatment of Charles Bonnet syndrome with nocturnal oxygen supplementation. PMID- 21054317 TI - Vitamin D deficiency and lifestyle factors in the oldest old. PMID- 21054318 TI - Diabetes mellitus in older adults: time for an overtreatment quality indicator. PMID- 21054319 TI - "Speed dating" as a technique to efficiently align mentees and mentors in a geriatrics training program. PMID- 21054320 TI - A 75-year-old Caucasian man with unusual presentation of myasthenia gravis. PMID- 21054321 TI - Bilateral adrenal necrosis after knee arthroplasty. PMID- 21054322 TI - Plasma levels of n-3 polyunsaturated fatty acids and cognitive decline: possible role of depressive symptoms and apolipoprotein E genotyping. PMID- 21054323 TI - Postrenal biopsy hematoma in an elderly patient. PMID- 21054324 TI - An unusual cause of macroscopic hematuria in a 78-year-old patient: Wegener's granulomatosis. PMID- 21054325 TI - Posttraumatic dysphagia due to a cervical vertebral fracture. PMID- 21054326 TI - Reliability and validity of the abbreviated mental test (Hong Kong version) in residential care homes. PMID- 21054327 TI - Effects of nursing practice environments on quality outcomes in nursing homes. AB - The objective of this study was to determine whether nurse staffing levels and modifiable characteristics of the nursing practice environment are associated with important quality indicators represented by the percentage of residents with pressure ulcers and numbers of deficiency citations in nursing homes. A cross sectional design linked nurse survey data, aggregated to the facility level, with Nursing Home Compare, a publicly available federal database containing nursing home-level measures of quality. The facility sample consisted of 63 Medicare- and Medicaid-certified nursing homes in New Jersey, and the nurse survey sample comprised 340 registered nurses providing direct resident care. Characteristics of the practice environment were measured using the Practice Environment Scale of the Nursing Work Index, included in the nurse survey. The total number of deficiency citations, the percentage of residents with pressure ulcers, nurse staffing levels, and facility characteristics were extracted from the Nursing Home Compare database. Results indicated that a supportive practice environment was inversely associated with the percentage of residents with pressure ulcers and fully mediated the effect of profit status on this important outcome. The nursing practice environment and facility size explained 25% of the variance in quality deficiencies. There were no associations between staffing levels and quality indicators. Findings indicate that administrative initiatives to create environments that support nursing practice may hold promise for improving quality indicators in nursing homes. PMID- 21054328 TI - Accelerated weight loss and incident dementia in an elderly African-American cohort. AB - OBJECTIVES: To examine the association between changes in body mass index (BMI), dementia, and mild cognitive impairment (MCI). DESIGN: Prospective observational study. SETTING: Urban community in Indianapolis, Indiana. PARTICIPANTS: Participants were African Americans aged 65 and older enrolled in the Indianapolis Dementia Project and followed through 2007. This analysis included 1,331 participants who did not have dementia at their first BMI measurement. MEASUREMENTS: Cognitive assessment and clinical evaluations were conducted every other year to identify participants with dementia or MCI during 12 years of follow-up (mean follow-up 6.4 years). BMI measures; alcohol and smoking history; and medical conditions including history of cancer, hypertension, diabetes mellitus, heart attack, stroke; and depression were collected at each follow-up evaluation. Mixed-effect models were used to examine the differences in BMI between participants who developed dementia or MCI and those who did not, adjusting for covariates. RESULTS: Mean BMI at baseline was 29.8 +/- 5.7 for women and 28.3 +/- 4.8 for men. Participants with incident dementia or MCI had greater decline in BMI than those without (P=.02 for dementia, P=.04 for MCI). BMI in participants with incident dementia, MCI, and normal cognition did not differ 12 or 9 years before diagnosis, but 6 years before diagnosis, participants with incident dementia had significantly lower BMI than participants with normal cognition (P=.03), as did participants with MCI (P=.006). CONCLUSION: Decline in BMI appears to be an early marker for dementia. There is a need for the close monitoring of weight loss in older adults. PMID- 21054329 TI - Quality of life in nursing home residents with advanced dementia. AB - OBJECTIVES: To examine quality of life (QOL) in nursing home (NH) residents with advanced dementia and identify correlates of QOL near the end of life. DESIGN: Cross-sectional data derived from NH records, interviews with residents' surrogate decision-makers, QOL ratings by NH caregivers, and assessment of residents' cognitive function. SETTING: Three NHs in Maryland. PARTICIPANTS: A cohort of NH residents with dementia (n=119) who were receiving hospice or palliative care or met hospice criteria for dementia and their surrogates. MEASUREMENTS: QOL based on the proxy-rated Alzheimer' Disease-Related Quality of Life (ADRQL) scale administered to NH staff and validated against a single-item surrogate-rated measure of QOL, the Severe Impairment Rating Scale, to measure cognitive function and dichotomous indicators of neuropsychiatric symptoms (behavior problems, mood disorders, psychosis, delusions). RESULTS: Total ADRQL scores, ranging from 12.4 to 95.1 out of 100, were normally distributed and positively correlated (P<.001) with surrogate-rated QOL. Multiple regression analysis of ADRQL scores showed that residents with higher cognitive function (P<.001, 95% confidence interval (CI)=0.97-1.65) and those receiving pain medication (P=.006, 95% CI=3.30-19.59) had higher QOL, whereas residents with behavior problems (P=.01, 95% CI=-11.60 to -1.30) had lower QOL. CONCLUSION: The ADRQL is a valid indicator of QOL in NH residents with advanced dementia. QOL in this population may be improved near the end of life using appropriate assessment and treatment of pain and effective management of behavior problems. PMID- 21054330 TI - Expression of SNAI1 and TWIST1 in the eccrine glands of patients with systemic sclerosis: possible involvement of epithelial-mesenchymal transition in the pathogenesis. PMID- 21054331 TI - Cutaneous gammadelta T-cell lymphoma presenting as facial palsy. PMID- 21054332 TI - Diagnosing latent tuberculosis infection in patients with psoriasis under antitumour necrosis factor-alpha treatment: every new solution breeds new doubts. PMID- 21054333 TI - Lifetime exposure to cigarette smoking and the development of adult-onset atopic dermatitis. AB - BACKGROUND: Adult-onset atopic dermatitis (AD) has recently been recognized as a distinct disease entity, but its risk factors have not yet been clearly defined. Although gestational and perinatal exposure to tobacco smoking may be associated with the development of classic AD, the association between active/passive smoking and adult-onset AD remains controversial. OBJECTIVES: To determine if exposure to smoking, including environmental tobacco smoke (ETS), is associated with the risk of adult-onset AD. METHODS: Tobacco smoking and exposure to ETS were measured in a case-control association analysis in 83 patients with physician-diagnosed adult-onset AD and 142 age- and sex-matched controls. RESULTS: Multiple logistic regression analyses showed that, among the potential environmental risk factors, both current and ever smoking were significant risk factors for adult-onset AD [odds ratio (OR) 4.994 and 3.619, respectively], compared with never smoking. Also, packs per year was significantly associated with adult-onset AD (OR 1.058, 95% confidence interval 1.028-1.089), suggesting a lifelong cumulative risk in current smokers. Moreover, nonsmokers with adult onset AD reported significantly more exposure to ETS. CONCLUSIONS: Early and/or current exposure to cigarette smoking may contribute cumulatively to the development of adult-onset AD. Exposure to ETS in childhood is associated with the development of adult-onset AD. Adults should be discouraged from smoking to prevent adult-onset AD in themselves and their family members. PMID- 21054334 TI - Expression of metallothionein-I and II in skin ageing and its association with skin proliferation. AB - BACKGROUND: Metallothioneins (MT) are important antioxidants involved in the ageing process of many tissues and organs. To our knowledge, the role of MT in skin ageing has not been reported. OBJECTIVES: To investigate the expression of MT in ageing skin. METHODS: The protein levels of MT-I and MT-II in sun-exposed and sun-protected skin specimens obtained from healthy individuals were detected by immunohistochemistry using tissue microarray and expressed as average integrated optical density (AIOD). Proliferating cell nuclear antigen (PCNA) and Ki-67 protein levels in these specimens were also detected to study the possible link between the proliferation of keratinocytes and expression of MT. RESULTS: The protein levels of MT-I and MT-II decreased significantly with increasing age of sun-exposed and sun-protected skin (r = -0.73 and -0.98, respectively, P < 0.01) but was more prominent in sun-exposed skin. In sex- and age-matched specimens, the intensity of MT-I and MT-II in sun-exposed skin was much lower than in sun-protected skin (9.46 +/- 2.39 vs. 22.25 +/- 8.04, mean +/- SD of AIOD, P < 0.01, Student's t-test). The expression of PCNA and Ki-67 showed the same trend. CONCLUSIONS: The expression of MT-I and MT-II declined with the decrease of keratinocyte proliferation in the process of skin ageing, and this decline was more significant in sun-exposed skin. Whether MT-I and MT-II supplementation could inhibit skin ageing, especially photoageing, merits further study. PMID- 21054335 TI - Occupational ultraviolet light exposure increases the risk for the development of cutaneous squamous cell carcinoma: a systematic review and meta-analysis. AB - BACKGROUND: Despite the fact that ultraviolet (UV) light exposure is the most important risk factor for cutaneous squamous cell carcinoma (SCC) there is an ongoing debate concerning the relationship between cumulative work-related UV exposure and SCC occurrence. OBJECTIVES: To analyse comprehensively the relationship between work-related UV exposure and SCC risk. METHODS: We conducted a systematic electronic literature search in PubMed (up to 5 May 2010) supplemented by a hand search, which identified 18 relevant studies that were included in the review. Data abstraction and study quality assessment was done independently by two reviewers. Maximally adjusted odds ratios (ORs) and corresponding 95% confidence intervals (CIs) of all included studies were pooled in a random-effects meta-analysis. Sensitivity analysis included meta-regression on study-specific covariates to explore the robustness of the results and to identify sources of heterogeneity between studies. Eighteen studies (six cohort studies, 12 case-control studies) met the eligibility criteria and were included in the systematic review. RESULTS: Sixteen studies (89%) found an increased risk of SCC in individuals with occupational UV light exposure compared with individuals without occupational UV light exposure, reaching statistical significance in 12 studies. Two studies found no association between occupational UV light exposure and SCC occurrence. The pooled OR (95% CI) was 1.77 (1.40-2.22) and did not differ significantly between cohort studies [OR (95% CI): 1.68 (1.08 2.63)] and case-control studies [OR (95% CI): 1.77 (1.37-2.30)]. Meta-regression analyses suggested an increasing strength of the association between occupational UV light exposure and SCC risk with decreasing latitude. CONCLUSIONS: In summary, there is consistent epidemiological evidence for a positive association between occupational UV light exposure and SCC risk. PMID- 21054336 TI - Patients' views of nurse prescribing: effects on care, concordance and medicine taking. AB - BACKGROUND: Skin disease can have a huge impact on quality of life for patients and their families. Nurses have an important role in the delivery of specialist dermatology services, and prescribing enhances the care they provide. The views of dermatology patients about nurse prescribing are unknown. OBJECTIVES: To explore the views of dermatology patients about nurse prescribing, and its impact on medicines management and concordance. METHODS: Semistructured interviews were undertaken with a consecutive sample of 42 patients with acne, psoriasis or eczema who attended the clinics of seven dermatology specialist nurse prescribers. Primary and secondary care clinics were included to reflect settings in which nurses typically prescribe for patients within specialist dermatology services in England. Interviews addressed the effects of nurse prescribing on care, the patient's medicine regimen, involvement in treatment decisions and concordance, and influences on medicine taking. RESULTS: Patients believed that nurse prescribing improved access to, and efficiency of, dermatology services. Great value was placed on telephone contact with nurses, and local access. Information exchange and involvement in treatment decisions ensured that treatment plans were appropriate and motivated adherence. Nurses' specialist knowledge, interactive and caring consultation style, and continuity of care improved confidence in the nurse and treatment concordance. CONCLUSIONS: Nurse prescribing can increase the efficiency of dermatology services. Patients experienced active involvement in decisions about their treatment which in turn contributed to concordance and adherence to treatment regimens. This study has important implications for maximizing resource use and improving access to and quality of care in dermatology specialist services. PMID- 21054337 TI - The Electro-Mechanical window: a risk marker for Torsade de Pointes in a canine model of drug induced arrhythmias. AB - BACKGROUND AND PURPOSE: In cardiovascular pharmacology, electrical and mechanical events can be distinguished, and the phrase 'electro-mechanical window' (EMw) describes the temporal difference between these events. We studied whether changes in EMw have potential predictive value for the occurrence of arrhythmias in fentanyl/etomidate-anaesthetized beagle (FEAB) dogs. EXPERIMENTAL APPROACH: The EMw was calculated as differences between the QT interval and QLVP(end) in FEAB dogs during atrial pacing, treatment with isoprenaline or atropine, body temperature changes and induction of Torsade de Pointes (TdP) in an LQT1 model. KEY RESULTS: The electrical systole (QT interval) was shorter than the duration of the mechanical event (QLVP(end) ), providing a positive EMw. Atrial pacing, atropine or body temperature changes had no major effects on EMw, despite large changes in QT duration. However, beta-adrenoceptor stimulation (with isoprenaline) decreased the EMw (from 90 to 5 ms) and in combination with HMR1556, a blocker of the slowly activating potassium current (I(Ks) ), induced a large negative EMw (-109ms) and TdP. Prevention of TdP by atenolol or verapamil was associated with a less negative EMw (-23 to -16ms). Mexiletine, a poorly effective long QT treatment, did not affect the EMw or prevent TdP induction. CONCLUSIONS AND IMPLICATIONS: The EMw is a marker, other than QT prolongation, of TdP risk in the FEAB model. Therefore, we suggest examining the EMw as a risk marker in cardiovascular safety studies and as a potential biomarker to improve clinical management of long QT syndrome patients, especially in patients with borderline QT prolongation. PMID- 21054338 TI - Documentation of normal stratum corneum scaling in an average population: features of differences among age, ethnicity and body site. AB - BACKGROUND: Scaling skin involves an imbalance between cell proliferation and desquamation, resulting in partially detached corneocytes at the stratum corneum (SC) surface that become visible as they scatter light. OBJECTIVES: The purpose of this study was to document scaling skin with no associated pathology, to estimate the range of normal corneocyte detachment in the average population, and to determine if age, pigmentation and/or body sites of different exposures contribute to differences observed in the SC. METHODS: Healthy African-American and Caucasian female subjects (n = 151) from a typical central New Jersey population, aged between 14 and 75 years, were evaluated on the dorsal forearm and upper inner arm. Dermatoscopy and adhesive tape were used to evaluate the appearance and adhesion of surface corneocytes. Transepidermal water loss and conductivity were measured to assess water-handling properties of the SC. Measurements were conducted during the winter. RESULTS: Corneocyte detachment observed with dermatoscopy became more prevalent with age and was more severe on the dorsal forearm and in Caucasian subjects. The distribution of the amount of corneocyte removal with adhesive tape increased with age. The range of values was larger in the dorsal forearm than the upper inner arm and was greater in Caucasian subjects than African-American subjects. Minimal changes were observed for water-handling properties. CONCLUSIONS: The architecture of the outer SC appears different between ages, body sites of different exposures, and individuals of different pigmentation groups, but minimal differences in water handling properties are observed. PMID- 21054339 TI - Metformin inhibits P-glycoprotein expression via the NF-kappaB pathway and CRE transcriptional activity through AMPK activation. AB - BACKGROUND AND PURPOSE: The expression of P-glycoprotein (P-gp), encoded by the multidrug resistance 1 (MDR1) gene, is associated with the emergence of the MDR phenotype in cancer cells. We investigated whether metformin (1,1 dimethylbiguanide hydrochloride) down-regulates MDR1 expression in MCF 7/adriamycin (MCF-7/adr) cells. EXPERIMENTAL APPROACH: MCF-7 and MCF-7/adr cells were incubated with metformin and changes in P-gp expression were determined at the mRNA, protein and functional level. Transient transfection assays were performed to assess its gene promoter activities, and immunoblot analysis to study its molecular mechanisms of action. KEY RESULTS: Metformin significantly inhibited MDR1 expression by blocking MDR1 gene transcription. Metformin also significantly increased the intracellular accumulation of the fluorescent P-gp substrate rhodamine-123. Nuclear factor-kappaB (NF-kappaB) activity and the level of IkappaB degradation were reduced by metformin treatment. Moreover, transduction of MCF-7/adr cells with the p65 subunit of NF-kappaB induced MDR1 promoter activity and expression, and this effect was attenuated by metformin. The suppression of MDR1 promoter activity and protein expression was mediated through metformin-induced activation of AMP-activated protein kinase (AMPK). Small interfering RNA methods confirmed that reduction of AMPK levels attenuates the inhibition of MDR1 activation associated with metformin exposure. Furthermore, the inhibitory effects of metformin on MDR1 expression and cAMP responsive element binding protein (CREB) phosphorylation were reversed by overexpression of a dominant-negative mutant of AMPK. CONCLUSIONS AND IMPLICATIONS: These results suggest that metformin activates AMPK and suppresses MDR1 expression in MCF-7/adr cells by inhibiting the activation of NF-kappaB and CREB. This study reveals a novel function of metformin as an anticancer agent. PMID- 21054340 TI - Intrathecal orexin A increases sympathetic outflow and respiratory drive, enhances baroreflex sensitivity and blocks the somato-sympathetic reflex. AB - BACKGROUND: Intrathecal (i.t.) injection of orexin A (OX-A) increases blood pressure and heart rate (HR), but the effects of OX-A on sympathetic and phrenic, nerve activity, and the baroreflex(es), somato-sympathetic and hypoxic chemoreflex(es) are unknown. EXPERIMENTAL APPROACH: Urethane-anaesthetized, vagotomized and artificially ventilated male Sprague-Dawley rats were examined in this study. The effects of i.t. OX-A (20 nmol 10 uL-1) on cardiorespiratory parameters, and responses to stimulation of the sciatic nerve (electrical), arterial baroreceptors (phenylephrine hydrochloride, 0.01 mg kg-1 i.v.) and peripheral (hypoxia) chemoreceptors were also investigated. KEY RESULTS: i.t. OX A caused a prolonged dose-dependent sympathoexcitation, pressor response and tachycardia. The peak effect was observed at 20 nmol with increases in mean arterial pressure, HR and splanchnic sympathetic nerve activity (sSNA) of 32 mmHg, 52 beats per minute and 100% from baseline respectively. OX-A also dose dependently increased respiratory drive, as indicated by a rise in phrenic nerve amplitude and a fall in phrenic nerve frequency, an increase in neural minute ventilation, a lengthening of the expiratory period, and a shortening of the inspiratory period. All effects of OX-A (20 nmol) were attenuated by the orexin receptor 1 antagonist SB 334867. OX-A significantly reduced both sympathoexcitatory peaks of somato-sympathetic reflex while increasing baroreflex sensitivity. OX-A increased the amplitude of the pressor response and markedly amplified the effect of hypoxia on sSNA. CONCLUSIONS: Thus, activation of OX receptors in rat spinal cord alters cardiorespiratory function and differentially modulates sympathetic reflexes. PMID- 21054341 TI - Endothelin antagonism as an active principle for glaucoma therapy. AB - Endothelin, the most potent vasoactive peptide known to date, has been suggested to play a potential role in the pathogenesis of open-angle glaucoma. Open-angle glaucoma is the most common optic nerve head neuropathy and is associated with a loss of retinal ganglion cells and visual field damage. Although an increased intraocular pressure is a major risk factor for glaucomatous optic neuropathy, other factors such as a reduced ocular blood flow play an important role for appearance of the disease. Thus, treatment of glaucoma is focused on lowering of intraocular pressure and preventing the occurrence or progression of glaucomatous optic neuropathy. Endothelin participates in the regulation of intraocular pressure by an effect on trabecular outflow, the main route for aqueous humour outflow from the eye. Trabecular outflow is modulated by trabecular meshwork contractility which is affected by endothelin. In addition to the effects of endothelin in the anterior part of the eye, the vasoconstrictor causes a decrease in ocular blood flow followed by pathological changes in the retina and the optic nerve head which is assumed to contribute to the degeneration of retinal ganglion cells. In sum, inhibition of endothelin signalling leads to lowering of intraocular pressure and exerts neuroprotective effects. Thus, endothelin antagonism in the eye represents a promising approach for pharmacological treatment of glaucoma. PMID- 21054342 TI - Characterization of the metabolism of fenretinide by human liver microsomes, cytochrome P450 enzymes and UDP-glucuronosyltransferases. AB - BACKGROUND AND PURPOSE: Fenretinide (4-HPR) is a retinoic acid analogue, currently used in clinical trials in oncology. Metabolism of 4-HPR is of particular interest due to production of the active metabolite 4'-oxo 4-HPR and the clinical challenge of obtaining consistent 4-HPR plasma concentrations in patients. Here, we assessed the enzymes involved in various 4-HPR metabolic pathways. EXPERIMENTAL APPROACH: Enzymes involved in 4-HPR metabolism were characterized using human liver microsomes (HLM), supersomes over-expressing individual human cytochrome P450s (CYPs), uridine 5'-diphospho-glucoronosyl transferases (UGTs) and CYP2C8 variants expressed in Escherichia coli. Samples were analysed by high-performance liquid chromatography and liquid chromatography/mass spectrometry assays and kinetic parameters for metabolite formation determined. Incubations were also carried out with inhibitors of CYPs and methylation enzymes. KEY RESULTS: HLM were found to predominantly produce 4' oxo 4-HPR, with an additional polar metabolite, 4'-hydroxy 4-HPR (4'-OH 4-HPR), produced by individual CYPs. CYPs 2C8, 3A4 and 3A5 were found to metabolize 4 HPR, with metabolite formation prevented by inhibitors of CYP3A4 and CYP2C8. Differences in metabolism to 4'-OH 4-HPR were observed with 2C8 variants, CYP2C8*4 exhibited a significantly lower V(max) value compared with *1. Conversely, a significantly higher V(max) value for CYP2C8*4 versus *1 was observed in terms of 4'-oxo formation. In terms of 4-HPR glucuronidation, UGTs 1A1, 1A3 and 1A6 produced the 4-HPR glucuronide metabolite. CONCLUSIONS AND IMPLICATIONS: The enzymes involved in 4-HPR metabolism have been characterized. The CYP2C8 isoform was found to have a significant effect on oxidative metabolism and may be of clinical relevance. PMID- 21054343 TI - Phosphatidylinositol 3-kinase affects mitochondrial function in part through inducing peroxisome proliferator-activated receptor gamma coactivator-1beta expression. AB - BACKGROUND AND PURPOSE: Hyperactivation of phosphatidylinositol 3-kinase (PI3K), commonly observed in cancer, is believed to promote cancer cell growth and survival. Appropriate mitochondrial function is an integral part of cellular function. How PI3K affects mitochondrial homeostasis is not fully understood. EXPERIMENTAL APPROACH: Mitochondrial mass, membrane potential and reactive oxygen species (ROS) were quantified by three different fluorogenic probes. Gene expression at the levels of mRNA and protein were measured by quantitative RT-PCR and Western analysis. KEY RESULTS: Using the PI3K inhibitors LY294002 and PI103, we found that suppressing PI3K activity altered mitochondrial function. Specifically, LY294002 and PI103 suppressed the mRNA expression levels of mitochondrial regulators nuclear respiratory factors 1 and 2 (NRF1 and NRF2). As NRF1 and NRF2 are under the transcriptional control of peroxisome proliferator activated receptor gamma coactivators-1alpha and -1beta (PGC-1alpha and PGC 1beta), we found that suppressing PI3K activity selectively reduced both the mRNA and protein levels of PGC-1beta but not PGC-1alpha. Reducing PGC-1beta expression also led to reduced mRNA expression levels of uncoupling protein 1, 2 (UCP1 and UCP2) and superoxide dismutase 2. Correspondingly, mitochondrial membrane potential (Deltapsi(m)) and ROS levels were increased. Finally, we partially blunted the LY294002-mediated growth suppression by using an antioxidant or over expressing PGC-1beta. CONCLUSIONS AND IMPLICATIONS: PI3K regulates mitochondrial homeostasis in part through PGC-1beta and blocking this pathway induces ROS to arrest cell growth at the G1 phase. PMID- 21054344 TI - Purine receptor-mediated endocannabinoid production and retrograde synaptic signalling in the cerebellar cortex. AB - BACKGROUND AND PURPOSE: Presynaptic CB1 cannabinoid receptors can be activated by endogenous cannabinoids (endocannabinoids) synthesized by postsynaptic neurones. The hypothesis of the present work was that activation of calcium-permeable transmitter-gated ion channels in postsynaptic neurones, specifically of P2X purine receptors, can lead to endocannabinoid production and retrograde synaptic signalling. EXPERIMENTAL APPROACH: GABAergic inhibitory postsynaptic currents (IPSCs) were recorded with patch-clamp techniques in Purkinje cells in mouse cerebellar slices. Purine receptors on Purkinje cells were activated by pressure ejection of ATP from a pipette. KEY RESULTS: ATP evoked an inward current in Purkinje cells, most likely due to P2X receptor activation. The ATP-evoked currents were accompanied by currents via voltage-gated calcium channels. ATP suppressed electrical stimulation-evoked IPSCs and miniature IPSCs (mIPSCs) recorded in the presence of tetrodotoxin, and these effects were prevented by the CB1 antagonist rimonabant and the calcium chelator BAPTA (applied into the Purkinje cell). ATP also suppressed mIPSCs when voltage-gated calcium channels were blocked by cadmium, and intracellular calcium stores were depleted by thapsigargin. However, ATP failed to suppress mIPSCs when the extracellular calcium concentration was zero. CONCLUSIONS AND IMPLICATIONS: ATP elicits CB1 receptor-dependent retrograde synaptic suppression, which is probably mediated by an endocannabinod released by the postsynaptic neurone. An increase in intracellular calcium concentration in the postsynaptic neurone is necessary for this retrograde signalling. We propose that ATP increases the calcium concentration by two mechanisms: calcium enters into the neurone via the P2X receptor ion channel and the ATP-evoked depolarization triggers voltage-gated calcium channels. PMID- 21054345 TI - Role of phosphodiesterase and adenylate cyclase isozymes in murine colonic glucagon-like peptide 1 secreting cells. AB - BACKGROUND AND PURPOSE: Glucagon-like peptide-1 (GLP-1) is secreted from enteroendocrine L-cells after food intake. Increasing GLP-1 signalling either through inhibition of the GLP-1 degrading enzyme dipeptidyl-peptidase IV or injection of GLP-1-mimetics has recently been successfully introduced for the treatment of type 2 diabetes. Boosting secretion from the L-cell has so far not been exploited, due to our incomplete understanding of L-cell physiology. Elevation of cyclic adenosine monophosphate (cAMP) has been shown to be a strong stimulus for GLP-1 secretion and here we investigate the activities of adenylate cyclase (AC) and phosphodiesterase (PDE) isozymes likely to shape cAMP responses in L-cells. EXPERIMENTAL APPROACH: Expression of AC and PDE isoforms was quantified by RT-PCR. Single cell responses to stimulation or inhibition of AC and PDE isoforms were monitored with real-time cAMP probes. GLP-1 secretion was assessed by elisa. KEY RESULTS: Quantitative PCR identified expression of protein kinase C- and Ca2+-activated ACs, corresponding with phorbolester and cytosolic Ca2+-stimulated cAMP elevation. Inhibition of PDE2, 3 and 4 were found to stimulate GLP-1 secretion from murine L-cells in primary culture. This corresponded with cAMP elevations monitored with a plasma membrane targeted cAMP probe. Inhibition of PDE3 but not PDE2 was further shown to prevent GLP-1 secretion in response to guanylin, a peptide secreted into the gut lumen, which had not previously been implicated in L-cell secretion. CONCLUSIONS AND IMPLICATIONS: Our results reveal several mechanisms shaping cAMP responses in GLP 1 secreting cells, with some of the molecular components specifically expressed in L-cells when compared with their epithelial neighbours, thus opening new strategies for targeting these cells therapeutically. PMID- 21054346 TI - Semaphorin 3A inhibits growth of adult sympathetic and parasympathetic neurones via distinct cyclic nucleotide signalling pathways. AB - BACKGROUND AND PURPOSE: Semaphorin 3A (Sema3A) is an important secreted repulsive guidance factor for many developing neurones. Sema3A continues to be expressed in adulthood, and expression of its receptor, neuropilin-1 (Nrp-1), can be altered by nerve injury. Autonomic neurones innervating the pelvic viscera are particularly susceptible to damage during pelvic surgical procedures, and failure to regenerate or aberrant growth of sympathetic and parasympathetic nerves lead to organ dysfunction. However, it is not known if adult pelvic neurones are potential targets for Sema3A. EXPERIMENTAL APPROACH: The effects of Sema3A and activation or inhibition of cyclic nucleotide signalling were assessed in adult rat pelvic ganglion neurones in culture using a growth cone collapse assay. KEY RESULTS: Sema3A caused growth cone collapse in both parasympathetic and sympathetic neurones expressing Nrp-1. However, the effect of Sema3A was mediated by distinct cyclic nucleotide signalling pathways in each neurone type. In parasympathetic neurones, cAMP and downstream activation of protein kinase A were required for growth cone collapse. In sympathetic neurones, cGMP was required for Sema3A-induced collapse; cAMP can also cause collapse but was not required. Sema3A-mediated, cGMP-dependent collapse in sympathetic neurones may require activation of cyclic nucleotide-gated ion channels (CNGCs). CONCLUSIONS AND IMPLICATIONS: We propose that Sema3A is an important guidance factor for adult pelvic autonomic neurones, and that manipulation of their distinct signalling mechanisms could potentially promote functional selective regeneration or attenuate aberrant growth. To our knowledge, this is also the first study to implicate CNGCs in regulating growth cone dynamics of adult neurones. PMID- 21054347 TI - Human limbic encephalitis serum enhances hippocampal mossy fiber-CA3 pyramidal cell synaptic transmission. AB - PURPOSE: Limbic encephalitis (LE) is a central nervous system (CNS) disease characterized by subacute onset of memory loss and epileptic seizures. A well recognized form of LE is associated with voltage-gated potassium channel complex antibodies (VGKC-Abs) in the patients' sera. We aimed to test the hypothesis that purified immunoglobulin G (IgG) from a VGKC-Ab LE serum would excite hippocampal CA3 pyramidal cells by reducing VGKC function at mossy-fiber (MF)-CA3 pyramidal cell synapses. METHODS: We compared the effects of LE and healthy control IgG by whole-cell patch-clamp and extracellular recordings from CA3 pyramidal cells of rat hippocampal acute slices. RESULTS: We found that the LE IgG induced epileptiform activity at a population level, since synaptic stimulation elicited multiple population spikes extracellularly recorded in the CA3 area. Moreover, the LE IgG increased the rate of tonic firing and strengthened the MF-evoked synaptic responses. The synaptic failure of evoked excitatory postsynaptic currents (EPSCs) was significantly lower in the presence of the LE IgG compared to the control IgG. This suggests that the LE IgG increased the release probability on MF-CA3 pyramidal cell synapses compared to the control IgG. Interestingly, alpha-dendrotoxin (120 nm), a selective Kv1.1, 1.2, and 1.6 subunit antagonist of VGKC, mimicked the LE IgG-mediated effects. CONCLUSIONS: This is the first functional demonstration that LE IgGs reduce VGKC function at CNS synapses and increase cell excitability. PMID- 21054348 TI - Prior hospitalization for stroke, diabetes, myocardial infarction, and subsequent risk of unprovoked seizures. AB - PURPOSE: To study diabetes, acute myocardial infarction, and stroke as risk factors for unprovoked seizures in a population-based cohort with incident cases of epilepsy. METHODS: In this nested case-control study, the cases were 933 patients with newly diagnosed unprovoked seizures from the Stockholm Incidence Registry of Epilepsy. Controls, in total 6,039--matched for gender, year of diagnosis, and catchment area--were randomly selected from the register of the Stockholm County population. A history of diabetes, myocardial infarction, and stroke preceding the date of onset of seizure was determined by search of the Swedish Hospital Discharge Registry. Odds ratios (ORs) were calculated to assess the risk of developing unprovoked seizures after hospital admission for any of these diagnoses. RESULTS: The age-adjusted OR (95% confidence interval, 95% CI) for unprovoked seizures after a discharge diagnosis of diabetes was 1.9 (95% CI 1.4-2.8) and after acute myocardial infarction 1.7 (95% CI 1.0-2.9). The OR was 9.4 (95% CI 6.7-13.1) after cerebral infarction, 7.2 (95% CI 3.9-13.6) after intracerebral hemorrhage, 7.2 (95% CI 2.9-18.1) after subarachnoid hemorrhage, and 3.2 (95% CI 1.9-5.5) after transient ischemic attack. The population attributable risk percent (PAR%) was <2% for each of the diagnoses except for cerebral infarction, for which the PAR% was 9%. Taken together the studied diagnoses accounted for 15% of the incident cases of unprovoked seizures. DISCUSSION: As previously known, the risk for unprovoked seizures and epilepsy after a cerebral infarction was highest the first year after the infarction. This risk remained substantial >7 years after a diagnosis of cerebral infarction. PMID- 21054349 TI - Impaired extinction of fear and maintained amygdala-hippocampal theta synchrony in a mouse model of temporal lobe epilepsy. AB - PURPOSE: The relationship between epilepsy and fear has received much attention. However, seizure-modulated fear and physiologic or structural correlates have not been examined systematically, and the underlying basics of network levels remain unclear to date. Therefore, this project was set up to characterize the neurophysiologic basis of seizure-related fear and the contribution of the amygdala-hippocampus system. METHODS: The experimental strategy was composed of the following steps: (1) use of the mouse pilocarpine model of temporal lobe epilepsy (TLE); (2) behavioral analyses of anxiety states in the elevated plus maze test, light-dark avoidance test, and Pavlovian fear conditioning; and (3) probing neurophysiologic activity patterns in amygdala-hippocampal circuits in freely behaving mice. RESULTS: Our results displayed no significant differences in basic anxiety levels comparing mice that developed spontaneous recurrent seizures (SRS) and controls. Furthermore, conditioned fear memory retrieval was not influenced in SRS mice. However, during fear memory extinction, SRS mice showed an extended freezing behavior and a maintained amygdala-hippocampal theta frequency synchronization compared to controls. DISCUSSION: These results indicate specific alterations in conditioned fear behavior and related neurophysiologic activities in the amygdala-hippocampal network contributing to impaired fear memory extinction in mice with TLE. Clinically, the nonextinguished fear memories may well contribute to the experience of fear in patients with TLE. PMID- 21054350 TI - Participation of metabotropic glutamate receptors in pentetrazol-induced kindled seizure. AB - PURPOSE: The present study was undertaken to clarify the effects of (RS)-1 aminoindan-1,5-dicarboxylic acid (AIDA), a metabotropic glutamate receptor (mGluR) 1 antagonist, (2R,4R)-4-aminopyrrolidine-2,4-dicarboxylate ((2R,4R) APDC), a mGluR2/3 agonist, and L-(+)-2-amino-4-phosphonobutyric acid (L-AP4), a mGluR4/8 agonist, on pentetrazol-induced kindled seizures. METHODS: Mice were anesthetized with pentobarbital; the electrodes and guide cannula were chronically implanted into the cortex and lateral ventricle. To induce kindling, pentetrazol at a dose of 40 mg/kg was injected once every 48 h. Behavioral and electroencephalographic seizures were monitored for 20 min following pentetrazol administration. Fully kindled mice were used for pharmacologic studies. RESULTS: Intracerebroventricular injection of AIDA and L-AP4 showed significant inhibitory effects on pentetrazol-induced kindled seizures. In addition, simultaneous use of AIDA and (2R,4R)-APDC or L-AP4 caused more potent inhibition of seizure activities. The inhibitory effect of AIDA on pentetrazol-induced kindled seizures was antagonized by (RS)-3,5-dihydroxyphenylglycine ((RS)-3,5-DHPG), a group I mGluR agonist; (2S)-a-ethylglutamic acid (EGLU), a group II mGluR antagonist; and (RS)-alpha-methyl-4-phosphonophenylglycine (MPPG), a group III mGluR antagonist. On the other hand, the inhibitory effect of L-AP4 was antagonized only by MPPG. DISCUSSION: It is proposed that mGluR1 antagonists and mGluR4/8 agonists show anticonvulsive effects on pentetrazol-induced kindled seizures. Furthermore, it is also proposed that the simultaneous use of an mGluR1 antagonist and an mGluR2/3 or mGluR4/8 agonist is a potential novel therapeutic strategy in epileptic disorders. PMID- 21054351 TI - Reproducibility of interictal EEG-fMRI results in patients with epilepsy. AB - PURPOSE: Combined electroencephalography (EEG) and functional MRI (EEG-fMRI) can be useful in the evaluation of epilepsy patients. The reproducibility of EEG-fMRI findings needs to be established to consider it as a clinically valuable method. We addressed the intrasubject reproducibility of EEG-fMRI and the possible superiority of higher magnetic field strength in patients who were scanned twice. METHODS: Fifteen patients were studied: Seven had one 1.5T and one 3T scan and eight had two 3T EEG-fMRI studies. Equal numbers of events of the same interictal epileptic discharge (IED) were included, and IED-related blood oxygenation level dependent (BOLD) results were compared. KEY FINDINGS: In 1.5T-3T comparisons, five patients had BOLD responses in both studies, but in four there was a better response (higher maximum t-score and larger cluster) in 3T studies. One patient had a BOLD response in the 3T study only. The remaining patient had no BOLD response in either study. In 3T-3T comparisons, results were reproducible in five of eight patients, and one patient had no response in both studies. The two remaining patients had previous extensive surgery and extremely frequent IEDs. Some of the reproduced patterns in other patients, however, differed in terms of maximum t-score and cluster size. SIGNIFICANCE: EEG-fMRI appears to provide reasonable reproducibility, although repeated studies may show differences. The absence of BOLD response seems to be reproducible as well. EEG-fMRI results tend to benefit from higher field scanners (3T over 1.5T). Further studies are needed to determine if reproducibility depends on specific clinical, electrographic, or anatomic findings. PMID- 21054352 TI - The impact of maternal depressive symptoms on health-related quality of life in children with epilepsy: a prospective study of family environment as mediators and moderators. AB - PURPOSE: To examine the impact of maternal depressive symptoms (DS) on health related quality of life (HRQL) in children with new-onset epilepsy and to identify family factors that moderate and mediate this relationship during the first 24 months after epilepsy diagnosis. METHODS: A sample of 339 mother-child dyads recruited from pediatric neurologists across Canada in the Health-related Quality of Life in Children with Epilepsy Study. Mothers' and neurologists' reports were collected at four times during the 24-month follow-up. Mothers' DS were measured using the Center for Epidemiological Studies Depression Scale (CES D) and children's HRQL using the Quality of Life in Childhood Epilepsy (QOLCE). Data were modeled using individual growth curve modeling. KEY FINDINGS: Maternal DS were observed to have a negative impact on QOLCE scores at 24 months (beta = 0.47, p < 0.0001) and the rate of change in QOLCE scores during follow-up (beta = -0.04, p = 0.0250). This relationship was moderated by family resources (beta = 0.25, p = 0.0243), and the magnitude of moderation varied over time (beta = 0.09, p = 0.0212). Family functioning and demands partially mediated the impact of maternal DS on child HRQL (beta = -0.07, p = 0.0007; beta = -0.12, p = 0.0006). SIGNIFICANCE: Maternal DS negatively impact child HRQL in new-onset epilepsy during the first 24 months after diagnosis. This relationship is moderated by family resources and mediated by family functioning and demands. By adopting family centered approaches, health care professionals may be able to intervene at the maternal or family level to promote more positive outcomes in children. PMID- 21054353 TI - Connectivity of the supplementary motor area in juvenile myoclonic epilepsy and frontal lobe epilepsy. AB - PURPOSE: Subtle structural abnormalities of frontal lobe gray and white matter have been described in cryptogenic frontal lobe and idiopathic generalized epilepsies. The supplementary motor area (SMA) has a role in motor control, and its involvement during frontal lobe epileptic seizures is characterized by a typical asymmetric tonic posturing. Moreover, motor networks are dysfunctional in juvenile myoclonic epilepsy (JME). We tested the hypothesis that SMA structural connectivity is altered in focal frontal lobe epilepsy (FLE) and JME compared to healthy controls. METHODS: Diffusion tensor imaging (DTI) and probabilistic tractography were used to map the structural connectivity of the SMA, defined by motor functional magnetic resonance imaging (MRI), in 15 patients with JME, 36 patients with FLE, and 18 healthy controls. KEY FINDINGS: Structural connectivity of the SMA was significantly reduced in JME compared to controls (reduced fractional anisotropy and increased mean diffusivity). In FLE there was no significant difference compared to controls, and in all groups there was stronger connectivity in the left hemisphere (higher fractional anisotropy) compared to the right. There was no difference in SMA connectivity between patients with medial or lateral frontal lobe epileptic foci. SIGNIFICANCE: Reduced white matter connectivity is the structural correlate of functional frontal lobe abnormalities in JME. In FLE, the structural connectivity of the SMA was preserved, suggesting a robust motor network that is not compromised by longstanding epilepsy involving the medial frontal lobes. PMID- 21054354 TI - School performance at age 16 in children exposed to antiepileptic drugs in utero- a population-based study. AB - PURPOSE: In order to evaluate long-term effects on neurodevelopment in children born to women with epilepsy during pregnancy we studied the children's school grades at age 16. METHODS: We used the Patient Register, the Medical Birth Register, and a local study at South Hospital, Stockholm, to identify women with epilepsy in Sweden who had given birth between 1973 and 1986. The Swedish School Mark Registry was used to obtain information about school grades from the last year of compulsory school, at age 16. Exposed children were compared to all other children born in Sweden between 1973 and 1986. KEY FINDINGS: Medical records were analyzed for 1,235 children. Six hundred forty-one children had been exposed in utero to antiepileptic drugs (AEDs) in monotherapy, 429 in polytherapy, and 165 to no known AED. Children exposed to polytherapy had an increased risk of not receiving a final grade--odds ratio (OR) 2.99 [95% confidence interval (CI) 2.14 4.17]. Children exposed to monotherapy, mainly carbamazepine or phenytoin, did not have a significantly increased risk of not receiving a final grade-OR 1.19 (95% CI 0.79-1.80). Children born to women with epilepsy had a decreased chance of getting a "pass with excellence." SIGNIFICANCE: Exposure to several AEDs in utero may have negative effects on neurodevelopment, and polytherapy should, if possible, be avoided in pregnant women. PMID- 21054355 TI - Permanent neonatal diabetes mellitus--the importance of diabetes differential diagnosis in neonates and infants. AB - BACKGROUND: The differential diagnosis of various types and forms of diabetes is of great practical importance. This is particularly true for monogenic disease forms, where some spectacular applications of pharmacogenetics have recently been described. DESIGN: For many years the distinct character of diabetes diagnosed in the first weeks and months of life remained unnoticed. The results of the search for type 1 diabetes-related autoantibodies, description of the HLA haplotypes distribution and analysis of clinical features in patients diagnosed in the first 6 months of life provided the initial evidence that the etiology of their disease might be different from that of autoimmune diabetes. RESULTS: Over the last decade, mutations in about a dozen of genes have been linked to the development of Permanent Neonatal Diabetes Mellitus (PNDM). The most frequent causes of PNDM are heterozygous mutations in the KCNJ11, INS and ABCC8 genes. Although PNDM is a rare phenomenon (one case in about 200,000 live births), this discovery has had a large impact on clinical practice as most carriers of KCNJ11 and ABCC8 gene mutations have been switched from insulin to oral sulphonylureas with an improvement in glycemic control. In this review we summarize the practical aspects of diabetes differential diagnosis in neonates and infants. CONCLUSIONS: Genetic testing should be advised in all subjects with PNDM as it may influence medical care in subjects with these monogenic forms of early onset diabetes. PMID- 21054356 TI - Polymorphism of the palladin gene and cardiovascular outcome in patients with atherosclerosis. AB - BACKGROUND: A single-nucleotide polymorphism (SNP) in the palladin gene (PALLD, rs7439293) has recently been reported to be associated with coronary heart disease (CHD) in two case-control studies as well as in a large population-based cohort (Atherosclerosis Risk in Communities study, ARIC). Its clinical relevance, however, has not been evaluated prospectively. We investigated whether the risk allele (A) of PALLD rs7439293 (G>A) is associated with the occurrence of future major cardiovascular events (MACE) in a cohort of patients with prevalent carotid atherosclerosis. MATERIALS AND METHODS: A total of 1283 consecutive patients with neurologically asymptomatic carotid atherosclerosis were included in the study and prospectively followed for a median of 3.5 years (interquartile range 3-4 years). We analysed whether the risk allele is associated with progression of carotid atherosclerosis after a 6-9-month period as measured by duplex Doppler sonography. Patients were then followed for the occurrence of a first MACE, a composite of myocardial infarction, stroke, coronary revascularization and death. RESULTS: After a median of 7.5 months (interquartile range 6-9 months), progression of carotid stenosis was observed in 103 (8.1%) patients. Cardiovascular events occurred in 337 (30%) patients after a median follow-up of 3.5 years. The risk allele of PALLD was neither associated with progressive carotid atherosclerosis (P = 0.21) nor with MACE (P = 0.58). Adjusted hazard ratios for a first MACE in heterozygous and homozygous carriers were 0.83 (95% CI 0.58-1.18) and 0.94 (95% CI 0.65-1.35) compared to wild type, respectively. CONCLUSIONS: The A-allele of PALLD rs7439293 was not associated with progressive carotid atherosclerosis as measured by duplex Doppler sonography nor did it represent a risk factor for adverse cardiovascular outcome among patients with prevalent carotid atherosclerosis. PMID- 21054357 TI - Population genetics, pleiotropy, and the preferential fixation of mutations during adaptive evolution. AB - Ongoing debate centers on whether certain types of mutations are fixed preferentially during adaptive evolution. Although there has been much discussion, no quantitative framework currently exists to test for these biases. Here, we describe a method for distinguishing between the two processes that likely account for biased rates of substitution: variation in mutation rates and variation in the probability that a mutation becomes fixed once it arises. We then use this approach to examine the type and magnitude of these biases during evolutionary transitions across multiple scales: those involving repeated origins of individual traits (flower color change), and transitions involving broad suites of traits (morphological and physiological trait evolution in plants and animals). We show that fixation biases can be strong at both levels of comparison, likely due to differences in the magnitude of deleterious pleiotropy associated with alternative mutation categories. However, we also show that the scale at which these comparisons are made greatly influences the results, as broad comparisons that simultaneously analyze multiple traits obscure heterogeneity in the direction and magnitude of these biases. We conclude that preferential fixation of mutations likely is common in nature, but should be studied on a trait-by-trait basis. PMID- 21054358 TI - Testing ecological explanations for biogeographic boundaries. AB - Barriers to dispersal and resulting biogeographic boundaries are responsible for much of life's diversity. Distinguishing the contribution of ecological, historical, and stochastic processes to the origin and maintenance of biogeographic boundaries, however, is a longstanding challenge. Taking advantage of newly available data and methods--including environmental niche models and associated comparative metrics--we develop a framework to test two possible ecological explanations for biogeographic boundaries: (1) sharp environmental gradients and (2) ribbons of unsuitable habitat dividing two highly suitable regions. We test each of these hypotheses against the null expectation that environmental variation across a given boundary is no greater than expected by chance. We apply this framework to a pair of Hispaniolan Anolis lizards (A. chlorocyanus and A. coelestinus) distributed on the either side of this island's most important biogeographic boundary. Integrating our results with historical biogeographic analysis, we find that a ribbon of particularly unsuitable habitat is acting to maintain a boundary between species that initially diverged on distinct paleo-islands, which merged to form present-day Hispaniola in the Miocene. PMID- 21054360 TI - Time-dependent speciation and extinction from phylogenies: a least squares approach. AB - Molecular phylogenies contribute to the study of the patterns and processes of macroevolution even though past events (fossils) are not recorded in these data. In this article, I consider the general time-dependent birth-death model to fit any model of temporal variation in speciation and extinction to phylogenies. I establish formulae to compute the expected cumulative distribution function of branching times for any model, and, building on previous published works, I derive maximum likelihood estimators. Some limitations of the likelihood approach are described, and a fitting procedure based on least squares is developed that alleviates the shortcomings of maximum likelihood in the present context. Parametric and nonparametric bootstrap procedures are developed to assess uncertainty in the parameter estimates, the latter version giving narrower confidence intervals and being faster to compute. I also present several general algorithms of tree simulation in continuous time. I illustrate the application of this approach with the analysis of simulated datasets, and two published phylogenies of primates (Catarrhinae) and lizards (Agamidae). PMID- 21054359 TI - Superinfection drives virulence evolution in experimental populations of bacteria and plasmids. AB - A prominent hypothesis proposes that pathogen virulence evolves in large part due to a trade-off between infectiousness and damage to hosts. Other explanations emphasize how virulence evolves in response to competition among pathogens within hosts. Given the proliferation of theoretical possibilities, what best predicts how virulence evolves in real biological systems? Here, I show that virulence evolution in experimental populations of bacteria and self-transmissible plasmids is best explained by within-host competition. Plasmids evolved to severely reduce the fitness of their hosts even in the absence of uninfected cells. This result is inconsistent with the trade-off hypothesis, which predicts that under these conditions vertically transmitted pathogens would evolve to be less virulent. Plasmid virulence was strongly correlated with the ability to superinfect cells containing competing plasmid genotypes, suggesting a key role for within-host competition. When virulent genotypes became common, hosts evolved resistance to plasmid infection. These results show that the trade-off hypothesis can incorrectly predict virulence evolution when within-host interactions are neglected. They also show that symbioses between bacteria and plasmids can evolve to be surprisingly antagonistic. PMID- 21054361 TI - Development of a clinical prediction rule for identifying women with tension-type headache who are likely to achieve short-term success with joint mobilization and muscle trigger point therapy. AB - OBJECTIVE: To identify prognostic factors from the history and physical examination in women with tension-type headache (TTH) who are likely to experience self-perceived clinical improvement following a multimodal physical therapy session including joint mobilization and muscle trigger point (TrP) therapies. BACKGROUND: No definitive therapeutic intervention is available for TTH. It would be useful for clinicians to have a clinical prediction rule for selecting which TTH patients may experience improved outcomes following a multimodal physical therapy program. METHODS: Women diagnosed with pure TTH by 3 experienced neurologists according to the International Headache Society criteria from different neurology departments were included. They underwent a standardized examination (neck mobility, pressure pain thresholds, total tenderness score, presence of muscle TrPs, Medical Outcomes Study 36-Item Short Form, the Neck Disability Index [NDI], the Beck Depression Inventory, and the Headache Disability Inventory) and then a multimodal physical therapy session including joint mobilization and TrP therapies. The treatment session included a 30-second grade III or IV central posterior-anterior nonthrust mobilization applied from T4 to T1 thoracic vertebrae, at C7-T1 cervico-thoracic junction and C1-C2 vertebrae for an overall intervention time of 5 minutes Different TrP techniques, particularly soft tissue stroke, pressure release, or muscle energy were applied to head and neck-shoulder muscles (temporalis, suboccipital, upper trapezius, splenius capitis, semispinalis capitis, sternocleidomastoid) to inactivate active muscle TrPs. Participants were classified as having achieved a successful outcome 1 week after the session based on their self-perceived recovery. Potential prognostic variables were entered into a stepwise logistic regression model to determine the most accurate set of variables for prediction of success. RESULTS: Data for 76 subjects were included in the analysis, of which 36 experienced a successful outcome (48%). Eight prognostic variables were retained in the regression model: mean age <44.5 years, presence of left sternocleidomastoid TrP, presence of suboccipital TrP, presence of left superior oblique muscle TrP, cervical rotation to the left > 69 degrees , total tenderness score <20.5, NDI <18.5, referred pain area of right upper trapezius muscle TrP >42.23. CONCLUSIONS: The current clinical prediction rule may allow clinicians to make an a priori identification of women with TTH who are likely to experience short-term self-report improvement with a multimodal session including joint mobilizations and TrP therapies. Future studies are necessary to validate these findings. PMID- 21054362 TI - Antimigraine efficacy of telcagepant based on patient's historical triptan response. AB - OBJECTIVE: To evaluate whether the same or different patients respond to triptans and telcagepant. BACKGROUND: Telcagepant is an oral calcitonin gene-related peptide receptor antagonist with acute antimigraine efficacy comparable to oral triptans. It is currently unknown whether migraine patients who cannot be adequately helped with triptans might benefit from treatment with telcagepant. METHODS: Post-hoc analysis of data from a randomized, controlled trial of telcagepant (150 mg, 300 mg) zolmitriptan 5 mg, or placebo for a moderate/severe migraine. Responder rates were analyzed according to patients' self-reported historical triptan response (HTR): (1) good HTR (N = 660): response in 75-100% of attacks; (2) intermediate HTR (N = 248): response in 25-74% of attacks; (3) poor HTR/no use (N = 407): response in < 25% of attacks, or patient did not take triptans. A limitation of the analysis is that the last subgroup comprised mainly (91%) patients who reported that they did not take triptans, but it was not known whether these patients were triptan-naive or had previously used triptans and stopped taking them. RESULTS: For zolmitriptan, 2-hour pain relief rates were higher in the good HTR subgroup (116/162, 72%) than in the intermediate (29/62, 47%) and poor/no use (44/111, 40%) HTR subgroups. The 2-hour pain relief rates were similar across HTR subgroups for telcagepant 150 mg (48-58%), 300 mg (52 58%), and placebo (26-31%). In the poor/no use HTR subgroup, more patients receiving telcagepant 300 mg (56/98, 57.1%) had 2-hour pain relief than those receiving zolmitriptan (44/111, 39.6%; odds ratio = 2.11 [95% CI: 1.20,3.71], P = .009); the percentage for telcagepant 150 mg (57/119, 47.9%) was not significantly different from zolmitriptan (odds ratio = 1.41 [95% CI: 0.82, 2.40], P = .211). CONCLUSIONS: This suggests that different patients may respond to triptans or telcagepant 300 mg. Caution should be exercised in interpreting the results because of the post-hoc nature of the analysis (clinical trial registry: NCT00442936). PMID- 21054363 TI - Bilateral widespread mechanical pain hypersensitivity as sign of central sensitization in patients with cluster headache. AB - OBJECTIVE: To investigate bilateral widespread pressure pain hyperalgesia in deep tissues over symptomatic (trigemino-cervical) and nonsymptomatic (distant pain free) regions in patients with cluster headache (CH). BACKGROUND: Central sensitization is claimed to play a relevant role in CH. No study has previously searched for widespread pressure hyperalgesia in deep tissues over both symptomatic (trigemino-cervical) and nonsymptomatic (distant pain-free) regions in patients with CH. METHODS: Sixteen men (mean age: 43 +/- 11 years) with CH in a remission phase and 16 matched controls were recruited. Pressure pain thresholds (PPTs) were bilaterally measured over the supra-orbital (V1), infra orbital (V2), mental (V3), median (C5), radial (C6), and ulnar (C7) nerves, C5-C6 zygapophyseal joint, mastoid process, and tibialis anterior muscle by an assessor blinded to the subjects' condition. RESULTS: The results showed that PPT levels were significantly decreased bilaterally in patients with CH as compared with healthy controls (all sites, P < .001). A greater degree of sensitization over the mastoid process (P < .001) and a lower degree of sensitization over the tibialis anterior muscle (P < .01) was found. CONCLUSIONS: Our findings revealed bilateral widespread pressure pain hypersensitivity in patients with CH confirming the presence of central sensitization mechanisms in this headache condition. PMID- 21054364 TI - Allergy and immunotherapy: are they related to migraine headache? AB - INTRODUCTION: Several studies have reported that migraine headaches are more common in patients with allergic rhinitis and that immunotherapy decreases the frequency of headache in atopic headache sufferers. OBJECTIVE: To determine if the degree of allergic sensitization and the administration of immunotherapy are associated with the prevalence, frequency, and disability of migraine headache in patients with allergic rhinitis. METHODS: Consecutive patients between the ages of 18-65 presenting to an allergy practice that received a diagnosis of an allergic rhinitis subtype (eg, allergic or mixed rhinitis) were enrolled in this study. All participants underwent allergy testing as well as a structured verbal headache diagnostic interview to ascertain the clinical characteristics of each headache type. Those reporting headaches were later assigned a headache diagnosis by a headache specialist blinded to the rhinitis diagnosis based on 2004 International Classification Headache Disorders-2 (ICHD-2) diagnostic criteria. Migraine prevalence was defined as the percentage of patients with a diagnosis of migraine headache (ICHD-2 diagnoses 1.1-1.5). Migraine frequency represented the number of days per month with migraine headache self-reported during the headache interview and migraine disability was the number of days with disability obtained from the Migraine Disability Assessment questionnaire. Generalized linear models were used to analyze the migraine prevalence, frequency, and disability with the degree of allergic sensitization (percentage of positive allergy tests) and administration of immunotherapy as covariates. Patients were categorized into high (> 45% positive allergy tests) and low (<= 45% positive allergy tests) atopic groups based on the number of allergy tests that were positive for the frequency and disability analyses. RESULTS: A total of 536 patients (60% female, mean age 40.9 years) participated in the study. The prevalence of migraine was not associated with the degree of allergic sensitization, but there was a significant age/immunotherapy interaction (P < .02). Migraine headaches were less prevalent in the immunotherapy group than the nonimmunotherapy at ages < 40 years and more prevalent in the immunotherapy group at ages >= 40 years of age. In subjects <= 45 years of age, increasing percentages of allergic sensitization were associated with a decreased frequency and disability of migraine headache in the low atopic group (risk ratios [RRs] of 0.80 [95% CI; 0.65, 0.99] and 0.81[95% CI; 0.68, 0.97]) while increasing percentages were associated with an increased frequency (not disability) in the high atopic group (RR = 1.60; [95% CI; 1.11, 2.29]). In subjects <= 45 years of age, immunotherapy was associated with decreased migraine frequency and disability (RRs of 0.48 [95% CI; 0.28, 0.83] and 0.55 [95% CI; 0.35, 0.87]). In those > 45 years of age, there was no effect of degree of allergic sensitization or immunotherapy on the frequency and disability of migraine headache. CONCLUSIONS: Our study suggests that the association of allergy with migraine headaches depends upon age, degree of allergic sensitization, administration of immunotherapy, and the type of headache outcome measure that are studied. Lower "degrees of atopy" are associated with less frequent and disabling migraine headaches in younger subjects while higher degrees were associated with more frequent migraines. The administration of immunotherapy is associated with a decreased prevalence, frequency, and disability of migraine headache in younger subjects. PMID- 21054365 TI - Data governance and stewardship: designing data stewardship entities and advancing data access. AB - U.S. health policy is engaged in a struggle over access to health information, in particular, the conditions under which information should be accessible for research when appropriate privacy protections and security safeguards are in place. The expanded use of health information-an inevitable step in an information age-is widely considered be essential to health system reform. Models exist for the creation of data-sharing arrangements that promote proper use of information in a safe and secure environment and with attention to ethical standards. Data stewardship is a concept with deep roots in the science and practice of data collection, sharing, and analysis. Reflecting the values of fair information practice, data stewardship denotes an approach to the management of data, particularly data that can identify individuals. The concept of a data steward is intended to convey a fiduciary (or trust) level of responsibility toward the data. Data governance is the process by which responsibilities of stewardship are conceptualized and carried out. As the concept of health information data stewardship advances in a technology-enabled environment, the question is whether legal barriers to data access and use will begin to give way. One possible answer may lie in defining the public interest in certain data uses, tying provider participation in federal health programs to the release of all payer data to recognized data stewardship entities for aggregation and management, and enabling such entities to foster and enable the creation of knowledge through research. PMID- 21054366 TI - Balancing access to health data and privacy: a review of the issues and approaches for the future. AB - BACKGROUND: There has been a dramatic increase in the types of microdata, and this holds great promise for health services research. However, legislative efforts to protect individual privacy have reduced the flow of health care data for research purposes and increased costs and delays, affecting the quality of analysis. AIM: This paper provides an overview of the challenges raised by concerns about data confidentiality in the context of health services research, the current methodologies used to ensure data security, and a description of one successful approach to balancing access and privacy. Materials and Methods. We analyze the issues of access and privacy using a conceptual framework based on balancing the risk of reidentification with the utility associated with data analysis. The guiding principle should be to generate released data that are as close to the maximum acceptable risk as possible. HIPAA and other privacy measures can perhaps be seen as having had the effect of lowering the "maximum acceptable risk" level and rendering some data unreleasable. RESULTS: We discuss the levels of risk and utility associated with different types of data used in health services research and the ability to link data from multiple sources as well as current models of data sharing and their limitations. DISCUSSION: One particularly compelling approach is to establish a remote access "data enclave," where statistical protections are applied to the data, technical protections ensure compliance with data-sharing requirements, and operational controls limit researchers' access to the data they need for their specific research questions. CONCLUSION: We recommend reducing delays in access to data for research, increasing the use of remote access data enclaves, and disseminating knowledge and promulgating standards for best practices related to data protection. PMID- 21054367 TI - Health services research and data linkages: issues, methods, and directions for the future. AB - BACKGROUND: Research on pressing health services and policy issues requires access to complete, accurate, and timely patient and organizational data. AIM: This paper describes how administrative and health records (including electronic medical records) can be linked for comparative effectiveness and health services research. MATERIALS AND METHODS: We categorize the major agents (i.e., who owns and controls data and who carries out the data linkage) into three areas: (1) individual investigators; (2) government sponsored linked data bases; and (3) public-private partnerships that facilitate linkage of data owned by private organizations. We describe challenges that may be encountered in the linkage process, and the benefits of combining secondary databases with primary qualitative and quantitative sources. We use cancer care research to illustrate our points. RESULTS: To fill the gaps in the existing data infrastructure, additional steps are required to foster collaboration among institutions, researchers, and public and private components of the health care sector. Without such effort, independent researchers, governmental agencies, and nonprofit organizations are likely to continue building upon a fragmented and costly system with limited access. Discussion. Without the development and support for emerging information technologies across multiple health care settings, the potential for data collected for clinical and transactional purposes to benefit the research community and, ultimately, the patient population may go unrealized. CONCLUSION: The current environment is characterized by budget and technical challenges, but investments in data infrastructure are arguably cost-effective given the need to reform our health care system and to monitor the impact of health reform initiatives. PMID- 21054368 TI - Data and measurement issues in the analysis of health disparities. AB - OBJECTIVE: To describe measurement challenges and strategies in identifying and analyzing health disparities and inequities. METHODS: We discuss the limitations of existing data sources for measuring health disparities and inequities, describe current strategies to address those limitations, and explore the potential of emerging strategies. PRINCIPAL FINDINGS: Larger national sample sizes are necessary to identify disparities for major population subgroups. Collecting self-reported race and granular ethnicity data may reduce some measurement errors, but it raises other methodological questions. The assessment of health inequities presents particular challenges, requiring analysis of the interactive effects of multiple determinants of health. Indirect estimation and modeling methods are likely to be important tools for estimating health disparities and inequities for the foreseeable future. CONCLUSIONS: Interdisciplinary training and collaborative research models will be essential for future disparities research. Evaluation of evolving methodologies for assessing health disparities should be a priority for health services researchers in the next decade. PMID- 21054369 TI - Viewing health care delivery as science: challenges, benefits, and policy implications. AB - The need for health services research is likely to rise rapidly as the population ages, health care costs soar, and therapeutic and diagnostic choices proliferate. Building an effective and efficient health care delivery system is a national priority. Yet the national health care quality report concludes that we lack the ability to monitor progress toward even basic quality and patient safety goals effectively. The gap between the need to improve and our ability to do so exists in part because we fail to view the delivery of health care as science, we lack national improvement priorities, and we lack a national infrastructure to achieve our stated goals. We discuss key challenges implicit in correcting these failures and recommend actions to expedite progress. PMID- 21054370 TI - Multiple chronic conditions and disabilities: implications for health services research and data demands. AB - Increasing numbers of Americans are living with multiple chronic conditions (MCCs) and disabilities. Addressing health care needs of persons with MCCs or disabilities presents challenges on many levels. For health services researchers, priorities include (1) considering MCCs and disabilities in comparative effectiveness research (CER) and assessing quality of care; and (2) identifying and evaluating the data needed to conduct CER, performance measure development, and other research to inform health policy and public health decisions concerning persons with MCCs or disabilities. Little information is available to guide CER or treatment choices for persons with MCCs or disabilities, however, because they are typically excluded from clinical trials that produce the scientific evidence base. Furthermore, most research funding flows through public and private agencies oriented around single organ systems or diseases. Likely changes in the data landscape-notably wider dissemination of electronic health records (EHRs) and moving toward updated coding nomenclatures-may increase the information available to monitor health care service delivery and quality for persons with MCCs and disabilities. Generating this information will require new methods to extract and code information about MCCs and functional status from EHRs, especially narrative texts, and incorporating coding nomenclatures that capture critical dimensions of functional status and disability. PMID- 21054371 TI - Modeling health care policy alternatives. AB - BACKGROUND: Computer models played an important role in the health care reform debate, and they will continue to be used during implementation. However, current models are limited by inputs, including available data. Aim. We review microsimulation and cell-based models. For each type of model, we discuss data requirements and other factors that may affect its scope. We also discuss how to improve models by changing data collection and data access procedures. MATERIALS AND METHODS: We review the modeling literature, documentation on existing models, and data resources available to modelers. Results. Even with limitations, models can be a useful resource. However, limitations must be clearly communicated. Modeling approaches could be improved by enhancing existing longitudinal data, improving access to linked data, and developing data focused on health care providers. DISCUSSION: Longitudinal datasets could be improved by standardizing questions across surveys or by fielding supplemental panels. Funding could be provided to identify causal parameters and to clarify ranges of effects reported in the literature. Finally, a forum for routine communication between modelers and policy makers could be established. CONCLUSION: Modeling can provide useful information for health care policy makers. Thus, investing in tools to improve modeling capabilities should be a high priority. PMID- 21054372 TI - Improving evaluations of value-based purchasing programs. AB - Although value-based purchasing (VBP) holds promise for encouraging quality improvement and addressing rising costs, currently there is limited evidence about how best to structure and implement VBP programs. In this commentary, we highlight several issues for improving evaluations of VBP programs. Implementation research can be enhanced through early and continuous assessment and greater variation in program designs. Impact research can be improved by creating better outcome measures, increasing the availability of linked patient level data, and advancing synthesis research. We offer several recommendations for improving the foundation to conduct evaluations of VBP programs to better inform policy and practice. PMID- 21054373 TI - Data and methods to facilitate delivery system reform: harnessing collective intelligence to learn from positive deviance. AB - Researchers often focus on the data and methods to assess policy changes, but data and methods can also be policy tools. To improve, health care systems need mechanisms and incentives for continually gathering, assessing, and acting on data. This requires (1) more comprehensive data, (2) converting data into information, and (3) incentives to apply that information. Restructured economic incentives can encourage clinicians to increase value (higher quality and/or lower cost) for their patients. While necessary, incentives are not sufficient information is also needed. Incentives can lead clinicians to demand better information. Much of the necessary data is already used in patient care and billing; some additional variables will come directly from patients. The notion builds on two concepts: collective intelligence and positive deviance. The former characterizes knowledge gained from observing the behavior of many independent actors adapting to changing situations. Positive deviants are those who achieve far better results than expected. By rewarding positive deviants, rather than trying to identify and "correct" those who are problematic, providers will voluntarily identify themselves and their methods for achieving superior outcomes. PMID- 21054374 TI - The effects of Medicaid and CHIP policy changes on receipt of preventive care among children. AB - OBJECTIVE: To examine changes in children's receipt of well-child and preventive dental care in Medicaid/Children's Health Insurance Program (CHIP) in two states that adopted policies aimed at promoting greater preventive care receipt. DATA SOURCES: The 2004-2008 Medicaid/CHIP claims and enrollment data from Idaho and Kentucky. STUDY DESIGN: Logistic and hazard pre-post regression models, controlling for age, gender, race/ethnicity, and eligibility category. DATA EXTRACTION METHODS: Claims and enrollment data were de-identified and merged. PRINCIPAL FINDINGS: Increased reimbursement had a small, positive association with well-child care in Idaho, but no consistent effects were found in Kentucky. A premium forgiveness program in Idaho was associated with a substantial increase (between 20 and 113 percent) in receipt of any well-child care and quicker receipt of well-child care following enrollment. In Kentucky, children saw modest increases in receipt of preventive dental care and received such care more quickly following increased dental reimbursement, while the move to managed care in Idaho was associated with a small increase in receipt of preventive dental care. CONCLUSIONS: Policy changes such as reimbursement increases, incentives, and delivery system changes can lead to increases in preventive care use among children in Medicaid and CHIP, but reported preventive care receipt still falls short of recommended levels. PMID- 21054375 TI - Small group health insurance reform in Rhode Island: promises and pitfalls of the HEALTHpact plan. AB - OBJECTIVE: This study analyzes what design elements inhibited enrollment in HEALTHpact. STUDY SETTING: HEALTHpact is a high deductible plan with a premium capped at 10 percent of the average Rhode Island wage. Deductibles are reduced if enrollees meet wellness criteria. STUDY DESIGN: Qualitative case study. DATA COLLECTION: Archival documents and 23 interviews. PRINCIPAL FINDINGS: Inclusion of a subsidy would have led to lower premiums and more generous coverage. Although priced lower than other plans, HEALTHpact still did not offer good value for most firms. Wellness incentives also were too complex. CONCLUSIONS: Subsidies for purchase of insurance coverage are critical to national reform of the small group market. Designers also will need to carefully balance program complexity with innovation in encouraging wellness and product appeal. PMID- 21054376 TI - How have state policies to expand dependent coverage affected the health insurance status of young adults? AB - RESEARCH OBJECTIVE: Nearly one in three adults of ages 19-29 lack health insurance, representing the highest uninsured rate of any age group. To help address this gap, 38 states have enacted laws requiring insurers to permit young adults to enroll as dependents on their parents' plans. This paper evaluates their impact on coverage for young adults. STUDY DESIGN/METHODS/DATA: This study uses data for individuals ages 19-29 from the Current Population Survey's Annual Demographic Supplement for calendar years 2000-2008. Linear probability models are used to obtain difference-in-differences estimates of the impact of dependent coverage expansions in 19 early-adopting states on young adults' insurance status. The models also address possible policy endogeneity due to the nonrandom enactment of expansion policies across states. PRINCIPAL FINDINGS: State young adult dependent coverage policies yielded small increases in dependent coverage ranging from 1.52 percentage points for all young adults to 3.84 percentage points for those ages 19-25 residing with parents. These increases were largely offset by declines in employer-sponsored insurance (ESI) in the young adults' own name. No significant impact on young adult uninsured rates was observed. CONCLUSIONS AND IMPLICATIONS: Adult dependent coverage expansions have had a relatively small impact on enrollment as an ESI dependent and appear to have the unintended consequence of reducing ESI policyholder coverage. This policy did not achieve a reduction in uninsured rates as policy makers had intended. Federal reform efforts to expand dependent coverage are likely to be more successful because reform will be accompanied by subsidies and enrollment mandates. PMID- 21054377 TI - Using payroll deduction to shelter individual health insurance from income tax. AB - OBJECTIVE: To assess the impact of state laws requiring or encouraging employers to establish "section 125" cafeteria plans that shelter employees' premium contributions from tax. DATA SOURCES: Available descriptive statistics, 65 key informant interviews, and relevant documents in study states and nationally, 2008 2009. STUDY DESIGN: Case studies were conducted in Indiana, Massachusetts, and Missouri--three states adopting laws in 2007. Descriptive quantitative information came from insurers, regulators, and surveys of employers. In each state, 15-17 semistructured but open-ended interviews were conducted with insurance agents, insurers, government officials, and third-party administration firms, and 29 informed sources were interviewed from a national perspective or other states. Key informants were selected based on their known or reported experience, in a "snowball" fashion until saturation was reached. Interview notes were coded for systematic analysis. Finally, relevant rulings, brochures, instructions, marketing materials, and other documents were collected and analyzed. FINDINGS: Despite the potential for substantial cost savings, use of section 125 plans to purchase individual insurance remained low in these states after 1 or 2 years. Absent a mandate, few employers were strongly motivated to offer these plans in order to retain an adequate workforce, and uncertainty about federal legality deterred doing so. For smaller employers, benefits to owners did not outweigh administrative complexities. Nevertheless, few downsides were found to states mandating or encouraging these plans. In particular, there is little evidence that many employers dropped group coverage as a result. CONCLUSIONS: Section 125 plans remain a limited tool for states to reduce the inequitable tax treatment of individually purchased insurance, but a complete remedy requires reform of federal tax law. PMID- 21054378 TI - Measuring the impact of outreach and enrollment strategies for public health insurance in California. AB - OBJECTIVE AND STUDY SETTING: To evaluate the effectiveness of different approaches to outreach on public health insurance enrollment in 25 California counties with a Children's Health Initiative. DATA SOURCE: Administrative enrollment databases. STUDY DESIGN: The use of eight enrollment strategies were identified in each quarter from 2001 to 2007 for each of 25 counties (county quarter). Strategies were categorized as either technology or nontechnology. New enrollments were obtained for Medi-Cal, Healthy Families, and Healthy Kids. Bivariate and multivariate analyses assessed the link between each strategy and new enrollments rates of children. DATA COLLECTION: Methods Surveys of key informants determined whether a specific outreach strategy was used in each quarter. These were linked to new enrollments in each county quarter. PRINCIPAL FINDINGS: Between 2001 and 2007, enrollment grew in all three children's health programs. We controlled for the effects of counties, seasons, and county-specific child poverty rates. There was an increase in enrollment rates of 11 percent in periods when technology-based systems were in use compared with when these approaches were inactive. Non-technology-based approaches, including school linked approaches, yielded a 12 percent increase in new enrollments rates. Deploying seven to eight strategies yielded 54 percent more new enrollments per 10,000 children compared with periods with none of the specific strategies. CONCLUSIONS AND IMPLICATIONS: National health care reform provides new opportunities to expand coverage to millions of Americans. An investment in technology-based enrollment systems will maximize new enrollments, particularly into Medicaid; nontechnological approaches may help identify harder-to-reach populations. Moreover, incorporating several strategies, whether phased in or implemented simultaneously, will enhance enrollments. PMID- 21054379 TI - The absence of sex-biased dispersal in the cooperatively breeding grey-crowned babbler. AB - 1. Cooperatively breeding birds are thought to be especially vulnerable to habitat fragmentation, in part because dispersal is typically restricted for one sex, increasing the likelihood of inbreeding. Knowledge of dispersal is essential to conservation efforts, but is often hampered by our inability to measure its frequency and distance when dispersal is infrequent and difficult to observe. 2. Disrupted dispersal is a purported cause of decline in the Australian grey crowned babbler (Pomatostomus temporalis). Both sexes of offspring delay dispersal for up to several years to help parents raise subsequent broods, yet little else is known about the dispersal of this cooperatively breeding woodland bird. 3. As both sexes appear to help, but only male helpers boost fledgling production, we hypothesized that males would be the more philopatric sex in this species, and that female grey-crowned babblers would disperse over greater distances. 4. To ensure reliable determination of sex and minimize bias towards detecting short-distance dispersal events, we combined molecular-based sexing and analyses of population genetic structure using polymorphic microsatellite loci with observational data obtained over multiple field seasons. 5. Observations of banded birds showed only infrequent fission of groups or short-distance dispersal (mean=854 m), but no apparent sex-bias in these patterns. 6. There was significant genetic differentiation between social groups, but not between the sexes. Spatial genetic autocorrelation analysis of breeders revealed a random distribution of genotypes across the study area for both sexes. Thus, contrary to expectations, we found no genetic evidence for restricted dispersal or for sex biased dispersal over the 85-km scale of this study, indicating that effective dispersal occurs over greater distances and more frequently than recoveries of banded birds indicated. 7. We conclude that while constraints on independent breeding encourage high rates of philopatry, incest avoidance nonetheless drives high rates of dispersal by both sexes. In fragmented habitat, the dispersal dynamics of this cooperatively breeding species are unlikely to render them particularly vulnerable to genetic consequences such as inbreeding, but may lead to increased group dissolution. PMID- 21054380 TI - The spatial scaling of habitat selection by African elephants. AB - 1. Understanding and accurately predicting the spatial patterns of habitat use by organisms is important for ecological research, biodiversity conservation and ecosystem management. However, this understanding is complicated by the effects of spatial scale, because the scale of analysis affects the quantification of species-environment relationships. 2. We therefore assessed the influence of environmental context (i.e. the characteristics of the landscape surrounding a site), varied over a large range of scales (i.e. ambit radii around focal sites), on the analysis and prediction of habitat selection by African elephants in Kruger National Park, South Africa. 3. We focused on the spatial scaling of the elephants' response to their main resources, forage and water, and found that the quantification of habitat selection strongly depended on the scales at which environmental context was considered. Moreover, the inclusion of environmental context at characteristic scales (i.e. those at which habitat selectivity was maximized) increased the predictive capacity of habitat suitability models. 4. The elephants responded to their environment in a scale-dependent and perhaps hierarchical manner, with forage characteristics driving habitat selection at coarse spatial scales, and surface water at fine spatial scales. 5. Furthermore, the elephants exhibited sexual habitat segregation, mainly in relation to vegetation characteristics. Male elephants preferred areas with high tree cover and low herbaceous biomass, whereas this pattern was reversed for female elephants. 6. We show that the spatial distribution of elephants can be better understood and predicted when scale-dependent species-environment relationships are explicitly considered. This demonstrates the importance of considering the influence of spatial scale on the analysis of spatial patterning in ecological phenomena. PMID- 21054381 TI - Is hunting mortality additive or compensatory to natural mortality? Effects of experimental harvest on the survival and cause-specific mortality of willow ptarmigan. AB - 1. The effects of harvest on the annual and seasonal survival of willow ptarmigan Lagopus lagopus L. were tested in a large-scale harvest experiment. Management units were randomly assigned to one of three experimental treatments: 0%, 15% or 30% harvest. Seasonal quotas were based on the experimental treatment and estimates of bird density before the hunting season. Survival rates and hazard functions for radio-marked ptarmigan were then estimated under the competing risks of harvest and natural mortality. 2. The partially compensatory mortality hypothesis was supported: annual survival of ptarmigan was 0.54 +/- 0.08 SE under 0% harvest, 0.47 +/- 0.06 under 15% harvest, and was reduced to 0.30 +/- 0.05 under 30% harvest. Harvest mortality increased linearly from 0.08 +/- 0.05, 0.27 +/- 0.05 and 0.42 +/- 0.06 from 0% to 30% harvest, whereas natural mortality was 0.38 +/- 0.08, 0.25 +/- 0.05 and 0.28 +/- 0.06 under the same treatments. 3. Realized risk of harvest mortality was 0.08-0.12 points higher than our set harvest treatments of 0-30% because birds were exposed to risk if they moved out of protected areas. The superadditive hypothesis was supported because birds in the 30% harvest treatment had higher natural mortality during winter after the hunting season. 4. Natural mortality was mainly because of raptor predation, with two seasonal peaks in fall and spring. Natural and harvest mortality coincided during early autumn with little potential for compensation during winter months. Peak risk of harvest mortality was 5* higher than natural mortality. Low natural mortality during winter suggests that most late season harvest would be additive mortality. 5. Environmental correlates of natural mortality of ptarmigan included seasonal changes in snow cover, onset of juvenile dispersal, and periods of territorial activity. Natural mortality of ptarmigan was highest during autumn movements and nesting by gyrfalcons Falco rusticolus L. Mortality was low when gyrfalcons had departed for coastal wintering sites, and during summer when ptarmigan were attending nests and broods. 6. Our experimental results have important implications for harvest management of upland gamebirds. Seasonal quotas based on proportional harvest were effective and should be set at <= 15% of August populations for regional management plans. Under threshold harvest of a reproductive surplus, 15% harvest would be sustainable at productivity rates >= 2.5 young per pair. Impacts of winter harvest could be minimized by closing the hunting season in early November or by reducing late season quotas. PMID- 21054382 TI - Individual quality: tautology or biological reality? PMID- 21054383 TI - Polypyrimidine tract-binding protein 1 regulates the alternative splicing of dopamine receptor D2. AB - Dopamine receptor D(2) (DRD2) has two splicing isoforms, a long form (D2L) and short form (D2S), which have distinct functions in the dopaminergic system. However, the regulatory mechanism of the alternative splicing of DRD2 is unknown. In this study, we examined which splicing factors regulate the expression of D2L and D2S by over-expressing several RNA-binding proteins in HEK293 cells. In a cellular splicing assay, the over-expression of polypyrimidine tract-binding protein 1 (PTBP1) reduced the expression of D2S, whereas the knockdown of PTBP1 increased the expression of D2S. We also identified the regions of DRD2 that are responsive to PTBP1 using heterologous minigenes and deletion mutants. Our results indicate that PTBP1 regulates the alternative splicing of DRD2. Considering that DRD2 inhibits cAMP-dependent protein kinase A, which modulates the intracellular localization of PTBP1, PTBP1 may contribute to the autoregulation of DRD2 by regulating the expression of its isoforms. PMID- 21054384 TI - Induction of Alzheimer's-like changes in brain of mice expressing mutant APP fed excess methionine. AB - Elevated plasma homocysteine, a risk factor for Alzheimer's disease, could result from increased production from methionine or by inefficient clearance by folate- and B-vitamin-dependent pathways. Understanding the relative contributions of these processes to pathogenesis is important for therapeutic strategies designed to lower homocysteine. To assess these alternatives, we elevated plasma homocysteine by feeding mutant amyloid precursor protein (APP)-expressing mice diets with either high methionine (HM) or deficient in B-vitamins and folate (B Def). Mutant APP mice fed HM demonstrated increased brain beta amyloid. Interestingly, this increase was not observed in mutant APP mice fed B Def diet, nor was it observed in C57Bl6 or YAC-APP mice fed HM. Furthermore, HM, but not B Def, produced a prolonged increase in brain homocysteine only in mutant APP mice but not wild-type mice. These changes were time-dependent over 10 weeks. Further, by 10 weeks HM increased brain cholesterol and phosphorylated tau in mutant APP mice. Transcriptional profiling experiments revealed robust differences in RNA expression between C57Bl6 and mutant APP mice. The HM diet in C57Bl6 mice transiently induced a transcriptional profile similar to mutant APP cortex, peaking at 2 weeks , following a time course comparable to brain homocysteine changes. Together, these data suggest a link between APP and methionine metabolism. PMID- 21054385 TI - cAMP-dependent protein kinase activated Fyn in spinal dorsal horn to regulate NMDA receptor function during inflammatory pain. AB - Selective inhibition of GluN2B-containing NMDA receptor (GluN2BR) in spinal dorsal horn effectively alleviates inflammatory pain, suggesting the up regulation of GluN2BR function involved in central sensitization. Previous studies have demonstrated that the increase in GluN2BR synaptic expression serves as a key step to enhance GluN2BR function after intradermal injection of Complete Freund's Adjuvant (CFA). Here, we showed that cAMP-dependent protein kinase (PKA) played an important role in redistributing GluN2BR at synapses, because inhibition of PKA activity impaired GluN2BR accumulation at post-synaptic density (PSD)-enriched fraction in CFA-injected mice, and direct stimulation of PKA in naive mice mimicked the effect of CFA by recruiting GluN2BR at PSD fraction to evoke pain sensitization. Analysis of PKA-initiated signalings unraveled that PKA was able to activate Src-family protein tyrosine kinases member Fyn, possibly by disrupting Fyn association with its inhibitory partner striatal-enriched protein tyrosine phosphatase 61. The active Fyn then promoted GluN2B phosphorylation at Tyr1472, a molecular event known to prevent GluN2BR endocytosis. As a result, pharmacological or genetic manipulation of Fyn activity greatly depressed GluN2BR accumulation at PSD-enriched fraction and ameliorated mechanical allodynia induced by PKA. Our data thus elucidated a critical role of PKA/Fyn/GluN2B signaling in triggering GluN2BR hyperfunction and pain hypersensitivity. PMID- 21054386 TI - Different roles attributed to Cav1 channel subtypes in spontaneous action potential firing and fine tuning of exocytosis in mouse chromaffin cells. AB - This study examines the Cav1 isoforms expressed in mouse chromaffin cells and compares their biophysical properties and roles played in cell excitability and exocytosis. Using immunocytochemical and electrophysiological techniques in mice lacking the Cav1.3alpha1 subunit (Cav1.3(-/-) ) or the high sensitivity of Cav1.2alpha1 subunits to dihydropyridines, Cav1.2 and Cav1.3 channels were identified as the only Cav1 channel subtypes expressed in mouse chromaffin cells. Cav1.3 channels were activated at more negative membrane potentials and inactivated more slowly than Cav1.2 channels. Cav1 channels, mainly Cav1.2, control cell excitability by functional coupling to BK channels, revealed by nifedipine blockade of BK channels in wild type (WT) and Cav1.3(-/-) cells (53% and 35%, respectively), and by the identical change in the shape of the spontaneous action potentials elicited by the dihydropyridine in both strains of mice. Cav1.2 channels also play a major role in spontaneous action potential firing, supported by the following evidence: (i) a similar percentage of WT and Cav1.3(-/-) cells fired spontaneous action potentials; (ii) firing frequency did not vary between WT and Cav1.3(-/-) cells; (iii) mostly Cav1.2 channels contributed to the inward current preceding the action potential threshold; and (iv) in the presence of tetrodotoxin, WT or Cav1.3(-/-) cells exhibited spontaneous oscillatory activity, which was fully abolished by nifedipine perfusion. Finally, Cav1.2 and Cav1.3 channels were essential for controlling the exocytotic process at potentials above and below -10 mV, respectively. Our data reveal the key yet differential roles of Cav1.2 and Cav1.3 channels in mediating action potential firing and exocytotic events in the neuroendocrine chromaffin cell. PMID- 21054387 TI - Delayed treatment with a novel neurotrophic compound reduces behavioral deficits in rabbit ischemic stroke. AB - Acute ischemic stroke is a major risk for morbidity and mortality in our aging population. Currently only one drug, the thrombolytic tissue plasminogen activator, is approved by the US Food and Drug Administration to treat stroke. Therefore, there is a need to develop new drugs that promote neuronal survival following stroke. We have synthesized a novel neuroprotective molecule called CNB 001 (a pyrazole derivative of curcumin) that has neurotrophic activity, enhances memory, and blocks cell death in multiple toxicity assays related to ischemic stroke. In this study, we tested the efficacy of CNB-001 in a rigorous rabbit ischemic stroke model and determined the molecular basis of its in vivo activity. CNB-001 has substantial beneficial properties in an in vitro ischemia assay and improves the behavioral outcome of rabbit ischemic stroke even when administered 1 h after the insult, a therapeutic window in this model comparable to tissue plasminogen activator. In addition, we elucidated the protein kinase pathways involved in neuroprotection. CNB-001 maintains the calcium-calmodulin-dependent kinase signaling pathways associated with neurotrophic growth factors that are critical for the maintenance of neuronal function. On the basis of its in vivo efficacy and novel mode of action, we conclude that CNB-001 has a great potential for the treatment of ischemic stroke as well as other CNS pathologies. PMID- 21054388 TI - beta-dicarbonyl enolates: a new class of neuroprotectants. AB - Curcumin, phloretin and structurally related phytopolyphenols have well-described neuroprotective properties that appear to be at least partially mediated by 1,3 dicarbonyl enol substructures that form nucleophilic enolates. Based on their structural similarities, we tested the hypothesis that enolates of simple 1,3 dicarbonyl compounds such as acetylacetone might also possess neuroprotective actions. Our results show that the beta-diketones, particularly 2 acetylcyclopentanone, protected rat striatal synaptosomes and a neuronal cell line from thiol loss and toxicity induced by acrolein, an electrophilic alpha,beta-unsaturated aldehyde. The 1,3-dicarbonyl compounds also provided substantial cytoprotection against toxicity induced by hydrogen peroxide in a cellular model of oxidative stress. Initial chemical characterization in cell free systems indicated that the 1,3-dicarbonyl compounds acted as surrogate nucleophilic targets that slowed the rate of sulfhydryl loss caused by acrolein. Although the selected 1,3-dicarbonyl congeners did not scavenge free radicals, metal ion chelation was a significant property of both acetylacetone and 2 acetylcyclopentanone. Our data suggest that the 1,3-dicarbonyl enols represent a new class of neuroprotectants that scavenge electrophilic metal ions and unsaturated aldehydes through their nucleophilic enolate forms. As such, these enols might be rational candidates for treatment of acute or chronic neurodegenerative conditions that have oxidative stress as a common molecular etiology. PMID- 21054389 TI - Constituents of bile, bilirubin and TUDCA, protect against oxidative stress induced retinal degeneration. AB - Two constituents of bile, bilirubin and tauroursodeoxycholic acid (TUDCA), have antioxidant activity. However, bilirubin can also cause damage to some neurons and glial cells, particularly immature neurons. In this study, we tested the effects of bilirubin and TUDCA in two models in which oxidative stress contributes to photoreceptor cell death, prolonged light exposure and rd10+/+ mice. In albino BALB/c mice, intraperitoneal injection of 5 mg/kg of bilirubin or 500 mg/kg of TUDCA prior to exposure to 5000 lux of white light for 8 h significantly reduced loss of rod and cone function assessed by electroretinograms. Both treatments also reduced light-induced accumulation of superoxide radicals in the outer retina, rod cell death assessed by outer nuclear layer thickness, and disruption of cone inner and outer segments. In rd10+/+ mice, intraperitoneal injections of 5 or 50 mg/kg of bilirubin or 500 mg/kg of TUDCA every 3 days starting at postnatal day (P) 6, caused significant preservation of cone cell number and cone function at P50. Rods were not protected at P50, but both bilirubin and TUDCA provided modest preservation of outer nuclear layer thickness and rod function at P30. These data suggest that correlation of serum bilirubin levels with rate of vision loss in patients with retinitis pigmentosa could provide a useful strategy to test the hypothesis that cones die from oxidative damage in patients with retinitis pigmentosa. If proof of-concept is established, manipulation of bilirubin levels and administration of TUDCA could be tested in interventional trials. PMID- 21054390 TI - Galectin-1 attenuates astrogliosis-associated injuries and improves recovery of rats following focal cerebral ischemia. AB - Astrogliosis occurs after brain ischemia, and excessive astrogliosis can devastate the neuronal recovery. Previous reports show that galectin-1 (Gal-1) regulates proliferation of several cell types and plays an important role after nervous system injuries. Here, we found that expression of Gal-1 was remarkably up-regulated in activated astrocytes around ischemic infarct. Furthermore, under ischemic conditions either in vitro or in vivo, Gal-1 was found to inhibit the proliferation of astrocytes in a dose-dependent manner, attenuate astrogliosis and down-regulate the astrogliosis associated expression of nitric oxide synthase and interleukin-1beta after the ischemia. All these changes were blocked by lactose, suggesting a lectin dependent manner of Gal-1's function. Moreover, 7 day Gal-1 treatment reduced apoptosis of neurons, decreased brain infarction volume and improved neurological function induced by the ischemia. Together, these findings indicate that through reducing astrogliosis related damages, Gal-1 is a potential therapeutical target for attenuating neuronal damage and promoting recovery of brain ischemia. PMID- 21054391 TI - Developmental regulation of neuronal survival by adenosine in the in vitro and in vivo avian retina depends on a shift of signaling pathways leading to CREB phosphorylation or dephosphorylation. AB - Previous studies have shown a cAMP/protein kinase A-dependent neuroprotective effect of adenosine on glutamate or re-feeding-induced apoptosis in chick retina neuronal cultures. In the present work, we have studied the effect of adenosine on the survival of retinal progenitor cells. Cultures obtained from 6-day-old (E6) or from 8-day-old (E8) chick embryos were challenged 2 h (C0) or 1 day (C1) after seeding and analyzed after 3-4 days in vitro. Surprisingly, treatment with the selective A2a adenosine receptor agonists N(6) -[2-(3,5-dimethoxyphenyl)-2-(2 methylphenyl)-ethyl]adenosine (DPMA) or 3-[4-[2-[[6-amino-9-[(2R,3R,4S,5S)-5 (ethylcarbamoyl)-3,4-dihydroxy-oxolan-2-yl]purin-2 yl]amino]ethyl]phenyl]propanoic acid (CGS21680) promoted cell death when added at E6C0 but not at E6C1 or E8C0. DPMA-induced cell death involved activation of A2a receptors and the phospholipase C/protein kinase C but not the cAMP/protein kinase A pathway, and was not correlated with early modulation of precursor cells proliferation. Regarding cyclic nucleotide responsive element binding protein (CREB) phosphorylation, cultures from E6 embryos behave in an opposite manner from that from E8 embryos, both in vitro and in vivo. While the phospho-CREB level was high at E6C0 cultures and could be diminished by DPMA, it was lower at E8C0 and could be increased by DPMA. Similar to what was observed in cell survival studies, CREB dephosphorylation induced by DPMA in E6C0 cultures was dependent on the Phospholipase C/protein kinase C pathway. Accordingly, cell death induced by DPMA was inhibited by okadaic acid, a phosphatase blocker. Moreover, DPMA as well as the adenosine uptake blocker nitrobenzyl mercaptopurine riboside (NBMPR) modulate cell survival and CREB phosphorylation in a population of cells in the ganglion cell layer in vivo. These data suggest that A2a adenosine receptors as well as CREB may display a novel and important function by controlling the repertoire of developing retinal neurons. PMID- 21054392 TI - Therapeutic potential of argan oil: a review. AB - OBJECTIVES: The therapeutic benefits of argan oil consumption have been claimed by natives of Morocco and explorers for more than eight centuries. However, argan oil has remained unresearched for a long time. Traditionally, argan oil has been well known for its cardioprotective properties and it is also used in the treatment of skin infections. Argan oil is principally composed of mono unsaturated (up to 80%) and saturated (up to 20%) fatty acids. As minor components, it contains polyphenols, tocopherols, sterols, squalene, and triterpene alcohols. Together with the mono-unsaturated fatty acids, these minor components are likely to be responsible for its beneficial effects. This review aims to present an overview of the known pharmacological properties of argan oil. KEY FINDINGS: Antiproliferative, antidiabetic, and cardiovascular-protective effects of argan oil have been particularly actively evaluated over the last 5 years in order to build on phytochemical studies that indicate the presence of large amounts of possibly pharmacologically active compounds. SUMMARY: This review shows that a lack of clinical data constitutes a serious weakness in our knowledge about argan oil, therefore it is difficult to correlate the reported pharmacological activities to any potential clinical relevance. PMID- 21054393 TI - Enzymatically degraded Eurylon 6 HP-PG: ethylcellulose film coatings for colon targeting in inflammatory bowel disease patients. AB - OBJECTIVES: Film coatings based on blends of Eurylon 6 HP-PG (a hydroxypropylated and pregelatinized high amylose starch) and ethylcellulose were to be evaluated as promising coating materials for site-specific drug delivery to the colon of patients suffering from inflammatory bowel diseases. METHODS: Pellet starter cores containing 60% 5-aminosalicylic acid were prepared by extrusion/spheronization and coated with different Eurylon 6 HP-PG:ethylcellulose blends at various coating levels. Drug release was measured in media simulating the contents of the upper gastrointestinal tract (in the presence and absence of enzymes) as well as in media simulating the contents of the colon. KEY FINDINGS: 5-Aminosalicylic acid release could effectively be suppressed in 0.1 N HCl and phosphate buffer pH 6.8, optionally containing pepsin or pancreatin, but occurred as soon as the pellets came into contact with culture medium inoculated with faecal samples from inflammatory bowel disease patients. This can be attributed to the partial degradation of the starch derivative by enzymes secreted by bacteria present in the colon of these patients. CONCLUSIONS: The presented drug delivery system is adapted to the pathophysiological conditions in inflammatory bowel disease patients. Furthermore, drug release remained unaltered upon 1 year open storage. PMID- 21054394 TI - Characterisation of fenofibrate dissolution delivered by a self-microemulsifying drug-delivery system. AB - OBJECTIVES: This study attempted to characterise the in-vitro release profiles of fenofibrate (FFB) from a self-microemulsifying drug-delivery system (SMEDDS) for optimising formulation factors and dissolution conditions for in-vivo absorption. METHODS: The study was conducted by profiling the release of FFB formulated with either a complete solution or a micronised dispersion system (MDS) in a SMEDDS composed of medium-chain triglyceride (MCT) oil and surfactant mixtures S(mix) of TPGS and Tweens at different ratios (K(m) =TPGS/Tweens), with and without adding water. Optimised FFB SMEDDS formulations were then selected for in-vivo bioavailability study. KEY FINDINGS: The release rates of FFB from TPGS/Tween 20 systems were faster than those from TPGS/Tween 80 systems at the same K(m) value. In both systems, the release rates of FFB increased with a decrease in the K(m) value. Furthermore, both the release rates and the amounts of FFB from MDS in the water medium decreased with an increasing percentage of S(mix) added to both water contents. However, the release rates and amounts of FFB from MDSs increased with an increasing percentage of S(mix) in a 0.025 M sodium lauryl sulfate (SLS) solution. It was further illustrated that the release of FFB from SMEDDSs was complete within 30 min in both the 0.025 M SLS solution and water medium, but the release of FFB from Tricor(r) or MDSs was limited in water medium. An optimised FFB SMEDDS with either Tween 20(E5(20)) or Tween 80(E5(80)) and one MDS were selected for a pharmacokinetic study to compare with Tricor((r)). The results demonstrated that the area under the receiver operating curve and C(max) values were in the order of Tricor((r)) > E5(80)?E5(20) > MDS and Tricor((r))?E5(80) > E5(20) > MDS, respectively. Conclusions The absorption of drug carried by SMEDDS might not be enhanced as a result of the smaller volume of water taken with oral administration of SMEDDSs and the agitation rate of the gastrointestinal tract not being strong enough to efficiently promote the self-microemulsification process to facilitate the in-vivo dissolution rate. PMID- 21054395 TI - Evaluation of a screening method by liquid chromatography-tandem mass spectrometry for estimating effect of drugs on the activation and beta-oxidation of fatty acids in mitochondria. AB - OBJECTIVES: Fatty acid metabolism is controlled not only by the acyl-coenzyme A (CoA) synthetases but by some enzymes in the beta-oxidation cycle. Medium-chain and long-chain acyl-CoA esters are key metabolites in fatty acid metabolism. We have developed an enzymatic assay method for determining chain shortening of the acyl-CoAs via beta-oxidation from palmitic and octanoic acids in liver mitochondria. We have evaluated the assay method for detecting whether drugs influence the activation or the beta-oxidation of fatty acids. METHODS: Liver mitochondria were used for investigating the effect of drugs on fatty acid metabolism. The drugs selected were salicylic acid, diclofenac, valproic acid and paracetamol. Each acyl-CoA formed was analysed by liquid chromatography-tandem mass spectrometry. KEY FINDINGS: After less than 5 min of incubation, the levels of acyl-CoAs reflected the acyl-CoA synthetase activity, whereas after 60-min incubation they reflected the activity of some enzymes in the beta-oxidation cycle. Salicylic acid, diclofenac and valproic acid inhibited the medium-chain acyl-CoA synthetases, whereas valproic acid only exhibited a weak inhibitory activity toward the beta-oxidation of the medium-chain fatty acids. In the case of long-chain fatty acid metabolism, salicylic acid and diclofenac inhibited both the activation and beta-oxidation, whereas valproic acid was a weak inhibitor for only the beta-oxidation activity. Paracetamol showed hardly any influence on the metabolism of medium-chain and long-chain fatty acids. CONCLUSIONS: These findings suggest that salicylic acid, diclofenac, valproic acid and paracetamol exert a different influence on fatty acid metabolism depending on the length of the acyl chain. This assay allows sensitive and selective analysis for predicting the pathways by which drugs exert a greater influence over fatty acid metabolism. PMID- 21054396 TI - Multidrug resistance reversal properties and cytotoxic evaluation of representatives of a novel class of HIV-1 protease inhibitors. AB - OBJECTIVES: P-Glycoprotein (P-gp) plays a central role in the development of resistance against cytostatics in anticancer therapy and against human immunodeficiency virus (HIV) therapeutics of the HIV-1 protease inhibitor type. An approach to reverse the so-called multidrug resistance (MDR) phenomenon by the use of P-gp inhibiting agents is a challenge in the therapy of cancer and AIDS. Effective in-vitro inhibitors have P-gp substrate properties so that the expected in-vivo effects have been disappointing so far. Consequent higher dosages cause toxic effects. METHODS: Novel HIV-1 protease inhibitors (H17, JW41, JW33 and JW46) have been evaluated in comparison with ritonavir as P-gp inhibiting agents, in the exclusively P-gp overexpressing model cell line mouse T lymphoma using flow cytometry. The cytotoxic properties against various cell lines were characterized in the MTT (3-[4,5-dimethylthiazol-2-yl]-2,5-diphenyltetrazolium bromide) assay to estimate potential toxic effects in therapeutically relevant concentrations in metabolically active HepG2 cells, drug-sensitive Jurkat cells and in gastric carcinoma cells. KEY FINDINGS: Concentration-dependent effective reversal properties have been discussed in context and proved to be mainly influenced by the number of potential hydrogen bond acceptor functions. The compounds showed no cytotoxic properties in P-gp inhibiting concentration ranges. Ritonavir, a known P-gp substrate, proved to be less toxic in the P-gp expressing cell line than in the nonexpressing cell line at the cell-exposed concentrations and thus showed P-gp substrate properties. Two compounds, H17 and JW41, showed no P-gp substrate properties, with higher toxicity in the P-gp expressing cell line compared with the nonexpressing cell line. CONCLUSIONS: The novel compounds have been shown to be prospective AIDS therapeutics, acting as effective and nontoxic P-gp inhibitors compared with ritonavir, which is a known P-gp inhibitor with unfavourable toxic and P-gp substrate properties. PMID- 21054397 TI - Lutein is a competitive inhibitor of cytosolic Ca2+-dependent phospholipase A2. AB - OBJECTIVES: We have investigated the effect of lutein on phospholipase A2 (PLA2) isozymes. METHODS: We measured arachidonic acid release in [3H]arachidonic acid labelled Raw 264.7 cells and PLA2 activity using 1-palmitoyl-2-[14C]arachidonyl phosphatidylcholine ([14C]AA-PC) and 10-pyrene phosphatidylcholine in vitro. KEY FINDINGS: Lutein suppressed the release of arachidonic acid and inhibited Raw 264.7 cell-derived cytosolic Ca2+-dependent PLA2 (cPLA2-induced hydrolysis of [14C]AA-PC in a dose- and time-dependent manner. In contrast, lutein did not affect secretory Ca2+-dependent PLA2 (sPLA2)-induced hydrolysis of [14C]AA-PC. A Dixon plot showed that the inhibition by lutein on cPLA2 appeared to be competitive with an inhibition constant, K(i) , of 13.6 um. CONCLUSIONS: We suggest that lutein acted as a competitive inhibitor of cPLA2 but did not affect sPLA2. PMID- 21054398 TI - Molecular docking and QSAR studies of aromatase inhibitor androstenedione derivatives. AB - OBJECTIVES: Aromatase (CYP19) inhibitors have emerged as promising candidates for the treatment of estrogen-dependent breast cancer. In this study, a series of androstenedione derivatives with CYP19 inhibitory activity was subjected to a molecular docking study followed by quantitative structure-activity relationship (QSAR) analyses in search of ideal physicochemical characteristics of potential aromatase inhibitors. METHODS: The QSAR studies were carried out using both two dimensional (topological, and structural) and three-dimensional (spatial) descriptors. We also used thermodynamic parameters along with 2D and 3D descriptors. Genetic function approximation (GFA) and genetic partial least squares (G/PLS) were used as chemometric tools for QSAR modelling. KEY FINDINGS: The docking study indicated that the important interacting amino acids in the active site were Met374, Arg115, Ile133, Ala306, Thr310, Asp309, Val370, Leu477 and Ser478. The 17-keto oxygen of the ligands is responsible for the formation of a hydrogen bond with Met374 and the remaining parts of the molecules are stabilized by the hydrophobic interactions with the non-polar amino acids. The C2 and C19 positions in the ligands are important for maintaining the appropriate orientation of the molecules in the active site. The results of docking experiments and QSAR studies supported each other. CONCLUSIONS: The developed QSAR models indicated the importance of some Jurs parameters, structural parameters, topological branching index and E-state indices of different fragments. All the developed QSAR models were statistically significant according to the internal and external validation parameters. PMID- 21054399 TI - Hypoxic preconditioning facilitates acclimatization to hypobaric hypoxia in rat heart. AB - OBJECTIVES: Acute systemic hypoxia induces delayed cardioprotection against ischaemia-reperfusion injury in the heart. As cobalt chloride (CoCl2) is known to elicit hypoxia-like responses, it was hypothesized that this chemical would mimic the preconditioning effect and facilitate acclimatization to hypobaric hypoxia in rat heart. METHODS: Male Sprague-Dawley rats treated with distilled water or cobalt chloride (12.5 mg Co/kg for 7 days) were exposed to simulated altitude at 7622 m for different time periods (1, 2, 3 and 5 days). KEY FINDINGS: Hypoxic preconditioning with cobalt appreciably attenuated hypobaric hypoxia-induced oxidative damage as observed by a decrease in free radical (reactive oxygen species) generation, oxidation of lipids and proteins. Interestingly, the observed effect was due to increased expression of the antioxidant proteins hemeoxygenase and metallothionein, as no significant change was observed in antioxidant enzyme activity. Hypoxic preconditioning with cobalt increased hypoxia-inducible factor 1alpha (HIF-1alpha) expression as well as HIF-1 DNA binding activity, which further resulted in increased expression of HIF-1 regulated genes such as erythropoietin, vascular endothelial growth factor and glucose transporter. A significant decrease was observed in lactate dehydrogenase activity and lactate levels in the heart of preconditioned animals compared with non-preconditioned animals exposed to hypoxia. CONCLUSIONS: The results showed that hypoxic preconditioning with cobalt induces acclimatization by up-regulation of hemeoxygenase 1 and metallothionein 1 via HIF-1 stabilization. PMID- 21054400 TI - Amlodipine suppressed cardiac gene expression of brain natriuretic peptide, transforming growth factor-beta1 and fibronectin mediated by aldosterone in male stroke-prone spontaneously hypertensive rats. AB - OBJECTIVES: Amlodipine, a calcium channel blocker (CCB), is one of the most common antihypertensive medicines in Japan. We evaluated whether the calcium channel blocker confers cardiac protection through the renin-angiotensin aldosterone system in male stroke-prone spontaneously hypertensive rats (SHR-SP). METHODS: Fifteen week-old rats were divided into 2 groups: amlodipine group (3 mg/kg/day, n = 5) and control group (n = 5). KEY FINDINGS: The CCB lowered systolic blood pressure significantly (P < 0.05). Plasma aldosterone concentration in the amlodipine group was remarkably lower than in the control group (P < 0.05), but plasma renin activity and plasma angiotensin II concentration were not different between the two groups. The CCB also suppressed the mRNA expression of brain natriuretic peptide, transforming growth factor beta1, and fibronectin extracted from the left ventricle. CONCLUSIONS: These results suggest that amlodipine attenuates cardiac damage by lowering plasma aldosterone concentration in hypertensive rats with developing arteriosclerosis. PMID- 21054401 TI - Involvement of YC-1 in extracellular signal-regulated kinase action in rat cremasteric muscle. AB - OBJECTIVES: The nitric oxide (NO)-soluble guanylate cyclase (sGC) signalling pathway is attributed to the prevention of ischaemia-reperfusion (I/R)-induced leucocyte-endothelium adhesive interactions. YC-1 (3-(5'-hydroxymethyl-2'-furyl) 1-benzylindazole), a NO-independent sGC activator, has been shown to exert cardiovascular benefits, but its action on leucocyte-endothelium interactions remains unknown. In this study, the direct effect and the underlying mechanism of the anti-adhesive action of YC-1 have been examined in cremasteric microcirculation. METHODS: Rat cremaster muscle was subjected to 4 h pudic epigastric artery ischaemia followed by 2 h reperfusion and intravital microscopy was used to observe leucocyte-endothelium interaction and to quantify functional capillaries in rat cremaster muscle flaps. KEY FINDINGS: The values for leucocyte rolling, adhering and transmigrating were 5.5-, 6.9- and 8.8-fold greater, respectively, in I/R than in sham-control animals. YC-1 treatment rescued functional capillary density and reduced leucocyte rolling, adhering and transmigrating in I/R injured cremaster muscles to levels observed in sham controls. Interestingly, these effects were completely blocked by the MEK (extracellular signal-regulated kinase (ERK) kinase) inhibitor (PD98059) but not by sGC or protein kinase C inhibitors. Cotreatment of PD98059 with YC-1 caused a 3.3-, 7.5- and 8.3-fold increase in the values for leucocyte rolling, adhering and transmigrating, respectively, in postcapillary venules of I/R-injured cremaster muscle. CONCLUSIONS: This study has indicated that the anti-adhesive and functional capillary density rescue properties of YC-1 were mediated predominantly by the activation of ERK but not sGC, although YC-1 was identified to be a sGC activator. A better understanding of the action of YC-1 on the microvasculature may help shed light on its therapeutic potential for cardiovascular disease. PMID- 21054402 TI - Anti-adhesive effect of an acidic polysaccharide from Aloe vera L. var. chinensis (Haw.) Berger on the binding of Helicobacter pylori to the MKN-45 cell line. AB - OBJECTIVES: The emergence of antibiotic-resistant Helicobacter pylori strains has necessitated a search for alternative therapies for the treatment of this infection. The aim of this study was to evaluate whether or not polysaccharide fractions from Aloe vera are effective in inhibiting the adherence of H. pylori in vitro. METHODS: Polysaccharide fractions were extracted from A. vera and subjected to carbohydrate analysis. The adhesive effect was determined by co incubation of H. pylori and cells with polysaccharides followed by fluorescein isothiocyanate labelling and Gram staining in vitro. Inhibition of H. pylori growth and cellular viability was tested by agar diffusion and MTT assay. KEY FINDINGS: APS-F2 contained significant amounts of galacturonic acid, galactose and arabinose. APS-F1 was galacturonic acid-free and consisted of mannose, glucose and galactose. APS-F2 (0.1, 0.5 and 1.0 mg/ml) reduced the count of H. pylori attached to MKN45 cells to 88, 76 and 64%, respectively. APS-F1 did not show the same effect. Neither polysaccharide revealed an inhibitory effect on the growth of H. pylori or cell viability. In addition, APS-F2 was shown to have a potent anti-adhesive effect against Escherichia coli. CONCLUSIONS: The results show that the acidic polysaccharide from A. vera has a potent anti-adhesive effect against H. pylori in vitro. However, there have yet to be any in-vivo studies to demonstrate the clinical relevance of this finding. PMID- 21054403 TI - Systemic daily morphine enhances the analgesic effect of intrathecal dexmedetomidine via up-regulation of alpha 2 adrenergic receptor subtypes A, B and C in dorsal root ganglion and dorsal horn. AB - OBJECTIVES: It has been reported that the effect of intrathecally administered alpha2 adrenergic receptor (alpha2 AR) agonists is enhanced in mice that are chronically tolerant to systemic morphine. However, contributory factors have not been identified. Here we examined whether repeated systemic morphine affected the analgesic potency of intrathecal dexmedetomidine and the expression of subtype A, B and C alpha2 AR (alpha2A, alpha2B and alpha2C AR) in the dorsal root ganglion and dorsal horn in mice. METHODS: After subcutaneous injection of morphine or saline for two weeks, dexmedetomidine was administered intrathecally to evaluate its antinociceptive effect. Also, the alpha2 AR subtypes and u-opioid receptor mRNA expression in lumbar dorsal root ganglion was quantified using PCR, and alpha2A and alpha2C AR in lumbar dorsal root ganglion and dorsal horn were examined by immunohistochemistry. KEY FINDINGS: Daily morphine enhanced the antinociceptive effect of intrathecal dexmedetomidine, increased all the alpha2 AR subtypes but decreased the u-opioid receptor mRNA expression in dorsal root ganglion and increased immunoreactivity of alpha2A and alpha2C AR in dorsal root ganglion and dorsal horn. CONCLUSIONS: These results suggest that systemic daily morphine enhances the analgesic effect of intrathecal dexmedetomidine via up regulation of the alpha2A, alpha2B and alpha2C AR in lumbar dorsal root ganglion and dorsal horn. PMID- 21054404 TI - Muscarinic M1, M3 receptor modulation in the corpus striatum of streptozotocin induced diabetic rats as a function of age. AB - OBJECTIVES: In this study we have investigated muscarinic M1, M3 receptor kinetics and the functional role of IP3 and cGMP in the corpus striatum of both young and old diabetic and insulin-treated diabetic rats. METHODS: Radioreceptor binding assays was done in the corpus striatum using specific antagonists QNB and DAMP. IP3 and cGMP assay using [3H]IP3 and [3H]cGMP Biotrak assay system kits. KEY FINDINGS: M1 receptor increased and M3 receptor decreased in control old rats when compared with young control rats. In young diabetic groups M1 receptor increased and M3 receptor decreased. Old diabetic groups showed reversed M1 and M3 receptors compared with their controls. IP3 and cGMP content increased in old control rats compared with young control rats. IP3 content increased in young diabetic rats and decreased in old diabetic rats. cGMP content was increased significantly in both young and old diabetic groups. Insulin treatment reversed these altered parameters near to control. CONCLUSIONS: Our studies showed that M1 and M3 receptors, IP3 and cGMP were functionally regulated during diabetes as function of age, which will have immense clinical significance. PMID- 21054405 TI - Effect of telmisartan in limiting the cardiotoxic effect of daunorubicin in rats. AB - OBJECTIVES: Studies have suggested that angiotensin receptor blockers may exert a protective role towards doxorubicin-induced cardiotoxicity, but they have not been extensively investigated in this area. We therefore investigated whether the co-treatment of telmisartan, an angiotensin (Ang II) type-1 receptor blocker, might offer protection against daunorubicin cardiotoxic properties in rats. METHODS: Daunorubicin was administered at 3 mg/kg/day every other day for 12 days. Telmisartan was administered orally every day for 12 days. KEY FINDINGS: Daunorubicin-treated rats showed cardiac toxicity, evidenced by worsening cardiac function, evaluated by haemodynamic status and echocardiography, elevation of malondialdehyde level and a decreased level of total glutathione peroxidase activity in the heart tissue. These changes were reversed by treatment with telmisartan. Furthermore, telmisartan also downregulated matrix metalloproteinase 2 expression, attenuated the increased protein expression of p22(phox), p47(phox), p67(phox), nuclear factor kappa B and Nox4 in heart tissue, and reduced oxidative-stress-induced DNA damage, which was evaluated by the expression of 8-hydroxydeoxyguanosine. Moreover, telmisartan reduced the myocardial apoptosis induced by daunorubicin. CONCLUSIONS: The present study indicates that telmisartan may improve cardiac function by inhibiting the action of Ang II via AT-1R, which reverses oxidative stress and myocardial apoptosis. This suggests a beneficial effect of telmisartan treatment in the prevention of daunorubicin-induced cardiotoxicity. PMID- 21054406 TI - Resveratrol improves cardiovascular function and reduces oxidative organ damage in the renal, cardiovascular and cerebral tissues of two-kidney, one-clip hypertensive rats. AB - OBJECTIVES: The putative protective effects of resveratrol against oxidative injury in the heart, kidney and brain tissues of rats induced with the two kidney, one-clip (2K1C) hypertension model were investigated. METHODS: Wistar albino rats were divided into sham-operated (n = 8) or 2K1C groups, in which rats received either resveratrol (10 mg/kg per day, i.p., n = 8), or saline (n = 8) starting at Week 3 after the surgery and continuing for the following 6 weeks. Indirect blood pressure recordings and echocardiographic images were made to evaluate cardiac function. At the end of Week 9 the animals were decapitated and plasma, heart, kidney and brain were taken for biochemical assays, while aortic rings were prepared for vascular reactivity studies. KEY FINDINGS: 2K1C hypertension resulted in increased blood pressure, aortic hypercontractility and reduced left ventricular function, leading to increased lipid peroxidation and myeloperoxidase activity, concomitant with significant reductions in tissue glutathione, superoxide dismutase, Na+/K+-ATPase and catalase activities in the cardiac, renal and brain tissues, indicating the presence of oxidative tissue damage in peripheral target organs. Elevated plasma levels of lactate dehydrogenase, creatine kinase, as well as reduced plasma levels of antioxidant capacity and nitric oxide further verified the severity of oxidative injury. A 6 week treatment with resveratrol reversed all the measured parameters, ameliorated hypertension-induced oxidative injury in the target organs and improved cardiovascular function. CONCLUSIONS: Resveratrol improved cardiovascular function through the augmentation of endogenous antioxidants and the inhibition of lipid peroxidation by maintaining a balance in oxidant/antioxidant status, which also ameliorated hypertension-induced oxidative injury in the cardiac, renal and cerebral tissues. PMID- 21054407 TI - Cardiovascular effects induced by N-(4'-dihydro)-piperoylthiomorpholine in normotensive rats. AB - OBJECTIVES: We have tested the cardiovascular effects of N-(4'-dihydro) piperoylthiomorpholine (LASSBio 365) on rats using an in-vivo and in-vitro approach. METHODS: LASSBio 365 (0.025, 0.05, 0.1, 0.25, 0.5 or 1 mg/kg, randomly injected) was administered to conscious unrestrained rats and the mean arterial pressure and heart rate were measured. The effects of LASSBio 365 (3 x 10-6-3 x 10-4 m) on rat isolated aortic rings with and without endothelium were investigated. Key findings LASSBio 365 induced a dose-dependent decrease in mean arterial pressure and heart rate (ED50 = 158 +/- 53 ug/kg). The effects evoked by LASSBio 365 (0.5 mg/kg) were inhibited by pretreatment with atropine. In anaesthetized rats, electrocardiogram recordings revealed second/third degree sinoatrial and atrioventricular blockade induced by the compound, which were completely inhibited after cardiac muscarinic blockade or cervical bilateral vagotomy. In rat isolated aortic rings, LASSBio 365 (3 x 10-6-3 x 10-4 m) was capable of antagonizing the contractile effects induced by phenylephrine (1 um) or KCl (80 mm) (IC50 = 107 +/- 6; 92 +/- 6 um, respectively). This effect was not inhibited after removal of the vascular endothelium (IC50 = 84 +/- 4; 92 +/- 10 um, respectively). LASSBio 365 (10-6-10-4 m) antagonized CaCl2-induced contractions in a concentration-dependent manner. Furthermore, LASSBio 365 (98 um) inhibited contractions produced by noradrenaline (1 um), but not those induced by caffeine (20 mm). CONCLUSIONS: These results suggested that LASSBio 365 produced negative chronotropism and reduced peripheral resistance that were probably due to the stimulation of cardiac muscarinic pathways. Peripheral vasodilation was probably linked to voltage-dependent Ca2+-channel blockade and/or specific inhibition of Ca2+ release from noradrenaline-sensitive intracellular stores. PMID- 21054408 TI - Anti-arthritic and disease modifying activity of Terminalia chebula Retz. in experimental models. AB - OBJECTIVE: This study evaluates the anti-arthritic effect of Terminalia chebula hydroalcoholic extract (TCHE) in experimental models and attempts to correlate the effect of treatment on macrophage-derived pro-inflammatory cytokine expression and extent of disease activity. METHODS: Arthritis was induced in rats by subplantar administration of either formaldehyde or complete Freund's adjuvant (CFA). Joint size was measured at regular intervals by using a micrometer screw gauge. Serum and ankle joints of rats immunized with CFA were collected and subjected to ELISA for estimation of TNF-alpha level and immuno-histochemistry for detection of IL-1beta, IL-6 and TNF-R1, respectively. An acute and 28-day oral toxicity study was carried out to evaluate the safety of the test drug. KEY FINDINGS: TCHE produced a significant inhibition of joint swelling as compared with control in both formaldehyde-induced and CFA-induced arthritis. TCHE treatment also reduced serum TNF-alpha level and synovial expression of TNF-R1, IL-6 and IL-1beta. Results of acute toxicity study showed that the oral LD50 of TCHE was >2000 mg/kg. Chronic administration also did not produce any significant physiological changes as compared with normal rats. CONCLUSION: Results indicate that the anti-arthritic activity of TCHE was at least in part due to its modulatory effect on pro-inflammatory cytokine expression in the synovium. We believe that TCHE has the potential to be used as a disease-modifying agent in treatment of rheumatoid arthritis. PMID- 21054409 TI - Poloxamer 407 as a general lipase inhibitor: its implications in lipid metabolism and atheroma formation in C57BL/6 mice. AB - OBJECTIVES: The aims of this investigation were to determine if the activity of endothelial lipase was inhibited in vitro by the polymeric surfactant, poloxamer 407 (P-407), and to review the action of P-407 on the biological activity of several other critical lipases involved in lipid metabolism. The overall goal was to suggest a possible explanation for the observed dyslipidaemia and formation of aortic atherosclerotic lesions when present and previous findings, along with key findings proposed by others, were combined and reviewed in the context of the P 407-induced mouse model of atherogenesis. KEY FINDINGS: Endothelial lipase was expressed using a recombinant adenovirus; subconfluent COS cells were exposed to recombinant adenoviruses and triglyceride lipase activity was determined using standard assay methods. It was demonstrated that P-407 inactivates endothelial lipase in vitro. Endothelial lipase was inhibited in vitro by P-407, with an IC50 of approximately 11.3 um. It is suggested that one possible explanation for the eventual formation of aortic atherosclerotic lesions in the P-407-induced mouse model of atherogenesis may be related to the capacity of P-407 to inhibit the activity of several critical lipases involved in lipid metabolism. CONCLUSIONS: The following physiological and biochemical processes are all observed in the P 407-induced mouse model of dyslipidaemia and atherosclerosis: inactivation of endothelial lipase (both in vitro and in vivo), hepatic lipase and lipoprotein lipase by P-407; reduced plasma levels of high-density lipoprotein cholesterol; no activation of either human or mouse peroxisome proliferator-activated receptor alpha (as well as peroxisome proliferator-activated receptor gamma) in vitro or in vivo; increased plasma concentrations of soluble vascular cell adhesion molecule 1, soluble intercellular adhesion molecule 1 and soluble E-selectin; and aortic atherosclerotic lesion formation following 12-16 weeks of P-407 administration (0.5 g/kg administered every 3 days by intraperitoneal injection). This biochemical-based pathway, or series of events, may contribute, in part, to the dyslipidaemia and eventual formation of aortic atherosclerotic lesions observed in the P-407-induced mouse model of atherogenesis. PMID- 21054410 TI - Possible contributions of TERMINAL FLOWER 1 to the evolution of rosette flowering in Leavenworthia (Brassicaceae). AB - Leavenworthia crassa is a rosette flowering species that differs from inflorescence flowering species, such as Arabidopsis thaliana, in having elongated pedicels and shortened interfloral internodes on the main axis. Based on previous experiments, we hypothesized that changes to the L. crassa TFL1 ortholog, LcrTFL1, were important in the evolution of rosette flowering. We isolated LcrTFL1 and introduced a genomic construct into tfl1 mutant A. thaliana plants. We also generated and analyzed EGFP-LcrTFL1 reporter-fusion lines, and LcrTFL1/LcrLFY doubly transgenic lines. The transgene rescued the mutant defects, but manifested gain-of-function phenotypes. However, LcrTFL1 lines differed from 35S:TFL1 lines in several regards. Defects in floral meristem identity establishment were observed, as was the production of flowers with extra petals. We also noted features that resemble rosette flowering: LcrTFL1 lines produced significantly shorter interfloral internodes and significantly longer pedicels than either wild-type or 35S:TFL1 plants. Our data show that there are substantive differences in the regulation and/or function of TFL1 orthologs between A. thaliana and L. crassa. These may reflect changes that occurred during the evolution of rosette flowering in Leavenworthia, but, if so, our results show that additional, as-yet-unidentified genes were involved in this instance of architectural evolution. PMID- 21054411 TI - Epidermis: the formation and functions of a fundamental plant tissue. AB - Epidermis differentiation and maintenance are essential for plant survival. Constant cross-talk between epidermal cells and their immediate environment is at the heart of epidermal cell fate, and regulates epidermis-specific transcription factors. These factors in turn direct epidermal differentiation involving a whole array of epidermis-specific pathways including specialized lipid metabolism necessary to build the protective cuticle layer. An intact epidermis is crucial for certain key processes in plant development, shoot growth and plant defence. Here, we discuss the control of epidermal cell fate and the function of the epidermal cell layer in the light of recent advances in the field. PMID- 21054412 TI - Whole-system responses of experimental plant communities to climate extremes imposed in different seasons. AB - * Discrete climate events such as heat waves and droughts can have a disproportionate impact on ecosystems relative to the temporal scale over which they occur. Research oriented towards (extreme) events rather than (gradual) trends is therefore urgently needed. * Here, we imposed heat waves and droughts (50-yr return time) in a full factorial design on experimental plant communities in spring, summer or autumn. Droughts were created by removing the controlled water table (rainout shelters prevented precipitation), while heat waves were imposed with infrared heaters. * Measurements of whole-system CO(2) exchange, growth and biomass production revealed multiple interactions between treatments and the season in which they occurred. Heat waves had only small and transient effects, with infrared imaging showing little heat stress because of transpirational cooling. If heat waves were combined with drought, negative effects observed in single factor drought treatments were exacerbated through intensified soil drying, and heat stress in summer. Plant recovery from stress differed, affecting the biomass yield. * In conclusion, the timing of extreme events is critical regarding their impact, and synergisms between heat waves and drought aggravate the negative effects of these extremes on plant growth and functioning. PMID- 21054413 TI - Testing hypotheses that link wood anatomy to cavitation resistance and hydraulic conductivity in the genus Acer. AB - * Vulnerability to cavitation and conductive efficiency depend on xylem anatomy. We tested a large range of structure-function hypotheses, some for the first time, within a single genus to minimize phylogenetic 'noise' and maximize detection of functionally relevant variation. * This integrative study combined in-depth anatomical observations using light, scanning and transmission electron microscopy of seven Acer taxa, and compared these observations with empirical measures of xylem hydraulics. * Our results reveal a 2 MPa range in species' mean cavitation pressure (MCP). MCP was strongly correlated with intervessel pit structure (membrane thickness and porosity, chamber depth), weakly correlated with pit number per vessel, and not related to pit area per vessel. At the tissue level, there was a strong correlation between MCP and mechanical strength parameters, and some of the first evidence is provided for the functional significance of vessel grouping and thickenings on inner vessel walls. In addition, a strong trade-off was observed between xylem-specific conductivity and MCP. Vessel length and intervessel wall characteristics were implicated in this safety-efficiency trade-off. * Cavitation resistance and hydraulic conductivity in Acer appear to be controlled by a very complex interaction between tissue, vessel network and pit characteristics. PMID- 21054414 TI - Transfer of the barrier to radial oxygen loss in roots of Hordeum marinum to wheat (Triticum aestivum): evaluation of four H. marinum-wheat amphiploids. AB - * Wide hybridization of waterlogging-tolerant Hordeum marinum with wheat (Triticum aestivum) to produce an amphiploid might be one approach to improve waterlogging tolerance in wheat. * Growth, root aerenchyma and porosity, and radial oxygen loss (ROL) along roots were measured in four H. marinum-wheat amphiploids and their parents (four accessions of H. marinum and Chinese Spring wheat) in aerated or stagnant nutrient solution. A soil experiment was also conducted. * Hordeum marinum maintained shoot dry mass in stagnant nutrient solution, whereas the growth of wheat was markedly reduced (40% of aerated control). Two of the four amphiploids were more tolerant than wheat (shoot dry masses of 59-72% of aerated controls). The porosity of adventitious roots when in stagnant solution was higher in H. marinum (19-25%) and the four amphiploids (20 24%) than in wheat (16%). In stagnant solution, adventitious roots of H. marinum formed a strong ROL barrier in basal zones, whereas, in wheat, the barrier was weak. Two amphiploids formed a strong ROL barrier and two formed a moderate barrier when in stagnant solution. * This study demonstrates the transfer of higher root porosity and a barrier to ROL from H. marinum to wheat through wide hybridization and the production of H. marinum-wheat amphiploids. PMID- 21054415 TI - Are immigrants in the nursing industry at increased risk of bullying at work? A one-year follow-up study. AB - The purpose of this study was to explore whether (a) immigrant health care workers (HCW) are more at risk of bullying at work than Danish staff members, (b) this association is increased by previous exposure to bullying and (c) immigrants experience more bullying from supervisors, colleagues and clients/residents. We analyzed cross-sectional baseline data from 5,635 health care students of whom 10.4% were immigrants, and conducted a prospective analysis by following 3,109 of these respondents during their first year of employment. More than a third of the respondents had previous experiences with bullying. The baseline analyses showed that immigrants are more at risk of being bullied during both their theoretical education and trainee periods than their Danish co-students. At follow-up we found that 9.1% of the total cohort had been exposed to bullying at work during their first year of employment, hereof 1.8% frequently. "Non-Western" immigrants had a significantly higher risk of exposure to bullying at work during follow-up than the Danish respondents independent of previous experience with bullying. Danish and immigrant health care workers were more exposed to bullying from co workers than from supervisors with no statistically significant difference between the Danes and the immigrant groups. Both "Western" and "non-Western" respondents were more at risk of bullying from clients/residents than the Danish respondents. PMID- 21054416 TI - Underlying correlation structures of parental stress, general health and anxiety. AB - A partial least square regression (PLSR) was performed on the Swedish Parenthood Stress Questionnaire (SPSQ) sum score and subscales on incompetence, role restriction, social isolation, spouse relationship and health problems, using 42 items from the General Health Questionnaire (GHQ) and 20 items from the State Anxiety Inventory (STAI-X1). The dataset contained 227 fathers and 301 mothers of children aged 1.0-6.6 years from a population-based study on parental psychological distress in the general Norwegian population, and all items correlated positively with SPSQ. Subscales on spouse relationship, incompetence, social isolation and role restriction related to items on state anxiety and depression. The SPSQ subscale on health problems related to GHQ items on somatic symptoms and social dysfunction. The STAI-X1 item "not feeling rested" had a particularly important effect on parental stress. Underlying correlation structures between parental stress and items from STAI-X1 and GHQ were explored, but only the SPSQ sum score could be acceptably predicted. PLSR as a statistical methodology was found useful for health and psychometric data. PMID- 21054417 TI - Children's word fluency strategies. AB - Two word fluency tasks, the FAS letter fluency task and the "animal" semantic fluency task, were administered to 130 healthy Swedish-speaking children between 6 and 15 years of age. The main aim was to gather normative data on these word fluency tasks for Swedish-speaking children. Another purpose was to examine the switching and clustering strategies used, along with the occurrence of erroneous responses, in relation to demographic data and number of words retrieved. Both phonological and semantic analyses of switching and clustering were conducted. Higher age was found to be related to a more effective use of phonological and semantic switching and clustering strategies. The reference data resulting from this study may be of clinical value in examinations of children with various diagnoses, including language impairment. PMID- 21054418 TI - Are lies more wrong than errors? Accuracy judgments of inaccurate statements. AB - People are often mistaken when estimating and predicting quantities, and sometimes they report values that they know are false: they lie. There exists, however, little research devoted to how such deviations are being perceived. In four vignette studies, participants were asked to rate the accuracy of inaccurate statements about quantities (prices, numbers and amounts). The results indicate that overstatements are generally judged to be more inaccurate than understatements of the same magnitude; self-favorable (optimistic) statements are considered more inaccurate than unfavorable (pessimistic) statements, and false reports (lies) are perceived to be more inaccurate than equally mistaken estimates. Lies about the future did not differ from lies about the past, but own lies were perceived as larger than the same lies attributed to another person. It is suggested that estimates are judged according to how close they come to the true values (close estimates are more correct than estimates that are less close), whereas lies are judged as deviant from truth, with less importance attached to the magnitude of the deviation. PMID- 21054419 TI - Trait anxiety, working memory capacity, and the effectiveness of memory suppression. AB - We aimed at replicating the finding that humans are able to suppress unwanted memories, and tested whether this ability varies with individual differences in working memory capacity, trait anxiety and defensiveness. In a think/no-think experiment, participants either recalled or suppressed previously learned words for 0, 8 or 16 times. Suppression did not have an overall detrimental effect on later recall performance. However, higher recall rates after repeated suppression were exclusively predicted by higher trait anxiety. These results are discussed in relation to current theories on anxiety and executive control. PMID- 21054420 TI - Alexithymia and script-driven emotional imagery in healthy female subjects: no support for deficiencies in imagination. AB - Alexithymia is associated with a limited access to inner emotional processes. Furthermore, alexithymia is assumed to be characterized by a limited ability to use imagination. To evaluate the frequently proposed thesis of a reduced imagination ability in alexithymic persons, 25 high and 24 low alexithymic women self-rated their imagination ability. Furthermore, the electrodermal activity (EDA) during script-driven emotional imagination was determined and valence, arousal, and vividness of the respective imaginations were rated. Our results indicate no significant differences between high and low alexithymic women in the self-rated imagination ability, the EDA during imagination and the ratings of valence, arousal and vividness. The study provides evidence that healthy high alexithymic women are capable of differentiated emotional imagination. PMID- 21054421 TI - Brain functions associated with verbal working memory tasks among young males with alcohol use disorders. AB - This study aimed to investigate the differences in brain functions during verbal working memory between individuals with alcohol use disorders (AUD) and normal controls. fMRI was used to scan brain activations associated with verbal working memory while participants performed 2-back and 0-back tasks. A total of 21 young male college students participated in the study. Eleven of those who clinically met the criteria for AUD were assigned to the AUD group, whereas ten demographically similar subjects who were social drinkers but not AUD were assigned to the normal control group. The AUD group showed less activation in bilateral frontal and precentral, left superior temporal, left superior parietal, and left cerebellar cortex during the 2-back task relative to 0-back task compared to the normal control group. In contrast, the control group showed less activation only in the right uncus than the AUD group. These results suggest that subjects with AUD present abnormality in brain functioning during verbal working memory. PMID- 21054422 TI - Early maladaptive schemas in Finnish adult chronic pain patients and a control sample. AB - Engel (1959) suggested that negative physical or emotional experiences in childhood predispose to the development of chronic pain. Studies have shown that physical and sexual abuse in early life is connected with chronic pain. Emotional adversities are much less studied causes contributing to the development of chronic pain and disability. Early emotional abuse, neglect, maltreatment and other adversities are deleterious childhood experiences which, according to Young's schema theory (1990), produce early maladaptive schemas (EMSs). The primary goal of this study was to examine whether early adversities were more common in chronic pain patients than in a control group. A total of 271 (53% women) first-visit chronic pain patients and 331 (86% women) control participants took part in the study. Their socio-demographic data, pain variables and pain disability were measured. To estimate EMSs the Young Schema Questionnaire was used. Chronic pain patients scored higher EMSs reflecting incapacity to perform independently, catastrophic beliefs and pessimism. The most severely disabled chronic pain patients showed an increase in all the EMSs in the Disconnection and Rejection schema domain, namely Abandonment/Instability, Mistrust/Abuse, Emotional Deprivation, Defectiveness/Shame and Social Isolation/Alienation EMSs. The results of the study suggested that chronic pain patients had suffered early emotional maltreatment. PMID- 21054423 TI - Smoking cessation among Norwegian adolescents and young adults: preferred cessation methods. AB - Despite generally declining smoking rates, particularly among young people, a large number of people remain smokers and many young people still pick up smoking. Helping smokers quit therefore remains a high priority for the public health sector. In the present study we examined adolescents and young adults' preferences regarding cessation methods and if these differed between genders and depended on smoking frequency. The data came from a nationally representative survey in Norway among 16-20 year olds. Only regular (weekly and daily) smokers were included in the statistical analyses (n = 509, 51% females). The findings suggest that the majority of both male (83.6%) and female (78.4%) smokers would prefer to quit smoking without help. More males than females reported that they would consider using snus as a cessation aid, while females more often reported willingness to attend cessation classes or use brochures and diaries as cessation aids. Both males and females had similar preferences albeit low, regarding the use of health services, nicotine gum or patches and internet and sms-services to quit smoking. Daily smokers would more often than weekly smokers prefer to attend cessation classes, seek help from health services, use nicotine gum or patches or use brochures and diaries. In contrast, weekly smokers preferred to use snus as a cessation aid more often than daily smokers. Identifying and making appropriate cessation methods attractive may lead to successful quitting and consequently public health gains. PMID- 21054432 TI - Auditory evoked potentials dissociate rapid perceptual learning from task repetition without learning. AB - Performance improvement during an hour of auditory perceptual training is accompanied by rapid physiological changes. These changes may reflect learning or simply task repetition independent of learning. We assessed the contribution of learning and task repetition to changes in auditory evoked potentials during a difficult speech identification task and an easy tone identification task. We posited that only task repetition effects would occur in the tone task but that task repetition and learning would interact in the speech task. Speech identification improved with practice (increased sensitivity d' with a constant response bias beta). This behavioral improvement coincided with a decrease in the amplitude of sensory evoked responses (N1, P2) and a decrease in the amplitude of a slow wave (peak=320 ms after onset) over the left frontal and parietal sites. Results show rapid physiological changes associated with learning, distinct from changes related to task repetition. PMID- 21054433 TI - Potential impact of rapid diagnostic tests on improving antimicrobial use. AB - Antimicrobial stewardship programs promote optimal antimicrobial use in the individual patient and reductions in inappropriate antimicrobial use in health care to limit the selective pressures that contribute to the development and spread of multidrug-resistant organisms. These efforts presume that the antimicrobial susceptibility testing (AST) data provided by the microbiology laboratory, which serve as the basis for clinical decision making, are accurate and delivered in a timely fashion. However, routine AST data are often not available until two to three days after the clinical specimen for culture has been collected from the patient. Nucleic acid amplification methods can provide data on the presence of antimicrobial-resistant microorganisms directly from clinical specimens often in less than 1 hour. Organisms causing sepsis, respiratory tract infections, and sexually transmitted diseases are all amenable to rapid detection. Several commercial molecular diagnostic assays are already in use in microbiology laboratories worldwide; however, physician acceptance of the rapid methods is often slow. Data on the presence of resistant bacteria in a clinical sample in less than one hour should improve the effectiveness of antimicrobial stewardship programs. PMID- 21054434 TI - Acclimation to high CO2 in maize is related to water status and dependent on leaf rank. AB - The responses of C(3) plants to rising atmospheric CO(2) levels are considered to be largely dependent on effects exerted through altered photosynthesis. In contrast, the nature of the responses of C(4) plants to high CO(2) remains controversial because of the absence of CO(2) -dependent effects on photosynthesis. In this study, the effects of atmospheric CO(2) availability on the transcriptome, proteome and metabolome profiles of two ranks of source leaves in maize (Zea mays L.) were studied in plants grown under ambient CO(2) conditions (350 +/- 20 uL L(-1) CO(2) ) or with CO(2) enrichment (700 +/- 20 uL L(-1) CO(2) ). Growth at high CO(2) had no effect on photosynthesis, photorespiration, leaf C/N ratios or anthocyanin contents. However, leaf transpiration rates, carbohydrate metabolism and protein carbonyl accumulation were altered at high CO(2) in a leaf-rank specific manner. Although no significant CO(2) -dependent changes in the leaf transcriptome were observed, qPCR analysis revealed that the abundance of transcripts encoding a Bowman-Birk protease inhibitor and a serpin were changed by the growth CO(2) level in a leaf rank specific manner. Moreover, CO(2) -dependent changes in the leaf proteome were most evident in the oldest source leaves. Small changes in water status may be responsible for the observed responses to high CO(2,) particularly in the older leaf ranks. PMID- 21054435 TI - Seasonal dynamics in the stable carbon isotope composition delta13C from non leafy branch, trunk and coarse root CO2 efflux of adult deciduous (Fagus sylvatica) and evergreen (Picea abies) trees. AB - Respiration is a substantial driver of carbon (C) flux in forest ecosystems and stable C isotopes provide an excellent tool for its investigation. We studied seasonal dynamics in delta13C of CO2 efflux (delta13C(E)) from non-leafy branches, upper and lower trunks and coarse roots of adult trees, comparing deciduous Fagus sylvatica (European beech) with evergreen Picea abies (Norway spruce). In both species, we observed strong and similar seasonal dynamics in the delta13C(E) of above-ground plant components, whereas delta13C(E) of coarse roots was rather stable. During summer, delta13C(E) of trunks was about -28.20/00 (Beech) and -26.80/00 (Spruce). During winter dormancy, delta13C(E) increased by 5.6-9.10/00. The observed dynamics are likely related to a switch from growth to starch accumulation during fall and remobilization of starch, low TCA cycle activity and accumulation of malate by PEPc during winter. The seasonal delta13C(E) pattern of branches of Beech and upper trunks of Spruce was less variable, probably because these organs were additionally supplied by winter photosynthesis. In view of our results and pervious studies, we conclude that the pronounced increases in delta13C(E) of trunks during the winter results from interrupted access to recent photosynthates. PMID- 21054437 TI - Role of nitric oxide in hydrogen peroxide-dependent induction of abiotic stress tolerance by brassinosteroids in cucumber. AB - Brassinosteroids (BRs) can induce plant tolerance to a variety of abiotic stresses by triggering the generation of H(2) O(2) as a signalling molecule in cucumber leaves. Whether nitric oxide (NO) also plays a signalling role and, if so, what is the relationship between NO and H(2) O(2) in BR-induced stress tolerance are unknown. Involvement of NO and H(2) O(2) in BR-induced tolerance was examined. NO accumulation and defence related gene transcripts were monitored by confocal laser-scanning microscopy and qRT-PCR, respectively. NO content was elevated after treatment with 24-epibrassinolide (EBR) and reduced with the inhibition of BR biosynthesis. EBR-induced NO production was blocked by pre treatment with inhibitor of NADPH oxidase and a reactive oxygen species scavenger. On the other hand, EBR-induced H(2) O(2) generation was not sensitive to NO scavenger or inhibitor of NO production. Scavenging or inhibition of NO production inhibited EBR-induced tolerance to photo-oxidative and cold stress and partly blocked EBR-induced expression and activities of several antioxidant enzymes. Pre-treatment of the exogenous NO precursor, on the other hand, led to both increased stress tolerance and increased expression of antioxidant enzymes. These results strongly suggest that NO plays an important role in H(2) O(2) dependent induction of plant stress tolerance by BR. PMID- 21054438 TI - The Arabidopsis tt19-4 mutant differentially accumulates proanthocyanidin and anthocyanin through a 3' amino acid substitution in glutathione S-transferase. AB - The Arabidopsis transparent testa (tt) mutant tt19-4 shows reduced seed coat colour, but stains darkly with DMACA and accumulates anthocyanins in aerial tissues. Positional cloning showed that tt19-4 was allelic to tt19-1 and has a G to-T mutation in a conserved 3'-domain in the TT19-4 gene. Soluble and unextractable seed proanthocyanidins and hydrolysis of unextractable proanthocyanidin differ between wild-type Col-4 and both mutants. However, seed quercetins, unextractable proanthocyanidin hydrolysis, and seedling anthocyanin content, and flavonoid gene expression differ between tt19-1 and tt19-4. Transformation of tt19-1 with a TT19-4 cDNA results in vegetative anthocyanins, whereas TT19-4 cDNA cannot complement the proanthocyanidin and pale seed coat phenotype of tt19-1. Both recombinant TT19 and TT19-4 enzymes are functional GSTs and are localized in the cytosol, but TT19 did not function with wide range of flavonoids and natural products to produce conjugation products. We suggest that the dark seed coat of Arabidopsis is related to soluble proanthocyanidin content and that quercetin holds the key to the function of TT19. In addition, TT19 appears to have a 5' GSH-binding domain influencing both anthocyanin and proanthocyanidin accumulation and a 3' domain affecting proanthocyanidin accumulation by a single amino acid substitution. PMID- 21054439 TI - Form and semantics of communication in dental encounters: oral health, probability and time. AB - The purpose of this article is twofold. First, it introduces a new method for capturing the intricacy of communication in contemporary healthcare encounters. The method, termed 'form analysis', was developed from the systems theory of Niklas Luhmann. It is hoped that the paper will introduce form analysis as a new method to help understand complex communications in health systems. Second, the paper demonstrates an application of form analysis in communications in dental encounters. Data were collected through 36 observed encounters between five dentists and 20 patients in UK NHS primary and secondary care dental clinics. The study found a range of semantic forms relevant for these encounters, three of which are discussed at length in this article. The forms of communications illustrate how the dichotomy of dental professional and patient perspectives transforms into complex, non-linear observations about oral health. Dentistry, it seems, remains up to date not only through the emergence of new technologies, but also through reflexivity in observing and assessing oral health. These observations are exposed to the contingency of clinical decisions and the temporal aspects of the clinical system. PMID- 21054440 TI - 'I don't think there's much of a rational mind in a drug addict when they are in the thick of it': towards an embodied analysis of recovering heroin users. AB - Much of the sociological literature on recovery from heroin use has been located within the symbolic interactionist tradition and has revealed the salience of identity for the recovery process, and has focused upon actors' cognitions. By contrast, less attention has been paid to former users' bodies. The aim of this paper therefore is to focus upon the embodied aspects of recovery from heroin use. To this end, we deploy the notions of bodily 'dys-appearance' and 'habitual action' as sensitising concepts to undertake an analysis of data generated by 40 qualitative interviews carried out with 21 men and 19 women who are overcoming their addiction to heroin in England. Analytically, we distinguish between using bodies and recovering bodies. In the case of the former, 'habitual action' is relatively urgent and routinised; in the case of the latter, however, habitual action is more difficult to maintain because the bodily dys-appearances associated with the transition from heroin use are relatively more multifaceted and unfamiliar. The body techniques associated with embodied reproduction of using and recovering bodies can be pre-cognitive, easily overlooked and yet, embedded as they are in mundane, everyday activities, they constitute a crucial part of the process of recovery from heroin. PMID- 21054436 TI - Gene expression changes during short day induced terminal bud formation in Norway spruce. AB - The molecular basis for terminal bud formation in autumn is not well understood in conifers. By combining suppression subtractive hybridization and monitoring of gene expression by qRT-PCR analysis, we aimed to identify genes involved in photoperiodic control of growth cessation and bud set in Norway spruce. Close to 1400 ESTs were generated and their functional distribution differed between short day (SD-12 h photoperiod) and long day (LD-24 h photoperiod) libraries. Many genes with putative roles in protection against stress appeared differentially regulated under SD and LD, and also differed in transcript levels between 6 and 20 SDs. Of these, PaTFL1(TERMINAL FLOWER LIKE 1) showed strongly increased transcript levels at 6 SDs. PaCCCH(CCCH-TYPE ZINC FINGER) and PaCBF2&3(C-REPEAT BINDING FACTOR 2&3) showed a later response at 20 SDs, with increased and decreased transcript levels, respectively. For rhythmically expressed genes such as CBFs, such differences might represent a phase shift in peak expression, but might also suggest a putative role in response to SD. Multivariate analyses revealed strong differences in gene expression between LD, 6 SD and 20 SD. The robustness of the gene expression patterns was verified in 6 families differing in bud-set timing under natural light with gradually decreasing photoperiod. PMID- 21054441 TI - The means of correct training: embodied regulation in training for body work among mothers. AB - In the main, the literature on body work has focused on the workplace, overlooking the spaces and places of training for work. Drawing on tutors' understandings of teaching and mothers' varied experiences of training for body work in areas of health, beauty and social care, this paper explores the learning environment as a liminal space. For many mothers, it is a space that sits at the nexus of home, work and leisure and is where the individual moves from student to practitioner/worker. These transitions require gender and maternal identities, among others, to be negotiated and regulated. By conceptualising body work as the interaction between bodies and the (self)disciplining of one's own body, this paper discusses various regulatory processes of learning, from embedding and embodying of 'professional' knowledge and identities to the repressing of cultural norms and behaviour. In so doing, the paper also considers how students struggle with, and occasionally resist and subvert, regulatory norms, imbuing the learning environment with their own meaning and sense of self. With this focus, we highlight the resonance of the body work concept for drawing together a wide range of subject areas, and suggest the closer the work with the body the more urgent the need for regulation of one's own body and the more fine-tuned the embodied discipline. PMID- 21054442 TI - Enacting genetic responsibility: experiences of mothers who carry the fragile X gene. AB - A woman who carries the gene for fragile X syndrome (FXS) has a 50 per cent chance per pregnancy of passing the gene to her sons and daughters. In this paper we analyse interview data from mothers who are carriers of the FX gene, and who have at least one child with FXS, to examine how their understandings and enactments of reproductive options, obligations, and responsibilities support an expanded notion of genetic responsibility. Accounts of 108 women from across the United States show that the majority of mothers chose not to have another biological child once they learned their carrier status. They discussed genetic responsibility and reproductive agency in terms of an obligation not to risk having another child who carried the gene, although their accounts reflected the tensions that arose from managing oneself as a genetically at-risk actor. Another 22 mothers either purposely became pregnant or continued an unplanned pregnancy after finding out their carrier status. These mothers' accounts reflect an expanded version of genetic responsibility that incorporates ideas and values beyond managing risk in what it means to act responsibly in light of genetic knowledge. PMID- 21054443 TI - Reporting of DNA microarray data in International Endodontic Journal--the guidelines. PMID- 21054445 TI - Signal sensory systems that impact sigma54 -dependent transcription. AB - Alternative sigma-factors of bacteria bind core RNA polymerase to program the specific promoter selectivity of the holoenzyme. Signal-responsive changes in the availability of different sigma-factors redistribute the RNA polymerase among the distinct promoter classes in the genome for appropriate adaptive, developmental and survival responses. The sigma(54) -factor is structurally and functionally distinct from all other sigma-factors. Consequently, binding of sigma(54) to RNA polymerase confers unique features on the cognate holoenzyme, which requires activation by an unusual class of mechano-transcriptional activators, whose activities are highly regulated in response to environmental cues. This review summarizes the current understanding of the mechanisms of transcriptional activation by sigma(54) -RNA polymerase and highlights the impact of global regulatory factors on transcriptional efficiency from sigma(54) -dependent promoters. These global factors include the DNA-bending proteins IHF and CRP, the nucleotide alarmone ppGpp, and the RNA polymerase-targeting protein DksA. PMID- 21054446 TI - Development of a high-performance affinity chromatography-based method to study the biological interaction between whole micro-organisms and target proteins. AB - AIMS: The bacteria-host molecular cross-talk is the matter of primary importance both in pathogenesis and in commensalism. Principally based on immunological methods, the methodologies commonly utilized for these studies are laborious and require specific antibodies. Here, we developed a new high-performance affinity chromatography (HPAC)-based approach that allows a direct measure of the interaction between whole bacterial cells and host molecules. METHODS AND RESULTS: Bifidobacterium lactis BI07 cells immobilized on amino-derivatized silica beads were utilized as stationary phase in a high-performance affinity chromatography approach. The analytes plasminogen, collagen I and collagen IV were injected, and interactions were evaluated by the insertion in an HPLC system with UV detection. According to our data, Bif. lactis BI07 is capable of interacting with plasminogen, while it does not exhibit any binding activity to collagen I and IV. CONCLUSIONS: In this study, we implemented a high-performance affinity chromatography-based method to characterize the biological interaction between whole micro-organisms and target proteins. SIGNIFICANCE AND IMPACT OF THE STUDY: With respect to the approaches commonly utilized to study the interaction between bacteria and host proteins, this HPAC-based approach is fast and cheaper than other methods and allows a direct measure of the interaction between bacterial cells and target molecules. PMID- 21054447 TI - Identification of Yersinia enterocolitica using a random genomic DNA microarray chip. AB - AIMS: To fabricate a DNA chip containing random fragments of genomic DNA of Yersinia enterocolitica and to verify its diagnostic ability. METHODS AND RESULTS: A DNA microarray chip was fabricated using randomly fragmented DNA of Y. enterocolitica. Chips were hybridized with genomic DNA extracted from other Y. enterocolitica strains, other Yersinia spp. and bacteria in different genera. Genomic DNA extracted from Y. enterocolitica showed a significantly higher hybridization rate compared with DNA of other Yersinia spp. or bacterial genera, thereby distinguishing it from other bacteria. CONCLUSIONS: A DNA chip containing randomly fragmented genomic DNA from Y. enterocolitica can detect Y. enterocolitica and clearly distinguish it from other Yersinia spp. and bacteria in different genera. SIGNIFICANCE AND IMPACT OF THE STUDY: A microarray chip containing randomly fragmented genomic DNA of Y. enterocolitica was fabricated without sequence information, and its diagnostic ability to identify Y. enterocolitica was verified. PMID- 21054448 TI - Could halophilic archaea improve the traditional salted anchovies (Engraulis encrasicholus L.) safety and quality? AB - AIMS: The positive influence of two selected extremely halophilic archaea strains in the production of salted anchovies (Engraulis encrasicolus, L., 1758) was highlighted. METHODS AND RESULTS: Anchovies produced with salt artificially contaminated with halophiles exhibited lower loads of staphylococci, Enterobacteriaceae and lactic acid bacteria, and a reduced content of histamine as well as an improved organoleptic acceptance. CONCLUSIONS: The findings of this survey are expected to enhance the safety of salted anchovies, with regard to the histamine formation during ripening, and to improve the sensory attributes of this product. SIGNIFICANCE AND IMPACT OF THE STUDY: This study represents the first report on the positive influence of halophilic archaea in traditional salted anchovies production, thus suggesting new perspectives about a conscious employment of properly selected haloarchaea strains in this traditional manufacture. PMID- 21054449 TI - Identification of a globally distributed clinical streptomycin-resistance plasmid and other resistance determinants in a coastal bay of China. AB - AIMS: To study streptomycin-resistant bacteria isolated from Jiaozhou Bay and their molecular determinants of resistance. METHODS AND RESULTS: Twenty-seven tetracycline-resistant and 49 chloramphenicol-resistant bacterial isolates from surface seawater of Jiaozhou Bay were selected for investigation. More than 88% of these isolates were resistant to streptomycin. Half of the streptomycin resistant bacteria harboured the strA-strB gene pair, and six isolates carried Tn5393-like transposons by PCR detection. The p9123-related plasmids containing the sul2-strA-strB gene cluster were characterized in two environmental Escherichia coli isolates. Transposon Tn5393 was first identified on a Klebsiella pneumoniae plasmid, which also carried Tn1721, estP and umu genes responsible for antimicrobial and insecticide resistance. CONCLUSIONS: Coresistance to streptomycin and tetracycline or chloramphenicol was found with high frequency. p9123-related plasmid and Tn5393 transposon may contribute to the wide distribution and spread of the strA-strB gene pair in Jiaozhou Bay. The detection of streptomycin-resistance plasmid pQ1-1 from Jiaozhou Bay seawater bacteria and human bacterial pathogens from USA indicates its global dissemination and transmission, across different components of the microbiota on earth. SIGNIFICANCE AND IMPACT OF THE STUDY: Streptomycin resistance can be recognized as an important bioindicator of environmental quality, owing to its association with anthropogenic pollution and the multidrug-resistant microbiota. PMID- 21054450 TI - Quantitative trait loci affecting eggshell traits in an F(2) population. AB - Good eggshell quality is important for both table egg quality and chicken reproductive performance. Weak eggshells cause economic losses in all production steps. Poor eggshell quality also poses increased risk for Salmonella infections. Eggshell quality has been a difficult trait to improve by traditional breeding, as it can be measured only for females and it is difficult and expensive to measure. Breeding for improved shell quality may therefore benefit from the use of marker-assisted selection. In an effort to find markers linked to eggshell quality, we have used an F(2) population of 668 females to map quantitative trait loci (QTL) affecting eggshell traits (eggshell deformation, breaking force, weight). By using 160 microsatellite markers on 27 chromosomes, we found 11 genome-wide and 15 suggestive QTL for shell traits measured at different times during production. Loci affecting the deformation were found on chromosomes 1, 2, 6, 10, 14 and Z. Loci affecting the breaking force were detected on chromosomes 2, 3, 10, 12 and Z. Loci affecting the shell weight were detected on chromosomes 6, 12, 24 and Z. Each QTL explains between 1.5% and 4.6% of the phenotypic variance, adding up to 10-15% of total phenotypic variance explained for the different traits. No epistatic effects were observed between loci affecting eggshell traits. Because the effects for quality are mainly additive, these results provide a basis for further characterization of the loci to identify closely linked markers to be used in marker-assisted selection. PMID- 21054451 TI - Nucleotide variability of the porcine SERPINA6 gene and the origin of a putative causal mutation associated with meat quality. AB - The serpin peptidase inhibitor, clade A, member 6 gene (SERPINA6), also known as corticosteroid-binding globulin or CBG, is involved in obesity and stress sensitivity. Previous studies have reported putative causal mutations within that gene in the porcine species. To characterize a hypothetical selective footprint, we have resequenced approximately 6 kb of coding and non-coding fragments in 20 pigs comprising domestic breeds and wild boars from Asia and Europe. Nucleotide variability was found to be far greater within Asian pig breeds than European breeds (pi = 1% vs. 0.05%, respectively), which is consistent with pig evolutionary history. The putative causal amino acid substitution p.Gly307Arg (SNP c.919G>A) associated with meat quality (drip loss) was only detected in European domestic pig breeds, suggesting a very recent mutation that appeared after domestication in Europe. No support for positive selection was detected, as no reduction in levels of diversity surrounding the mutation was found in lean breeds with respect to wild boar. PMID- 21054452 TI - Review article: enzyme supplementation in cystic fibrosis, chronic pancreatitis, pancreatic and periampullary cancer. AB - BACKGROUND: Over 11000 UK patients each year develop pancreatic exocrine insufficiency--the major causes are not rare: cystic fibrosis (>300 new cases/year), pancreatic cancer (>7000 new cases/year) and chronic pancreatitis (>4000 new cases/year). Affected patients present in diverse ways, and for chronic pancreatitis, diagnosis is frequently made rather late in the course of the disease. AIM: To raise awareness of key clinical issues specific to patients with pancreatic exocrine insufficiency through experience from UK clinicians, and to offer advice regarding appropriate treatment with pancreatic enzymes. METHODS: Three case studies describe clinical issues relating to pancreatic enzyme supplementation that may lead to underuse in patients with cystic fibrosis, pancreatic and periampullary cancer or chronic pancreatitis. RESULTS: The efficacy of the treatment of exocrine pancreatic insufficiency is dependent on adequate meal-time enzyme replacement therapy. Improvements in patients' weight and nutritional status are what is aimed for - an important reason for all doctors, nurses and dieticians to give this therapy close attention. CONCLUSIONS: Pancreatic exocrine insufficiency may result in malnutrition, but enzyme supplementation can greatly improve quality of life in these patients. PMID- 21054453 TI - Molecular phylogeny of the blowfly genus Chrysomya. AB - Chrysomya Robineau-Desvoidy (Diptera: Calliphoridae) is a genus of blowfly commonly observed in tropical and subtropical countries of the Old World. Species in this genus are vectors of bacteria, protozoans and helminths, cause myiasis, are predators of other carrion insects, and are important forensic indicators. Hypotheses concerning the evolution of sex determination, larval anatomy and genome size in Chrysomya have been difficult to evaluate because a robust phylogeny of the genus was lacking. Similarly, the monophyly of subgenera was uncertain. The phylogeny of Chrysomya spp. was reconstructed based on 2386 bp of combined mitochondrial cytochrome oxidase subunit I (COI) and nuclear carbamoylphosphate synthetase (CPS) genes. Maximum parsimony (MP), maximum likelihood (ML) and Bayesian analysis (BA) differed only slightly in the resulting tree topology. Chrysomya was monophyletic. Monogenic reproduction is almost certainly derived rather than, as has been suggested, primitive within the genus, and tuberculate larvae probably evolved twice. Genome size is more likely to have decreased over evolutionary time rather than, as has been suggested, increased within the genus, but its correlation with developmental time was not observed. The subgenera Microcalliphora, Eucompsomyia and Achoetandrus were recovered as monophyletic. PMID- 21054454 TI - What do we (not) know about how paracetamol (acetaminophen) works? AB - WHAT IS KNOWN AND BACKGROUND: Although paracetamol (acetaminophen), N-(4 Hydroxyphenyl)acetamide, is one of the world's most widely used analgesics, the mechanism by which it produces its analgesic effect is largely unknown. This lack is relevant because: (i) optimal pain treatment matches the analgesic mechanism to the (patho)physiology of the pain and (ii) modern drug discovery relies on an appropriate screening assay. OBJECTIVE: To review the clinical profile and preclinical studies of paracetamol as means of gaining insight into its mechanism of analgesic action. METHODS: A literature search was conducted of clinical and preclinical literature and the information obtained was organized and reviewed from the perspective of its contribution to an understanding of the mechanism of analgesic action of paracetamol. RESULTS: Paracetamol's broad spectrum of analgesic and other pharmacological actions is presented, along with its multiple postulated mechanism(s) of action. No one mechanism has been definitively shown to account for its analgesic activity. WHAT IS NEW AND CONCLUSION: Further research is needed to uncover the mechanism of analgesic action of paracetamol. The lack of this knowledge affects optimal clinical use and impedes drug discovery efforts. PMID- 21054455 TI - Gabapentin and pregabalin in the treatment of fibromyalgia: a systematic review and a meta-analysis. AB - WHAT IS KNOWN AND OBJECTIVES: Fibromyalgia (FBM) is a common chronic pain disorder affecting up to 2% of the general population. Current treatment options are mostly symptom-based and limited both in efficacy and number. Two promising alternatives are gabapentin (GP) and pregabalin (PB). We aimed to estimate the efficacy and safety/tolerability of the two compounds in FBM through a systematic review and a meta-analysis of relevant randomized double-blind placebo-controlled (RCT) were performed. DATA SOURCES, EXTRACTION AND ANALYSIS: A literature search was conducted through MEDLINE, EMBASE, Cochrane CENTRAL and the reference lists of relevant studies. Responders to treatment (>30% reduction in mean pain score) and dropouts due to lack of efficacy were used as primary outcome measures. Dropout rates and incidence of common adverse outcomes were also investigated. Four RCTs, reporting data on 2040 patients, were reviewed and three of them using PG were included in the meta-analysis. RESULTS: Pregabalin at a dose of 600, 450 and 300 mg per day is effective in FBM compared to placebo (NNT: 7, upper 95% CI: 12, 450 mg). A number of adverse events (AE), such as dizziness, somnolence, dry mouth, weight gain, peripheral oedema, is consistently associated with treatment at any dose and could lead one out of four patients to quit treatment (NNH: 6, lower 95% CI: 4, 600 mg). Indirect comparison meta-analysis suggests that PB at a dose of 450 mg per day could result in more responders than at 300 mg, but this result needs to be interpreted with caution as there were no significant differences between 600 and 300 mg or between 600 and 450 mg. Data on GP is limited. WHAT IS NEW AND CONCLUSIONS: The analysis indicates that PB at a dose of 450 mg per day is most likely effective in treating FBM, although AE are not negligible. Further evidence is necessary for more conclusive inferences. PMID- 21054456 TI - Glioblastoma: synergy of growth promotion between CCL5 and NK-1R can be thwarted by blocking CCL5 with miraviroc, an FDA approved anti-HIV drug and blocking NK-1R with aprepitant, an FDA approved anti-nausea drug. AB - WHAT IS KNOWN AND BACKGROUND: Two receptor signaling pathways that are commonly active in facilitating glioblastoma growth and invasion- that of CCR5 and neurokinin (NK)-1R- have small molecule inhibitors that are FDA approved and marketed to treat other conditions. The anti-HIV drug, maraviroc, inhibits human CCR5's ligand from binding, and hence blocks CCR5 stimulation. The anti-nausea drug aprepitant blocks substance P signaling at NK-1R. AIMS AND OBJECTIVE: We propose on the basis of molecular insights that a combination of the two drugs is likely to be useful in the treatment of glioblastoma. COMMENT: After stimulation by their respective ligands both CCR5 and NK-1R, through intermediaries, phosphorylate and thereby activate ERK1/2, triggering in turn migratory and mitotic events. Neurokinin-1R second messenger signaling also happens to serine phosphorylate CCR5. Phosphorylated CCR5 exhibits amplified activity after agonist ligation. Therefore, aprepitant and maraviroc combined treatment is expected to exert synergestic inhibition of growth enhancing signaling in glioblastoma. Inhibiting an amplifier is equivalent to amplifying an inhibitor. Since the two suggested drugs are non-cytotoxic they are envisioned as adjunctive treatments to current standard temozolomide, radiation, and bevacizumab, all to be used after debulking primary resection. WHAT IS NEW AND CONCLUSION: Our analysis makes the case for a well-designed trial of the proposed combination in the treatment of glioblastoma. PMID- 21054457 TI - Antibiotics in the treatment of upper respiratory tract infections in Poland. Is there any improvement? AB - WHAT IS KNOWN: Acute upper respiratory tract infections are among the most frequent reasons for encounters in primary health care. Relevant data about antibiotics use in respiratory tract infections in Poland are scarce. AIMS AND OBJECTIVES: To evaluate the frequency of use and choice of antibiotics in empirical first-line treatment of upper respiratory tract infections in adults in eastern Poland. METHODS: An analysis of the medical records of 4047 adult patients from 47 health centres in Lublin region (eastern Poland) within the period of 1 year (1 September 2005 to 31 August 2006). RESULTS AND DISCUSSION: In 1267 patient visits, the reasons for encounter were classified by physicians as acute infections of the upper respiratory tract. Most frequently diagnosed were acute pharyngitis and tonsillitis, acute upper respiratory infections of multiple and unspecified sites and the common cold. Overall, antibiotics were used as empirical first-line therapy in 78.7% of cases. Amoxicillin, amoxicillin with clavulanic acid, macrolide and doxycycline were most commonly prescribed. Physician's specialty was not associated with antibiotic use. WHAT IS NEW AND CONCLUSIONS: There is still considerable overuse of antibiotics in primary care patients with respiratory tract infections in Poland. Campaigns aiming at changing prescribing behaviour of primary care physicians and informing the public should be undertaken. PMID- 21054458 TI - Public perceptions of the role of Australian pharmacists in cardiovascular disease. AB - BACKGROUND AND OBJECTIVE: To assess the public's perception of pharmacists' involvement and role in cardiovascular disease prevention and management. METHODS: A computer-assisted telephone interview of 505 households was conducted. The survey was administered to metropolitan, rural and remote residents over the age of 30 years. The interview had questions on the patient's general satisfaction with the quality of service provided by their regular community pharmacy, including factors such as location, professionalism, prices, product range and knowledge of the staff. The participants were asked if they thought pharmacists were capable of providing screening, testing and drug prescribing services for blood pressure and cholesterol, and how likely they would be to use these services through a pharmacy. The interview also included questions on how likely this person would be to seek advice on lifestyle changes and medications from various health professionals, including pharmacists. RESULTS: The majority (97%) were satisfied with the service provided at their regular pharmacy. Those surveyed agreed or strongly agreed that pharmacists are capable of providing screening or testing for raised blood pressure (52%) and diabetes (51%), with a minority (38%) agreeing that pharmacists are capable of testing for raised cholesterol. The pharmacist's role with perceived highest capability by those surveyed was in providing advice on how to take medicines properly, with 90% of respondents willing to seek this advice from their pharmacist. A limited role by pharmacists was seen in the diagnosis of CVD and prescribing medications. In relation to the prevention of CVD, even though 76% of respondents believed that pharmacists are capable of providing advice on lifestyle changes (e.g. weight loss, smoking and alcohol intake), only 8% of current or past smokers had sought assistance to give up smoking at their pharmacy and while 69% of all respondents had sought help with weight control, only 3% of these had looked towards their pharmacy for assistance. CONCLUSION: There was belief by those Australians surveyed that community pharmacists are capable of providing screening for hypertension and diabetes. Through these services and in conjunction with counselling on CVD risk reduction, pharmacists may play an important role in the reduction of CVD, ultimately improving public health and decreasing the burden on Australia's health care system. However, at present pharmacists are not being fully utilized to deliver health promotion advice and contribute to the prevention of CVD. PMID- 21054459 TI - Methylprednisolone reduces postoperative nausea in total knee and hip arthroplasty. AB - WHAT IS KNOWN AND OBJECTIVE: Total knee and hip joint replacement has a high risk of postoperative nausea and vomiting (PONV), and steroid cover is used for cases associated with autoimmune diseases. Our aim is to evaluate the antiemetic efficacy of methylprednisolone as steroid cover in patients undergoing the surgery. METHODS: A prospective cohort study design was used. Sixty-eight patients, aged between 20 and 80 years, were scheduled for a standardized general anaesthetic technique. Patients who were given methylprednisolone were assigned as the steroid cover group, and those who were not given methylprednisolone formed the non-steroid cover group. PONV were assessment by direct questioning or spontaneous complaints by patients 1 week after surgery. Postoperative pain was evaluated using Visual Analog Scale (VAS) 1 and 3 days after surgery. RESULTS AND DISCUSSION: The incidence of nausea in the steroid cover group was significantly less than that in the non-steroid cover group (adjusted odds ratio, 0.17, P = 0.021), but there was no significant difference in vomiting between the two groups. Postoperative pain VAS score was not significantly different between groups. WHAT IS NEW AND CONCLUSION: In total knee and hip arthroplasty, methylprednisolone is effective in preventing postoperative nausea; however, higher doses of methylprednisolone may be needed to prevent vomiting. PMID- 21054460 TI - Serum sialic acid changes in type 2 diabetic patients on metformin or rosiglitazone treatment. AB - WHAT IS KNOWN AND OBJECTIVE: Serum sialic acid is a recently investigated potential risk-marker for cardiovascular complications. There is a known association between sialic acid and cardiovascular complications in diabetes mellitus. We aimed to investigate the effect of antidiabetic drugs on the serum concentration of sialic acid. METHODS: We investigated the effect of metformin and rosiglitazone on the concentration of sialic acid in 120 type 2 diabetic patients, divided into a group (n = 60) receiving metformin and a group (n = 60) receiving rosiglitazone treatment. RESULTS: Serum sialic acid was significantly higher in patients on rosiglitazone (66.90 +/- 8.80 mg/dL vs. 57.6 +/- 8.46 mg/dL, P < 0.01) and metformin (61.95 +/- 10.49 mg/dL vs. 57.6 +/- 8.46 mg/dL, P < 0.04) when compared with control subjects. In addition, rosiglitazone-treated patients showed a significant increase in cardiovascular risk factors, notably total cholesterol (246.45 +/- 20.2 mg/dL vs. 170.6 +/- 15.1 mg/dL, P = 0.01), triglyceride (178 +/- 9.20 mg/dL vs. 149.35 +/- 6.31 mg/dL, P < 0.04) and glycohemoglobin (HbA1-c) concentration (8.17 +/- 1.43% vs. 4.38 +/- 0.96%, P < 0.02) compared with normal control subjects. The patients on metformin also showed significantly higher levels of serum glucose (133.7 +/- 9.63 mg/dL vs. 88.35 +/- 6.31 mg/dL, P < 0.04) and glycohemoglobin (HbA1-c) (8.23 +/- 1.75% vs. 4.38 +/- 0.96%, P < 0.02) when compared with control subjects. Comparison of the two groups of patients revealed a significantly higher serum sialic acid (66.90 +/- 8.80 mg/dL vs. 61.95 +/- 10.49 mg/dL, P < 0.05), total cholesterol (246.45 +/ 20.2 mg/dL vs. 192 +/- 14.23 mg/dL, P < 0.02) and triglyceride (178 +/- 9.20 mg/dL vs. 158 +/- 14.51mg/dL, P < 0.05) concentrations in the rosiglitazone treated patients. WHAT IS NEW AND CONCLUSIONS: This study suggests significantly higher levels of serum sialic acid and other cardiovascular risk factors in rosiglitazone-treated patients than in metformin-treated patients. The lower sialic acid concentration may explain a better metformin antidiabetic effect than with rosiglitazone. PMID- 21054461 TI - Modification of propranolol's bioavailability by Eurycoma longifolia water-based extract. AB - WHAT IS KNOWN AND BACKGROUND: Eurycoma longifolia (E. longifolia), a herb commonly consumed for its aphrodisiac properties, is widely used by Asian males. This may include hypertensive patients receiving propranolol which may cause sexual dysfunction as one of its side-effects. There is no published study of the potential pharmacokinetic interaction between propranolol and the herb. OBJECTIVE: To study propranolol's pharmacokinetics when E. longifolia is consumed, comparing volunteers given either propranolol or a placebo. METHODS: This is a placebo-controlled randomized single-blinded crossover study of the effect of a water-based extract of E. longifolia on the pharmacokinetics of a single dose of proporanolol (Inderal((r))) in 14 healthy non-smoker young males. Eighty milligram of propranonol was orally administered with (i) placebo (Lactose) or (ii) 200 mg of water-based extract of E. longifolia (0.0272 +/- 0.0026%eurycomanone) following an overnight fasting. Blood samples were collected at 0, 0.5, 1, 1.5, 2, 3, 4, 6, 8 and 10 h for propranolol's plasma concentration determinations using a validated high-performance liquid chromatography (HPLC) method. RESULTS AND DISCUSSION: When propranolol was administered with E. longifolia, its bioavailability (AUC0-infinity) decreased by 29% while C(max) was reduced by 42% and T(max) was significantly prolonged by almost 86%. The terminal elimination half-life, however, was not significantly affected. CONCLUSION: The bioavailability of propranolol is significantly decreased when consumed together with E. longifolia. The interaction is due to a reduction in absorption, rather than an increase in propranolol's metabolism. Although the pharmacodynamics of propranolol was not affected in healthy volunteers, caution is still advisable with co-administration of the drug and the herb. PMID- 21054462 TI - Assessment of CYP2C19 genetic polymorphisms in a Korean population using a simultaneous multiplex pyrosequencing method to simultaneously detect the CYP2C19*2, CYP2C19*3, and CYP2C19*17 alleles. AB - BACKGROUND AND OBJECTIVE: CYP2C19 is a drug-metabolizing enzyme showing various genetic polymorphisms that may cause marked interindividual and interethnic variability in the disposition of its substrates. We assessed CYP2C19 genetic polymorphisms in a Korean population using a newly developed multiplex pyrosequencing method. METHOD: A multiplex pyrosequencing method to simultaneously detect CYP2C19*2, *3, and *17 alleles was designed. We established the frequency of these CYP2C19 alleles in 271 Korean subjects using the multiplex pyrosequencing method. RESULTS: The results showed 100% concordance between single and multiplex pyrosequencing methods. We also validated the polymorphisms identified by pyrosequencing with direct sequencing method. The allele frequencies of CYP2C19*2, CYP2C19*3, and CYP2C19*17 were 0.284, 0.101 and 0.015 respectively. These frequencies are similar to that reported for other Asian populations including Japanese and Chinese but different from that of Caucasians and Africans. CONCLUSIONS: The multiplex pyrosequencing method to detect CYP2C19*2, CYP2C19*3, and CYP2C19*17 concurrently, seems to be a rapid and reliable genotyping method for the detection of important CYP2C19 genetic polymorphisms. Similar to studies conducted on other Asian populations, this study reported that in the Korean population tested, the CYP2C19*2 and CYP2C19*3 alleles were relatively frequently found, whereas the frequency of CYP2C19*17 was very low. PMID- 21054463 TI - ABCG2 polymorphisms, 34G>A and 421C>A in a Korean population: analysis and a comprehensive comparison with other populations. AB - BACKGROUND AND OBJECTIVE: ABCG2, also known as Breast Cancer Resistance Peptide (BCRP) or mitoxantrone-resistant protein, is the second member of the G-family of ABC transporters. The frequencies of ABCG2 34G>A and 421C>A polymorphisms in a Korean population were assessed using a newly developed multiplex pyrosequencing method, and compared with the corresponding frequencies seen in other ethnic groups. METHOD: We designed a multiplex pyrosequencing method to simultaneously detect ABCG2 421C>A and 34G>A polymorphisms and analysed the allele frequencies of these polymorphisms in 250 Korean subjects. RESULTS: The results showed 100% concordance between single and multiplex pyrosequencing methods. We also validated the polymorphisms identified by pyrosequencing with a direct sequencing method using randomly selected samples. The allele frequencies of ABCG2 421C>A and 34G>A in the population tested were 0.298 and 0.190 respectively. The allele frequency of the 421C>A polymorphism is comparable to other Asian populations, including Japanese and Chinese. However, both frequencies are different from those of Caucasians and Africans. CONCLUSIONS: The multiplex pyrosequencing method used to detect two ABCG2 polymorphisms concurrently is a rapid and reliable genotyping method for the detection of important ABCG2 genetic polymorphisms. The ABCG2 34G>A and 421C>A polymorphisms are frequently found in the Korean population. The frequencies are similar to those seen in other Asian populations including Japanese and Chinese, but very different to those of Caucasian and African-American populations. PMID- 21054464 TI - The effect of cytochrome P2C19 and interleukin-1 polymorphisms on H. pylori eradication rate of 1-week triple therapy with omeprazole or rabeprazole, amoxycillin and clarithromycin in Chinese people. AB - WHAT IS KNOWN AND OBJECTIVE: Genetic polymorphism of interleukin (IL)-1beta and IL-1 receptor antagonist (IL-1ralpha) are associated with efficacy of acid suppression, whereas cytochrome P (CYP) 2C19 polymorphism influences the metabolism of proton pump inhibitor family. Thus, CYP2C19 and IL-1 polymorphisms may affect the efficacy of H. pylori eradication therapy. We compared the efficacies of omeprazole and rabeprazole on eradication of H. pylori in relation to CYP2C19, IL-1B and IL-1RN genotypes in Chinese people. METHODS: Two hundred and forty Chinese with peptic ulcer disease were randomly assigned to the following regimens: amoxicillin and clarithromycin together with omeprazole (OAC) or rabeprazole (RAC). CYP2C19*2 and *3, IL1B-511, IL1B-31, IL1B+ 3954 and intron 2 of the IL-1RN genotypes were analyzed by polymerase chain reaction-restriction fragment length polymorphism. RESULTS: The intention-to-treat-based cure rate of the OAC regimen was significantly lower than that of the RAC regimen in the CYP2C19 wild-type homozygotes (P = 0.014). No significant differences in the cure rates were observed among the IL-1RN and the IL-1B genotype groups. WHAT IS NEW AND CONCLUSIONS: The rabeprazole-based triple regimen was better than the omeprazole in Chinese patients with the CYP2C19 extensive metabolizer genotype. The effectiveness of the PPI/AC regimen is unrelated to IL-1B and IL1-RN genetic polymorphism. PMID- 21054465 TI - Mutational analysis of CYP2C8 in hypertensive patients using denaturing high performance liquid chromatography. AB - WHAT IS KNOWN AND OBJECTIVE: CYP2C8 is involved in the cytochrome P450 (CYP) epoxygenase pathway. Arachidonic acid metabolites such as epoxyeicosatrienenoic acids and hydroxyeicosatetrenoic acids, produced may have a role in hypertension. We aimed to develop a medium through-put method for screening samples of known and new mutations of CYP2C8 using denaturing high performance liquid chromatography (DHPLC). METHODS: DNA samples from 200 subjects (hypertensive patients and healthy controls) were screened for SNPs in CYP2C8 using DHPLC. Genotypes and allelic frequencies of CYP2C8 between the healthy controls and patients with hypertension were compared. RESULTS AND DISCUSSIONS: Six variants were detected and two were new; T deletion at 5063 and substitution of C to T at 33468 in exon 8. Differences in variant frequencies were detected between the controls and hypertensive patients. The controls have significantly higher prevalence of C35322C compared to the patients. The functional significance of the SNP at 35322 requires further study. Having homozygous C35322C could be a protective factor for hypertension. WHAT IS NEW AND CONCLUSION: Denaturing high performance liquid chromatography is useful for population screening to identify new and existing SNPs. A higher frequency of the C35322T SNP was observed among hypertensive patients than control subjects. This potentially important observation requires confirmation and the clinical significance assessed. PMID- 21054466 TI - Teicoplanin-induced hypersensitivity syndrome with a preceding vancomycin-induced neutropenia: a case report and literature review. AB - Hypersensitivity syndrome associated with teicoplanin has rarely been reported. We report a case with a preceding episode of vancomyin-related neutropenia. A 47 year-old female with cervical spine infection was treated with vancomycin. Neutropenia occurred after 17 days of vancomycin therapy. Vancomycin was changed to teicoplanin, and the neutropenia resolved 4 days later. After 11 days of teicoplanin therapy, a new episode of hypersensitivity syndrome manifested as fever, bilateral neck lymphadenopathy, mild wheezing, hepatitis and increased CRP occurred. Neutropenia and thrombocytopenia developed 3 days later. The patient's symptoms settled over 1 week following withdrawal of teicoplanin. Naranjo's ADR algorithm categorized the neutropenia associated with vancomycin and the hypersensitivity syndrome associated with teicoplanin as 'probable'. PMID- 21054467 TI - A case of myeloid neoplasm associated with eosinophilia and KIAA1509-PDGFRbeta responsive to combination treatment with imatinib mesylate and prednisolone. AB - A 41-year-old woman presented with dyspnoea, persistent leucocytosis and eosinophilia for 8 months. High-resolution computed tomography scan and pathology of bronchoalveolar lavage confirmed the diagnosis of hypereosinophilic pneumonitis. The patient was treated with prednisolone (0.5-1 mg/kg/day) for more than 20 weeks under the impression of hypereosinophilic syndrome, but without improvement of leucocytosis and eosinophilia. The bone marrow aspiration smear disclosed hypercellular marrow with myeloid hyperplasia and eosinophilia. The fusion gene detection was positive for KIAA1509-PDGFRbeta. Myeloid neoplasm associated with eosinophilia and abnormality of PDGFRbeta was then diagnosed (Tefferi A, Vardiman JW, Leukemia, 22, 2008, 14). The tyrosine kinase inhibitor, imatinib mesylate (Glivec; 200 mg/day), was administered along with prednisolone (0.25-1 mg/kg/day). White blood cell (WBC) count decreased from 49,500/MUL to 17,200/MUL, and eosinophil count decreased from 1932/MUL to 35/MUL, which represent percentage dropped from 7.7%> to 0.2%. Withdrawal of prednisolone was done to avoid adverse events. However, absolute eosinophil count increased progressively despite the continue administration of imatinib and negative detection PDGFRbeta fusion gene. The patient then received combination therapy of imatinib and prednisolone again. WBC and absolute eosinophil were normalized subsequently. We had discontinued the prednisolone one more time, and rebound of eosinophilia was seen again. The phenomenon of rebounding of eosinophilia was observed in two subsequent withdrawals of prednisolone. Either steroid or imatinib mesylate alone failed to achieve complete haematological response. A synergistic effect of imatinib and steroid is postulated. PMID- 21054468 TI - Effective corneal patch of an astigmatic heterocentric eye. AB - BACKGROUND: The pupil admits to the back of the eye only some of the light arriving from a point in space. As a result only a portion of the cornea is involved when an eye views the point; it is the effective corneal patch for that point. The location, size and shape of the patch are of interest for corneal refractive surgery inter alia. Previous studies have used geometrical optics and a simple model eye (a naked eye with a spherical, single-surface, centred cornea and a concentric circular pupil). Even for the simplest situations geometrical optics provides only implicit formulae which give little insight into relationships and require numerical solution. PURPOSE: To show how linear optics leads to explicit formulae that estimate the geometry of the effective corneal patch in a very wide range of situations. The eye is not restricted to a single refracting surface; the surfaces may be astigmatic and decentred or tilted and the pupil may be decentred and elliptical. The eye may contain implants and it may be looking through a spectacle lens or other optical instrument which may also contain astigmatic and decentred surfaces. METHODS: Linear optics is used to provide general formulae for the geometry of the corneal patch. An appendix illustrates application to some particular cases. RESULTS: General formulae are obtained for the location and geometry of the effective corneal patch for object points that may be near or distant. Formulae are presented in particular for the special case of the naked eye and the case in which all surfaces are spherical and centred on a common axis. Numerical examples in the appendix allow comparison of results obtained via geometrical and linear optics. CONCLUSIONS: In using linear optics one sacrifices some accuracy at increasing angles away from the longitudinal axis but there is considerable gain in the complexity and range of problems that can be tackled, and the explicit formula one obtains clearly exhibit relationships among parameters of clinical relevance. PMID- 21054469 TI - Magnifications of single and dual element accommodative intraocular lenses: paraxial optics analysis. AB - PURPOSE: Using an analytical approach of paraxial optics, we evaluated the magnification of a model eye implanted with single-element (1E) and dual-element (2E) translating-optics accommodative intraocular lenses (AIOL) with an objective of understanding key control parameters relevant to their design. Potential clinical implications of the results arising from pseudophakic accommodation were also considered. METHODS: Lateral and angular magnifications in a pseudophakic model eye were analyzed using the matrix method of paraxial optics. The effects of key control parameters such as direction (forward or backward) and distance (0 to 2 mm) of translation, power combinations of the 2E-AIOL elements (front element power range +20.0 D to +40.0 D), and amplitudes of accommodation (0 to 4 D) were tested. Relative magnification, defined as the ratio of the retinal image size of the accommodated eye to that of unaccommodated phakic (rLM(1)) or pseudophakic (rLM(2)) model eyes, was computed to determine how retinal image size changes with pseudophakic accommodation. RESULTS: Both lateral and angular magnifications increased with increased power of the front element in 2E-AIOL and amplitude of accommodation. For a 2E-AIOL with front element power of +35 D, rLM(1) and rLM(2) increased by 17.0% and 16.3%, respectively, per millimetre of forward translation of the element, compared to the magnification at distance focus (unaccommodated). These changes correspond to a change of 9.4% and 6.5% per dioptre of accommodation, respectively. Angular magnification also increased with pseudophakic accommodation. 1E-AIOLs produced consistently less magnification than 2E-AIOLs. Relative retinal image size decreased at a rate of 0.25% with each dioptre of accommodation in the phakic model eye. The position of the image space nodal point shifted away from the retina (towards the cornea) with both phakic and pseudophakic accommodation. CONCLUSION: Power of the mobile element, and amount and direction of the translation (or the achieved accommodative amplitude) are important parameters in determining the magnifications of the AIOLs. The results highlight the need for caution in the prescribing of AIOL. Aniso accommodation or inter-ocular differences in AIOL designs (or relative to the natural lens of the contralateral eye) may introduce dynamic aniseikonia and consequent impaired binocular vision. Nevertheless, some designs, offering greater increases in magnification on accommodation, may provide enhanced near vision depending on patient needs. PMID- 21054470 TI - Accommodative response to peripheral stimuli in myopes and emmetropes. AB - PURPOSE: It has been suggested that peripheral refractive error may influence eye growth and the development of axial refractive error, implying that the peripheral retina is sensitive to defocus. This study aimed to evaluate the steady-state accommodative response to peripheral stimuli in 10 young, adult myopes (mean spherical equivalent error -2.10 +/- 1.72 D, median -1.63 D, range 0.83 to -6.00 D) and 10 emmetropes (mean spherical equivalent error -0.02 +/- 0.35 D, median +0.08 D, range -0.50 to +0.50 D). METHODS: The subjects were asked to view monocularly the centre of a screen displaying each of a series of eccentric accommodative targets placed at 5, 10 and 15 degrees . An axial target was viewed for comparison purposes. Accommodation was measured using an open field autorefractor, each stimulus being varied between about 0 and 4 D with spherical trial lenses placed in front of the viewing eye. RESULTS: The results confirm that the peripheral retina is sensitive to optical focus, up to field angles of at least 15 degrees , with accommodative responses weakening as the peripheral angle increases. There is some evidence that peripheral accommodation may be less effective in myopes than emmetropes. CONCLUSIONS: Although peripheral accommodation can be demonstrated in the absence of a central stimulus, the accommodation response is normally dominated by the central stimulus and it seems unlikely that peripheral accommodation effects play an important role in refractive development. PMID- 21054471 TI - The influence of learning styles, enrollment status and gender on academic performance of optometry undergraduates. AB - PURPOSE: This cross-sectional study was designed to determine whether the academic performance of optometry undergraduates is influenced by enrollment status, learning style or gender. METHODS: Three hundred and sixty undergraduates in all 3 years of the optometry degree course at Aston University during 2008 2009 were asked for their informed consent to participate in this study. Enrollment status was known from admissions records. An Index of Learning Styles (http://www4.nscu.edu/unity/lockers/users/f/felder/public/Learning-Styles.html) determined learning style preference with respect to four different learning style axes; active-reflective, sensing-intuitive, visual-verbal and sequential global. The influence of these factors on academic performance was investigated. RESULTS: Two hundred and seventy students agreed to take part (75% of the cohort). 63% of the sample was female. There were 213 home non-graduates (entrants from the UK or European Union without a bachelor's degree or higher), 14 home graduates (entrants from the UK or European Union with a bachelor's degree or higher), 28 international non-graduates (entrants from outside the UK or European Union without a bachelor's degree or higher) and 15 international graduates (entrants from outside the UK or European Union with a bachelor's degree or higher). The majority of students were balanced learners (between 48% and 64% across four learning style axes). Any preferences were towards active, sensing, visual and sequential learning styles. Of the factors investigated in this study, learning styles were influenced by gender; females expressed a disproportionate preference for the reflective and visual learning styles. Academic performance was influenced by enrollment status; international graduates (95% confidence limits: 64-72%) outperformed all other student groups (home non graduates, 60-62%; international non graduates, 55-63%) apart from home graduates (57-69%). CONCLUSION: Our research has shown that the majority of optometry students have balanced learning styles and, from the factors studied, academic performance is only influenced by enrollment status. Although learning style questionnaires offer suggestions on how to improve learning efficacy, our findings indicate that current teaching methods do not need to be altered to suit varying learning style preferences as balanced learning styles can easily adapt to any teaching style (Learning Styles and Pedagogy in Post-16 Learning: A Systematic and Critical Review. London, UK: Learning and Skills Research Centre, 2004). PMID- 21054472 TI - The polymerase chain reaction in the diagnosis of infectious diseases. PMID- 21054473 TI - ASVCP quality assurance guidelines: control of general analytical factors in veterinary laboratories. AB - Owing to lack of governmental regulation of veterinary laboratory performance, veterinarians ideally should demonstrate a commitment to self-monitoring and regulation of laboratory performance from within the profession. In response to member concerns about quality management in veterinary laboratories, the American Society for Veterinary Clinical Pathology (ASVCP) formed a Quality Assurance and Laboratory Standards (QAS) committee in 1996. This committee recently published updated and peer-reviewed Quality Assurance Guidelines on the ASVCP website. The Quality Assurance Guidelines are intended for use by veterinary diagnostic laboratories and veterinary research laboratories that are not covered by the US Food and Drug Administration Good Laboratory Practice standards (Code of Federal Regulations Title 21, Chapter 58). The guidelines have been divided into 3 reports on 1) general analytic factors for veterinary laboratory performance and comparisons, 2) hematology and hemostasis, and 3) clinical chemistry, endocrine assessment, and urinalysis. This report documents recommendations for control of general analytical factors within veterinary clinical laboratories and is based on section 2.1 (Analytical Factors Important In Veterinary Clinical Pathology, General) of the newly revised ASVCP QAS Guidelines. These guidelines are not intended to be all-inclusive; rather, they provide minimum guidelines for quality assurance and quality control for veterinary laboratory testing. It is hoped that these guidelines will provide a basis for laboratories to assess their current practices, determine areas for improvement, and guide continuing professional development and education efforts. PMID- 21054474 TI - Growth hormone nadir during oral glucose load depends on waist circumference, gender and age: normative data in 231 healthy subjects. AB - OBJECTIVE: (i) To analyse the predictors of GH suppression after standard glucose load (oGTT) in the healthy population and (ii) to establish the 97th percentile of GH nadir post-oGTT according to these variables. Design Analytical, retrospective. MEASUREMENTS: GH nadir after oGTT. SUBJECTS: Two hundred and thirty-one healthy subjects (113 women, 118 men 15-80years) were studied. RESULTS: The GH nadir after glucose load ranged from 0.01 (A Monte Carlo model has been used to compute a set of point-spread functions (PSF's) and modulation transfer functions (MTF's) that determine underwater-image quality in a range of different environments. The results have been used to analyze the range of application under which a linear-approximation theory holds. Conclusions of the study are that the linear-approximation theory Seems to hold quite well over a broad range of applications. The ramifications of the Wells small-angle-scattering theory that predicts the PSF from a knowledge of the volume-scattering function (VSF) are also considered.
Discrepancies are noted between a predicted and a computationally obtained MTF; these discrepancies increase with range. Therefore, the results of the simulations indicate that the small-angle-scattering theory is more valid at a limited number of attenuation lengths. The results of the simulations indicate that the theory is valid to approximately three attenuation lengths.
PMID- 21060364 TI - Field observations of the relation between satellite and sea radiances in coastal waters. AB - Estimates of the different contributions to the satellite radiance above the outer Oslofjord are presented. The contribution from the sea is of the order of 10% of the total signal, and the part due to reflection from the sea surface constitutes 10-20%. The presence of land may increase the satellite radiance up to 4-9%, but such effects, which are probably reduced to 1/e at a distance of 1 km from the coast, cannot be detected in the present measurements. In situ observations of the marine radiance are corrected for shadings by ship and instrument and for varying solar altitude. The average correction for the self shading effect of the marine instrument becomes 30-50% in these waters. The linear relations between satellite and sea radiances are determined with correlation coefficients of better than 0.95. The observed minimum value of the satellite radiance (or darkest pixel) is not a satisfactory approximation for the atmospheric correction. It is concluded that, in coastal waters and at the present stage, satellite observations have to be combined with field measurements to obtain reliable results. PMID- 21060365 TI - Ocean optical-property estimation with the Zaneveld-Wells algorithm. AB - The Zaneveld-Wells algorithm for calculating N inherent optical expansion coefficients from N + 1 measured angle-integrated moments of the radiant light field is investigated. Because the algorithm is well conditioned but sensitive to errors in the spatial derivatives, different approximations for the spatial derivatives are considered. The effects of noise and sensor error on the performance of the algorithm have been evaluated analytically, and testing with randomly sampled simulated noise was performed to assess the stability and sensitivity of the algorithm. Results show that the algorithm is fairly insensitive to sensor noise, but neither using a higher-order finite-difference approximation for the derivatives nor reformulating the algorithm into an integral form was successful in overcoming the large errors observed. PMID- 21060366 TI - Objective algorithms for the aerosol problem. AB - Retrieval of the aerosol size distribution from optical measurements at ground level is well known to be a difficult problem. Nowadays objective techniques that can give a solution without the intervention of the researcher do not exist. We propose several objective methods that are well based in the mathematical and physical points of view. Their accuracy is evaluated and the top performance of the objective inversion techniques is presented. Moreover physical and experimental suggestions can be drawn to improve the accuracy. Inversions with experimental optical depths are also shown. PMID- 21060367 TI - Information-processing method of ground-based atmospheric remote sensing: the effective instrument function method. AB - A new method for atmospheric remote sensing from the ground is described. The nonlinear deconvolution method and the reference effective instrument function are used to increase the spectral resolution. The nonlinear least-squares method is used to retrieve the atmospheric parameter profile. This method can increase the measurable altitude of remote sensing and improve the precision of atmospheric inversion. PMID- 21060368 TI - Optical seeing-mechanism of formation of thin turbulent laminae in the atmosphere. AB - Data from balloon soundings taken at sites in the Canary Islands, France, and Chile are used to show that hydrodynamic instability, perhaps engendered by the propagation of buoyancy (gravity) or other waves, leads to the formation of thin, turbulent laminae, or "seeing layers." These seeing layers occur almost invariably in pairs and exhibit large values for the temperature-structure coefficient C(T)(2) because they form where the gradient of temperature is particularly steep. The refractive-index-structure coefficient is correspondingly large, and so these layers adversely affect the quality of optical propagation. The mechanism proposed here is already known to create clear air turbulence in the stratosphere, and we show how it is consistent with the formation of thin turbulent seeing layers in the troposphere and the stratosphere at night, when the atmosphere is generally stably stratified. PMID- 21060369 TI - In situ calibration technique for UV spectral radiometers. AB - A technique for calibrating spectral radiometers measuring global (2pi sr) irradiance using solar irradiance at the top of the atmosphere as the absolute irradiance reference is reported. In addition to providing a calibration at all measured wavelengths, the technique provides a direct measure of the angular response of the radiometer. For instruments that can be used to measure the ultraviolet-B region, the calibration also provides an estimate of the ozone column amount. PMID- 21060370 TI - Optical amplification of diffraction-free beams by photorefractive two-wave mixing and its application to laser Doppler velocimetry. AB - The Fraunhofer diffraction pattern of a narrow annular slit is recorded holographically to generate a beam that approximates a diffraction-free Bessel beam. The experimental limitations resulting from the annular-slit parameters such as the opening width and the transmission coefficient are discussed. The reconstructed Bessel beam is amplified by two-wave mixing in a photorefractive crystal. Thus the efficient conversion of a relatively large beam with a constant (or Gaussian) intensity distribution into a nondiffracting beam is achieved entirely by direct physical interference. We show that diffraction-free beams reproduced and amplified in this way can be applied to the measurement of the velocity of small objects by the use of the laser Doppler technique. In addition, the advantages of Bessel beams, especially in measuring the velocity of solids, are discussed. PMID- 21060371 TI - Experimental reconstruction of the spatial density distribution of a nonreacting flow with a small number of absorption measurements. AB - The finite-domain direct inversion method, which was developed for use with sparse data sets, assumes smooth distributions, uses a priori information, and is well suited to the study of fluid mechanical and combustion phenomena. We successfully applied the inversion method, together with shifting functions that improve the reconstruction of distributions with nonzero values at the boundaries of their domain, to a real experimental situation and reconstructed the density distribution of methane in a nonuniform, nonreacting flow of methane and argon from projections measured optically. A point-by-point probe measurement of the methane concentration through the use of a hydrocarbon analyzer was performed to confirm the quality of the reconstruction of the optical measurement data with the inversion method. PMID- 21060372 TI - Single-pulse, two-line temperature-measurement technique using KrF laser-induced O(2) fluorescence. AB - A new single-pulse, two-line laser-induced O(2) fluorescence (LIF) temperature measurement technique was demonstrated. The fluorescence spectrum obtained with multichannel detection following simultaneous excitation of two coincident transitions in the 0-6 and the 2-7 bands of the B(3)Sigma(-)(u)-X(3)Sigma(-)(g) Schumann-Runge system was used to determine the gas temperature. The rms error of 100-pulse average LIF temperature measurements, referenced to their corresponding thermocouple measurements, was 1.3% over a temperature range of 1300-1800 K in atmospheric air. Photon shot noise was found to be the primary source of uncertainty for these measurements in a quiescent environment. Single-pulse temperature-measurement uncertainties (1 sigma) ranged from approximately 13% at 1300 K to 7% at 1800 K. PMID- 21060373 TI - Local optical parameters of spherical polydispersions: simple approximations. AB - New analytical solutions for the local optical characteristics (extinction and absorption coefficients, asymmetry parameters of phase functions) of spherical polydispersions composed of comparatively large particles are derived. The geometric optics (GO) approximation is used to solve the problem. For the accuracy of the GO approximation to be improved, the edge effects were taken into account. A comparison with the data obtained by the use of the Mie theory shows a satisfactory accuracy of our analytical formulas. The simple formulas for the cloud local optical characteristics are derived. PMID- 21060374 TI - Finely stratified cylinder model for radially inhomogeneous cylinders normally irradiated by electromagnetic plane waves. AB - A finely stratified cylinder model (FSCM) for calculation of the scattered fields of infinitely long, radially inhomogeneous, circular cylinders normally illuminated by electromagnetic plane waves is introduced for the first time, to our knowledge. Because of its capability of using a very large number of layers (more than 80,000), the model is useful for both continuous and discontinuous refractive-index profiles. Numerical results agree well with published solutions for radially inhomogeneous cylinders; for cylinders with a dimensionless size parameter larger than 60, results obtained with the FSCM agree with the geometric optics for both continuous and discontinuous refractive-index profiles. PMID- 21060375 TI - Kirchhoff calculations of the coherent scatter from a series of very rough surfaces. AB - Calculations are presented for the scattering of polarized light from a series of very rough one-dimensional gold-coated surfaces, as determined by the use of the Kirchhoff approximation with geometric shadowing. These surfaces have Gaussian autocorrelation functions with a 1/e width of 3.3 um and Gaussian probability distributions of height with standard deviation varying between 0.25 and 1.73 um. Calculations are performed for the scattering of light of wavelength 3.392 um, so that the validity of the geometric-shadowing approximation and the Kirchhoff approximation itself are open questions. The values of the coherent (or specular) component of the scattered light for the four nonzero elements of the Mueller matrix (which fully describe the polarization properties of the scattered light) are calculated. Comparisons between the calculated results and experimental measurements on surfaces of the same parameters [Knotts and O'Donnell, J. Opt. Soc. Am. A 11, 697 (1994)] show good agreement up to approximately 70 degrees incidence angle. PMID- 21060376 TI - Observation of enhanced backscattering from a plane mirror viewed through polymer film-dispersed liquid crystals. AB - We report experimental results on enhanced backscattering from a plane mirror that is viewed through polymer-film-dispersed nematic liquid crystals. The distribution of the averaged intensity of the light reflected from the mirror placed behind the polymer film is investigated with an image-processing system when a Gaussian beam wave is incident. The enhanced light peak is observed in an incident beam direction, the result of which is predicted by a theory based on the circular Gaussian statistic random-phase-screen model. We pay attention to the enhancement dependence on parameters such as the distance between the polymer film and the flat mirror. The observed result is similar to a previous study by Jakeman et al. in which a random diffusive glass plate was used as a random-phase screen [J. Phys. D 21, 32 (1988)]. PMID- 21060377 TI - Internal and near-surface electromagnetic fields for a spheroidal particle with arbitrary illumination. AB - A theoretical procedure in which a spheroidal coordinate separation-of-variables solution is used is developed for the determination of the internal and the near surface electromagnetic fields for an arbitrary monochromatic field that is incident upon a homogeneous spheroidal particle. Calculations are presented for both the prolate and the oblate geometries, demonstrating the effects of particle size, particle axis ratio, and the orientation and character (plane-wave and focused Gaussian beam) of the incident field on the resultant internal and near surface electromagnetic-field distributions. PMID- 21060378 TI - Columnar aerosol properties over oceans by combining surface and aircraft measurements: simulations. AB - We report an algorithm that can be used to invert the radiance exiting the top and bottom of the atmosphere to yield the columnar optical properties of atmospheric aerosol under clear sky conditions over the oceans. The method is an augmentation of a similar algorithm presented by Wang and Gordon [Appl. Opt. 32, 4598 (1993)] that used only sky radiance, and therefore was incapable of retrieving the aerosol phase function at the large scattering angles that are of critical importance in remote sensing of oceanic and atmospheric properties with satellites. Well-known aerosol models were combined with radiative transfer theory to simulate pseudodata for testing of the algorithm. The tests suggest that it should be possible to retrieve the aerosol phase function and the aerosol single-scattering albedo accurately over the visible spectrum at aerosol optical thicknesses as large as 2.0. The algorithm is capable of retrievals with such large optical thicknesses because all significant orders of multiple scattering are included. We believe that combining an algorithm of this type with surface based and high-altitude aircraft-based radiance measurements could be useful for studying aerosol columnar optical properties over oceans and large lakes. The use of the retrieval method is possible over the ocean because, unlike the land surface, the albedo of the ocean is low and spatially uniform. PMID- 21060379 TI - Optical properties of spheres containing several spherical inclusions: errata. PMID- 21060380 TI - Patents. AB - 5,365,054; 5,384,657; 5,386,316; 5,386,317; 5,391,865; 5,392,149; 5,393,978; 5,394,266; 5,394,272. PMID- 21060381 TI - Optical parameters of photopolymer rheoxane obtained by optical testing methods. AB - Two new nondestructive methods for measuring refractive index are presented. The methods are promising for investigating new materials because they do not require contact with the sample surfaces or etalon samples. Results of measurements of optical properties for photopolymer rheoxane are presented. In the IR spectral band the dispersion is almost zero and the transmission is almost constant. The refractive index varies over the spectral range of 1.15-3.39 um by a few parts in the third decimal place. The dependence of the refractive index on temperature from 10 to 50 degrees C is comparable with the analogous dependence for optical glasses. PMID- 21060382 TI - Dynamic holographic gratings with photoresist. AB - The theoretical development of dynamic holographic gratings with piezoelectric crystals is presented. A thin layer of photoresist is applied over the surface of the piezoelectric crystal. A holographic grating was recorded over the photoresist-piezo device in such a way that when it is activated the changes in its dimensions produce a geometrical change in the grooves of the grating. Hence the initial diffraction pattern created may be dynamically modified. PMID- 21060383 TI - Multiband wavelength-division demultiplexing with a cascaded substrate-mode grating structure. AB - A multiband wavelength-division-demultiplexing (WDDM) structure, which incorporates cascaded substrate-mode holograms, is presented. The method can be used to design a WDDM device that consists of two or more layers of fundamental units (i.e., substrate-mode holograms). The fundamental unit is based on a diffracted grating and a substrate that include angular dispersion, wavelength bandwidth, and total internal reflection, which can be used to separate optical signals of different wavelengths. We have designed and built a multiband WDDM device, incorporating cascaded substrate-mode holograms in dichromated gelatin. PMID- 21060384 TI - Absolute interferometric distance measurement using a FM-demodulation technique. AB - We propose an interferometric method for measuring absolute distances larger than the wavelength. A laser diode is used as a light source. The principle of operation is based on multiple-wavelength interferometry that uses a modulated light source. This method uses the fact that the wavelength of light emitted by the laser diode can be varied by means of the injection current. The modulation of the injection current in combination with the optical heterodyne technique causes a high-frequency phase-modulated detector signal. The phase deviation of the signal is a measure of the optical path difference in the interferometer. By FM demodulation of the detector output with a phase-locked loop demodulator, the optical path difference can be determined directly without the classical ambiguity problem of interferometry. The measuring range in the experiments was limited to 50 mm by the maximum travel range of the used specimen translation stage. Because of the inherent light sensitivity of the method described, the rangefinder can be used for three-dimensional profile measurements on a wide variety of objects, even on diffuse scattering surfaces. PMID- 21060385 TI - Vibration-insensitive interferometer for on-line measurements. AB - We have realized a digital Twyman-Green interferometer to measure the quality of optical surfaces in unstable environments. The system yields accurate measurements even in the presence of severe mechanical vibrations. The interferograms are decoded by application of a spatial-carrier phase-shifting fringe-analysis technique. The interferometer was mounted over a production machine and used for on-line measurements of aspheric mirror surfaces during the figuring and polishing process. PMID- 21060386 TI - Optical and electronic design of a calibrated multichannel electronic interferometer for quantitative flow visualization. AB - Calibrated multichannel electronic interferometry is an electro-optic technique for performing phase shifting of transient phenomena. The design of an improved system for calibrated multichannel electronic interferometry is discussed. This includes a computational method for alignment of three phase-shifted interferograms and determination of the pixel correspondence. During calibration the phase, modulation, and bias of the optical system are determined. These data are stored electronically and used to compensate for errors associated with the path differences in the interferometer, the separation of the phase-shifted interferograms, and the measurement of the phase shift. PMID- 21060387 TI - Accurate measurement of the radius of curvature of a concave mirror and the power dependence in a high-finesse Fabry-Perot interferometer. AB - We describe the accurate measurement of the radius of curvature of a concave mirror in a Fabry-Perot interferometer with a finesse of 78,100. The radius of curvature of the concave mirror is determined by measuring the free spectral range and the transverse-mode range with the frequency response functions. The radii of curvature at two orthogonal (x and y) axes on the mirror surface resulting from the polishing nonisotropy were accurately measured to be r(x) = 1008.46 mm and r(y) = 1006.94 mm, respectively, with an accuracy of 8 * 10(-5). This accuracy is the best to our knowledge. The power dependence of the radii of curvature to the cavity internal intensity at a steady state was measured to be dr(x)/dI(c) = +60 um/(MW/cm(2)) at the x axis and dr(y)/dI(c) = +47 um/(MW/cm/(2)) at the y axis to an intensity of 2.1 MW/cm(2). PMID- 21060388 TI - Optical phase step method for absolute ranging interferometry using computer generated holograms. AB - One main problem of an interferometric measurement is to evaluate the object distance from the interference function. One of the known methods that delivers the object phase is the phase step method. Here we introduce computer-generated holograms to realize parallel phase steps without phase modulation of the reference path. PMID- 21060389 TI - Characterization of high-temperature thermographic phosphors: spectral properties of LuPO(4):Dy(1%),Eu(2%). AB - The fluorescence properties of a thermographic phosphor in the form of single crystals of LuPO(4):Dy(1%),Eu(2%) have been studied as a function of temperature by the use of a high-temperature oven integrated into a spectrophotometer. The results reveal differing rates of decrease in the fluorescence intensity with increasing temperature for various emission lines of dysprosium and europium, thus suggesting a new differential method of remote thermometry for high temperature applications and for temperature measurements on moving components. PMID- 21060390 TI - Evaluation of optical glass composition by optimization methods. AB - Optical glass comprises SiO(2) and various other oxides that create the basic glass structure network. The Huggins-Sun-Davis (HSD) model, later modified by several authors, explains the influence of glass composition on glass properties, such as refractive index and density. A new technique for calculating the composition of a given glass whose Buchdahl or Schott dispersion coefficients and density are known is described. The well-known damped-least-squares method implementing Lagrange multipliers for boundary constraints on the composition parameters is used to provide a powerful iteration scheme with a high rate of convergence. The method based on the modified HSD model has been tested on several commercial glasses and is found to converge to very realistic composition values. The method can be easily programmed and provides a good tool in graded index profile computations and in forming new optical glasses. PMID- 21060391 TI - Fiber-optic epoxy composite cure sensor. I. Dependence of refractive index of an autocatalytic reaction epoxy system at 850 nm on temperature and extent of cure. AB - We discuss the behavior of the refractive index of a typical epoxy-aromatic diamine system. Near 850 nm the index of refraction is found to be largely controlled by the density of the epoxy. Models are derived to describe its dependence on temperature and extent of cure. Within the range of temperatures studied, the refractive index decreases linearly with increasing temperature. In addition, as the epoxy is cured, the refractive index increases linearly with conversion to the gel point. From then on, shrinkage in the volume of the epoxy is restricted by local viscosity. Therefore the linear relationship between the refractive index and the extent of cure does not hold beyond the gel point. PMID- 21060392 TI - Fiber-optic epoxy composite cure sensor. II. Performance characteristics. AB - The performance of a fiber-optic epoxy composite cure sensor, as previously proposed, depends on the optical properties and the reaction kinetics of the epoxy. The reaction kinetics of a typical epoxy system are presented. It is a third-order autocatalytic reaction with a peak observed in each isothermal reaction-rate curve. A model is derived to describe the performance characteristics of the epoxy cure sensor. If a composite coupon is cured at an isothermal temperature, the sensor signal can be used to predict the time when the gel point occurs and to monitor the cure process. The sensor is also shown to perform well in nonstoichiometric epoxy matrices. In addition the sensor can detect the end of the cure without calibration. PMID- 21060393 TI - Spectral effective emissivities of nonisothermal cavities calculated by the Monte Carlo method. AB - An algorithm based on the Monte Carlo method is described that permits the precise calculation of radiant emission characteristics of nonisothermal blackbody cavities for use as standard sources in radiometry, photometry, and radiation thermometry. The algorithm is realized for convex axisymmetric specular diffuse cavities formed by three conical surfaces. The numerical experiments provide estimates of normal effective emissivities of cylindrical blackbody cavities with flat or conical bottoms for various axisymmetric temperature distributions on the cavity walls. PMID- 21060394 TI - Mirror-attenuator-mosaic diffuser performance. AB - The diffuse radiance outgoing from mirror-attenuator-mosaic diffusers is with in certain limits independent from the angle of the incident light. Such diffusers are useful as calibration sources for the Scanner for Radiation Budget radiometer and for similar purposes as well. Two techniques of production of directional diffusers (etching and diamond drilling) are compared. To measure the performance of the samples, a new measurement setup is introduced that permits the direct comparison of incoming and outgoing light with an accuracy of better than 0.5%. The diamond drilling technique shows a much better performance than the etching. PMID- 21060395 TI - Time-dependent phenomena in plasma-assisted chemical vapor deposition of rugate optical films. AB - The plasma-assisted chemical vapor deposition technique was used to produce thin film structures with both sinusoidally and stepwise varying refractive-index profiles. The refractive index of the SiO(x)N(y) system used in the fabrication was found to be time dependent following a stepwise change in reactant gas flows or initiation of the plasma. This time dependence has been quantified using in situ ellipsometry and was found to have components with exponential and linear dependences. The time dependence of water vapor partial pressure in the system was identified as the cause of the linear dependence. Allowance for the time dependent effects has improved the agreement between the calculated spectral response and the measured result for a broadband high-reflectance mirror consisting of an arithmetic progression of discrete layers. PMID- 21060396 TI - Buffer layers for the design of broadband optical filters. AB - The use of buffer layers is a promising technique in the attempts developed for the design of optical multilayer coatings when two widely separated spectral regions are under consideration. Here we show that the buffer layer, associated with matching layers as in the application mentioned above, can also be exploited in a successive step process to broaden the spectral bandwidth of beam splitters or antireflection coatings. PMID- 21060397 TI - Metal/dielectric transmission interference filters with low reflectance. 1. Design. AB - For many applications the high reflectance of conventional multilayer interference filters is undesirable. It is possible to design and construct interference filters in which the transmittance and reflectance are independently controlled and in which the reflectance is very low. However, to do this it is necessary to employ absorbing coating materials and, as a result, a reduction in the peak transmittance must be accepted. We describe three different methods for the design of such coatings. Examples are given of a number of bandpass filters, neutral density filters, cutoff filters, and of an x-(lambda) tristimulus filter, all having a low reflectance. This reflectance is frequently 15 to 50 times lower than that of conventional filters. PMID- 21060398 TI - Metal/dielectric transmission interference filters with low reflectance. 2. Experimental results. AB - The successful fabrication of metal/dielectric multilayer filters requires not only accurate control of the individual layer thicknesses, but also a good knowledge of the optical constants of the materials used in the filters. In the case of metal films, it is also essential to know whether any transition layers are formed at the interfaces and, if so, how their thicknesses and optical constants depend on the deposition conditions. An automatic, real-time process control, magnetron sputtering deposition system was modified to permit the manufacture of metal/dielectric filters using optical monitoring techniques. To illustrate the performance of this system, two bandpass filters, a short wavelength pass filter, and a neutral density filter were produced, all having a low reflectance for light incident on one side. The metal layers used in these filters consisted of either Ni or Ag. TheAg films could be protected from the O(2) plasma using thin Ni or Si films. Good agreement was obtained between the calculated and measured spectral transmittance and reflectance curves. PMID- 21060399 TI - Kinoform-based Nipkow disk for a confocal microscope. AB - A kinoform-based Nipkow-disk system, as applied to a real-time confocal microscope, is presented. The major advantage of this technique must be its high light efficiency (e.g., >80%), which significantly improves the performance of a confocal microscope. Our preliminary experiment indicates that there are potential applications to three-dimensional microscopic imaging as well as to object surface detection. PMID- 21060400 TI - Multiple scattering in optical coherence microscopy. AB - We show that the multiple-scatter rejection provided by optical coherence microscopy (low-coherence interferometry) can be incomplete in optically turbid media and that multiple scattering manifests itself in two distinct ways. Multiple small-angle scattering results in an effective probe field that is stronger than expected from a first-order beam extinction model, but that contains a distorted wave front that enhances the apparent reflectance of small structures relative to those that are larger than the unscattered incident beam. Multiple wide-angle scattering produces a broad diffuse haze that reduces the contrast of subsequent features. PMID- 21060401 TI - Measurement of the complex refractive index of liquids in the infrared using spectroscopic attenuated total reflection ellipsometry: correction for depolarization by scattering. AB - With spectroscopic ellipsometry one can measure the real and imaginary parts of the refractive index of a medium simultaneously. To determine this index in the infrared for a number of technical liquids, use was made of attenuated total internal reflection at the glass-liquid interface of a specially designed prism. This attenuated total reflection approach warrants minimal signal loss and is, for strongly absorbing liquids, the only way to measure the complex refractive index. A surprising phenomenon, observed when BK-7 prism glass was used, is scattering in the vicinity of the absorption wavelengths of the glass. A simple model that can be used to describe the relations among absorption, scattering, and depolarization was successfully used to correct the measurements. Refractive indices for demineralized water, Freon 113, heptane, benzene, gas oil, and crude oil in the wave number range from 5000 to 10,000 cm(-1) (1-2 um) are presented. PMID- 21060402 TI - Simple birefringence measurement method for coated optical disks with a fixed incident angle ellipsometer. AB - In a coated and laminated optical disk, only a reflectance method is available for oblique incidence retardation measurements to evaluate the birefringence in a polycarbonate substrate. I propose a simple measurement method that simultaneously measures both the lateral and vertical birefringence in a coated substrate. In this reflectance method, only two oblique incidence retardation values with a fixed incident angle are measured from the radial and the circumferential directions of the disk. Using the sum and the difference of these two retardation values, we can calculate both birefringences without any cumbersome curve-fitting procedure. This method can easily be introduced into the routine inspection for optical disk manufacturing. PMID- 21060403 TI - Photometer for measuring intensity and rhodopsin distributions in intact eyes. AB - We describe a photometer that measures light transmitted through excised eyes. The instrument, an ocular transmission photometer, employs sensitive single photon-counting techniques, and its usefulness has been tested by the study of the absorbance of rhodopsin in retinal rod cells in situ. We find that absorbances of rat rods agree well with those predicted by microspectrophotometry without making corrections for cellular mosaics. Additional tests of the ocular transmission photometer show that (a) the instrument is sensitive to subtle differences in rhodopsin absorbance, known to exist in specific locations in the rat retina, and (b) using the rate of rhodopsin bleaching as the measure of intensity, we can determine the intensity distribution at several locations across the rat retina. PMID- 21060404 TI - Polarimetry of transmission gratings. AB - We have investigated the polarizing properties of gold wire gratings in the resonance domain. The partial polarizing properties of 1-MUm period gratings in the near IR are then used to orient the wire structure of transmission grating facets parallel to each other by means of an alignment polarimeter technique. The absolute alignment accuracy for these gratings is limited by the influence of the support structure on the orientation of the polarization ellipse. If the polarizing properties of this perturbative component are known, the accuracy can be enhanced by treating the polarization by means of the Mueller calculus. PMID- 21060405 TI - Extreme-ultraviolet radiation filtering by freestanding transmission gratings. AB - Measurement of energetic neutral atoms fluxes in space requires efficient suppression of exceptionally strong background extreme-ultraviolet (EUV) and UV radiation. Diffraction filters make it possible to separate (transmit) charged and neutral particles from the background radiation (which would be suppressed). Recently developed freestanding transmission gratings look especially promising for implementation in a new family of diffraction EUV/UV filters. The first results of our experimental study of filtering properties of freestanding transmission gratings with a period of 200 nm are presented. The grating transmission was measured in the 52-131-nm wavelength range, and grating polarization properties were determined at 58.4 nm. It is shown that transmission gratings can be used efficiently as filters and polarizers in the EUV/UV spectral range. PMID- 21060406 TI - Examination of the effect of the fibrous structure of a lens on the optical characteristics of the human eye: a computer-simulated model. AB - We introduce a model of the human eye for which we take into consideration the laminated nature of lens fibers. The thickness of each lamina is 5.6 um; thus the lens comprises 300 eccentric lenses of minute dimensions. The index gradient of the lens is such that the index of refraction increases exponentially from the lens core to its peripheral zone. A vector ray-tracing technique is employed to study the optical haracteristics of the system. Both paraxial and marginal rays are simulated, and the angles of incidence vary from 0 degrees to +/-20 degrees . Special attention is given to the meridional caustic surfaces as well as the wave-front distortion of the refracted rays. A quasi-Newton optimization technique is employed to obtain the best parameters for the system. A computer modeling program, written in FORTRAN 77, is used to simulate a ray's refraction through the multisurfaces of the eye. The results show full agreement with previous data and that the cornea is responsible for eliminating possible spherical aberration of the system. PMID- 21060407 TI - Refractive-index measurements of natural air-hydrate crystals in an Antarctic ice sheet. AB - The refractive index of air-hydrate crystals found in a deep Antarctic ice sheet was measured for the first time, as far as we know, using a Mach-Zehnder interferometer. A small difference between the refractive indices of the air hydrate crystals and the matrix ice crystal was measured by the fringe-shift method. It was found that the refractive indices of all air-hydrate crystals were larger than those of ice, and the average difference was 5.3 * 10(-3), even considering the refractive-index anisotropy of ice crystals. Because the refractive indices depend on the occupancy ratio of cagelike cavities by air molecules, we compared the experimental results with the calculated values using the Onsager cavity model. We determined that the present method is useful for estimation of the cavity occupancy ratio of air-hydrate crystals and also of the amount of air molecules in polar ice cores. PMID- 21060408 TI - New laser plasma source for extreme-ultraviolet lithography. AB - As the demands of lithographic fabrication of computer chips push toward ever decreasing feature sizes, projection extreme-ultraviolet (EUV) lithography becomes an increasingly attractive technology. The radiation source of choice for this approach is a laser plasma with a high repetition rate. We report an investigation of a new candidate laser plasma source for EUV lithography that is based on line emission from ice-water targets. This radiation source has the potential to meet all the strict requirements of EUV conversion, debris elimination, operation, and cost for a demonstration lithographic system. PMID- 21060409 TI - Lensacon. AB - A diffractive optical element has been synthesized with laser phototechnology. It can transform a point source into a bright caustic (a light line) stretched along the optical axis. The element transforms a spherical wave into a conic and a point source in the object space to a ring structure in the image space. We investigated how the parameters of the light line (the length and the effective diameter) depend on the wavelength of the light source and the movement of the source along the optical axis. Also the interference field outside the caustic (Fresnel and Poisson fringes) was investigated. For an explanation of experimental data the geometrical theory of interferometers was used. The conditions for the appearance of fringes in white light are given. A device for rectilinear control of large tool beds and for centering machine components was manufactured. Experiments on determining the macroshape and the microshape of objects were performed. PMID- 21060410 TI - Unusual splitting behavior of the dispersion of surface polaritons in gratings of different symmetry, amplitude, and profile. AB - The splitting behavior of the dispersion relation of plasmonic surface polaritons (surface plasmons) in silver gratings in the region of vanishing lateral momentum is investigated. The reflectivity of light was measured as a function of the amplitude and the shape of the grating. Although for nonsinusoidal gratings a splitting into low- and high-frequency branches of the dispersion relation is found, for sinusoidal gratings a splitting in lateral momentum is found. These phenomena are also found numerically by the use of a transfer matrix method. PMID- 21060411 TI - Coma correction and extension of the focusing geometry of a soft-x-ray monochromator. AB - I show analytically and numerically how to use a varied line-space grating to reduce coma aberration in a soft-x-ray synchrotron radiation monochromator: a plane grating in combination with one or more spherical mirrors arranged in front of the grating and rotated around a fixed axis outside the mirror surface. It seems that the variation extent of groove spacing is too small to be manufactured; thus, a holographic grating of equivalent imaging properties is proposed. Based on the analysis of a focusing equation, I found that, if the plane grating is replaced by a spherical one, the monochromator can also be made free of defocus. PMID- 21060412 TI - Field-of-view limitations of phased telescope arrays. AB - The optical performance of imaging phased telescope arrays is degraded by various design, manufacturing, and operational errors. Perhaps the most basic and fundamental of these error sources are the residual aberrations of the optical design chosen for the individual telescopes. We show that third-order field curvature and distortion, which are rather benign aberrations in a conventional telescope, result in relative phase and tilt errors between the individual telescopes making up the array. The field-dependent image degradation caused by these relative phase and tilt errors is then predicted for different subaperture configurations and telescope design parameters. For phased arrays made up of simple two-mirror telescopes, distortion limits the field of view to less than 5 arcmin for small subapertures (D < 0.5 m), and field curvature limits the field of view to less than 1 arcmin for subaperture diameters greater than 2 m. Quantitative parametric results yielding tolerances for residual field curvature as the phased array is scaled up in size are presented graphically. If a 0.5-deg field of view is desired for telescope diameters greater than 2 m, complex telescope configurations are necessary to satisfy the rather tight tolerances on both field curvature and distortion. PMID- 21060413 TI - Electronic speckle photography: measurement of in-plane strain fields through the use of defocused laser speckle. AB - High-accuracy, noncontact measurements of in-plane strain fields have been performed through the use of an electronic-speckle-photography system. The strain fields are extracted from the displacement of defocused laser speckle in a telecentric imaging system. Two different illumination configurations have been suggested, both of which use four illumination directions. Both configurations produce results of an accuracy according to Me/DeltaL, where M is the demagnification of the telecentric imaging system, e is the random error in the speckle-displacement fields, and DeltaL is the magnitude of the defocusing distance. The maximum defocusing distance possible was found to be restricted by the spatial resolution, especially at high magnifications. In experiments on a semicircularly and a rectangularly notched aluminum sheet, the principal strain field around the notch was measured with a random error in the strain field of less than 10 ustrain (um/m). PMID- 21060414 TI - Infrared imaging of buried objects by thermal step-function excitations. AB - Sudden heating or cooling of a surface, by turning on or off radiation flux on the surface, is used as a means of enhancing the detection capability of buried objects by thermal infrared imaging. An experimental analysis of a sand-surface temperature is presented as a function of a buried object's composition and depth, and it is compared with theoretical simulations. Object identification by means of the geometry of isothermal contours and the rate of change of the surface temperature with radiant flux is discussed. PMID- 21060415 TI - Experimental study of Cu(+)-Na(+) exchanged glass waveguides. AB - Optical waveguides have been obtained by field-assisted Cu(+)-Na(+) exchanged on glass. The refractive index profiles of the waveguides are determined by means of the prism-coupling technique and Chiang's method [J. Lightwave Technol. LT-3, 385 (1985)], and they correlate with the index profile calculated as a function of the glass composition. The composition profile is examined with the aid of a scanning electron microscopy with energy-dispersive x-ray analysis, and the diffusion process is explained by the one-dimensional diffusion equation. PMID- 21060416 TI - Investigation of the temporal spread of an ultrashort light pulse on transmission through a highly scattering medium. AB - An experimental and theoretical investigation of the temporal spread of an ultrashort light pulse on transmission through a highly scattering medium has been made. For the strongly diffuse light, the transmitted pulse may be described by a universal function whose duration can be directly related to the width of the sample. For sufficiently scattering samples, experimental data and the diffusion approximation indicate that the output pulse duration scales with the square root of the sample width. PMID- 21060417 TI - Modified version of the Chahine algorithm to invert spectral extinction data for particle sizing. AB - A modified version of the nonlinear iterative Chahine algorithm is presented and applied to the inversion of spectral extinction data for particle sizing. Simulated data were generated in a lambda range of 0.2-2 um,and particle-size distributions were recovered with radii in the range of 0.14-1.4 um. Our results show that distributions and sample concentrations can be recovered to a high degree of accuracy when the indices of refraction of the sample and of the solvent are known. The inversion method needs no a priori assumptions and no constraints on the particle distributions. Compared with the algorithm originally proposed by Chahine, our method is much more stable with respect to random noise, permits a better quality of the retrieved distributions, and improves the overall reliability of the fitting. The accuracy and resolution of the method as functions of noise were investigated and showed that the retrieved distributions are quite reliable up to noise levels of several rms percent in the data. The sensitivity to errors in the real and imaginary parts of the refraction index of the particles was also examined. PMID- 21060418 TI - Droplet profiles obtained from the intensity distribution of refraction patterns. AB - A noninterferometric method for obtaining profiles of axially symmetric transparent liquid droplets is described. The drops are illuminated along the symmetry axis by a uniform parallel beam whose intensity distribution is recorded at the focal plane of a lens placed behind the drop. In some conditions and within the geometrical optics approach, it is possible to reconstruct the profile of the drop from this intensity distribution except for the length scale factor, which, if necessary, may be provided by an additional simple measurement. Because of CCD cameras and digital image processing, this method is an interesting alternative technique for measuring drop profile shapes with considerable accuracy when interferometry is unwieldy. We also analyze the diffraction features of the intensity distribution to clarify the extent that they affect the approach that we used and to establish additional information that they may provide. PMID- 21060419 TI - Digital signal processing for an open-loop fiber-optic gyroscope. AB - Open-loop fiber-optic gyroscopes (FOG's) are generally less stable than closed loop FOG's, yet they offer simpler implementation. We propose a modification of the harmonic division algorithm for an open-loop FOG, which is more stable and also simpler for implementation than the original one. It is shown that when the analog signal is properly sampled and quantized, the performance of our algorithm reaches that of closed-loop FOG's. Our algorithm may be implemented by the use of off-the-shelf component, and does not require an integrated optics circuit. PMID- 21060420 TI - Optical fiber bundle displacement sensor using an ac-modulated light source with subnanometer resolution and low thermal drift. AB - An optical fiber bundle displacement sensor with subnanometer order resolution and low thermal drift is proposed. The setup is based on a carrier amplifier system and involves techniques to eliminate fluctuation in the light power of the source. The achieved noise level of the sensor was 0.03nm/?Hz. The stability was estimated by comparing the outputs of two different sensors from the same target for 4 ks (67 min). The relative displacements between the fiber bundle ends of the two sensors and the target surface varied in the area of 400 nm depending on the ambient temperature variation at 2 degrees C. However, the difference in output between the two sensor systems is within 2 nm for more than 1 hour of measurement. It is expected that it would be reduced to within the area of 0.1 nm if the ambient temperature were controlled to within +/-0.1 degrees C. It is concluded that the stability of the sensors is sufficiently good to be used with nanotechnological instruments. PMID- 21060421 TI - Multiplexed interferometric fiber-optic sensors with digital signal processing. AB - A microcontroller-based digital signal processing system developed for use with fiber-optic sensors for measuring pressure in internal combustion engines is described. A single distributed feedback laser source provides optical power for four interferometric sensors. The laser current is repetitively modulated so that its optical frequency is nearly a linear function of time over most of a cycle. The interferometer phase shift is proportional to the elapsed time from the initiation of a sawtooth until the sensor output signal level crosses a threshold value proportional to the laser output power. This elapsed time, assumed to vary linearly with the combustion chamber pressure, is determined by the use of a digital timer-counter. The system has been used with fiber Fabry-Perot interferometer transducers for in-cylinder pressure measurement on a four cylinder gasoline-powered engine. PMID- 21060422 TI - Light scattering by absorbing hexagonal ice crystals in cirrus clouds. AB - An improved ray-optics theory for single scattering and polarization of hexagonal columns and plates randomly oriented in space has been developed by considering absorption and by using the Chebyshev solution for diffraction integrals. The vector-tracing method and statistics technique of random sampling are employed. The equivalent forms of Snell's law and Fresnel formulas for absorbing ice crystals are derived, and two equivalent optical constants, m' and m", are obtained. Comparison is made of the computed results of our model and the Takano and Liou model for asymmetry factors, single-scattering albedos, and scattering phase matrix elements. Some characteristics of our model are discussed, and these analyses demonstrate that our ray-optics model is practical and much improved. PMID- 21060423 TI - Detection of biological particles by the use of circular dichroism measurements improved by scattering theory. AB - Light scattered from optically active spheres was theoretically analyzed for biodetection. The circularly polarized signal of near-forward scattering from circularly dichroic spheres was calculated. Both remote and point biodetection were considered. The analysis included the effect of a circular aperture and beam block at the detector. If the incident light is linearly polarized, a false signal would limit the sensitivity of the biodetector. If the incident light is randomly polarized, shot noise would limit the sensitivity. Suggested improvements to current techniques include a beam block, precise angular measurements, randomly polarized light, index-matching fluid, and larger apertures for large particles. PMID- 21060424 TI - Binary logic based purely on Fresnel diffraction. AB - Binary logic operations on two-dimensional data arrays are achieved by use of the self-imaging properties of Fresnel diffraction. The fields diffracted by periodic objects can be considered as the superimposition of weighted and shifted replicas of original objects. We show that a particular spatial organization of the input data can result in logical operations being performed on these data in the considered diffraction planes. Among various advantages, this approach is shown to allow the implementation of dual-track, nondissipative logical operators. Image algebra is presented as an experimental illustration of this principle. PMID- 21060425 TI - Size-speed trade-off in optical switching elements. AB - The basic building blocks of an interconnection network are the switching elements. We examine two optical implementations for a basic switching element: (1) ferroelectric liquid-crystal light valves and (2) Fabry-Perot etalons. For these two examples we report a trade-off between the size, i.e., the number of input and output channels, and the switching speed. We speculate that it may be a general property of optical switching elements that size and speed cannot be optimized simultaneously. PMID- 21060426 TI - Focusing-grating-coupler arrays for uniform and efficient signal distribution in a backboard optical interconnect. AB - Several one-dimensional arrays of focusing grating couplers are designed for uniform distribution of incident optical powers to several processing boards in a backboard interconnection scheme. As main design parameters, both the length and the duty cycle of each focusing grating coupler are determined on the bases of ray-optic propagation-mode analysis in a slab waveguide and of rigorous coupled wave diffraction analysis for out-coupled radiation modes. The backboard interconnection scheme incorporating the one-dimensional focusing-grating-coupler arrays, when used to distribute a guided optical power of TE(0) mode to several converging waves radiated only toward a glass substrate, displayed a power uniformity of 5% and a total coupling efficiency of 99.1%, which can be highly acceptable in practical use. PMID- 21060427 TI - Optical dual-scale architecture for neural image recognition. AB - A novel neural-network architecture that combines image data reduction with focus of attention to achieve reduced training cost, improved noise tolerance, and better generalization performance than comparable conventional networks for image recognition tasks is presented. The dual-scale architecture is amenable to optical implementation, and an example optical system is demonstrated. For one example problem, the best-case improvements of the dual-scale network over its conventional counterpart were found through simulation to be a factor of 6.7 in training cost, 67.3% in noise tolerance, and 61.6% in generalization to distortions. The dual-scale network is also applied to one instance of a human face recognition problem. PMID- 21060428 TI - Measurements on ferroelectric liquid-crystal spatial light modulators: contrast ratio and speed. AB - The contrast ratio and the speed of a 16 * 16 electrically addressed spatial light modulator, composed of a ferroelectric liquid-crystal layer on top of a VLSI silicon backplane, are measured with different methods but consistent results. The results are presented and compared with recently reported results on a similar spatial light modulator [Appl. Opt. 33, 2775 (1994)]. PMID- 21060429 TI - Synthetic-aperture imaging through an aberrating medium: experimental demonstration. AB - A simple technique for high-resolution imaging of distant objects is described and experimentally demonstrated. The technique, referred to as Fourier telescopy, is a variant of Fourier microscopy, which additionally uses phase closure for correction of intervening aberrations. It is an active-illumination technique that is scalable to angular resolutions of 1 nrad and to illuminators of extremely low power. A laboratory experiment demonstrates reconstruction of images of two simple objects with an angular resolution of 83 urad. PMID- 21060430 TI - Minimum entropy-neural network approach to turbulent-image reconstruction. AB - We investigate a neural net-based algorithm for enhanced imaging through atmospheric turbulence. The concept is based on a standard model of optical turbulence, according to which a short-exposure point-spread function is a random superposition of speckles. This leads to a new method of image processing called the Fourier division approach. The latter requires the taking of two short exposure images in rapid succession, which are picked up by an image-plane array, divided in Fourier space, and then processed by a minimum entropy-neural net approach. The main task of the processing is to estimate the two short-exposure point-spread functions that characterize the two images. Given these estimates, the two images may now be inverse filtered to produce two sharp object-scene estimates. These have most of the turbulence degradation removed, and are averaged to produce a single output image. The approach shows promise, in computer simulations, of removing nearly all of the turbulence degradation very quickly (currently tens of seconds). A further benefit arises from knowledge of the twoshort-exposure point-spread functions. These should permit identification of the state of turbulence along the imaging line of sight and, in particular, the presence of wind shear. PMID- 21060431 TI - Data-dependent-systems and Fourier-transform methods for single-interferogram analysis. AB - Results of wave-front phase detection obtained from a spatial method based on data-dependent-systems (DDS) methodology are compared with those obtained from the Fourier-transform method. DDS is a novel approach that extends and improves the way the stochastic autoregressive moving-average models are obtained and interpreted. The methodology is robust to noise influence and insensitive to the errors commonly associated with the Fourier transform. Both the Fourier-transform and the DDS methods use one interference pattern, and both offer means for filtering out disturbances such as noise and background variations. We present a brief review of the two methods to compare them theoretically, and then we describe their experimental implementation. The methods were applied to the same interferometric data sets, and the results are presented and compared to discuss relative advantages and disadvantages. In particular, it is shown that the DDS method preserves the detailed surface texture because a convolution of the component that represents the surface dynamic aspect with the component that corresponds to the independent and dynamic-free aspect is able to recover the original details. In contrast the Fourier-transform method smooths such details to an extent that depends on the subjective choice of filters. PMID- 21060432 TI - Diffraction theory of optimized low-resolution Fresnel encoded lenses. AB - A mathematical model describing the behavior of low-resolution Fresnel encoded lenses (LRFEL's) encoded in any low-resolution device (e.g., a spatial light modulator) has recently been developed. From this model, an LRFEL with a short focal length was optimized by our imposing the maximum intensity of light onto the optical axis. With this model, analytical expressions for the light-amplitude distribution, the diffraction efficiency, and the frequency response of the optimized LRFEL's are derived. PMID- 21060433 TI - Numerical study of Dammann array illuminators. AB - The numerical solutions of binary-phase (0, pi) gratings for one-dimensional array illuminators up to 32 are presented. Some fabrication errors, which are due to position-quantization errors, phase errors, dilation (or erosion) errors, and the side-slope error, are calculated and show that even-number array illuminators are superior to odd-number array illuminators when these fabrication errors are considered. One (0, pi) binary-phase, 8 * 16 array illuminator made with the wet chemical-etching method is given in this paper. PMID- 21060434 TI - Transition between diffractive and refractive micro-optical components. AB - Optical components are usually classified into diffractive and refractive elements. In this classification, refractive components are defined as elements that are sufficiently described by geometrical optics. For micro-optics this distinction is very often not applicable. Our goal is to understand which parameters control the transition from elements that can be interpreted as refractive to those elements that are called diffractive. We investigate the linear blazed grating and focus on the wavelength dependence of its properties. For this we adopt an approach well known from the theory of echelette gratings. Our results can easily be transferred to other blazed components, such as Fresnel lenses. PMID- 21060435 TI - Digital correlation system for nondestructive testing of thermally stressed ceramics. AB - We report on the development of an automated digital speckle system for use in the nondestructive testing of thermally stressed ceramics. The system is based on a laser-speckle technique known as speckle-pattern correlation and uses a CCD camera and a microcomputer to allow real-time testing of the ceramic samples. This arrangement makes use of decorrelation in the laser speckle image structure, which results from microstructural changes in the surface topology, to probe for surface defects on the thermally stressed materials. Acorrelation tracking procedure was used to allow corrections to be made to the correlation signal arising from bulk motion of the sample. Results are presented that demonstrate the capability of the correlator for distinguishing between ceramic components on the basis of their response to thermal loading. PMID- 21060436 TI - Gradual and random binarization of gray-scale holograms. AB - A new method called gradual and random binarization to binarize gray-scale holograms, based on an iterative algorithm, is proposed. The binarization process is performed gradually, and the pixels to be binarized are chosen randomly. Errors caused by this operation are spatially diffused. A comparison with other established methods based on error diffusion, direct binary search, and iterative stepwise quantization shows that the gradual and random binarization method achieves a very good compromise between computational complexity and reconstruction quality. Optical reconstructions are presented. PMID- 21060437 TI - Refractive and diffractive properties of planar micro-optical elements. AB - The refractive and the diffractive properties of planar micro-optical elements are investigated. The transition between purely refractive and purely diffractive planar microlenses is numerically simulated for the example of differently designed phase-matched Fresnel elements. Results obtained from numerical simulations and experiments show that the refractive and diffractive types exhibit a distinctly different behavior in the presence of small fabrication errors or wavelength deviations. Based on these results, design rules for various applications, including low- and high-numerical-aperture lenses and hybrid refractive-diffractive elements, are derived. For a high-numerical-aperture (f /# = 1.0) lens the experimental characterization of the irradiance distribution in the image space is presented and shown to agree well with theoretical predictions. PMID- 21060438 TI - Binary phase spatial modulation using photoinduced anisotropy in amorphous As(2)S(3) thin film. AB - We present a method for binary phase spatial modulation that uses photoinduced anisotropy in a chalcogenide amorphous As(2)S(3) thin film and its application to binary phase-only filters in a VanderLugt optical correlator. The time-dependent light-transmission properties of the photoilluminated As(2)S(3) thin film are analytically examined by use of third-order nonlinear polarization theory. Experimental results on optical correlation are discussed. PMID- 21060439 TI - Encrypted holographic data storage based on orthogonal-phase-code multiplexing. AB - We describe an encrypted holographic data-storage system that combines orthogonal phase-code multiplexing with a random-phase key. The system offers the security advantages of random-phase coding but retains the low cross-talk performance and the minimum code storage requirements typical in an orthogonal-phase-code multiplexing system. PMID- 21060440 TI - Fractional Fourier transformer of variable order based on a modular lens system. AB - The fractional Fourier transform is a new topic in optics. To make use of the fractional Fourier transform as an experimental tool, I design a fractional Fourier transformer of variable order: I introduce a lens system that is able to perform equidistant fractional Fourier transforms that cover the whole range of orders and that consist of a minimum number of modules. By module, I mean an elementary fractional Fourier transform of certain order that consists of a lens between two free-space lengths. Because of the commutative additivity of the transform, various fractional orders can be achieved by means of different constellations of the modules. It is possible to perform a large variety of fractional Fourier transforms with a small number of modules. PMID- 21060441 TI - Application of bacteriorhodopsin films in an adaptive-focusing schlieren system. AB - The photochromic property of bacteriorhodopsin films is exploited in the application of a focusing schlieren optical system for the visualization of optical phase information. By encoding an image on the film with light of one wavelength and reading out with a different wavelength, the readout beam can effectively see the photographic negative of the original image. The potential advantage of this system over previous focusing schlieren systems is that the updatable nature of the bacteriorhodopsin film allows system adaptation. I discuss two image encoding and readout techniques for the bacteriorhodopsin and use film transmission characteristics to choose the more appropriate method. I demonstrate the system principle with experimental results using argon-ion and He Cd lasers as the two light sources of different wavelengths, and I discuss current limitations to implementation with a white-light source. PMID- 21060442 TI - Patents. AB - 5,351,320; 5,367,527; 5,375,130; 5,381,431; 5,388,111; 5,394,413; 5,406,194; 5,408,565. PMID- 21060443 TI - Optical diffraction by the microstructure of the wing of a moth. AB - On the wing of the moth Trichoplusia orichalcea a prominent, apparently highly reflective, golden spot can be seen. Scales from this area of the wing exhibit a regular microstructure resembling a submicrometer herringbone pattern. We show that a diffraction process from this structure is responsible for the observed optical properties, such as directionality, brightness variations, polarization, and color. PMID- 21060444 TI - Binary adaptive optics: atmospheric wave-front correction with a half-wave phase shifter. AB - We describe a binary approach to adaptive wave-front correction, especially suitable for narrow band applications, which would be simpler than conventional adaptive technology. Appropriate parts of the aberrant wave front are phase retarded by half a wavelength to ensure that none of the image-forming rays add together destructively. Simulations for monochromatic light show that the residual wave-front errors, in the absence of other errors, would result in Strehl ratios of ~40% with diffraction-limited widths at visible wavelengths. We simulate the imaging performance of such a system and describe a possible implementation that uses a ferroelectric liquid-crystal spatial light modulator. PMID- 21060445 TI - Microwave spectroscopy of the Mars atmosphere. AB - A study of the use of millimeter-wavelength spectral transitions to investigate the atmosphere of Mars is presented. In the model experiments investigated it is assumed that a spectrometer in the frequency range from 100 to 260 GHz looks into a modest-sized telescope of from 30 to 50 cm aperture from a near-Mars orbit. The molecules H(2)O, CO, O(2), O(3), and H(2)O(2) all have intense spectral lines in the Mars atmosphere in this frequency range and in addition are all very important in understanding the water cycle, the photochemistry, and the circularization in that atmosphere. It is shown that the altitude and the zonal distribution of H(2)O can be mapped even in atmospheric columns as dry as 0.25 precipital um. Ozone can be mapped over the entire planet, independent of solar lighting conditions, dust loading, or clouds in the atmosphere, because millimeter waves are insensitive to any particles that can be suspended in the Mars atmosphere. Because the signal-receiving techniques use superheterodyne devices and narrow spectral lines, zonal and meridional winds can be measured at altitudes above 10 km with a precision approaching approximately 3 m/s by the use of Doppler shifts. Temperature-pressure profiles can be measured to altitudes of 100 km by the use of CO lines in the limb-sounding mode. PMID- 21060446 TI - Carousel interferometer. AB - A new type of a swinging interferometer, the carousel interferometer, is presented, and its properties are studied and compared with other swinging interferometers. The new interferometer is built with five plane mirrors. The optical path difference is accomplished by rotation of a system that consists of four mirrors. The modulation is almost independent of the scanning of the interferometer. It is not sensitive to external perturbations such as bending or other deformations of the mount. The construction is very compact. Because of its stability and low cost it is very applicable to small Fourier-transform spectrometers for any wave-number region from the far infrared down to the ultraviolet. PMID- 21060447 TI - Amplified double-coupler double-ring optical resonators with negative optical gain. AB - Optical resonators with a double-coupler and double-ring configuration incorporated into optical amplifiers that have negative gain are analyzed. The resonators are presented with a unique signal-flow graph together with z transform variables for sampled optical signals. Their optical transfer functions are obtained by a graphical technique. The poles and zeroes in the z plane of the transfer functions are examined, which leads to some unique design features of the resonators for optical-filtering applications. PMID- 21060448 TI - Accurate frequency control of an internal-mirror He-Ne laser by means of a radiation-heating system. AB - Radiation heating enables fast temperature control. I applied radiation heating to the oscillation frequency control of an internal-mirror He-Ne laser. The laser system has a fast frequency response, and its oscillation frequency is offset locked in an iodine-stabilized He-Ne laser by a phase-lock loop circuit. The frequency discrepancy sigma between the master and the slave lasers is less than 10(-13) with a 100-s gate time. PMID- 21060449 TI - Optimization of output power in hollow-waveguide lasers. AB - We present an approximate analysis of the nonlinear operation of the hollow waveguide laser, including gain saturation and longitudinal- as well as transverse-field distribution of the laser mode. The model presented is general and can be applied to the study of an arbitrary configuration of the waveguide laser. The laser characteristics obtained reveal that the optimal position of the output mirror (which provides maximal power efficiency of the laser system with the other parameters constant) depends on the output-power level and the mirror reflectivity coefficient. Moreover, it has been shown that when an addition device is introduced into the cavity, the power efficiency also depends on which end of the laser the light power is extracted from. PMID- 21060450 TI - Fixed-wavelength operation of a copper-laser-pumped dye laser injection seeded by low-power He-Ne lasers. AB - The design and operating characteristics of a dye laser pumped by a 3-W copper vapor laser (CVL) and injection seeded by low-power (1-5 mW) He-Ne lasers at 633 nm are reported. An extremely simple optical arrangement is used wherein the output mirror of the He-Ne laser and a third mirror form the dye laser cavity. Laser efficiency in fixed-wavelength operation has been investigated for variable CVL pump power, He-Ne injection power and polarization, and cavity output coupling for a standard Rhodamine 590/Rhodamine 640 dye solution. Over 90% of free-running (unseeded) laser power is obtained in fixed-wavelength (seeded) operation at low CVL pump powers (<=1 W), dropping to approximately 60% at 3-W pump power. Maximum CVL pump to dye laser optical conversion efficiency in narrow band, fixed-wavelength operation at 633 nm was 12%. PMID- 21060451 TI - Stable room-temperature LiF:F2 (+*) tunable color-center laser for the 830-1060 nm spectral range pumped by second-harmonic radiation from a neodymium laser. AB - Simultaneous photostability and thermostability of a room-temperature LiF:F2(+*) tunable color-center laser, with an operating range over 830-1060 nm, pumped by second-harmonic radiation of a YAG:Nd(3+) laser with a 532-nm wavelength has been achieved. The main lasing characteristics of the obtained LiF:F2 (+*) laser have been measured. Twenty-five percent real efficiency in a nonselective resonator cavity and 15% real efficiency in a selective resonator cavity have been obtained. The stable LiF:F2 (+*) laser operates at a 1-100-Hz pulse-repetition rate with a 15-ns pulse duration, a 1-1.5-cm(-1) narrow-band oscillation bandwidth, and divergency of better than 6 * 10(-4). Doubling the fundamental frequencies of F2(+*) oscillation made it possible to obtain stable blue-green tunable radiation over the 415-530-nm range. PMID- 21060452 TI - Beam quality of InGaAs ridge lasers at high output power. AB - The nonlinear behavior of the light-current characteristic of single quantum well, graded-index-separateheterostructure ridge laser diodes emitting at 980 nm is investigated. We have measured the beam-quality factor |M|(2) as a function of the output power, under continuous-wave and transient conditions.The time constant associated with beam degradation under the transient condition suggests that the temperature profile in the cavity plays a significant role in the lateral guiding of the lasing modes. The two-dimensional heat equation is solved for the device, and the time-resolved thermally induced refractive-index profile is computed. There is excellent agreement between the time required to reach a steady index profile and that required to degrade the beam. The small beam astigmatism (typically 2 um) measured under CW operating conditions in the linear regime indicates that the mode is essentially index guided, which permits simple quantitative modeling of the waveguide. PMID- 21060453 TI - Ray matrix for Gaussian beam propagation in a nonlinear medium: experimental results. AB - The validity of a ray-matrix formulation of Gaussian beam propagation in a liquid nonlinear medium exhibiting self-defocusing is examined experimentally. By comparing the measured spot size of a Gaussian laser beam passing through the medium with the calculated spot size, it was found that the theory is consistent with the experimental results as long as the waist position of the input beam is not close to the exit surface of the medium. PMID- 21060454 TI - Expression of third-order effective nonlinear susceptibility for third-harmonic generation in crystals. AB - Third-harmonic-generation processes in crystals are governed by the fourth-rank tensor ((3))(Xijkl), which reflects the crystal symmetry. In this case, the third order nonlinear susceptibility tensor can be contracted to the compact matrix form ((3))(Xim). The matrices ((3))(Xim) for isotropic media and all 32 crystallographic point groups are presented. With these matrices, the analytic expressions of third-order effective nonlinear susceptibility can be easily derived. PMID- 21060455 TI - Analytical characteristics of stimulated Raman scattering in a multimode fiber obtained with an optical time-domain reflectometer. AB - The nonlinear behavior of stimulated Raman scattering (SRS) in a low-loss optical fiber has been analytically and experimentally investigated by the assumption of certain restraint conditions. As a result, it has been found that the SRS light propagating along the optical fiber is expressed in a simple formula by the introduction of nonlinear parameters, which are experimentally identified and whose maximum value is restricted by the restraint conditions. The parameters, moreover, are available for compensating the SRS intensity in the presence of noninteracting light. Therefore the formula for SRS intensity presented is useful for investigating the SRS effect in a multimode fiber. PMID- 21060456 TI - Image quality in time-resolved transillumination of highly scattering media. AB - Using a photon-counting setup and a streak-camera arrangement with time resolutions of 35 and 6 ps, respectively, we have investigated the spatial resolution of a time-gated transillumin tion technique applied to turbid media. In the case of large relative amounts of unscattered light, it is found that small detection angles improve the spatial resolution. For large concentrations of scatterers and large sample thicknesses, i.e., when the amount of unscattered light is negligible, the best time-gate position is found to be at times that are later than the minimum transit time. In this case (minimum transit time), temporal resolutions from small values up to approximately 50 ps yield almost the same image resolution. The only advantage of measuring systems with a higher than 50-ps temporal resolution is their ability to distinguish the diffused from the unscattered light, when a significant amount of the latter is present. PMID- 21060457 TI - Analytic modeling of erbium-doped fiber amplifiers on the basis of intensity dependent overlapping factors. AB - Rate equations based on intensity-dependent overlapping factors are integrated to obtain analytic solutions for pump, signal, and amplified spontaneous emission (ASE), even when the coupled signal varies with time. The equations can be applied without the imposition of any restraints on the values of the pump, signal, and ASE powers, the excited-state-absorption cross section, the erbium density distribution, or other parameters that characterize the fiber. The methods used to calculate pump, signal, and ASE powers are discussed. Experimental techniques to characterize the doped fiber that were based on these analytic expressions are introduced. PMID- 21060458 TI - Optical vernier filter with fiber grating Fabry-Perot resonators. AB - A simple optical vernier filter with fiber grating Fabry-Perot resonators is proposed. This vernier filter comprises two isolated Fabry-Perot fiber resonators in tandem; each is formed with two parallel fiber reflection gratings. A comparison between this vernier filter and one that uses fiber (or waveguide) ring resonators is made. It is found that this vernier grating filter is simpler and usually has better output characteristics than the others. PMID- 21060459 TI - Accurate coupling coefficients for fiber couplers with weakly fused cross sections. AB - For fused tapered fiber couplers with weakly fused cross sections, power coupling takes place between two touching tapered cladding cylinders embedded in the air, and the cylinders then become a strongly guiding structure. We provide accurate polarization-dependent coupling coefficients for such a structure, on the basis of a rigorous vectorial numerical calculation. Our results for the corresponding weakly guiding structure are compared with previous vector perturbation methods base on scalar fields. It is found that the scalar theories are not applicable to those cases with large normalized frequencies or with small fiber separations when the polarization-splitting effect is concerned. PMID- 21060460 TI - Equivalent-optical-waveguide model for the analysis of optical waveguides by means of an asymptotic effective-index method. AB - We present a theoretical method that makes it possible to analyze three dimensional (3-D) integrated optical waveguides with arbitrary refractive-index profiles. With this method it is easy to obtain effective indexes, propagation constants, and coupling-switching properties of planar and channel optical waveguides. This theoretical approach involves one's modeling the original optical waveguide by means of an equivalent optical waveguide whose effective index is evaluated by the application of a technique that we call the asymptotic effective-index method. The numerical values show good convergence and accuracy for effective indexes, propagation constants, and coupling-switching characteristics. Theoretical and experimental results are given. PMID- 21060461 TI - 70-nm-bandwidth achromatic waveguide coupler. AB - We report a general approach to the design of broadband waveguide couplers. A double-parallel grating assembly is used to cancel the first chromatic order, and a proper choice of prism glass and base angle is made to compensate for the second chromatic order. The technique was applied to a Corning glass 7059 waveguide, and a spectral bandwidth of 70 nm was measured by the use of two complementary procedures. PMID- 21060462 TI - CO(2) laser-based differential absorption lidar system for range-resolved and long-range detection of chemical vapor plumes. AB - A dual CO(2) laser-based differential absorption lidar (DIAL) system has been constructed and demonstrated for range-resolved mapping of chemical vapor plumes. The system acquires high range resolution through the use of plasma-shutter pulse clippers that extinguish the nitrogen tail of the CO(2)-laser output. Aprogrammable servomotor-driven scanner allows full hemispherical coverage of the interrogated field. A high-speed direct-detection receiver subsystem is used to gather, process, and display vapor-concentration data in near real time. Data demonstrating range-resolved detection of low concentrations of chemical plumes from ranges of 1 to 2 km are presented. In the column-content detection mode, trace levels of secondary vapors from various organophosphate liquids were monitored. Detection of an SF(6) vapor plume released 16 km from the DIAL system is also adduced. PMID- 21060463 TI - Optical systems design for a stratospheric lidar system. AB - The optical systems for the transmitter and receiver of a high-power lidar for stratospheric measurements have been designed and analyzed. The system requirements and design results are presented and explained. An important and driving factor of this design was the requirement for a small image diameter in the plane of an optical chopper to allow the high-intensity lidar returns from the lower atmosphere to be shielded from the detection system. Some results relevant to the optical performance of the system are presented. The resulting system has been constructed and is now in operation at the Mauna Loa Observatory, Hawaii, and is making regular measurements of stratospheric ozone, temperature, and aerosol profiles. PMID- 21060464 TI - Plant canopy gap-size analysis theory for improving optical measurements of leaf area index. AB - Optical instruments currently available for measuring the leaf-area index (LAI) of a plant canopy all utilize only the canopy gap-fraction information. These instruments include the Li-Cor LAI-2000 Plant Canopy Analyzer, Decagon, and Demon. The advantages of utilizing both the canopy gap-fraction and gap-size information are shown. For the purpose of measuring the canopy gap size, a prototype sunfleck-LAI instrument named Tracing Radiation and Architecture of Canopies (TRAC), has been developed and tested in two pure conifer plantations, red pine (Pinus resinosa Ait.) and jack pine (Pinus banksiana Lamb). A new gap size-analysis theory is presented to quantify the effect of canopy architecture on optical measurements of LAI based on the gap-fraction principle. The theory is an improvement on that of Lang and Xiang [Agric. For. Meteorol. 37, 229 (1986)]. In principle, this theory can be used for any heterogeneous canopies. PMID- 21060465 TI - Remote measurements of vertical profiles of atmospheric constituents with a UV visible ranging spectrometer. AB - A study of the feasibility of retrieving vertical profiles of atmospheric constituents with a new UV-visible ranging spectrometer recently described by R. L. Jones [Optical Methods in Atmospheric Chemistry, U. Platt and H. I. Schiff, eds., Proc. Soc. Photo-Opt. Instrum. Eng. 1715, 393 (1992)] is presented. This instrument resembles a lidar, in that pulses of UV-visible radiation are transmitted vertically upward and backscattered to receiving optics. However, the pulse is a broadband source, and the receiving optics includes a two-dimensional CCD array that allows a series of absorption spectra to be recorded, each corresponding to a different altitude. This allows the simultaneous measurement of the vertical profiles of such atmospheric constituents as O(3), H(2)O, and NO(2) in the troposphere and lower stratosphere. Formal retrieval theory has been used to model the retrieval of vertical profiles with this instrument, demonstrating that it should be possible to obtain profiles at accuracies better than 30% and resolution better than 3 km up to altitudes of 12-15 km. The way in which the measurement error, flash-lamp pulse length, CCD recording interval, and mixing-ratio profile each affect the accuracy and the vertical resolution of the retrieved profile has also been investigated. PMID- 21060466 TI - Optical characteristics of a wind-roughened water surface: a two-dimensional theory. AB - We present a two-dimensional theory of thermal emission and light scattering from an anisotropic wind-roughened water surface that is described by the Gaussian Joint North Sea Wave Project model. The theory is developed through the use of the first-order geometrical-optics approxmation modified with shadowing effects, and it is valid when the average slopes of the surface are smaller than unity. The theory allows us to evaluate the effective emissivity and the effective bistatic reflectivity of a full-gravity-capillary wave surface at large viewing angles, for any direction relative to the average propagation direction of the surface wave. We also present an application of the theory to the recently proposed method for obtaining thermal imagery of a wind-roughened water surface from low altitudes, which is called statistically corrected ocean thermography. Corrected thermal images of the ocean surface, obtained by our field experiment, are shown. PMID- 21060467 TI - Radiative transfer two-stream shape factors for ocean optics. AB - The mean upward-scattering coefficient of the downward-traveling photons and the mean downward-scattering coefficient of the upward-traveling photons are two factors needed for the two-stream approximation to the radiative-transfer equation. Numerical values of each shape factor just beneath the surface and at asymptotic depths give an indication of the range of values at intermediate depths in spatially uniform waters with no sources and are used to obtain an approximate depth-dependent model for each shape factor. The shape factors are computed for different surface-illumination conditions, wavelengths, and chlorophyll concentrations. PMID- 21060468 TI - Size-shape determination of nonspherical particles in suspension by means of full and depolarized static light scattering. AB - Full and depolarized static light-scattering (LS) experiments have been carried out to characterize the size and shape of colloidal suspensions. Results have been compared with theoretical predictions following the extended-boundary condition method (T-matrix) formalism for scattering by nonspherical particles. Theory-to-experiment data fitting has yielded size-shape data that compare well with electron-microscopy determinations. Depolarized light-scattering has been found to be an especially useful tool to use to find the correct geometrical parameters of the suspended particles. Size (though not shape) is also correctly fitted through full LS experiments. PMID- 21060469 TI - Presence of terrestrial atmospheric gas absorption bands in standard extraterrestrial solar irradiance curves in the near-infrared spectral region. AB - The solar irradiance curves compiled by Wehrli [Physikalisch-Meteorologisches Observatorium Publ. 615 (World Radiation Center, Davosdorf, Switzerland, 1985)] and by Neckel and Labs [Sol. Phys. 90, 205 (1984)] are widely used. These curves were obtained based on measurements of solar radiation from the ground and from aircraft platforms. Contaminations in these curves by atmospheric gaseous absorptions were inevitable. A technique for deriving the transmittance spectrum of the Sun's atmosphere from high-resolution (0.01 cm(-1)) solar occultation spectra measured above the Earth's atmosphere by the use of atmospheric trace molecule spectroscopy (ATMOS) aboard the space shuttle is described. The comparisons of the derived ATMOS solar transmittance spectrum with the two solar irradiance curves show that he curve derived by Wehrli contains many absorption features in the 2.0-2.5-um region that are not of solar origin, whereas the curve obtained by Neckel and Labs is completely devoid of weak solar absorption features that should be there. An Earth atmospheric oxygen band at 1.268 um and a water-vapor band near 0.94 um are likely present in the curve obtained by Wehrli. It is shown that the solar irradiance measurement errors in some narrow spectral intervals can be as large as 20%. An improved solar irradiance spectrum is formed by the incorporation of the solar transmittance spectrum derived from the ATMOS data into the solar irradiance spectrum from Neckel and Labs. The availability of a new solar spectrum from 50 to 50 000 cm(-1) from the U.S. Air Force Phillips Laboratory is also discussed. PMID- 21060470 TI - Atmospheric transmittance of an absorbing gas. 4. OPTRAN: a computationally fast and accurate transmittance model for absorbing gases with fixed and with variable mixing ratios at variable viewing angles. AB - A fast and accurate method for the generation of atmospheric transmittances, optical path transmittance (OPTRAN), is described. Results from OPTRAN are compared with those produced by other currently used methods. OPTRAN produces transmittances that can be used to generate brightness temperatures that are accurate to better than 0.2 K, well over 10 times as accurate as the current methods. This is significant because it brings the accuracy of transmittance computation to a level at which it will not adversely affect atmospheric retrievals. OPTRAN is the product of an evolution of approaches developed earlier at the National Environmental Satellite, Data, and Information Service. A major feature of OPTRAN that contributes to its accuracy is that transmittance is obtained as a function of the absorber amount rather than the pressure. PMID- 21060471 TI - Selection of absorption lines for I(2)-planar laser-induced fluorescence measurement of temperature in a compressible flow. AB - It is very important for I(2)-planar laser-induced fluorescence measurement of temperature in a compressible flow to choose a pair of absorption lines appropriate to the temperature range. The method for selection of suitable pairs of absorption lines of I(2) in the transition of B(3)II(ou) + (nu' = 43) ? X(1)Sigma(g) + (nu" = 0)is described. By the use of many pairs of absorption lines, the temperature dependence of the ratio between the fluorescence signals is calculated theoretically and is also investigated in experiments in which several pairs are applied to determination of the temperature distribution of a supersonic free jet. PMID- 21060472 TI - Orthographic double-beam holographic interferometry for limited-view optical tomography. AB - A simultaneous algebraic reconstruction technique (SART) that was first applied to limited-view optical tomography reconstruction of a three-dimensional asymmetric refractive-index field or a temperature distribution is presented and numerically simulated. Within two orthographic-view directions and limited view ranges, the reconstruction speed and accuracy of the SART are adequate. A new orthographic double-beam holographic-interferometric system from which multidirectional interferometric data can be obtained is first built. An asymmetric heat air-flow field is experimentally investigated by the use of orthographic double-beam holographic interferometry with double exposures. The temperatures reconstructed with the SART are consistent with those measured with a thermocouple. PMID- 21060473 TI - Planar laser-induced-fluorescence imaging measurements of OH and hydrocarbon fuel fragments in high-pressure spray-flame combustion. AB - Planar laser-induced fluorescence images of OH have been obtained in liquid fueled spray flames burning heptane, ethanol, and methanol over a range of pressures from 0.1 to 1.0 MPa. In addition to the OH fluorescence, a nonresonant fluorescence interference that increased rapidly with pressure was detected. Examination of the spectrum of this interference indicates that it arises from hydrocarbon fuel-fragment species in the fuel-rich zones of the flame. The pressure dependence of the fluorescence signal is examined in both steady-state and time-dependent analyses, and a model for evaluation of pressure effects and quenching variations in quantitative imaging measurements in nonpremixed flame environments is presented. The results indicate that increased combustor pressure results in a rapid rise of the volume fraction of hydrocarbon fragments and a decrease in the OH volume fraction. PMID- 21060474 TI - Measurement of scattering properties of individual particles with a scanning flow cytometer. AB - A hydrofocusing head with an optical cuvette has been developed for the flow cytometer to generate complete scatter patterns of single particles at scattering angles ranging from 10 degrees to 120 degrees . The scatter signal has been measured as a function of the angle (a flying indicatrix) by the use of particle motion within a scanning system of the flow cytometer by the use of a single photomultiplier. Scattering data measured with the flow cytometer have been compared with those calculated from Mie theory for latex particles. A calculation algorithm has been used to estimate the size and the refractive index of spherical particles from the scattering data measured. PMID- 21060475 TI - Relationship between asymmetry parameter and hemispheric backscatter ratio: implications for climate forcing by aerosols. AB - Calculations of direct climate forcing by anthropogenic aerosols commonly use radiative transfer parameters, including asymmetry parameter g. One method of obtaining the asymmetry parameter of a particle population is to convert measured values of the hemispheric-to-total-scatter ratio (backscatter ratio b) into their corresponding g values. We compare a conversion derived from Mie calculations with one derived from the Henyey-Greenstein (HG) phase function to show that the HG method systematically overestimates g for typical size distributions of accumulation-mode aerosols. A delta-Eddington radiative transfer calculation is used to show that a 10% overestimation of g can systematically reduce climate forcing as a result of aerosols by 12% or more. Mie computations are used to derive an empirical relationship between backscatter ratio and asymmetry parameter for log-normal accumulation-mode aerosols. This relationship can be used to convert the backscatter ratio to the asymmetry parameter, independent of geometric mean diameter D(gv) or complex refractive index m, but the conversion requires knowledge of the breadth sigma(g) of the size distribution. PMID- 21060476 TI - Effects of thermal link in bolometric detectors. AB - The effects of the thermal link on the dynamic behavior of bolometric detectors are discussed. A simple unidimensional model is used to describe the heat diffusion in the link between the sensor and the bath, and the exact general solution is given for the coupled system. Calculations of the dynamic behavior in the time and frequency domains are shown for specific heat sources. A useful approximated formula for the effective heat capacity of the detector is given. PMID- 21060477 TI - Method of designing an apodizer. AB - Side lobes can be suppressed by means of a suitable filter across an aperture. This method is well known in optics as apodization. To obtain the desired field, we approximate it by a Gaussian field. Then it is transformed to the transmittance function of the filter. The field and the transmittance function are Fourier-transform pairs. In general, the Fourier transform of an arbitrary function extends infinitely. This means that we cannot obtain an exact transmittance function of the filter that gives the desired diffraction pattern. We discuss a transmittance function that approximates a specified diffraction pattern. We express the Fraunhofer diffraction pattern as a sum of beam-mode functions and approximate it by the first term. Then, by using the Fourier transform, we can obtain the transmittance function. The diffraction field through the truncated filter is also obtained, and the effect of truncation is discussed. PMID- 21060478 TI - Diffraction effects of telescope secondary mirror spiders on various image quality criteria. AB - Diffraction from secondary mirror spiders can significantly affect the image quality of optical telescopes; however, these effects vary drastically with the chosen image-quality criterion. Rigorous analytical calculations of these diffraction effects are often unwieldy, and virtually all commercially available optical design and analysis codes that have a diffraction-analysis capability are based on numerical Fourier-transform algorithms that frequently lack an adequate sampling density to model narrow spiders. The effects of spider diffraction on the Strehl ratio (or peak intensity of the diffraction image), full width at half maximum of the point-spread function, the fractional encircled energy, and the modulation transfer function are discussed in detail. A simple empirical equation is developed that permits accurate engineering calculations of fractional encircled energy for an arbitrary obscuration ratio and spider configuration. Performance predictions are presented parametrically in an attempt to provide insight into this sometimes subtle phenomenon. PMID- 21060479 TI - Holographic stars. AB - Holographic stars fabricated on DuPont's holographic recording film HRF 600X010 by the use of He-Ne laser light are demonstrated. The stars operate with plane waves, the transmitted portions of the input beams are used at the corresponding outputs, and the gratings are in the volume regime of diffraction. Multiple exposure based on the Bragg degeneracy effect is employed, which drastically reduces the number of multiplexed gratings and requires a three-dimensional arrangement of the replay beams.The star operates at different wavelengths only by the readjustment of the Bragg angles. PMID- 21060480 TI - Sandwich, double-reference-wave, holographic, phase-shift interferometry. AB - Phase-shift interferometry has provided a straightforward method for converting interferograms to phase maps. Unfortunately, some of the most powerful pulsed holographic interferometry techniquesare generally not compatible with phase shift interferometry. One solution is to employ two reference waves, one for each of the two object waves to be interfered, that can be phase stepped during reconstruction. Practical aberration and alignment problems render this approach difficult. A simple method that employs a second hologram, sandwiched with the first, which produces the required two reference waves during reconstruction, is presented. The process compensates for both chromatic and geometric aberrations that otherwise render the phase-shift method unusable. PMID- 21060481 TI - Reconstruction of multidirectional interferometric data using an isoparametric finite-element method. AB - The spatial resolution of tomographic reconstructions is critical when the object field contains large- and small-scale features. Simply increasing the number of elements used in the reconstruction process throughout the domain is generally an unsatisfactory method to achieve higher resolution because additional multiview data are required. Here a new series-expansion reconstruction procedure, based on isoparametric finite-element concepts, is described. This procedure permits the shape and size of the reconstruction elements to be arbitrarily specified. The method is demonstrated by the use of an analytic function and is directly compared with results obtained from other series-expansion methods on a uniform grid. Given identical input data and reconstruction grids, the absolute error of reconstruction is improved by the use of the new method. The advantages of performing the reconstruction of a complex field on a nonuniform grid is also demonstrated. PMID- 21060482 TI - Distance and velocity detection based on a deep sinusoidal phase-modulated interferometer. AB - A deep phase-modulation signal with a sinusoidal waveform is employed on a Michelson interferometer for detecting distance and velocity signals simultaneously. This approach is simple to implement and has a wide-dynamic-range capability with a linear scale factor. PMID- 21060483 TI - Interferometric 45 degrees and 60 degrees strain rosettes measuring. AB - A laser-based technique, referred to as interferometric strain rosettes for measuring three in-plane strains, is presented. The strain rosette consists of three microindentations produced on a specimen surface and can be of two separate forms. The two forms are 45 degrees and 60 degrees rosettes for indentations located at the vertices of a 45 degrees right triangle and an equilateral triangle, respectively. The three indentations for either form can be grouped into three pairs. When the indentations are illuminated with laser light, each pair of indentations acts like a two-point source generating a pair of Young's interference fringe patterns. The fringe spacing is inversely proportional to the separation of the indentations. Because strains cause the separation to change, the fringe spacing also changes. The fringe change is monitored with linear-array diodes and collected real time through a microcomputer system. The three strain components in the directions of the indentation pairs can then be obtained. PMID- 21060484 TI - Measurement of small rotation angles by using a parallel interference pattern. AB - We propose a method for measuring rotation angles by using a parallel interference pattern. At two points on a parallel interference pattern reflected by an object, we detect phase changes in the reflected parallel interference pattern caused by rotations of the object. A high sensitivity, or a high ratio of the phase change to the rotation angle, 17 mrad/arcsec, can be achieved by determining the positions of two detection points. A high spatial resolution of ~0.5 mm is also obtained. We analyze the measurement error caused by the alignment of the parallel interference pattern and a random measurement error caused by the phase detection. The theoretical analyses and the experimental results make the characteristics of the method clear and show that the method has an accuracy of 0.2 arcsec for small rotation angles. PMID- 21060485 TI - Extreme-ultraviolet interferometry at 15.5 nm using multilayer optics. AB - The development of multilayer mirror technology capable of operating in the range of 3-30 nm and the construction of thin membranes with excellent uniformity and strength have made it possible to design and implement a Mach-Zehnder interferometer operating at 15.5 nm. We have tested this interferometer by using a soft x-ray laser as a source, and we show its use in probing high-density plasmas. PMID- 21060486 TI - Fabrication of extreme-ultraviolet point-diffraction interferometer aperture arrays. AB - Interferometric testing at the design wavelength is required for accurately characterizing the wave front of an imaging system operating in the extreme ultraviolet. The fabrication of point-diffraction interferometer apertures for extreme ultraviolet wave-front aberration analysis is described. The apertures are formed in a 200-nm-thick low-pressure chemical-vapor-deposited Si(3)N(4) film and vary in size from approximately 0.10 to 0.50 um to generate a reference wave front of varying numerical aperture. A graded absorber overcoat is used to control the intensity of the aberrated wave front.Optimal fringe contrast can be obtained when the aperture that provides the maximum uniformity and contrast in the interference plane is selected. PMID- 21060487 TI - Polarization-induced noise in a fiber-optic Michelson interferometer with Faraday rotator mirror elements. AB - Faraday rotator mirror elements have been used in a number of applications as compensators for induced birefringence in retracing paths. In interferometric systems, such as the fiber-optic Michelson interferometer, this approach proved to be useful in providing maximum fringe visibility and insensitivity to the polarization state of light injected into the interferometer. However, it is found that, when the characteristics of the fiber coupler depend on the polarization state of the input beam, the efficiency of the Faraday mirror elements is limited. Theoretical analysis and experimental results in support of this statement are presented. PMID- 21060488 TI - Laser beam scanning by rotary mirrors. I. Modeling mirror-scanning devices. AB - Avector approach to tracing the path of a laser beam through an optical system containing movable plane mirrors is described, which permits a unified treatment of a number of basic mirror-scanning devices. We show that the scan field produced by the mirror-scanning system is a curved surface with a straight line as its generating element. The cross section of the scan field can be a circle, an ellipse, or a curve in the shape of an egg. Based on this understanding, some advanced topics are addressed, e.g., the relationship between the scan field and the scan pattern, the dependence of the scan pattern on the location and orientation of the observation surface, optical distortions in a scan pattern, spot-size enlargement caused by non-normal incidence of the scan beam on the observation plane, and so on. Design equations and curves are derived for the mirror-scanning devices that most frequently exist in linear and circular scan technology. Part II contains an analysis of the galvanometer-based optical scanner paddle scanner and the regular polygon. In Part III, X-Y scanning systems are studied. PMID- 21060489 TI - Laser beam scanning by rotary mirrors. II. Conic-section scan patterns. AB - Part II of this study is an application of the general theory of Part I to the following scanners: the galvanometer-based scanner, the paddle scanner, and the regular polygon. The scan field produced by these scanners is (or approximates) a circular cone. Therefore the scan pattern on the plane of observation can be one of the following curves, circle, ellipse, parabola, or hyperbola, depending on the position and orientation of the plane. Special topics to be addressed are (1) the effect of input offset, (2) the locus of the instantaneous scan center and the waist of the scan field, (3) the scanning on curved surfaces, and (4) the generalization of the scan-field expression. In Part III, X-Y scanning will be studied. PMID- 21060490 TI - Evaluation of microlens properties in the presence of high spherical aberration. AB - Microlenses can be generated with various fabrication technologies. Some of these technologies cause large spherical aberrations in the resulting microlenses. We describe an algorithm based on Rayleigh's quarter-wave criterion, which allows the evaluation of lens parameters for those microlenses. Specifically, we investigate numerical aperture, focal length, and space-bandwidth product with respect to applications in optical microsystems. We apply our algorithm to different types of microlenses, three gradient-index lenses, and one surface relief lens. The experimental results demonstrate that our algorithm provides a helpful characterization method for microlenses with large aberrations. PMID- 21060491 TI - Extreme ultraviolet polarizing optics using bare and aluminum-coated silicon carbide. AB - A deformable three-reflection system that uses a bare silicon carbide substrate can function as an in-line, high-throughput (>30%), 90 degrees phase shifter in the 50-100 nm spectral range. For a given extreme ultraviolet wavelength, an aluminum thin film can be deposited on the silicon carbide substrate to suppress the parallel (p) or perpendicular (s) polarization on single reflection or to introduce quarter-wave retardation and equal reflectances for incident p- and s polarized light. PMID- 21060492 TI - Power deposited by a Gaussian beam on a decentered circular aperture. AB - An expression for the energy or power deposited by a Gaussian beam on a decentered circular aperture is derived. It represents a generalization of the classic laser-beam truncation problem, with applications in the areas of laser scanning, detection theory, lidar, free-space communications, and so on. In addition, it can be used to quantify the effect of alignment errors on laser systems. PMID- 21060493 TI - Ultraviolet-visible spectrograph optics: ODIN project. AB - We describe one of the possible designs for the UV-visible spectrograph optics to be employed in the ODIN project. The spectrograph will be used in a future satellite mission for aeronomy observations and will image a column of atmosphere just above the Earth's surface onto a two-dimensional CCD array with the spatial and spectral content aligned orthogonal to one another. PMID- 21060494 TI - Normal-incidence efficiencies in the 115-340-A wavelength region of replicas of the Skylab 3600-line/mm grating with multilayer and gold coatings. AB - Multilayer and gold coatings were applied to replicas of the 3600-line/mm ruled grating that was developed for the Naval Research Laboratory S082A spectroheliograph that was flown on the Skylab spacecraft. The Mo-Si multilayer coating had a peak normal-incidence reflectance of 50% at a wavelength of 136 A. The normal-incidence efficiency of the multilayer-coated grating was measured by the use of synchrotron radiation and was compared with the efficiency of the gold coated replica grating in the 115-340-A wavelength region. The peak efficiency of the multilayer grating was 1.3% in the 133-137-A region and was a factor of 65 higher than the efficiency of the gold grating. The multilayer and gold coated gratings, as well as an uncoated replica grating substrate, were characterized by the use of a scanning probe microscope. The rms microroughness of the uncoated and multilayer-coated gratings was 10 A, and the microroughness of the gold grating was 16 A. PMID- 21060495 TI - Performance comparison of two Wolter type II telescopes in the far ultraviolet. AB - Experimental results for image quality and scatter in far-UV light are used to choose between the conventionally polished Solar Extreme-Ultraviolet Rocket Telescope and Spectrograph (SERTS-A) and computer-controlled polished SERTS-C Wolter type II solar rocket telescopes for an extreme-UV flight instrument. In 124-nm light the SERTS-C telescope image had a 0.67-arcsec full width at half maximum (FWHM), compared with a 1.25-arcsec FWHM for the SERTS-A telescope. In addition SERTS-C had twice the peak irradiance of SERTS-A and an order of magnitude lower near-angle scatter. The inflight performance of the telescopes is consistent with laboratory findings. PMID- 21060496 TI - Optical distortion in the field of a lithotripter shock wave. AB - The schlieren observation of cavitation phenomena produced in the tail of a lithotripter shock wave has indicated the presence of some interesting features. The images produced appear to indicate that cavitation transients in the field of a shock wave propagate nonsymmetrically; this is not the case. The apparent lack of symmetry exhibited by the primary cavitation transients is due to a complex optical lensing effect, which is brought about by the change in refractive index associated with the pressure profile of the shock wave. Objects seen through or immersed in the shock-wave field of an electromagnetic acoustic transducer, such as cavitation, appear highly distorted because of the strong positive and negative lensing effects of the compression and rarefaction cycles of the shock wave. A modification of the schlieren technique called the scale method has been used to model the distortion introduced by the shock wave and consequently explain the cavitation distortion. The technique has also been used to quantitatively analyze and partially reconstruct the lithotripter shock wave. The combination of schlieren and scale imaging gives more information about the refractive index field and therefore the shock-wave structure itself. PMID- 21060497 TI - Implementation of a hybrid lens. AB - Details are presented of the design, fabrication, and use of a hybrid lens employed to interconnect two-dimensional arrays of optical transceivers. The hybrid lens consists of a custom-designed, 42-mm focal length, f/5 compound lens followed by an array of afocal telescope compound microlenses. PMID- 21060498 TI - Progressive ladder network topology combining interferometric and intensity fiber optic-based sensors. AB - Progressive ladder topology is studied by consideration of its properties of power budget and coupler tailoring. Optimization criteria are addressed for lossless and real systems, and their basic characteristics are compared with other topologies. Numerical results are presented, and an experiment is described for the case in which the network supports interferometric and intensity (with referentiation) fiber-optic-based sensors. PMID- 21060499 TI - Angular displacement fiber-optic sensor: theoretical and experimental study. AB - An optical-fiber sensor based on twist-induced optical activity has been developed for measuring angular displacements at low temperature. The sensing part is composed of a fiber coil rotated between two points, which induces a twist of two sections of fiber. A theoretical study of the evolution of a general input state of polarization in the sensor gave us its main characteristics. Then experimental investigations permitted the construction of a sensor to take angular measurements over a 100-deg range with an accuracy of 0.2 deg. The thermal sensitivity of this kind of sensor is also briefly reported. PMID- 21060500 TI - Simple laser velocimeter that uses photoconductive semiconductors to measure optical frequency differences. AB - The dc photocurrents generated by steady-state moving space-charge fields inside photoconductive semiconductors containing deep level donors and traps can be used to determine the relative frequency differences between the two interfering optical fields that establish the space-charge fields. A simple laser velocimeter that uses a semi-insulating GaAs:Cr sample to detect the Doppler frequency shift between two laser beams is demonstrated. PMID- 21060501 TI - Tunable dispersion compensation by an angular conserved grating-pair system. AB - To our knowledge the concept of an angular conserved grating-pair dispersion compensation system is proposed for the first time, and furthermore a model of such a system is developed and applied to the study of two- and three-lens special cases. A set of easy-to-use dispersion compensation formulas based on geometric optics has been derived and confirmed by our experimental results. Tunability and a compensation ratio as high as 27,600 have been achieved with two and three-lens systems, respectively. PMID- 21060502 TI - Mo/Si multilayer-coated ruled blazed gratings for the soft-x-ray region. AB - Two Mo/Si multilayer-coated blazed gratings have been fabricated for operation at soft-x-ray wavelengths above the Si L edge, lambda >= 12.4 nm, at (near) normal incidence. The sawtooth profile of the grating structure was mechanically ruled into a 200-nm Au film that was deposited onto a plane glass substrate. To smooth the rough Au surface and to prevent interdiffusion of the Au film with the upper Mo/Si multilayer, a carbon film was evaporated onto the Au grating surface of one of the gratings before the deposition of the multilayer coating. We matched the multilayer grating, working on blaze in the third diffraction order, in which an absolute diffraction efficiency of 3.4% at a wavelength of 14 nm was measured, whereas only 1.1% was achieved for a similar grating (without a carbon interlayer). These efficiencies are higher than those obtained for other ruled blazed gratings reported in the literature. As a result of the multilayer and grating periodicity, the wavelength of diffraction can be tuned bya rotation of the grating, which is important for application in a soft-x-ray monochromator. PMID- 21060503 TI - Velocity characterization of particulate debris from laser-produced plasmas used for extreme-ultraviolet lithography. AB - Debris from laser-produced plasmas created with solid Sn and Au targets has been characterized according to speed and particulate size. Conditions for the experiments were those appropriate for producing an optimum laser-produced plasma emission at 13.5 nm for use in extreme-ultraviolet lithography. Results in the form of histogram data show that the speed distribution of the debris particulates is quite varied and in general exhibits an upper limit of ~640 m/s. In the case of Sn a peak in the velocity distribution is observed near 300 m/s. Small particulates, of the order of 1 um or less, constitute the majority of the particulate emission in both materials. The implications for debris reduction based on the measurements are also discussed. PMID- 21060504 TI - Transmission of light through right-angle corners in hollow light guides. AB - Transmission of light through the corners in hollow light guides is compared for a new type of corner that is based on a laser-cut light-deflecting panel and for a flat, 45 degrees , mirror-type corner. Corner efficiency is defined, and an experimental method for the measurement of the corner efficiency versus the angular width of the input light is described. Measured corner efficiencies were found to be nearly independent of the angular width of the incident light for metallic hollow light guides, with the average efficiency of the laser-cut-panel corner (65%) lower than that of the mirror corner (80%). PMID- 21060505 TI - Direct x-ray imaging system using an amplified metal-oxide-semiconductor imager in the 4-13-nm wavelength region. AB - We describe a direct x-ray imaging system that uses an amplified metal-oxide semiconductor imager to detect soft x rays directly for real-time imaging. From the absolute sensitivity of this system as measured through the use of a monochromatic synchrotron radiation beam and a GaAsP Schottky-type photodiode, the minimum sensitivity at a wavelength of 13 nm was estimated to be greater than 10(8)photons mm(-2). This is sufficient to detect soft x rays directly for real time imaging. Onion cell observations at wavelengths of 4.3 and 4.6 nm indicate that x-ray absorption by the carbon in the cells was detected. This is a promising imaging system for the soft x-ray region in which conventional CCD's are difficult to use. PMID- 21060506 TI - Reduction of the zero-order intensity in binary Dammann gratings. AB - The source of the reduction in the zero-order intensity in binary Dammann gratings is described as an error in the areas in the phase areas within the unit cell of the grating. Equations for determining the amount of error required to produce a specific reduction ratio are given. A two-dimensional, N = 1, Dammann grating that creates a 3 * 3 beam fan-out with a 24% reduction of the zero order provides an example of such an effect. The calculation shows agreement with the measured error. PMID- 21060507 TI - Elimination of threshold-induced distortion in the power spectrum of narrow-band laser speckle. AB - The distortion in the power spectrum of narrow-band laser speckle that results from irradiance thresholding is quantified. A method for compensation of this distortion is presented. An optimal threshold level is presented that simplifies the compensation method. PMID- 21060508 TI - Reflectivity and scattering measurements of an Advanced X-ray Astrophysics Facility test coating sample. AB - Reflectivity and scattering profile measurements were made on a gold-coated witness sample produced to evaluate mirror coatings for the Advanced X-ray Astrophysics Facility program. Reflectivity measurements were made at Al K, Ti K, and Cu K energies as a function of incident graze angle. The results are fit to a model that includes the effects of roughness, particulate and organic contamination layers, and gold-coating density. Reflectivities are close to theoretical, with the difference being well accounted for by 4.1 A of roughness at spatial frequencies above 4 um(-1), a gold-coating density equal to 0.98 bulk, and a surface contaminant layer 27 A thick. Scattering measurements extending to +/-35 arcmin of the line center were obtained by the use of Al K x rays and incidence angles from 0.75 degrees to 3 degrees . The scattering profiles imply a power spectral density of surface-scattering frequencies that follows a power law with an index of -1.0 and a total surface roughness for the spatial frequency band between 0.05 um(-1) and 4 um(-1) of 3.3 A Combining the roughnesses derived from both the reflectivity and scattering measurements yields a total roughness of 5.3 A for scattering frequencies between 0.05 um(-1) and 15,000 um(-1). PMID- 21060510 TI - Light scattering described in the mode picture: comment. AB - Computational aspects of aperture-integration limits, completeness, normality, biorthogonality, singularities, the Fresnel number, and perturbations are discussed in terms of the non-Hermitian integral operator and its relation to transverse-mode scattering at open (cavity) resonator reflective elements. PMID- 21060509 TI - Forward light scattering for arbitrary sharp-edged convex crystals in Fraunhofer and anomalous diffraction approximations. AB - Fraunhofer diffraction is a well-known physical model for describing forward light scattering from opaque particles much larger than the wavelength of the light. Analytical expressions exist for diffraction from circular- or rectangular shaped apertures. An expression is derived for diffraction by apertures of a general polygonal shape. From this expression the exact solution for anomalous diffraction by arbitrary convex crystals is calculated. These expressions are useful in characterizing crystal size and shape, by laser diffraction instruments, when measured in a solution. PMID- 21060511 TI - Low-coherence optical tomography in turbid tissue: theoretical analysis. AB - On the basis of white-light interferometry and statistical optics, a theoretical model for low-coherence optical tomography is presented that establishes the relation of interference modulation with path-length-resolved reflectance and that can provide analytical expressions and numerical solutions by means of a Fourier transform. The Monte Carlo technique is used to simulate the path-length resolved reflectance from different multilayer tissue phantoms. Theoretical analyses and preliminary experimental results suggest that, unlike time-resolved spectroscopy, low-coherence optical tomography detects the local relative variations of path-length-resolved reflectance from the turbid tissues. PMID- 21060512 TI - Comparison of the filtered backpropagation and the filtered backprojection algorithms for quantitative tomography. AB - We compare the filtered backpropagation algorithm with the filtered backprojection algorithm for reconstructing the complex refractive-index distribution of semitransparent, cylindrical objects. Before reconstruction, the recorded scattered light is propagated back to the reconstruction area by inverse diffraction. Our comparison is based on computer-simulated data, and experimental optical data obtained from fibers with step-index, graded-index, and uniform index distributions. The results show that both the filtered backpropagation algorithm and the filtered backprojection algorithm can produce accurate reconstructions of the complex refractive-index distribution as long as the weak scattering approximation is valid. The good agreement between the results obtained from these two reconstruction algorithms indicates that the errors introduced by the assumption of straight-line propagation inside the object are negligible compared with those introduced by the weak-scattering approximation. PMID- 21060513 TI - Refractive index of ice in the 1.4-7.8-um spectral range. AB - New accurate values of the imaginary part of the refractive index k of polycrystalline ice at T = -22 degrees C are reported. The k spectrum in the 1.43-2.89-um region was found to be in excellent agreement with the most recent study, and the data in the 3.35-7.81-um range eliminate the large existing uncertainty in the 3.5-4.3-um region. PMID- 21060514 TI - Optical transfer function of slit-coupled axial systems. AB - We studied the influence that aberrations and diffraction have on the quality of the image of a generic family of slit-coupled axisymmetric systems when both effects are competitive. We evaluated the modulation optical transfer function of these systems for small amounts of individual third-order aberrations and different widths of the intermediate slit that couples both stages. PMID- 21060515 TI - Laser Doppler velocimetry and Monte Carlo simulations on models for blood perfusion in tissue. AB - Laser Doppler flow measurements and Monte Carlo simulations on small blood perfusion flow models at 780 nm are presented and compared. The dimensions of the optical sample volume are investigated as functions of the distance of the laser to the detector and as functions of the angle of penetration of the laser into the sample. The effects of homodyne and heterodyne scattering are investigated. PMID- 21060516 TI - Three-dimensional shape recognition using computer-generated holograms and temporal light-in-flight technique. AB - Visualization of light propagation and light in flight are general names for viewing a pulse of light traveling through an optical system. Abramson suggested [Appl. Opt. 30, 1242 (1991)] the use of light-in-flight techniques for holographic comparison of different objects. His system is based on sending picosecond pulses in such a sequence that, if the object has the desired shape, all the scattered light arrives simultaneously at an ultrafast detector. The result is that the shortness of the detected pulses is a measure of the similarity between a holographically recorded master object and the test object. The reference hologram is recorded from a master surface, which is not always available. This method suffers from low-contrast results. A computer-generated hologram technique is suggested and mathematically analyzed. This technique overcomes the low-contrast problem, and a master object is not needed. PMID- 21060517 TI - Astigmatic gradient-index elements for laser-diode collimation and beam shaping. AB - For the conversion of light from edge-emitting laser diodes into symmetric laser beams two main tasks have to be performed: collimation and beam shaping. Generally these two jobs are performed separately. Because of the inherently different divergence angles of the emitted light, collimation with astigmatic lenses generally results in a beam with an elliptically shaped amplitude distribution. This asymmetry has to be compensated for by an anamorphic imaging step to obtain the desired spherical beam profile. It can be advantageous to combine both jobs in one element. We demonstrate the design, the fabrication, and the application of refractive gradient-index elements, which allow one to perform both jobs with a single element. Our astigmatic lenses were fabricated by silver sodium ion exchange in glass. PMID- 21060518 TI - Blind deconvolution of fluorescence micrographs by maximum-likelihood estimation. AB - We report some recent algorithmic refinements and the resulting simulated and real image reconstructions of fluorescence micrographs by using a blind deconvolution algorithm based on maximum likelihood estimation. Blind deconvolution methods encompass those that do not require either calibrated or theoretical predetermination of the point-spread function (PSF). Instead, a blind deconvolution reconstructs the PSF concurrently with deblurring of the image data. Two-dimensional computer simulations give some definitive evidence of the integrity of the reconstructions of both the fluorescence concentration and the PSF. A reconstructed image and a reconstructed PSF from a two-dimensional fluorescent data set show that the blind version of the algorithm produces images that are comparable with those previously produced by a precursory nonblind version of the algorithm. They furthermore show a remarkable similarity, albeit not perfectly identical, with a PSF measurement taken for the same data set, provided by Agard and colleagues. A reconstructed image of a three-dimensional confocal data set shows a substantial axial smear removal. There is currently an existing trade-off in using the blind deconvolution in that it converges at a slightly slower rate than the nonblind approach. Future research, of course, will address this present limitation. PMID- 21060519 TI - Optical Omega network: a compact implementation technique. AB - We propose a technique for the compact implementation of an optical Omega network. This technique utilizes the concept that both the perfect-shuffle interconnection and the switching stages can be realized by the same procedures, i.e., duplicate, shift, superimpose, and mask. As a result, a single set of optics is sufficient to realize the whole Omega network in a time-multiplexed recursive manner. Optical setups were designed and a proof-of-principle experiment was performed. PMID- 21060520 TI - Programmable multiple-level phase modulation that uses ferroelectric liquid crystal spatial light modulators. AB - We present a novel method of producing arbitrarily valued binary phase-only modulation from a commercially available ferroelectric liquid-crystal spatial light modulator that is used in conjunction with simple polarization components. By cascading of such stages, modulators with four and eight equally spaced phase levels are constructed with 128 * 128 pixels. Near-diffraction-limited performance, when stopped down to 64 * 64 pixels, is reported in producing simple diffraction patterns and when used to generate asymmetric spot arrays in the Fourier plane of a lens. PMID- 21060521 TI - New method for the fabrication of stratified gratings and their applications. AB - Anew method for the preparation of stratified light-sensitive film is developed, and the stratified gratings (SG's) are recorded in the film. The sensitive layers on both sides of a dichromated cellulose triacetate film are produced simultaneously through chemical reaction and not with the conventional coating technique. Compared with SG's in other materials made with coating techniques, double-layer SG's in the film have, to my knowledge, the highest experimental diffraction efficiency (~54%) in addition to their having a simple recording optical system. The diffraction efficiency and the periodic Bragg selectivity of the SH in the film is given. Based on the SG's of the film, several beam splitters with 2, 3, 4, or 7 fan-outs and higher than 80% total diffraction efficiencies are realized experimentally. The advantages of this method as compared with others, such as the method based on volume holographic beam splitters, are explained. PMID- 21060522 TI - High-precision measurement of pixel positions in a charge-coupled device. AB - The high level of spatial uniformity in modern CCD's makes them excellent devices for astrometric instruments. However, at the level of accuracy envisioned by the more ambitious projects such as the Astrometric Imaging Telescope, current technology produces CCD's with significant pixel registration errors. We describe a technique for making high-precision measurements of relative pixel positions. We measured CCD's manufactured for the Wide Field Planetary Camera II installed in the Hubble Space Telescope. These CCD's are shown to have significant step-and repeat errors of 0.033 pixel along every 34th row, as well as a 0.003-pixel curvature along 34-pixel stripes. The source of these errors is described. Our experiments achieved a per-pixel accuracy of 0.011 pixel. The ultimate shot-noise limited precision of the method is less than 0.001 pixel. PMID- 21060523 TI - Analysis of spatial light modulator contrast ratios and optical correlation. AB - We have performed a general analysis of optical correlators with spatal light modulators (SLM's) whose primary defect is a finite contrast ratio (CR). Our mathematical analysis identifies three noise terms that appear in addition to the correlation term. The filter SLM contains either a phase-only filter (POF) or a binary-phase-only filter (BPOF). Insertion of a dc block at the center of the filter SLM decreases the noise background in the correlator plane; this dc block is larger than that required for the same level of performance in a correlator whose SLM's have transmissive (or reflective) dead zones. With a noise-free input and the dc block, our computer simulations that show the peak intensity falling off as the CR decreases are in quantitative agreement with the correlation term of the mathematical model. For a cluttered, disjoint noise input this agreement is only qualitative, and at low CR's the dc block is definitely required for the BPOF correlator if the secondary peaks in the output are to be brought below the correlation peak. PMID- 21060524 TI - Interferogram analysis based on the data-dependent systems method for nanometrology applications. AB - A spatial method of wave-front phase detection from an interferogram is presented. The method uses data-dependent systems methodology, an approach that extends and improves the way the stochastic autoregressive moving average models are obtained and interpreted. Its application to interference data addresses the fundamental problem of recovering the self-coherence function commonly used to retrieve the wave-front phase. The self-coherence function is efficiently computed by means of a complex autoregressive model and is used for surface reconstruction. The method is shown to be robust and suitable for surface testing. The correspondence of the data-dependent systems methodology and its physical meaning as related to the classical interferometry are presented. The theoretical development is illustrated by experimental implementation, with the results obtained from one- and two-dimensional interferometric fringe analysis of a computer hard disk. PMID- 21060525 TI - Midinfrared modulation through the use of field-induced scattering in ferroelectric liquid crystals. AB - The feasibility of the use of modulation devices based on field-induced transient scattering in ferroelectric liquid crystals (LC) to replace mechanical choppers used in uncooled infrared-imaging systems was investigated. Devices fabricated with ITO-coated ZnSe substrates and a ferroelectric LC path length of 25 um were able to modulate optical radiation by transient forward scattering at rates approaching 20 kHz. Through the use of a commercial arbitrary waveform generator and associated PC-based software, drive waveforms were developed that produced a variable, square-wave optical-modulation pattern by the extension of the duration of the scattering state to periods ranging from hundreds of microseconds to milliseconds. The ability of these extended-scattering-mode (ESM) devices to modulate radiation in both the visible and midinfrared regions was verified in a simple experiment through the use of a Fourier-transform infrared spectrometer, in which an unoptimized ESM device displayed a 40% modulation dep th for IR radiation in the 8-12-um region. PMID- 21060526 TI - Optical binary de Bruijn networks for massively parallel computing: design methodology and feasibility study. AB - The interconnection network structure can be the deciding and limiting factor in the cost and the performance of parallel computers. One of the most popular point to-point interconnection networks for parallel computers today is the hypercube. The regularity, logarithmic diameter, symmetry, high connectivity, fault tolerance, simple routing, and reconfigurability (easy embedding of other network topologies) of the hypercube make it a very attractive choice for parallel computers. Unfortunately the hypercube possesses a major drawback, which is the complexity of its node structure: the number of links per node increases as the network grows in size. As an alternative to the hypercube, the binary de Bruijn (BdB) network has recently received much attention. The BdB not only provides a logarithmic diameter, fault tolerance, and simple routing but also requires fewer links than the hypercube for the same network size. Additionally, a major advantage of the BdB network is a constant node degree: the number of edges per node is independent of the network size. This makes it very desirable for large scale parallel systems. However, because of its asymmetrical nature and global connectivity, it poses a major challenge for VLSI technology. Optics, owing to its three-dimensional and globalconnectivity nature, seems to be very suitable for implementing BdB networks. We present an implementation methodology for optical BdB networks. The distinctive feature of the proposed implementation methodology is partitionability of the network into a few primitive operations that can be implemented efficiently. We further show feasibility of the presented design methodology by proposing an optical implementation of the BdB network. PMID- 21060527 TI - Differential phase contrast and magneto-optic edge detection. AB - Magneto-optic (MO) edge detection is an alternative readout method for MO data storage systems. I show the equivalence of MO edge detection and the standard phase-detection methods of scanning optical microscopy but with the detected quantity depending primarily on spatial variations in the ellipticity rather than the phase of the reflected light. In particular, the equivalence of MO edge detection with a split detector and differential-phase-contrast detection is proven by a general theory of the optical imaging process and the symmetries in the system. The MO edge-detection signal results from variations in the phase of the light along the detection branches, these phase variations depending primarily on the ellipticity of the reflected light. This method of analysis gives not only a simple explanation of the detection techniques but allows the experience gained in scanning optical microscopy to be applied to MO edge detection. PMID- 21060528 TI - Spatioangular-multiplexing scheme for dense holographic storage. AB - A novel multiplexing scheme for dense holographic storage in photorefractive crystals, spatioangular multiplexing, is described in detail. Compared with spatial multiplexing, spatioangular multiplexing increases the storage capacity by fully utilizing the volume of the storage medium. On the other hand, spatioangular multiplexing reduces the number of holograms overlapping any one hologram in a given volume and so increases the diffraction efficiency achievable as compared with angular multiplexing. Using this scheme, we succeeded in storing 756 high-resolution patterns in an Fe:LiNbO(3) crystal of volume 1 cm(3), with an average diffraction efficiency of 0.5%. This large database is designed for practical use in a novel associative-memory system, called a high-order feedback neural network. PMID- 21060529 TI - Volume gratings for holographic storage applications written in high-quality germanosilicate glass. AB - Volume holographic gratings are written with ultraviolet light in high-optical quality, commercially available Ge-doped silica films and in Ge-doped optical fiber preform sections loaded with molecular hydrogen. In the film samples, peak refractive-index changes exceeding 10(-2) and a sensitivity (index change/absorbed energy density) of 0.4 * 10(-7) cm(3)/J are measured. Angular multiplexing of up to 51 gratings is demonstrated in the preform samples. PMID- 21060530 TI - Throughput analysis of digital partitioning with error-correcting codes for optical matrix-vector processors. AB - Digital partitioning and error-correcting codes provide a technique for achieving high-accuracy computations with analog optical matrix-vector processors. We present the results of a detailed throughput analysis of this technique. The results indicate that using one processor per submatrix provides the best compromise between system throughput and hardware requirements over a range of matrix sizes. Errorcorrecting codes are shown to not significantly degrade system throughput for large matrix sizes. Finally, a comparison to digital electronic computers is made. PMID- 21060531 TI - Cross-talk analysis and reduction in fully parallel matrix-matrix multipliers. AB - Analog optical processors that calculate a product of two matrices in a single clock cycle are analyzed for cross talk. It is determined that the sidelobes from the sinc function corresponding to the individual pixels of the spatial light modulator that encodes the first matrix are the main source of the cross talk. This cross talk can be reduced substantially by the use of an apodizing function for individual pixels of the spatial light modulator. This scheme for cross-talk reduction is verified by computer simulation. Initial experimental results are presented that demonstrate the gray-scale performance of the matrix-matrix multiplier as well as sidelobe suppression with apodization. PMID- 21060532 TI - Wigner-distribution-function representation of the coupling coefficient. AB - The Wigner-distribution-function representation of the source's and the receiver's light fields is used to express the coupling efficiency. The symmetries of the Wigner-distribution graphical representations are connected with the amount of coupled light. PMID- 21060533 TI - Hamilton neural-network model: recognition of the color patterns. AB - A 16-state Hamilton neural-network model is discussed. The storage capacity of the model is analyzed through theory and through a computer numerical simulation. The storage-capacity ratio of the presented model equals that of the Hopfield model. This 16-state neural network can be applied to the recognition of 16-level color patterns, and some examples are discussed. PMID- 21060534 TI - Superresolution readout system with electrical equalization for optical disks. AB - An electrical equalizer for a superresolution readout system with an optical apodizer is proposed and verified experimentally. This superresolution readout system uses a five-tap transversal filter as the electrical equalizer instead of additional optics to suppress enlarged sidelobes, and it achieves higher resolution than the diffraction-limited system. The transfer function of the electrical equalizer is also derived theoretically. This approach allows fabrication of a readout system with a good signal-to-noise ratio and a compact head. PMID- 21060535 TI - Photorefractive integrator characterization. AB - We describe the recent results of our efforts to characterize a photorefractive crystal to be used as a time-integrating device in an optically implemented null steering adaptive processor for phased-array radar. We review frequency response data for the Bi(12)SiO(20) crystals, measured with an acousto-optic apparatus, and we present measured dynamic range data for the candidate Bi(12)SiO(20) crystals. PMID- 21060536 TI - Coherent beam combining: optical loss effects on power scaling. AB - A model for a coherent array of amplifiers that has multiple stages and uses pairwise beam combining at the final stage has been developed. The model accounts for gain saturation of the individual amplifier elements, optical-coupling losses, and coherent-combining efficiency. The individual amplifier parameters are derived from experimental data. System size, efficiency, and output power are calculated as functions of optical-coupling efficiency and combining efficiency. Because of the exponential losses associated with pairwise beam combining, the coherent-combining losses are the dominant contribution to system efficiency reduction and increased system size. PMID- 21060537 TI - Jones matrices of a quarter-wave plate for Gaussian beams. AB - The Jones matrix of a quarter-wave plate is studied theoretically and experimentally, taking into account internal reflections, the ellipsoid of the indices, geometric defects, the tilt angle, and the characteristics of the incident Gaussian beam. The influence of the different parameters is isolated, and large discrepancies are observed with respect to results obtained from the Jones matrix that are usually given in textbooks. It is shown that the effective Jones matrix of the plate does not depend on the longitudinal position of the plate on the Gaussian beam but only on the beam-waist size. This leads to a method of characterization of the defects of a quarter-wave plate that is more precise than the usual methods. Different procedures to optimize the efficiency of a given plate are discussed, taking the plate defects into account. In all cases, a good agreement between experiments and theory is obtained. PMID- 21060538 TI - Decentered Gaussian beams. AB - A generalization of the Gaussian beam is obtained by introducing a complex-valued shift in the transverse dimension. The resulting beam has a Gaussian intensity distribution with width varying as an ordinary Gaussian beam, but whose peak traces an inclined linear trajectory. The wave fronts are displaced laterally in a sheared fashion. This generalized beam preserves its form after passing through arbitrary paraxial optical components, even if they are decentered. The peak intensity line is modified by such systems as if it were a ray. PMID- 21060539 TI - Gaussian beam transfer through hard-aperture optics. AB - We consider Gaussian beam diffraction by hard circular and rectangular-slit apertures. Both numerical results and accurate elementary analytic approximations are derived for the fraction of transmitted power (or energy) contained within the main central lobe of the far-field (or focal-plane) irradiation distribution as a function of the truncation ratio. PMID- 21060540 TI - Characteristics of ruby passive Q switching with a Dy(2+):CaF(2) solid-state saturable absorber. AB - Characteristics of ruby passive Q switching with a Dy(2+):CaF(2) solid-state saturable absorber are investigated with output couplers of various reflectivities and saturable absorbers of different thicknesses. Numerical simulation is used to investigate the behavior of ruby passive Q switching with a Dy(2+):CaF(2) saturable absorber and to interpret the experimental results. PMID- 21060541 TI - Stable, intense picosecond pulse generation using intracavity GaAs. AB - A reliable pulsed Nd:YAG laser system has been designed to produce 150-mJ pulses of 260-ps duration with a shot-to-shot standard deviation of +/-5.8% and a full angle divergence of 0.6 mrad. The stability is due to a new cavity-dumped oscillator design that uses an intracavity GaAs plate to stabilize the pulse development and inhibit large fluctuations. The resulting output pulse from the cavity-dumped oscillator is 103 uJ, with an average shot-to-shot standard deviation of +/-1.1% and a full-angle divergence of 2 mrad. PMID- 21060542 TI - Effect of crossed beams on Stokes gain and interaction length in stimulated Raman gain spectroscopy. AB - We have numerically calculated the change in spatial resolution and Stokes gain for stimulated Raman gain experiments that use two crossed laser beams. The laser beams are modeled as diffraction-limited, Gaussian TEM(00) beams. Results for interaction length and relative Stokes gain are presented for crossing angles of 0-15 degrees , focusing f/#'s 2-250, and mismatches in the positions of the focal points of the two beams. The numerical results for spatial resolution and gain are compared with geometric approximations that have been previously published. These numerical simulations show where the approximations are valid and also extend into regions where the approximations are invalid. PACS: 42.65 Dr. PMID- 21060543 TI - Optical properties of small-bore hollow glass waveguides. AB - Hollow glass waveguides with a 250-um i.d. have been fabricated with a liquid phase deposition technique that uses silica tubing as a base material. The losses of the 250-um-bore guide measured at CO(2) laser wavelengths are as low as 2.0 dB/m. The straight losses for the hollow guides are in good agreement with theoretically predicted losses as a result of the nearly ideal structure of the guides. It is also shown that the guides have low bending losses, a nearly pure mode delivery, and good high-power laser transmission. By proper design of the dielectric thickness, the guide is also able to deliver Er:YAG laser energy with a low loss of 1.2 dB/m for the 320-um-bore waveguide. Because the hollow glass waveguide is very flexible and robust, it is quite suitable for medical applications. PMID- 21060544 TI - Fabrication of fibers with high rare-earth concentrations for Faraday isolator applications. AB - The Faraday effect provides a mechanism for achieving unidirectional light propagation in optical isolators; however, miniaturization requires large Verdet constants. High rare-earth content glasses produce suitably large Verdet values, but intrinsic fabrication problems remain. The novel powder-intube method, or a single-draw rod-in-tube method, obviates these difficulties. The powder-in-tube method was used to make silica-clad optical fibers with a high terbium oxide content aluminosilicate core. Core diameters of 2.4 um were achieved in 125-um diameter fibers, with a numerical aperture of 0.35 and a Verdet constant of -20.0 rad/(T m) at 1.06 um. This value is greater than 50% for crystals found in current isolator systems. This development could lead to all-fiber isolators of dramatically lower cost and ease of fabrication compared with their crystalline competitors. PMID- 21060545 TI - Sapphire fibers: optical attenuation and splicing techniques. AB - The optical attenuation in sapphire fibers was examined. Attenuation was found to depend heavily on injection conditions. A number of techniques for making sapphire-silica fiber splices were attempted, with an effort toward optimizing injection conditions in the sapphire fiber. The most successful of these techniques, interior capillary-tube splicing, produced robust splices with an attenuation of less than 1 dB. PMID- 21060546 TI - Passive temperature-compensating package for optical fiber gratings. AB - We demonstrate a compact, passive temperature-compensating package for fiber gratings. The grating is mounted under tension in a package comprising two materials with different thermal-expansion coefficients. As the temperature rises the strain is progressively released, compensating the temperature dependence of the Bragg wavelength. A fiber grating mounted in a package 50 mm long and 5 mm in diameter exhibited a total variation in Bragg wavelength of 0.07 nm over a 100 degrees C temperature range, compared with 0.92 nm for an uncompensated grating. PMID- 21060547 TI - Precise recursive formula for calculating spot size in optical waveguides and accurate evaluation of splice loss. AB - The spot size of a single-mode waveguide was defined from the viewpoint of a least-squares fit of the field profile to a Gaussian profile. The field profile was expanded in terms of Hermite-Gaussian functions, and a new precise recursive formula for calculating the spot size was derived. It was shown that our formula is equivalent to the best fitting of the offset coupling loss to that of a Gaussian profile and keeps its form against the Fourier transform that corresponds to the diffraction in the same manner as the Gaussian profile. The accuracy of conventional formulas and our new spot-size formula was compared with the exact value defined from the viewpoint of a least-squares fit to a Gaussian profile, and it was shown that our recursive formula is the most accurate of the approximate formulas. Next we proposed a new formula for calculating the splice loss between two waveguides and showed that our formula is more accurate than the conventional one, which involves only the spot size. PMID- 21060548 TI - Fabry-Perot method for the characterization of integrated optical directional couplers. AB - A method to measure the loss and the power-transfer ratio of directional couplers is presented. It is based on the Fabry-Perot resonances from end-facet reflections. The dependence of the accuracy of the measurements on the facet tilts is described. For low facet misalignments, an uncertainty <0.3 dB in the loss measurements and power-transfer-ratio measurement errors <1% are obtained. For arbitrary facet tilts the errors depend on the extinction ratios. Experimental measurements are reported to verify the method, and its application to multimode interference couplers is shown. PMID- 21060549 TI - Study of UV-bleached channel-waveguide performance in nonlinear optical polymer films. AB - We report studies on UV-photobleached optical channel waveguides in nonlinear optical polymer films. The nonlinear optical polymer used is poly(methyl methacrylate)/DR1 side-chain polymer. The effective indices of the channel waveguides are measured with the prism-coupling technique, along with the effective indices of bleached and unbleached polymer films. The effective-index method was used to predict the effective indices of the channel waveguides from measurements of the slab waveguides, without detailed knowledge of the index distributions in the polymer films. Some local stress-related effects on the boundaries of the channel waveguides caused by the UV-bleaching process are identified by comparison between direct channel measurement and prediction. It is found that the technique used in this study can be employed to predict the performance of channel waveguides processed such that they have no excessive internal stress distributions. PMID- 21060550 TI - All-optical bistability in doped-polymer film waveguides. AB - All-optical bistability was observed in thin-film waveguides made with acceptor donor substituted azobenzene-doped poly(methyl methacrylate) polymer. Input output curves with hysteresis characteristics were measured. The origin of the bistability was attributed to nonlinear coupling between a prism and a doped polymer waveguide. An approximately theoretical analysis was introduced. Theoretical predictions were qualitatively consistent with experimental results. PMID- 21060551 TI - Overlapping-image multimode interference couplers with a reduced number of self images for uniform and nonuniform power splitting. AB - Overlapping-image multimode interference (MMI) couplers, a new class of devices, permit uniform and nonuniform power splitting. A theoretical description directly relates coupler geometry to image intensities, positions, and phases. Among many possibilities of nonuniform power splitting, examples of 1 * 2 couplers with ratios of 15:85 and 28:72 are given. An analysis of uniform power splitters includes the well-known 2 * N and 1 * N MMI couplers. Applications of MMI couplers include mode filters, mode splitters-combiners, and mode converters. PMID- 21060552 TI - Precise control of the center wavelength of a wavelength-selective single-mode fiber-thin-film directional coupler. AB - The coupled power and the center wavelength of a wavelength-selective single-mode fiber-thin-film coupler are accurately determined. The center wavelength depends on the fiber-film spacing. The required remaining cladding thickness of the fiber is accurately obtained from the loss-calibration curve of the half-coupler. The thickness of the film is controlled by spinning speed to match the propagation constants of the fiber and the film. Coupling fiber was used for efficient coupling. Experiments were also carried out for a wavelength filter, and the results almost agree with theoretical values. Shifting of the center wavelength with the condition of fire polishing of the half-coupler is shown. PMID- 21060553 TI - Measurements of stratospheric aerosols with a combined elastic-Raman-backscatter lidar. AB - Improvements made to the NASA Goddard Space Flight Center Stratospheric Ozone Lidar system have extended its atmospheric-aerosol-measuring capabilities. The methods by which aerosol-scattering ratio, aerosol backscatter, and aerosol extinction are simultaneously derived from lidar data are reported, and results obtained during several intercomparison campaigns at worldwide locations are shown. The results track the evolution of the Mt. Pinatubo aerosol cloud from 1991 to 1994 and report wavelength-dependence information for aerosol backscatter between 308 and 351 nm. Two analysis techniques, a more common inversion method and a combined elastic-Raman-backscatter approach, are also compared. PMID- 21060554 TI - Lidar measurements taken with a large-aperture liquid mirror. 1. Rayleigh-scatter system. AB - A lidar system has been built to measure atmospheric-density fluctuations and the temperature in the upper stratosphere, the mesosphere, and the lower thermosphere, measurements that are important for an understanding of climate and weather phenomena. This lidar system, the Purple Crow Lidar, uses two transmitter beams to obtain atmospheric returns resulting from Rayleigh scattering and sodium resonance fluorescence. The Rayleigh-scatter transmitter is a Nd:YAG laser that generates 600 mJ/pulse at the second-harmonic frequency, with a 20-Hz pulse repetition rate. The sodium-resonance-fluorescence transmitter is a Nd:YAG-pumped ring dye laser with a sufficiently narrow bandwidth to measure the line shape of the sodium D(2) line. The receiver is a 2.65-m-diameter liquid-mercury mirror. A container holding the mercury is spun at 10 rpm to produce a parabolic surface of high quality and reflectivity. Test results are presented which demonstrate that the mirror behaves like a conventional glass mirror of the same size. With this mirror, the lidar system's performance is within 10% of theoretical expectations. Furthermore, the liquid mirror has proved itself reliable over a wide range of environmental conditions. The use of such a large mirror presented several engineering challenges involving the passage of light through the system and detector linearity, both of which are critical for accurate retrieval of atmospheric temperatures. These issues and their associated uncertainties are documented in detail. It is shown that the Rayleigh-scatter lidar system can reliably and routinely measure atmospheric-density fluctuations and temperatures at high temporal and spatial resolutions. PMID- 21060555 TI - Nd:YAG backscatter lidar at Ahmedabad (23 degrees N, 72.5 degrees E) for tropical middle atmospheric studies. AB - A backscatter Nd:YAG laser radar, the first of this type in India to our knowledge, was developed at the Physical Research Laboratory, Ahmedabad (23 degrees N, 72.5 degrees E), for middle atmospheric studies. The system has been operational since April 1992. The system details and sample results on the Mt. Pinatubo volcanic aerosol layer and its decay as observed over this tropical site are presented and discussed. The future augmentation of the system and planned activities are also outlined. PMID- 21060556 TI - Synthetic-aperture-radar imaging with a solid-state laser. AB - We report the operation of an imaging Nd:YAG microchip-laser synthetic-aperture radar, with which we imaged two-dimensional (2-D) models of military targets. The images obtained showed spatial resolution significantly better than the diffraction limit of the real aperture in the along-track dimension. The signal processing is described, and the measurement sensitivity is both predicted and verified. In addition, 2-D images with high resolution in both dimensions were generated by using an asymmetric aperture to match the along-track synthetic aperture resolution with the across-track diffraction-limited resolution. PMID- 21060557 TI - Analysis of a potassium lidar system for upper-atmospheric wind-temperature measurements. AB - We report a detailed analysis of wind-temperature (W/T) lidar systems based on mesospheric potassium as the tracer. Currently, most narrow-band (W/T) systems use sodium (Na) as the tracer because of its relatively large natural abundance, large cross section, and the ability to use Doppler-free Na spectroscopy to generate accurate absolute frequency markers. We show that a potassium-based system with existing near-infrared solid-state laser technology operating at the potassium D lines has the potential to make W/T measurements that are more accurate than current Na narrow-band systems and can be far simpler technically. PMID- 21060558 TI - Multiply scattered aerosol lidar returns: inversion method and comparison with in situ measurements. AB - A novel aerosol lidar inversion method based on the use of multiple-scattering contributions measured by a multiple-field-of-view receiver is proposed. The method requires assumptions that restrict applications to aerosol particles large enough to give rise to measurable multiple scattering and depends on parameters that must be specified empirically but that have an uncertainty range of much less than the boundary value and the backscatter-to-extinction ratio of the conventional single-scattering inversion methods. The proposed method is applied to cloud measurements. The solutions obtained are the profiles of the scattering coefficient and the effective diameter of the cloud droplets. With mild assumptions on the form of the function, the full-size distribution is estimated at each range position from which the extinction coefficient at any visible and infrared wavelength and the liquid water content can be determined. Typical results on slant-path-integrated optical depth, vertical extinction profiles, and fluctuation statistics are compared with in situ data obtained in two field experiments. The inversion works well in all cases reported here, i.e., for water clouds at optical depths between ~0.1 and ~4. PMID- 21060559 TI - Satellite remote sensing of tropospheric CO and CH(4): forward model studies of the MOPITT instrument. AB - The Measurements of Pollution in the Troposphere (MOPITT) instrument is designed to measure tropospheric CO and CH(4) from a spaceborne platform by the use of infrared gas correlation radiometers. We describe the forward model that is used as the basis for the retrieval algorithm. We present the techniques used to model the instrument and describe the radiative transfer involved in the measurement process. Calculations have been performed to assess the sensitivity of the measured radiance to changes in the target-gas concentration profiles, changes in the concentration of contaminating constituents, and to variations in the parameters that describe reflection and emission of radiation at the Earth's surface. PMID- 21060560 TI - Estimation of aerosol columnar size distribution and optical thickness from the angular distribution of radiance exiting the atmosphere: simulations. AB - We report the results of simulations in which an algorithm developed for estimation of aerosol optical properties from the angular distribution of radiance exiting the top of the atmosphere over the oceans [Appl. Opt. 33, 4042 (1994)] is combined with a technique for carrying out radiative transfer computations by synthesis of the radiance produced by individual components of the aerosol-size distribution [Appl. Opt. 33, 7088 (1994)], to estimate the aerosol-size distribution by retrieval of the total aerosol optical thickness and the mixing ratios for a set of candidate component aerosol-size distributions. The simulations suggest that in situations in which the true size-refractive index distribution can actually be synthesized from a combination of the candidate components, excellent retrievals of the aerosol optical thickness and the component mixing ratios are possible. An exception is the presence of strongly absorbing aerosols. The angular distribution of radiance in a single spectral band does not appear to contain sufficient information to separate weakly from strongly absorbing aerosols. However, when two spectral bands are used in the algorithm, retrievals in the case of strongly absorbing aerosols are improved. When pseudodata were simulated with an aerosol-size distribution that differed in functional form from the candidate components, excellent retrievals were still obtained as long as the refractive indices of the actual aerosol model and the candidate components were similar. This underscores the importance of component candidates having realistic indices of refraction in the various size ranges for application of the method. The examples presented all focus on the multiangle imaging spectroradiometer; however, the results should be as valid for data obtained by the use of high-altitude airborne sensors. PMID- 21060561 TI - Wavelength-modulation detection of acetylene with a near-infrared external-cavity diode laser. AB - An external-cavity diode laser operating at 1500 nm was used to record the combination band of acetylene (C(2)H(2)). By combination of wavelength-modulation spectroscopy with a noise-canceler detection circuit, a minimum detectable absorbance of 4.8 * 10(-4) with a 300-ms time constant was achieved, although this result was limited by etalon fringes. When combined with this detection technique, continuous, widely tunable output from an external-cavity laser is ideally suited for high-resolution absorption spectroscopy with excellent sensitivity. PMID- 21060562 TI - Selection of sounding channels for the High Resolution Dynamics Limb Sounder. AB - We describe the scientific design work behind the selection of the IR spectral passbands for the 21 sounding channels of the High Resolution Dynamics Limb Sounder (HIRDLS), which is scheduled to fly aboard the Earth Observing System chemistry platform at the beginning of the next century. At least one radiometer channel must be used for each gas that is being measured. Preferably the interfering contributions to the radiance by other gases in a channel should be small, but the principal requirements are that the desired emission be measured with high signal-to-noise ratio and that there be separate channels for the measurement of interfering species. However, more than one channel is required for providing full altitude coverage of those target gases such as CO(2), H(2)O, and O(3), which have emission bands whose centers become optically thick in the middle atmosphere. Further channels, in which gaseous absorption is low, are required for the characterization of aerosol effects. We describe the HIRDLS channels selected for each gas, with emphasis on signal-to-noise considerations and altitude coverage, the elimination of contaminating signal between channels, and nonlocal thermodynamic equilibrium processes for high-altitude sounding and space view definition. PMID- 21060563 TI - Analysis of lidar backscatter profiles in optically thin clouds. AB - The solution of the lidar equation for profiles of backscatter and extinction in optically thin clouds is constrained by values of the cloud transmittance determined from the elastically scattered lidar signals below and above the cloud. The method is extended to those cases in which an aerosol layer lies below or above the cloud layer. Examples are given in both cases. An analytical expression for the average lidar ratio in the cloud is derived for those cases in which molecular scattering is significant. PMID- 21060564 TI - Inherent optical properties of the ocean: retrieval of the absorption coefficient of chromophoric dissolved organic matter from airborne laser spectral fluorescence measurements. AB - The absorption coefficient of chromophoric dissolved organic matter (CDOM) at 355 nm has been retrieved from airborne laser-induced and water Raman-normalized CDOM fluorescence. Four combined airborne and ship field experiments have demonstrated that (1) the airborne CDOM fluorescence-to--water Raman ratio is linearly related to concurrent quinine-sulfate-standardized CDOM shipboard fluorescence measurements over a wide range of water masses (coastal to blue water); (2) the vicarious calibration of the airborne fluorosensor in units traceable to a fluorescence standard can be established and then maintained over an extended time period by tungsten lamp calibration; (3) the vicariously calibrated airborne CDOM fluorescence-to-water Raman ratio can be directly applied to previously developed shipboard fluorescence-to-absorption algorithms to retrieve CDOM absorption; and (4) the retrieval is not significantly affected by long-path multiple scattering, differences in attenuation at the excitation and emission wavelengths, or measurement in the 180 degrees backscatter configuration. Airborne CDOM absorption measurements will find immediate application to (a) forward and inverse modeling of oceanic water-leaving radiance and (b) validation of satellite-retrieved products such as CDOM absorption. PMID- 21060565 TI - Optical properties of a planar turbulent jet. AB - A planar heated air jet was constructed. Its flow properties were characterized and shown to be both reproducible and in good agreement with the results of turbulence theory. The optical properties of the jet were studied with the help of a 632.8-nm He-Ne laser beam. The random phase modulations imposed on the wave front of the beam traversing the jet were measured by interferometric means, and their spectra and variance were determined. The one-dimensional phase fluctuation spectrum obeyed a -8/3 power law as predicted by theory, whereas the phase variance (?(2)) depended on the jet temperature and was studied for values to as high as 0.4 (rad)(2)). PMID- 21060566 TI - Angular aberration in the problem of power beaming to geostationary satellites through the atmosphere. AB - The influence of angular aberration of radiation as a result of the difference in speed of a geostationary satellite and the speed of the Earth's surface on laser power beaming to satellites is considered. Angular aberration makes it impossible to direct the energy to the satellite, and additional beam rotation is necessary. Because the Earth's rotation may cause bad phase restoration, we face a serious problem: how to transfer incoherent radiation to remote satellites. In the framework of the Kolmogorov turbulence model simple conditions of energy transfer are derived and discussed. PMID- 21060567 TI - Effective beam parameters and the turbulent beam waist for convergent Gaussian beams. AB - Expressions are developed for the location and the size of the beam waist for a convergent Gaussian beam in statistically homogeneous and isotropic atmospheric turbulence. Subsidiary expressions are presented that lead to the maximum distance from the transmitter at which the beam waist can be located under given optical turbulence conditions and the optimal initial radius of curvature required for placing the beam waist at a desired location. The free-space beam radius W of a Gaussian beam satisfies the relationship ?W/?z = - W/R, where z represents the path length and R is the phase-front radius of curvature at z. By enforcing this relation on the effective beam spot size in turbulence W(e), we can define an effective radius of curvature R(e). In addition to specifying the beam waist, R(e) leads to a pair of effective beam parameters theta(e) and Lambda(e) that provide a natural extension to the complex amplitude plane. Within this context, general propagation characteristics may be described, including the coherence properties of a Gaussian beam in both weak and strong optical turbulence. PMID- 21060568 TI - Scintillation of initially convergent Gaussian beams in the vicinity of the geometric focus. AB - As an initially convergent Gaussian beam enters the vicinity of the geometric focus, weak fluctuation theory predicts a drop in the longitudinal component of the log-irradiance variance and an increase in the radial component off the beam center. The phenomenon intensifies as the beam nears the geometric focus, also with decreasing magnitude of the focusing parameter. Precisely at the geometric focus, first-order weak fluctuation theory further predicts that as the initial beam size continues to increase, the longitudinal component of the log-irradiance variance decreases toward zero, while the radial component increases without bound. This eventually entails a rapid change in scintillation across the beam surface that has yet to be verified experimentally, to our knowledge. We demonstrate that when diffractionlike effects produced by optical turbulence are introduced, predicted log-irradiance variance exhibits such extremes in behavior only in the case of weak turbulence. Also, at the exact geometric focus, scintillation does not vanish with increasing initial beam size but achieves a value determined by and growing with turbulence strength and nearly independent of initial beam size. The radial component of log-irradiance quickly loses significance as turbulence strength increases. In fact, general extremal behavior of the log-irradiance variance in the vicinity of the geometric focus is drastically curtailed. Differences across the diffractive beam surface become small and exhibit only a modest dependence on the initial beam size. PMID- 21060569 TI - Analysis of laser-induced-fluorescence carbon monoxide measurements in turbulent nonpremixed flames. AB - The influence of fluctuating concentrations and temperature on the laser-induced fluorescence (LIF) measurement of CO in turbulent flames is described, under conditions in which the fluorescence and the temperature are measured independently. The analysis shows that correlations between CO concentration and temperature can bias the averaged mole fraction extracted from LIF measurements. The magnitude of the bias can exceed the order of the average CO mole fraction. Further, LIF measurements of CO concentrations in a turbulent, nonpremixed, natural gas flame are described. The averaged CO mole fractions are derived from the fluorescence measurements by the use of flame temperatures independently measured by coherent anti-Stokes Raman spectroscopy. Analysis of the fluctuations in measured temperature and fluorescence indicates that temperature and CO concentrations in flame regions with intensive mixing are indeed correlated. In the flame regions where burnout of CO has ceased, the LIF measurements of the CO mole fraction correspond to the probe measurements in exhaust. PMID- 21060570 TI - Two-dimensional imaging of soot volume fraction by the use of laser-induced incandescence. AB - A recently developed laser-induced incandescence technique is used to make novel planar measurements of soot volume fraction within turbulent diffusion flames and droplet flames. The two-dimensional imaging technique is developed and assessed by systematic experiments in a coannular laminar diffusion flame, in which the soot characteristics have been well established. With a single point calibration procedure, agreement to within 10% was found between the values of soot volume fraction measured by this technique and those determined by conventional laser scattering-extinction methods in the flame. As a demonstration of the wide range of applicability of the technique, soot volume fraction images are also obtained from both turbulent ethene diffusion flames and from a freely falling droplet flame that burns the mixture of 75% benzene and 25% methanol. For the turbulent diffusion flames, approximately an 80% reduction in soot volume fraction was found when the Reynolds number of the fuel jet increased from 4000 to 8000. In the droplet flame case, the distribution of soot field was found to be similar to that observed in coannular laminar diffusion flames. PMID- 21060571 TI - Scheimpflug stereocamera for particle image velocimetry in liquid flows. AB - A novel stereocamera has been developed based on the angular-displacement method, wherein the two camera axes are oriented in a nonorthogonal manner toward the object plane. The stereocamera satisfies the Scheimpflug condition such that the image plane, the object plane, and the lens plane are nominally colinear. A unique feature of the stereocamera is the introduction of a liquid prism between the object plane and the recording lens, which significantly reduces the radial distortions that arise when imaging through a thick liquid layer. The design of the camera and its computer optimization with geometric modeling are described. Results indicate that the use of a liquid prism reduces the amount of radial distortion by an order of magnitude. The results have been shown to agree very well with experiments. PMID- 21060572 TI - Penetration depth for diffusing-wave spectroscopy. AB - The depth at which diffusing photons are assumed to be deposited in a random scattering medium has traditionally been treated as a phenomenological parameter comparable to the photon transport mean free path. We show how to average properly over an exponential distribution of depositions weighted additionally by the transmission probability, and compare our prediction for the autocorrelation of intensity fluctuations in the transmitted light with experimental data on an ideal system. The improved correlation function, where distinguishable from the prior form, provides slightly better agreement with data as long as the sample is thicker than approximately 10 transport mean free paths. However, in contrast with static transmission, proper averaging over a range of penetration depths does not extend the validity of diffusing-wave spectroscopy to significantly smaller slab thicknesses. The most significant errors in the theory must therefore arise from approximations other than the treatment of the source of diffusing photons. PMID- 21060573 TI - Diffusion of collimated, narrow beam waves in discrete random media. AB - The three-dimensional diffusion of a narrow beam wave in discrete random media is discussed. Mismatched boundary conditions are taken into account for surfaces on which the reflection of diffuse light occurs. An analytical expression is derived for the average diffuse intensity in terms of the sum of the residual values under practical situations of interest. The spatial spreading of beam waves for nonabsorption particles only slightly increases with an increase in the mean cosine of the scattering angle. A comparison with previously reported Monte Carlo and experimental results of the beam width versus the optical depth shows the validity of the analytical solutions obtained here. The effects of a mismatched boundary are shown to increase the transmitted diffuse flux rather than the spatial spreading. PMID- 21060574 TI - Electromagnetic scattering from a multilayered sphere located in an arbitrary beam. AB - A solution is given for the problem of scattering of an arbitrary shaped beam by a multilayered sphere. Starting from Bromwich potentials and using the appropriate boundary conditions, we give expressions for the external and the internal fields. It is shown that the scattering coefficients can be generated from those established for a plane-wave illumination. Some numerical results that describe the scattering patterns and the radiation-pressure behavior when an incident Gaussian beam or a plane wave impinges on a multilayered sphere are presented. PMID- 21060575 TI - Moments of multiple scattering. AB - We present an analytical technique that solves exactly, and in closed form, for the first and second moments of the spatial and angular positions of photon distributions in a multiple-scattering medium. The analysis leads to simple analytic expressions for these moments, both conditioned on the number of scatterings and summed over all scattering events. The conventional results for small-angle forward scattering, and for the diffusion regime, are recovered in the appropriate limiting cases. PMID- 21060576 TI - Propagation through optically thick media. AB - An analytic model for propagation of an optical pulse through an optically thick multiple-scattering medium such as a cloud is developed. Both a narrow collimated and a broad Gaussian beam are considered incident at the entrance of the medium, which is assumed to be a plane-parallel slab of infinite horizontal extent. Analytic expressions are derived for the radiance and power received by an on axis receiver at any distance beyond the exit plane of the medium. Calculations with these expressions are compared with published Monte Carlo results for an infinite-plane, pi/2-field-of-view receiver at the exit plane of the medium. Calculated and simulated results show excellent agreement for optical thickness beyond approximately 20. PMID- 21060578 TI - Patents. AB - 5,280,340; 5,317,384; 5,325,175; 5,325,175; 5,331,470; 5,333,049; 5,343,287; 5,347,401; 5,361,155; 5,369,271; 5,378,892; 5,394,244; 5,396,329; 5,396,329. PMID- 21060577 TI - Aerosol-fluorescence spectrum analyzer: real-time measurement of emission spectra of airborne biological particles. AB - We have assembled an aerosol-fluorescence spectrum analyzer (AFS), which can measure the fluorescence spectra and elastic scattering of airborne particles as they flow through a laser beam. The aerosols traverse a scattering cell where they are illuminated with intense (50 kW/cm(2)) light inside the cavity of an argon-ion laser operating at 488 nm. This AFS can obtain fluorescence spectra of individual dye-doped polystyrene microspheres as small as 0.5 um in diameter. The spectra obtained from microspheres doped with pink and green-yellow dyes are clearly different. We have also detected the fluorescence spectra of airborne particles (although not single particles) made from various biological materials, e.g., Bacillus subtilis spores, B. anthrasis spores, riboflavin, and tree leaves. The AFS may be useful in detecting and characterizing airborne bacteria and other airborne particles of biological origin. PMID- 21060579 TI - Test of a slow off-axis parabola at its center of curvature. AB - We describe the interferometric testing of a slow (f/16 at the center of curvature) off-axis parabola, intended for use in an x-ray spectrometer, that uses a spherical wave front matched to the mean radius of the asphere. We find the figure error in the off-axis mirror by removing the theoretical difference between the off-axis segment and the spherical reference from the measured wave front error. This center of curvature test is easy to perform because the spherical reference wave front has no axis and thus alignment is trivial. We confirm that the test results are the same as the double-pass null test for a parabola that uses a plane autocollimating mirror. We also determine that the off axis section apparently warped as the result of being cut from the symmetric parent part. PMID- 21060580 TI - Resolution-equivalent D* for SPRITE detectors. AB - It is desirable for design purposes to model a signal-processing-in-the-element (SPRITE) detector simply as a discrete-element detector with an integration enhanced D*. We present a method for normalization of measured D* for SPRITE detectors to yield an equivalent-discrete D*. The multiplicative factor is the square root of the ratio of two noise-equivalent bandwidths: one is that of the SPRITE detector with no boost filter, and the other is that of the SPRITE detector with a boost filter that approximately compensates for carrier diffusion, yielding a spatial resolution that approaches that of a discrete detector the same size as the readout. This approach allows a resolution equivalent D* comparison of SPRITE detectors with discrete-element detectors and facilitates such comparisons among SPRITE detectors. We find that, to obtain the D* of an equivalent-discrete detector, a measured SPRITE D* should typically be multiplied by a factor ranging from 0.85 to 0.57 for 8- to 12-um SPRITE detectors and by a factor ranging from 0.50 to 0.23 for 3- to 5-um SPRITE detectors. PMID- 21060581 TI - Propagation of apertured Bessel beams. AB - The propagation features of several apertured Bessel beams are numerically calculated. The calculations show that the relations of axial intensity versus propagation distance are similar to the radial distribution of the aperture functions, which may be helpful in choosing the proper aperture functions in experiments. PMID- 21060582 TI - Performances of endoscopic holography with a multicore optical fiber. AB - A holographic setup that involves the use of a multicore optical fiber as an in situ recording medium has been developed. The hologram is transmitted to a CCD camera for electronic processing, and the image is reconstructed numerically, providing more flexibility to the holographic process. The performances of this imaging system have been evaluated in terms of the resolution limit and robustness relative to noise. The experimental cutoff frequency has been measured experimentally over a range of observation distances (4-10 mm) and presents a very good agreement with the predictions made by simulation. The system features a resolution of 5-um objects for a 4-mm observation distance. The different sources of noise have been analyzed, and their influence on resolution has been proved to be nonrelevant. PMID- 21060583 TI - Holographic in-plane measurement using reference-wave reconstruction: phase stepping and application to a deformation problem. AB - We apply the technique of reference-wave reconstruction to a practical in-plane displacement measurement problem. Even 20 years after its development, the technique remained neglected and unemployed. The phase-stepping feature is introduced by shifting one of the reconstruction waves. The method provides high contrast fringe maps and allows for monitoring the deformation fields in real time. The results demonstrate the important potential of the technique in the measurement of in-plane displacement components. PMID- 21060584 TI - Improvement of speckle statistics in double-wavelength superheterodyne interferometry. AB - In this paper we analyze the probability density function of the superheterodyne signal obtained in a two-wavelength interferometer from the beat of a local oscillator laser beam with a speckled return beam from a rough target. Theoretical investigation shows that, by using an increased number of spatially separated detectors, one can improve noticeably the detection probability of the superheterodyne signal. Experimental results obtained with a four-quadrant detector are in good agreement with theory. PMID- 21060585 TI - Derivatives of displacement obtained by direct manipulation of phase-shifted interferograms. AB - The maps of phase derivatives are extracted here by direct manipulation of phase shifted interferograms. There are three main advantages: There is no need for prior phase evaluation or unwrapping procedures, and only a short processing time is needed. By digital integration of the derivatives the absolute phase map can also be retrieved without unwrapping procedures. A general description of the method is presented and discussed. For example, the proposed technique has been applied to the study of the deformation of a test object by the manipulation of four phase-shifted interferograms. PMID- 21060586 TI - Interferometric measurement of near-cylindrical surfaces with high amplitude resolution. AB - Subaperture interferometric measurements of highly curved surfaces with a shape close to a cylinder have been performed in a normal-incident setup that consists of a Fizeau interferometer in combination with a plano-concave cylindrical lens. Since the field of view in the circumferential direction is limited by spherical aberration, the optical components were designed to minimize spherical aberration. For reference measurements a second plano-convex cylindrical lens was used. The subaperture setup leads to three-dimensional surface maps of the objects under test. To eliminate the influence of residual geometric aberrations, rectangular polynomials have been fitted and subtracted from the raw data. For deformations with spatial wavelengths below 30 mm, a rms amplitude resolution of 1 nm and a rms amplitude accuracy of 3 nm were achieved. Measurements on Wolter type-I mirror shells are discussed in detail. PMID- 21060587 TI - Simple algorithm for large-grid phase reconstruction of lateral-shearing interferometry. AB - A simple zonal approach is proposed for estimating phase distribution on large grids. The estimation is based on phase differences that are precisely measured in two orthogonal directions by a lateral-shearing interferometer. It requires only O(N(2)) operations for reconstructing a phase distribution on an N * N grid. Computer simulation and experimental results are demonstrated to show the effectiveness of the new algorithm. PMID- 21060588 TI - Liquid-crystal-based switchable polarizers for sensor protection. AB - Linear polarizers are generally employed in conjunction with advanced liquid crystal filters for the protection of human eyes and optical sensors. For detection sensitivity under a no-threat condition to be maximized, the polarizer should remain in a clear state with a minimum insertion loss. When threats are present, it should be quickly switched to function as a linear polarizer with a high extinction ratio. Two types of switchable polarizer for sensor protection are demonstrated. The polarization conversion type exhibits a high optical efficiency in its clear state, a high extinction ratio in the linear polarizer state, and a fast switching speed, except that its field of view is limited to approximately +/-10 degrees . In contrast, an improved switchable dichroic polarizer functions effectively over a much wider field of view. However, its extinction ratio and optical efficiency in its clear state are lower than those of the polarization conversion type. PMID- 21060589 TI - Monolithic Fourier-transform imaging spectrometer. AB - The design of a monolithic imaging Fourier-transform spectrometer based on a Sagnac interferometer is discussed. PMID- 21060590 TI - Thin-film luminescent concentrators for integrated devices. PMID- 21060591 TI - Photothermal measurements on optical thin films. AB - An overview of the application of the photothermal technique for optical as well as thermophysical characterizations of thin films is given. The peculiarities of this technique are discussed in some detail, and selected important results are pointed out. Emphasis is placed on the influence of both residual absorption and randomly distributed inhomogeneities in thin films on their laser-damage resistance. PMID- 21060592 TI - Performance of a low-pass filter for far-infrared wavelengths. AB - We describe a low-pass filter that provides high in-band transmittance and excellent rejection at nu > 100 cm(-1). The transmittance of the filter components was measured at liquid-helium temperatures from 10 to 10,000 cm(-1). The total transmittance is >50% for nu < 50 cm(-1) and is calculated to be <10( 9) for nu > 300 cm(-1). The filter was successfully used in a liquid-helium cooled, rocketborne, far-infrared absolute photometer. PMID- 21060593 TI - Field trial of an electrically passive optical-fiber magnetometer. AB - A field trial of an electrically passive optical-fiber magnetometer incorporated into the transient electromagnetic method of geomagnetic surveying is described. The transducer of the magnetometer consisted of metallic glass wire cocoated with a length of optical fiber. The magnetometer was capable of measuring the decay rate of the surface magnetic field produced by the surveying technique. The sensor's minimum detectable field was 2.5 * 10(-8) G/?Hz at 2 kHz. PMID- 21060594 TI - X-ray optics of tapered capillaries. AB - The optics of x-ray concentration by tapered glass capillaries is analyzed in terms of a phase-space construction describing their transmission efficiency. The parameters defining the intensity gain are given in terms of parameters describing the x-ray source used, the capillary taper profile, and glass characteristics. We introduce some key concepts in understanding these devices: the extreme ray and a phase-space description of sources and optics. They are used to develop an analytical formulation for the optimum gain characteristics of generalized tapers for use with synchrotrons and other low-divergence sources. This general solution is solved further for the case of conical taper profile. The predictions of this theory are compared with the results of three dimensional, ray-tracing simulations of x-ray concentration efficiency for conical and paraboloidal tapers. PMID- 21060595 TI - Detection of probe dither motion in near-field scanning optical microscopy. AB - The probe-to-sample separation in near-field scanning optical microscopes can be regulated by a noncontact shear-force sensing technique. The technique requires the measurement of a minute dither motion applied to the probe. We have characterized an optical detection method for measuring this motion to determine the optimum detection configuration in terms of sensitivity and stability. A scalar diffraction model of the detection method is developed for calculating sensitivity, and experimental results are found to be in good agreement with the theoretical predictions. We find that maximum sensitivity and stability cannot be achieved simultaneously, and it may be desirable in practice to trade sensitivity for enhanced stability. PMID- 21060596 TI - Focusing of ultrashort laser pulses by the combination of diffractive and refractive elements. AB - Hybrid elements, containing optical power with both diffractive (holographic) and refractive components, are shown to be able to eliminate the effect of propagation time difference. The consideration is provided through a paraxial approximation of diffraction theory. PMID- 21060597 TI - Measurements of surface roughness: use of a CCD camera to correlate doubly scattered speckle patterns. AB - We describe an instrument, built around a commercial CCD camera and some fast image-processing boards, that evaluates roughness height by measuring the average size of doubly scattered speckle patterns. The device is a variant of a recent proposal that was based on the use of a spatial modulator to perform the Fourier transform of a speckle image. In the present setup, the Fourier transform is replaced by the direct evaluation of a second-order correlation function. Strictly speaking, the device proposed in this paper is not a real-time device but its response time (approximately 10 s) is sufficiently short to be of practical value for many applications. Updated CCD cameras that will significantly improve the performance of our prototype are already on the market. PMID- 21060598 TI - Optical fringe multiplication in moire interferometry. AB - An optical method for multiplication of moire fringes is proposed to increase the sensitivity of moiree interferometry. The process involves two recording steps. In the first step, a traditional moire interferometry setup is used. The moire pattern containing carrier fringes and load fringes is recorded onto a glass based holographic plate. The carrier frequency is much lower than that of the original specimen grating. The plate is then developed. In the second step, the holographic plate, regarded as a distorted specimen grating, is further examined by a similar moire interferometry system. The frequency of the second virtual grating is arranged to be 2n times that of the carrier fringes contained in the recorded plate. As a result, the load fringes are revealed with a multiplication factor of 2n. The interpretation of the optical multiplication method from wave front interference theory is given and an experiment is conducted. PMID- 21060599 TI - Adaptive optics for in-orbit aberration correction: spherical aberration feasibility study. AB - We investigate the feasibility of using an adaptive mirror for in-orbit aberration corrections. The advantage of an in situ aberration correction of optical components in the space environment is that the mirror shape can be adjusted in an iterative fashion until the best image is obtained. Using the actuator spacing, corresponding to one half of the Nyquist frequency, the Strehl ratio of the corrected wave front improves to 0.95 when the mirror is fabricated with 6.5 waves of spherical aberration. The Strehl ratio decreases to 0.86 when the number of actuators is reduced by a factor of 4, in a two-dimensional adaptive optics model. PMID- 21060600 TI - Thin-film luminescent concentrators for integrated devices: a cookbook. AB - A luminescent concentrator (LC) is a nonimaging optical device used for collecting light energy. As a result of its unique properties, a LC also offers the possibility of separating different portions of the spectrum and concentrating them at the same time. Hence, LC's can be applied to a whole range of problems requiring the collection, manipulation, and distribution or measurement of light. Further-more, as described in our previous research, thin film LC elements can be deposited directly over sensor and processing electronics in the form of integrated LC devices. As an aid to further research, the materials and technology required to fabricate these thin-film LC elements through the use of an ultraviolet-curable photopolymer are documented in detail. PMID- 21060601 TI - Fundamental architecture of optical scanning systems. AB - Configurations for active and passive optical scanning are categorized and unified with the use of a conjugate image model. Topics include architecture of scanners whose optical apertures may be overilluminated or underilluminated by flux, which is (or is not) radially symmetric, providing scan magnification and possible image rotation. A scan locus theorem is introduced. Scanner-lens configurations include flat fielding, telecentricity, double pass, and beam expansion/compression. The resolution invariant reveals beam propagation and anamorphic beam-handling consequences. PMID- 21060602 TI - Tunable optical filter for colorimetric applications. AB - A temperature-controlled tunable optical filter for use in the visible range and based on the Christiansen effect, in which the solid particles have been substituted with glass fibers, has been fabricated and tested. The construction of the filter and transmission properties are reported. For an operating temperature of 95 degrees C, the peak wavelength was 509.5 nm, with a peak transmission of 50.8% and a FWHM of 71 nm. PMID- 21060603 TI - Semispatial, robust, and accurate phase evaluation algorithm. AB - A new semispatial, robust, and accurate phase evaluation algorithm for spatial carrier fringe measuring systems is presented, which is a combination of a temporal algorithm and a spatial algorithm in order to use the advantages of both methods. Only two to four frames are needed for operation. A new interlaced detection mode is also presented. Thus the time of data acquisition can be reduced further. Limits are discussed and compared with conventional algorithms. An application of this algorithm with a fringe projection system is described and demonstrated by the measurement of objects with different optical properties. Using this algorithm it is possible to achieve short data-acquisition times of 80 ms in combination with a high vertical resolution. This fact is demonstrated by the measurement of living corneas. PMID- 21060604 TI - Standardized methods for assessing the imaging quality of intraocular lenses. AB - The relative merits of three standardized methods for assessing the imaging quality of intraocular lenses are discussed based on theoretical modulation transfer-function calculations. The standards are ANSI Z80.7 1984 from the American National Standards Institute, now superseded by ANSI Z80.7 1994, and the proposed ISO 11979-2 from the International Organization for Standardization. They entail different test configurations and approval limits, respectively: 60% resolution efficiency in air, 70% resolution efficiency in aqueous humor, and 0.43 modulation at 100 line pairs/mm in a model eye. The ISO working group found that the latter corresponds to 60% resolution efficiency in air in a ring test among eight laboratories on a sample of 39 poly(methyl) methacrylate lenses and four silicone lenses spanning the power (in aqueous humor) range of 10-30 D. In both ANSI Z80.7 1994 and ISO 11979-2, a 60% resolution efficiency in air remains an optional approval limit. It is concluded that the ISO configuration is preferred, because it puts the intraocular lens into the context of the optics of the eye. Note that the ISO standard is tentative and is currently being voted on. PMID- 21060605 TI - Technique for measuring grating frequency. AB - Experimentally the real and imaginary parts of the Fourier spectrum are separated and used to detect the nature of the object, i.e., whether the object is an odd or even function or a combination of both. A modified Twyman-Green setup is used for this purpose. This type of splitting of the object function into even and odd parts may become useful in different fields of image processing. As an application the same technique is used for accurate measurement of the grating frequency. PMID- 21060606 TI - Nonintrusive measurements of temperature and size of single falling raindrops: erratum. PMID- 21060607 TI - Efficiency and long-term stability of a multilayer-coated, ion-etched blazed holographic grating in the 125-133-A wavelength region. AB - The efficiency of an ion-etched blazed holographic grating was measured by the use of synchrotron radiation in the 125-133-A wavelength range and at near-normal incidence. The grating had a Mo-Si multilayer interference coating that resulted in a peak normal-incidence efficiency of 13% in the second grating order and at a wavelength of 128 A. This is the highest efficiency obtained to date from a multilayer-coated grating in this wavelength region and at normal incidence. These measurements are compared with similar measurements performed on the same grating 4.5 years later. Over this time the peak grating efficiency decreased from 13% to 8%, and this result is attributed to the decrease in the reflectance of the multilayer coating from 55% to 42%. Oxidation and contamination of the multilayer with carbon appear to be the causes of these losses. The groove efficiency of the grating substrate in the second order is 23%. PMID- 21060608 TI - Polarization and efficiency of a concave multilayer grating in the 135-250-A region and in normal-incidence and SeyaNamioka mounts. AB - A molybdenum/silicon multilayer coating was applied to a holographic ion-etched blazed grating substrate that had 2400 grooves/mm and a radius of curvature of 2.2 m. Scanning probe microscopy yielded the same surface microroughness (5 A rms) before and after deposition of the multilayer. The efficiency and polarization performance of the grating was measured by synchrotron radiation in the 135-250-A wavelength region. In the second grating order and the second Bragg order of the multilayer coating, the peak normal-incidence efficiency was 7.5% at a wavelength of 147 A, representing a groove efficiency of 27%. At an angle of incidence of 35 degrees , the polarization performance of the grating was 95% 100% in the 210-250-A wavelength region. In a Seya-Namioka spectrometer mount at an angle of incidence of 30 degrees -40 degrees , the grating is a nearly perfect polarizing optical element in the wavelength bands between 125 and 300 A, which are covered by the multilayer coating. PMID- 21060609 TI - Optical-constant calculation over an extended spectral region: application to titanium dioxide film. AB - An iterative algorithm has been developed that takes starting values derived by an envelope method but then minimizes the influence of the envelopes and emphasizes the actual measured data. This combination avoids the difficulties inherent in the accurate drawing of the envelopes and makes it possible to extract the thickness and the optical constants of semiconducting and dielectric films over a wide spectral region, including regions of high absorption. PMID- 21060610 TI - Aberrations and convergence characteristics of a concentric-circular focusing grating coupler: analysis. AB - The convergence characteristics of the previously proposed concentric-circular focusing grating coupler (CFGC) are analyzed, and aberration functions for such typical errors as wavelength errors, effective index errors, grating pattern distortion, and CFGC eccentricity are derived for evaluation of their allowances. The analyzed results prove that the Strehl intensity deterioration caused by a wavelength error and an effective index offset can be minimized by optimization of the annular aperture of the CFGC. In the case of Marechal's criterion, a wavelength error of +/-8.6% at the annular aperture of NA = 0.440-0.607 and an effective index error of +/-20.4% at NA = 0.500-0.652 are permissible, and these values are ~100 times greater than those that are seen in a conventional focusing grating coupler that has a rotationally asymmetrical structure with respect to its optical axis. PMID- 21060611 TI - Fabrication of a concentric-circular focusing grating coupler by a conic-wave front interference method and light-convergence experiments using the coupler. AB - An interference method utilizing conic-wave-front light for the fabrication of a concentric-circular and chirped grating is proposed. The design method and fabrication of an interference lens that generates conic-wave-front light are also shown. A focusing element is constructed from a concentric-circular grating coupler with a 0.4-mm diameter and a concentric-circular focusing grating coupler with an annular aperture of 2-mm focal length and 2.0-4.0-mm diameter. Light convergence experiments using the focusing element were able to obtain a focusing spot of 0.5 um * 0.7 um at half-intensity widths for a wavelength of 820 nm in combination with liquid-crystal polarization elements. PMID- 21060612 TI - Phase modulation spectroscopy using an all-fiber piezoelectric transducer modulator for a resonator fiber-optic gyroscope. AB - The theoretical analysis and experimental demonstration of phase modulation spectroscopy employing an all-fiber piezoelectric transducer modulator for a fiber ring resonator fiber-optic gyroscope is presented for the first time as far as we know. The results support the feasibility of such a technique as a rotation detection scheme for a resonator fiber-optic gyroscope. PMID- 21060613 TI - Fast transmittance model for satellite sounding. AB - Through the use of new line-by-line spectral calculations in both the infrared and microwave regions, coefficients have been generated for the transmittance stage of the fast radiative transfer model used by the United Kingdom Meteorological Office. These permit the fast model to calculate the transmittance for the high-resolution infrared sounder and the microwave sounding unit instruments aboard the National Oceanic and Atmospheric Administration polar orbiting satellite for a given atmospheric profile, simply by taking these coefficients in linear combination with a set of predictors. These are expressed in terms of the deviation of the profile from a reference. However, the method can be applied to any instrument within the range of the spectral calculations, thereby permitting new coefficients to be calculated as soon as the spectral response details for the instrument become available. It also permits effective consideration to be given in the longer term to new line data or improvements in line-shape theory. The process by which these coefficients have been obtained is described, along with a discussion of some of the tests carried out on their installation into the fast model; these tests show that they are suitable for operational use. The predictors employed by the fast model are discussed, and changes are proposed for those that relate to the water-vapor transmittance. In this respect it was found that the inclusion of predictors that depend primarily on the zenith angle of the radiation path leads to improvements in the transmittance calculation. PMID- 21060614 TI - Photon-measurement density functions. Part I: Analytical forms. AB - This paper addresses the problem of tomographic reconstruction of absorption and scattering parameters in the optical region from measurements of transilluminated light. Specifically, the question of the sensitivity of different measurement schemes on the boundary of an object to perturbations of the optical parameters within the object are addressed. The concept of a photon-sampling volume [Appl. Opt. 33, 448 (1994)] and a photon-hitting density [Appl. Opt. 32, 448 (1993)] is extended to a photon-measurement density function (PMDF). The PMDF is derived from the Green's function of the diffusion equation and can be expressed for measurements such as the time-varying intensity, integrated intensity, temporal moments, and phase shift, as well as for both absorption and diffusion perturbations. Closed-form solutions are given for a number of these functions in infinite space, half-space, and slab geometries. Example results are given in terms of three-dimensional images. PMID- 21060615 TI - Mie and Rayleigh modeling of visible-light scattering in neonatal skin. AB - Reduced-scattering coefficients of neonatal skin were deduced in the 450-750-nm range from integrating-sphere measurements of the total reflection and total transmission of 22 skin samples. The reduced-scattering coefficients increased linearly at each wavelength with gestational maturity. The distribution of diameters d and concentration rho(A) of the skin-sample collagen fibers were measured in histological sections of nine neonatal skin samples of varying gestational ages. An algorithm that calculates Mie scattering by cylinders was used to model the scattering by the collagen fibers in the skin. The fraction of the reduced-scattering coefficient u(s)' that was attributable to Mie scattering by collagen fibers, as deduced from wavelength-dependent analysis, increased with gestational age and approached that found for adult skin. An assignment of 1.017 for n(rel), the refractive index of the collagen fibers relative to that of the surrounding medium, allowed the values for Mie scattering by collagen fibers, as predicted by the model for each of the nine neonatal skin samples to match the values for Mie scattering by collagen fibers as expected from the measurements of u(s)'. The Mie-scattering model predicted an increase in scattering with gestational age on the basis of changes in the collagen-fiber diameters, and this increase was proportional to that measured with the integrating-sphere method. PMID- 21060616 TI - Absorbance measurements in turbid media by the photon correlation method. AB - Optical absorption in highly turbid media was quantified by the time shift of the electric field autocorrelation function of diffused photons. The intensity autocorrelation function was analyzed by the third-order cumulant expansion and a linear relationship between the time shift and the absorber concentration was observed. The slope of the fitted line gave the molecular extinction coefficient of the absorber. The absorption spectra were also obtained from the time shift. Applicability to dual-wavelength absorption measurement is also discussed. We demonstrate for the first time, as far as we know, the feasibility of absorbance quantification in turbid media by the photon correlation method. PMID- 21060617 TI - Spatial-coherence modulation for optical interconnections. AB - The spatial coherence of a laser beam depends on the number and the relative weights of the spatial modes supported by the laser waveguide. By electro-optic modulation of the cavity geometry, the spatial-coherence function can be modulated between zero and one at predictable locations across the beam and thus carry information. A simple integrated-optic interferometer is used to decode the signal. Spatial coherence can be modulated independently of the beam intensity and can be used as another level of multiplexing in addition to amplitude modulation, wavelength-division modulation, etc. One can implement a free-space optical interconnection scheme by carrying data on the intensity and address information on the spatial coherence. PMID- 21060618 TI - Anamorphic fractional Fourier transform: optical implementation and applications. AB - An additional degree of freedom is introduced to fractional-Fourier-transform systems by use of anamorphic optics. A different fractional Fourier order along the orthogonal principal directions is performed. Alaboratory experimental system shows preliminary results that demonstrate the proposed theory. Applications such as anamorphic fractional correlation and multiplexing in fractional domains are briefly suggested. PMID- 21060619 TI - Characterization of Dupont photopolymers in infrared light for free-space optical interconnects. AB - Dupont photopolymer as a potential holographic material for an optical interconnect system was studied. The optimized conditions of recording plane-wave holograms with ~99% effective diffraction efficiency for infrared reconstruction were obtained by testing different Dupont photopolymers and different copying parameters. The scattering ratios of the holograms recorded with Dupont photopolymers HRF600-10, HRF600-20, and HRF600-38 were measured and compared. PMID- 21060620 TI - Discrete reconstruction of real phase objects: a comparison with computer simulated phase objects. AB - The Gerchberg-Saxton algorithm for iterative phase retrieval is applied in reconstructing an optical wave arriving from a coherently illuminated phase object. Computer simulations show that the algorithm converges to the correct solution when magnitude information for both image and Fourier domains is provided. Phase retrieval from measured intensities in an experimental optical system is compared with the simulations. Charge-coupled-device sensors, in which the output voltage is a nonlinear function of the light intensity, are used to perform measurements of the quasi magnitude information. Because of the accuracy of the measured data, phase retrieval for real simple objects is possible, and schlieren images can be generated on the computer screen. PMID- 21060621 TI - Fourier-Bessel harmonic expansions for tomography of partially opaque objects. AB - Tomographic reconstruction from a limited amount of projection data of fields with embedded opaque objects can result in streaks and other artifacts in the reconstructed image. These artifacts result from the use of local-basis-function expansions to represent the image. I demonstrate that reconstructions by circular harmonic expansions are largely free of these artifacts. A Fourier-Bessel expansion on a circular domain is used as the reconstruction basis; this expansion is used to compare circular-harmonic reconstructions with square-pixel reconstructions to determine qualitative differences between the local bases and the circular harmonics. Computational issues are also discussed. PMID- 21060622 TI - Fringe visibility, irradiance, and accuracy in common path interferometers for visualization of phase disturbances. AB - Common-path interferometers have been used to perform phase visualization for over 40 years. A number of techniques have been proposed, including dark central ground, phase contrast (pi/2 and pi), and field-absorption interferometers. The merits of the interferometers have been judged ad hoc by either tests with a small number of phase objects or by computer simulation. Three standardized criteria, which consolidate the work of others, are proposed to evaluate common path interferometers: fringe visibility, fringe irradiance, and fringe accuracy. The interferometers can be described as one generic class of Fourier-plane filters and can be analyzed for all input conditions. Closed-form expressions are obtained for visibility and irradiance under the forced condition that little inaccuracy is tolerated. This analysis finds that the pi-phase-contrast interferometer is a good choice if the optical phase disturbance is at least 2pi; for smaller disturbances, the Pi/2 filter selected by Zernike is near optimum. It is shown mathematically that the resulting fringe visibility is highly object dependent, and good results are not ensured. By allowing the optical beam to be 50% larger than the phase object, the interferometer performs well under all conditions. With this approach and a combination pi-phase/field-absorption filter, interference fringe visibility is greater than 0.8 for all phase objects. PMID- 21060623 TI - Automated interferogram analysis based on an integrated expert system. AB - Interferometric data, either from single-frame fringe-tracking and Fourier transform techniques or from multiframe phase-shifting techniques, pose a problem of 2pi ambiguity, that is, wrapped-phase information. As the degree of noise level increases, especially in high-speed aerodynamics, these techniques encounter difficulties in phase extraction to provide continuous unwrapped-phase information. Here, a new hybrid approach, called the integrated expert system, which is developed primarily for aerodynamic interferogram evaluation, is presented. The integrated expert system utilizes interferometric-specific knowledge rules to compensate for the limitations associated with conventional techniques. It integrates in a single structure an expert system and algorithmic programming to provide, as much as possible, a unified approach for all the interferogram evaluation techniques. This initial attempt may provide a useful groundwork for future development in intelligent interferogram processing. PMID- 21060624 TI - Phase-shifting real-time holographic interferometry that uses bismuth silicon oxide crystals. AB - A bismuth silicon oxide crystal is used in the diffusion regime as a dynamic recording medium in a real-time holographic interferometer based on anisotropic self-diffraction. This device is connected with an interferogram-analysis method that uses the phase-shifting technique for quantitative measurement of diffusive reflecting object deformations. In addition to the usual error sources in phase shifting, the temporal interferogram erasure is studied and is found weakly perturbative for the measured phase. It is shown that quantitative measurements are possible for low-intensity object beams (8 uW/cm(2)) and a large observed area. Apractical situation of defect monitoring in a composite structure is presented. PMID- 21060625 TI - Gray-scale masks for diffractive-optics fabrication: I. Commercial slide imagers. AB - Fabrication of diffractive optics with binary masks requires multiple photolithographic processes to produce high-efficiency elements. Alignment or etching errors at any stage of fabrication decrease the efficiency of the element. We developed an easily accessible procedure that reduces fabrication complexity and costs by using a single gray-scale mask. The gray-scale patterns are generated by commercial slide imagers and are then photoreduced onto low contrast film plates. Multiple-level or continuous relief structures (kinoforms) may be constructed by use of the photoreduced gray-scale patterns as lithographic masks. Diffractive-optic lenses and blazed gratings were fabricated in photoresist with this procedure. First-order diffraction efficiencies as high as 85% were measured for the blazed gratings. The advantages and the limitations of this technique are discussed. PMID- 21060626 TI - Gray-scale masks for diffractive-optics fabrication: II. Spatially filtered halftone screens. AB - Fabrication of diffractive optics with binary masks requires multiple photolithographic processes to produce efficient, continuous profile elements (kinoforms). Alignment or etching errors at any stage of fabrication decrease the efficiency of the element. We developed two accessible procedures that minimize fabrication complexity, component turnaround time, and cost. The first technique [Appl. Opt. 34, 7507-7517 (1995)] uses gray-scale masks produced by commercial slide-imager systems. Here, we report on an alternative technique for producing gray-scale masks by spatial filtering of halftone screens. Using the photoreduced gray-scale patterns as lithographic masks, we fabricated diffractiveoptic blazed gratings and lens arrays in both photoresist and quartz. First-order efficiencies as high as 70% are reported. Also, the strengths and limitations of this technique are compared with the previously reported slide-imager method as well as other fabrication methods. PMID- 21060627 TI - Synthetic circular-harmonic phase-only filter for shift, rotation, and scaling invariant correlation. AB - A synthetic circular-harmonic phase-only filter is described. With this filter and a Fourier-transform correlator it is possible to obtain shift, rotation, and scaling-invariant correlations. PMID- 21060628 TI - Joint transform correlator subtracting a modified Fourier spectrum. AB - The optically generated joint Fourier transform (JFT) of a test image and a reference image is processed using a new method: the JFT is recorded twice. In the second recording the reference image is phase shifted by pi with respect to the first recording. The two JFT's are subtracted and binarized with a threshold of zero. Strong correlation peaks are obtained, and correlations within the test image are suppressed. Some results of optical implementation are presented, using a ferroelectric liquid crystal display with 128 * 128 pixels for data input. The phase shift of the reference was implemented by the contrast-inverted reference input on the binary light-modulating device. Processing of the JFT is done by a CCD camera, a frame grabber, and a personal computer. PMID- 21060629 TI - Color encoding for polychromatic single-channel optical pattern recognition. AB - The common multichannel system for recognizing colored images is replaced by a color-encoded single-channel system. Amethod inspired by the Munsell color system is used for encoding the different colors as phase and amplitude functions. It is shown that for many practical cases the phase information part of the color code is sufficient for obtaining good results. An implementation based on a liquid crystal television panel that works in a phase-modulation mode is suggested. Computer simulations that demonstrate the capabilities of the suggested method are given as well as a comparison with previously published multichannel performance. PMID- 21060630 TI - Adaptive, optical, radial basis function neural network for handwritten digit recognition. AB - An adaptive, optical, radial basis function classifier for handwritten digit recognition is experimentally demonstrated. We describe a spatially multiplexed system that incorporates an on-line adaptation of weights and basis function widths to provide robustness to optical system imperfections and system noise. The optical system computes the Euclidean distances between a 100-dimensional input vector and 198 stored reference patterns in parallel by using dual vector matrix multipliers and a contrastreversing spatial light modulator. Software is used to emulate an electronic chip that performs the on-line learning of the weights and basis function widths. An experimental recognition rate of 92.7% correct out of 300 testing samples is achieved with the adaptive training, versus 31.0% correct for nonadaptive training. We compare the experimental results with a detailed computer model of the system in order to analyze the influence of various noise sources on the system performance. PMID- 21060631 TI - Filter-feature-based rotation-invariant joint Fourier transform correlator. AB - Rotation-invariant target detection using a trained filter-feature-based joint Fourier transform (JFT) correlator is investigated. First, a composite reference image is obtained from a training set of targets. An optimum filter formulation is then applied on this composite image to come up with a new feature that we refer to as a filter feature. This feature is then used in a JFT correlator, which results in a simple and robust rotation-invariant target recognition system. PMID- 21060632 TI - Comparative effects of optical-correlator signal-dependent and signal-independent noise on pattern-recognition performance with the phase-only filter. AB - The comparative effects of optical-correlator signal-dependent and additive signal-independent noise on correlation-filter performance are analyzed by three different performance measures. For an identical value of the signal-to-noise ratio imposed on each type of noise in a binary input image, computer simulations performed with the phase-only filter show (i) that additive Gaussian signal independent noise yields a much larger correlation-performance degradation than signal-dependent noise and (ii) that the different types of signal-dependent noise lead to similar correlation results because of similar effects on the input image that are inherent to the nature of the noise. PMID- 21060633 TI - Optical associative memory with bipolar edge-enhanced learning that uses a binary spatial light modulator and a BaTiO(3) crystal. AB - An optical associative memory with bipolar edge-enhanced feature learning that uses a ferroelectric liquid-crystal spatial light modulator and a barium titanate crystal is presented. During the learning procedure the bipolar edge-enhanced versions of the patterns are employed, which enable the associative memory to have a high discrimination capability. Experimental results and computer simulations are given. PMID- 21060634 TI - Space-variant optical interconnection through the use of computer-generated holograms. AB - A space-variant optical interconnection through the use of computer-generated holograms is proposed, and specific configurations to increase the number of parallel channels are analyzed. To this end, the well-known method based on a matrix composed of subholograms is applied. The field diffracted by each channel (assumed to be square apertures) is calculated through the angular-spectrum technique, and the resulting fields are suitably superimposed to obtain a hologram matrix with a reduced bandwidth. Results show that a compact transmissive planar configuration can be handled; in particular, the small interconnect distance between the array planes and the hologram yields a limited system volume. PMID- 21060635 TI - Coherence properties of a source array derived from a Gaussian Schell-model beam. AB - The spatial coherence properties of a source array derived from a quasi monochromatic Gaussian Schell-model (GSM) beam are analyzed. The method for realizing the source array by use of a GSM source and a Gaussian amplitude grating was originally proposed in our preceding paper [J. Opt. Soc. Am. A 11, 2112 (1994)], in which the intensity distribution was analyzed. One result that we obtain is that the degree of spatial coherence of each element in the source array is the same as that at the other elements. In particular, each element becomes equivalent to the GSM source and has a high degree of spatial coherence with the other elements if three conditions are satisfied simultaneously: (1) the spatial-coherence length of the GSM source is larger than the GSM source size, (2) the GSM source size normalized by a period of the grating is 0.3 to 0.5, and (3) each aperture size of the grating normalized by the period is 0.05 or less. PMID- 21060636 TI - Phase-change optical disks compatible with a two-wavelength laser beam. AB - Three types of multiple-layer structure are proposed for a two-wavelength compatible phase-change optical disk that uses an 830-nm beam for writing and erasing and a 670-nm beam for reading. Each disk with an optimized structure exhibits high-enough carrier-to-noise and erasing ratios at 830 nm and 670 nm for practical use. One of the disks has a lower erasing ratio at 670 nm than at 830 nm for the same erased marks. This difference is explained by optical characteristics that stem from the multiple-layer structure of the disks. PMID- 21060637 TI - High-resolution phase-conjugate imaging in double-pumped phase conjugators. AB - Phase-conjugate images with a resolution greater than 250 lines/mm are obtained through the use of a bridge, double-pumped phase conjugator. We demonstrate that this conjugator can carry out imageprocessing tasks, such as the addition and subtraction of complex spatial distributions, with a spatial resolution of >100 lines/mm. These results represent a significant improvement over previously reported resolutions obtained from photorefractive mutually pumped phase conjugators and approach the theoretical limit imposed by the grating spacing and cross talk. PMID- 21060638 TI - Motionless-head parallel-readout optical-disk system: experimental results. AB - The motionless-head parallel-readout optical-disk system is designed to read out two-dimensional bit planes that are stored as one-dimensional Fourier-transform computer-generated holograms distributed radially on the disk active surface. Such a system, when built at full scale, could offer several potential advantages: high data-transfer rates of 1 Gbyte/s and higher, low access times of less than 15 ms, low retrieval times (the time required to read the entire memory) of less than 25 ms, and simple optical implementation. The experimental results of a scaled-down implementation of the system are presented. PMID- 21060639 TI - Modified engagement method for matrix operation. AB - We describe a modified engagement method for matrix operation based on a two dimensional crossed-ring interconnection network. Our method incorporates fewer steps than that reported by Bocker et al. [Appl. Opt. 22, 804 (1983)], and its performance is found to be the most efficient (minimum steps) in comparison with other systolic and/or engagement methods for matrix operation. Thus, it may be helpful for other optical and electronic implementations of matrix operations. One compact optoelectronic integrity approach for implementing the modified engagement method is briefly described. PMID- 21060640 TI - Incoherent fractional Fourier transform and its optical implementation. AB - The fractional Fourier transform is redefined for working with incoherent light. As a real transformation, the incoherent fractional Fourier transform overcomes coherent system disadvantages such as the speckle effect and the need for incoherent-coherent conversion. It also might have some applications for digital image and signal processing owing to its decreased computing complexity. An incoherent optical implementation of the new transform based on the shearing interferometer is suggested. Laboratory experimental results are given. PMID- 21060641 TI - Photonic content-addressable memory system that uses a parallel-readout optical disk. AB - We describe a high-performance associative-memory system that can be implemented by means of an optical disk modified for parallel readout and a custom-designed silicon integrated circuit with parallel optical input. The system can achieve associative recall on 128 * 128 bit images and also on variable-size subimages. The system's behavior and performance are evaluated on the basis of experimental results on a motionless-head parallel-readout optical-disk system, logic simulations of the very-large-scale integrated chip, and a software emulation of the overall system. PMID- 21060642 TI - Optoacoustic detection of a kinetic-cooling effect in the liquid phase. AB - A kinetic-cooling effect in the liquid phase has been observed by the use of pulsed optoacoustic spectroscopy. The magnitude of the optoacoustic signal in an aqueous Eu(3+) solution, as measured from the absorption of pulsed light, was found to decrease when the excitation-laser wavelength was tuned to the transition from the thermally excited (7)F(1) state to the upper electronic (5)D(0) state of Eu(3+) ion. This anomalous optoacoustic phenomenon is attributed to the kinetic-cooling effect. PMID- 21060643 TI - Experimental investigation of eigenmodes of empty optical resonators with apertures. AB - The spectra of an eigenmodal set of optical resonators with apertures are measured experimentally. Frequency shifts predicted by theory are confirmed for plane-parallel cavities. It is also shown that the local shape of the wave front at the aperture is significant for manifestation of these phenomena. PMID- 21060644 TI - Mode-volume enhancement in an apertured laser. AB - In a laser TEM(00)-mode selection by the use of a hard intracavity aperture gives rise to losses that are experimentally observable and to an increase in the fundamental-mode volume that is more difficult to observe. We use the parasitic resonances that are characteristic of multicavity lasers to demonstrate this volume enhancement in a He-Ne laser operating at 3.39 um. The increase in mode volume in the apertured laser can increase the output power by a factor of 3 with respect to that of the nonapertured laser. PMID- 21060645 TI - Bessel-beam-pumped tunable distributed-feedback laser. AB - A distributed-feedback (DFB) dye laser that is pumped by a standing Bessel-beam wave is constructed. Because of the long line focus of the Bessel beam, the laser medium is pumped in only a very thin filament (a few micrometers) along the optical axis. At the same time, longitudinal-mode selection is achieved because of the DFB effect. It is demonstrated that when the effective wavelength of the Bessel pump beam is varied, the Bragg wavelength for DFB is altered, and as a result the output wavelength can be tuned. PMID- 21060646 TI - Output-coupling optimization of Nd-doped fiber lasers. AB - A simple theoretical modeling of the static properties of a fiber laser that includes distributed losses and inhomogeneous pumping is presented. Closed-form expressions for both the output and the backward (at the input mirror) intensities are obtained. The model is based on an extended formulation of the Rigrod's theory. It is shown that the laser responds differently depending on the length of the fiber. In particular, we show that for long (short) lasers optimal output power is achieved with low (high) output-coupler reflectivities. Experimental evidence of these results is obtained with Nd-doped fiber lasers with various lengths. PMID- 21060647 TI - Model for the temporal-stability analysis of synchroscan streak camera measurements. AB - In synchroscan streak camera measurements with actively mode-locked lasers, the temporal resolution is considerably better when the synchronization is generated by the laser itself (active configuration) than in the case in which the deflection is obtained from the mode-locker oscillator (passive configuration). These well-known experimental results are interpreted by means of a simple model that includes random fluctuations of the laser and electronic-oscillator periods. Comparison with experimental results clearly indicates that in the active device the timing properties of the camera are limited by the interpulse jitter. Conversely, this suggests that one may take advantage of implementing the passive configuration in order to measure the instantaneous time-phase fluctuations of the mode-locker oscillator directly. PMID- 21060648 TI - Writing and visualization of low-threshold type II Bragg gratings in stressed optical fibers. AB - Type II phase gratings were produced by the use of holographic side writing in high-birefringence optical fibers with UV fluences of 0.06 J/cm(2) over 10 times lower than that previously reported for standard fibers. The grating growth, transmission and reflection spectra, temperature response, short-wavelength light ejection, and high-resolution confocal microscopy images are reported. Diffraction theory is used to interpret the grating microstructure revealed by confocal microscopy. Each period of the grating is shown to consist of a plate of oriented cracks, and arguments relating to the arrangement of the cracks and crack growth are linked to the observed grating-growth dynamics. PMID- 21060649 TI - Finite-element analysis of surface-plasmon modes for lossy optical waveguides by the use of perturbation techniques. AB - A finite-element analysis, with the aid of perturbation techniques, is used to determine the complex propagation characteristics of surface-plasmon modes that are supported by metal-dielectric interfaces. Symmetrical and nonsymmetrical three-layer optical waveguides incorporating a thin metal layer are studied, and the variations of the effective index and the attenuation constant with metal thicknesses are presented for both the short-range and long-range modes. Results are compared with previously published research, which was based on alternative approaches. Furthermore, for the first time to our knowledge, the complex propagation characteristics are presented for a coupled structure incorporating a surface-plasmon region with two-dimensional confinement. PMID- 21060650 TI - Propagation losses in a potassium-ion-exchanged waveguide with a superstrate. AB - The influence of the refractive index of a superstrate on propagation losses of an optical waveguide is measured. A 72-cm-long channel waveguide is made by potassium ion exchange in microscope slides. Propagation losses are reduced from 0.4 dB/cm with air as the superstrate to 0.28 dB/cm with a liquid superstrate of refractive index 1.46. PMID- 21060651 TI - Circular-harmonic vector analysis of a dielectric waveguide with a cross-cut circle cross section. AB - Vector-wave solutions of dielectric waveguides with cross-cut-circle cross sections, which are commonly produced by reflow of the waveguide core on a planar substrate at high temperature, are rigorously analyzed by the use of the point matching method. As a numerical example, the propagation constant, electromagnetic-field distribution, and convergence of mode solutions for a semicircular waveguide are presented, and the properties of these modes are discussed. PMID- 21060652 TI - Cell for testing passive remote-sensing vapor detectors. AB - There is a requirement for the controlled testing of passive infrared remote sensing vapor detectors. The driving mechanism for the operation of these sensors is the small temperature difference DeltaT that occurs between the target vapor and the background. Natural DeltaT's, ranging from a fraction of a degree Kelvin to 20 K or more, have to be duplicated in the laboratory with the vapor contained in a cell. It is shown that the windows of the cell nonlinearly affect the measurements. A proposal is made for a new type of vapor cell, the ectocell, which effectively eliminates the window problems for differential measurements. PMID- 21060653 TI - Laser-machining experiment with an excimer laser and a kinoform. AB - We have used a kinoform to increase the beam-power utilization in an excimer laser-machining experiment. The kinoform creates the pattern to be machined. Thus less power is wasted on the blocking parts of a shadow mask. To achieve as smooth an intensity profile as possible, the kinoform was also used together with a microlens-array beam homogenizer. We discuss the intensity distributions of the patterns created by the kinoform with and without the beam homogenizer as well as the design of the kinoform and the homogenizer, with emphasis on the relation to the coherence properties of the laser beam. PMID- 21060654 TI - Required energy for a laser radar system incorporating a fiber amplifier or an avalanche photodiode. AB - The transmitted energy required for an airborne laser radar system to be able to image a target at 20 km is investigated. Because direct detection is being considered, two methods of enhancing the received signal are discussed: (1) using an avalanche photodiode (APD) as the detector and (2) using a commercial fiber amplifier as a preamplifier before a photodetector. For this analysis a specified signal-to-noise ratio was used in conjunction with the radar range equation, which includes the effects of atmospheric transmission and turbulence. Theoretical analysis reveals that a system with a fiber amplifier performs nearly the same as a system incorporating an APD. PMID- 21060655 TI - In situ microparticle analysis of marine phytoplankton cells with infrared laser based optical tweezers. AB - We describe the application of infrared optical tweezers to the in situ microparticle analysis of marine phytoplankton cells. A Nd:YAG laser (lambda= 1064 nm) trap is used to confine and manipulate single Nannochloris and Synechococcus cells in an enriched seawater medium while spectral fluorescence and Lorenz-Mie backscatter signals are simultaneously acquired under a variety of excitation and trapping conditions. Variations in the measured fluorescence intensities of chlorophyll a (Chl a) and phycoerythrin pigments in phytoplankton cells are observed. These variations are related, in part, to basic intrasample variability, but they also indicate that increasing ultraviolet-exposure time and infrared trapping power may have short-term effects on cellular physiology that are related to Chl a photobleaching and laser-induced heating, respectively. The use of optical tweezers to study the factors that affect marine cell physiology and the processes of absorption, scattering, and attenuation by individual cells, organisms, and particulate matter that contribute to optical closure on a microscopic scale are also described. PMID- 21060656 TI - Optical scintillations and fade statistics for a satellite-communication system. AB - Estimates of the scintillation index, fractional fade time, expected number of fades, and mean duration of fade time associated with a propagating Gaussian-beam wave are developed for uplink and downlink laser satellite-communication channels. Estimates for the spot size of the beam at the satellite or the ground or airborne receiver are also provided. Weak-fluctuation theory based on the log normal model is applicable for intensity fluctuations near the optical axis of the beam provided that the zenith angle is not too large, generally not exceeding 60 degrees . However, there is an increase in scintillations that occurs with increasing pointing error at any zenith angle, particularly for uplink channels. Large off-axis scintillations are of particular significance because they imply that small pointing errors can cause serious degradation in the communication channel reliability. Off-axis scintillations increase more rapidly for larger diameter beams and, in some cases, can lead to a radial saturation effect for pointing errors less than 1 urad off the optical beam axis. PMID- 21060657 TI - Transmission of light waves through normal shocks. AB - We seek to characterize light waves transmitted through normal shock waves. The investigation is motivated by the need for a theory to support a shadowgraph experiment for flow in a convergent-divergent nozzle. In this experiment light beams are passed through the nozzle transverse to the direction of the flow in which a shock has formed in the vicinity of the throat. We present a formulation and an approximation that yield calculations of the intensity of transmitted waves. We also present experimental results to support the theory. The patterns predicted by the theory compare well with the patterns observed in experiments. PMID- 21060658 TI - Simultaneous determination of size and wavelength-dependent refractive indices of thin-layered droplets from optical resonances. AB - A technique for determining the size and wavelength-dependent refractive indices of a droplet coated with a thin layer is presented. The existence of a layer on the droplet is identified by a procedure that involves separate alignments of independently measured TE- and TM-mode resonances with computed homogeneous sphere resonances. The procedure also yields the mode and the order numbers associated with the measured resonances. The observed resonances are then aligned with layered-sphere resonances of the same mode and order numbers to determine the core radius, layer thickness, and constants of core and shell dispersion formulas that minimize the difference between the observed and the calculated positions of resonances. The technique has been tested with synthetic data with various levels of random errors as well as with experimental data from two droplets under identical conditions. The results show that the core radius, layer thickness, and core and layer refractive indices can be determined with relative errors of 3.5 * 10(-4), 4.5 * 10(-2), 2.3 * 10(-4), and 4.4 * 10(-3), respectively, with the technique. PMID- 21060659 TI - Full-field diffraction particle sizing. AB -A particle-sizing technique that retrieves the size of particles by analysis of the far-field diffraction pattern their images produce has been developed. The technique consists of capturing images of particles, interrogating the images, and inverting the resulting data to yield particle-size distributions.
The technique acquires spatially resolved size information that is especially useful in analyzing transient sprays. Dense groups of particles do not appear to hinder the performance of the technique.
The technique is implemented to study diesel sprays. Both detailed spatially resolved size information and general size trends are obtained.
PMID- 21060660 TI - Effects of smoothing and measurement-wavelength range on the accuracy of analytic eigenfunction inversions. AB - A smoothing technique is applied to improve the accuracy of inversions of Mie extinction measurements with analytic eigenfunction theory. It is shown that a moderate amount of smoothing allows the inclusion of further terms, and thus extra information, in the expansion. The effects of measurement-wavelength range on the accuracy of inversions are also investigated, and it is shown that when large particles are present, measurements in the infrared region are necessary for accurate inversions. PMID- 21060661 TI - Evaluation of soluble junctional adhesion molecule-A as a biomarker of human brain endothelial barrier breakdown. AB - BACKGROUND: An inducible release of soluble junctional adhesion molecule-A (sJAM A) under pro-inflammatory conditions was described in cultured non-CNS endothelial cells (EC) and increased sJAM-A serum levels were found to indicate inflammation in non-CNS vascular beds. Here we studied the regulation of JAM-A expression in cultured brain EC and evaluated sJAM-A as a serum biomarker of blood-brain barrier (BBB) function. METHODOLOGY/PRINCIPAL FINDINGS: As previously reported in non-CNS EC types, pro-inflammatory stimulation of primary or immortalized (hCMEC/D3) human brain microvascular EC (HBMEC) induced a redistribution of cell-bound JAM-A on the cell surface away from tight junctions, along with a dissociation from the cytoskeleton. This was paralleled by reduced immunocytochemical staining of occludin and zonula occludens-1 as well as by increased paracellular permeability for dextran 3000. Both a self-developed ELISA test and Western blot analysis detected a constitutive sJAM-A release by HBMEC into culture supernatants, which importantly was unaffected by pro-inflammatory or hypoxia/reoxygenation challenge. Accordingly, serum levels of sJAM-A were unaltered in 14 patients with clinically active multiple sclerosis compared to 45 stable patients and remained unchanged in 13 patients with acute ischemic non small vessel stroke over time. CONCLUSION: Soluble JAM-A was not suited as a biomarker of BBB breakdown in our hands. The unexpected non-inducibility of sJAM A release at the human BBB might contribute to a particular resistance of brain EC to inflammatory stimuli, protecting the CNS compartment. PMID- 21060663 TI - The presence of IL-17A and T helper 17 cells in experimental mouse brain tumors and human glioma. AB - BACKGROUND: Recently, CD4(+)IL-17A(+) T helper 17 (Th17) cells were identified and reported in several diseased states, including autoimmunity, infection and various peripheral nervous system tumors. However, the presence of Th17 in glia derived tumors of the central nervous system has not been studied. METHODOLOGY/PRINCIPAL FINDINGS: In this report, we demonstrate that mRNA expression for the Th17 cell cytokine IL-17A, as well as Th17 cells, are present in human glioma. The mRNA expression for IL-17A in glioma was recapitulated in an immunocompetent mouse model of malignant glioma. Furthermore, the presence of Th17 cells was confirmed in both human and mouse glioma. Interestingly, some Th17 cells present in mouse glioma co-expressed the Th1 and Th2 lineage markers, IFN gamma and IL-4, respectively, but predominantly co-expressed the Treg lineage marker FoxP3. CONCLUSIONS: These data confirm the presence of Th17 cells in glia derived CNS tumors and provide the rationale for further investigation into the role of Th17 cells in malignant glioma. PMID- 21060664 TI - Spectral domain optical coherence tomography as an effective screening test for hydroxychloroquine retinopathy (the "flying saucer" sign). AB - PURPOSE: While the long-term incidence of hydroxychloroquine (HCQ) retinopathy is low, there remains no definitive clinical screening test to recognize HCQ toxicity before ophthalmoscopic fundus changes or visual symptoms. Patients receiving HCQ were evaluated with spectral domain optical coherence tomography (SD OCT) to assess the feasibility of identifying HCQ retinopathy at an early stage. METHODS: Twenty-five patients referred for the evaluation of hydroxychloroquine toxicity underwent a comprehensive ocular examination, Humphrey visual field (HVF) perimetry, time domain OCT, and SD OCT. Some patients with screening abnormalities also underwent further diagnostic testing at the discretion of the treating providers. RESULTS: Five patients were found to have SD OCT findings corresponding to HCQ toxicity and retinal damage as seen by clinical exam and/or HVF perimetry. Two patients with advanced toxicity were found to have significant outer retina disruption in the macula on SD OCT. Three patients with early HCQ toxicity and HVF 10-2 perifoveal defects were found to have loss of the perifoveal photoreceptor inner segment/outer segment (IS/OS) junction with intact outer retina directly under the fovea, creating the "flying saucer" sign. While two of these three patients had early ophthalmoscopic fundus changes, one had none. CONCLUSION: Outer retinal abnormalities including perifoveal photoreceptor IS/OS junction disruption can be identified by SD OCT in early HCQ toxicity, sometimes even before ophthalmoscopic fundus changes are apparent. SD OCT may have a potential complementary role in screening for HCQ retinopathy due to its quick acquisition and because it is more objective than automated perimetry. PMID- 21060665 TI - Autofluorescence and high-definition optical coherence tomography of retinal artery occlusions. AB - BACKGROUND: The purpose of this study is to illustrate the fundus autofluorescence and high-definition optical coherence tomography (HD-OCT) features of acute and long-standing retinal artery occlusions. DESIGN: Retrospective case series. PARTICIPANTS: Patients with acute and chronic retinal and cilioretinal artery occlusions are included in this series. METHODS: A detailed clinical examination, color fundus photographs, autofluorescence, and HD OCT of the subjects were performed. RESULTS: HD-OCT demonstrates the localized and well-demarcated thickening of the inner retina in the acute phase of arterial occlusions that correlates with the areas of blocked autofluorescence caused by the cloudy swelling of the retina. The areas of blocked autofluorescence disappear with chronicity of the disease and this corresponds to the thinning of the inner retinal layers on HD-OCT. CONCLUSION: Heidelberg OCT and autofluorescence are useful tools to assess retinal arterial occlusions especially in subjects with unexplained visual field loss. PMID- 21060666 TI - Efficacy and safety of travoprost alone or in combination with other agents for glaucoma and ocular hypertension: patient considerations. AB - Travoprost is a prostaglandin analog used in the management of glaucoma and ocular hypertension for reducing intraocular pressure (IOP). The IOP-lowering efficacy of travoprost has been shown to be similar to that of other prostaglandins, including latanoprost and bimatoprost. When compared with fixed combinations of timolol and either latanoprost or dorzolamide, travoprost alone can reduce mean IOP in a similar or superior manner. Concomitant therapy of travoprost and timolol can reach even greater IOP reductions than fixed combinations at some time points, but with no difference in the early morning, when IOP is usually higher. In addition, the long duration of action of travoprost can also provide better control of IOP fluctuation, probably due to its stronger prostaglandin F receptor mechanism. The side effects of travoprost do not represent a risk to the vision or health of the patient. The proven efficacy and safety combined with convenient once-daily dosing for travoprost increases patient compliance with treatment for glaucoma. PMID- 21060667 TI - Hypoperfusive and hypertensive ocular manifestations in Takayasu arteritis. AB - Takayasu arteritis is a relatively rare inflammatory arteritis that can be associated with ocular manifestations. We report four patients with proven Takayasu arteritis; two patients manifested hypoperfusive ocular manifestations of ocular ischemic syndrome and anterior ischemic optic neuropathy whilst two others had exudative retinal detachment and papilledema as a result of severe hypertension. The ischemic ocular manifestations were a result of hypoperfusion of the ocular structures due to occlusive arteritis of the aortic arch and its branches. The exudative retinal detachment and papilledema were manifestations of severe hypertension due to renal arterial involvement. Patients with Takayasu arteritis should be referred for ophthalmic assessment and screening for hypoperfusive and hypertensive manifestations. PMID- 21060668 TI - Intravitreal bevacizumab injection and carotid artery stent replacement for neovascular glaucoma in internal carotid artery occlusion. AB - Neovascular glaucoma (NVG) secondary to internal carotid artery (ICA) occlusion is usually resistant to treatment. We report a case of NVG with ICA occlusion improved by intravitreal bevacizumab (IVB) injection and carotid artery stent replacement (CAS), even though we did not perform panretinal photocoagulation. A 67-year-old male with NVG noted visual loss in his left eye. Magnetic resonance angiography showed left ICA occlusion. He was diagnosed with NVG secondary to ICA occlusion. The next day, we carried out IVB injection in his left eye, following which the iris and angle neovascularization regressed, and the intraocular pressure decreased to normal within a day after the injection. CAS was performed on his left ICA at a month post injection. Two months later, we reinjected bevacizumab in his left eye. His condition remained stable with no recurrence over two years. This case indicates that IVB injection and CAS are useful for early-stage NVG secondary to ICA occlusion. PMID- 21060669 TI - Fluoroquinolone antibacterial eye drops: effects on normal human corneal epithelium, stroma, and endothelium. AB - BACKGROUND: In vitro studies have suggested the corneal cytotoxicity of third generation fluoroquinolone levofloxacin (LVFX) and fourth-generation fluoroquinolone moxifloxacin hydrochloride (MFLX) among fluoroquinolone antibacterial eye drops. This study investigated the effects of these two eye drops on the human cornea in vivo. METHODS: We evaluated 30 healthy adults (19 men and 11 women, 38.3 +/- 6.3 years old). Each subject received an LVFX ophthalmic solution 0.5% in one eye and an MFLX ophthalmic solution 0.5% in the other eye three times daily for 7 days. Functional and morphological corneal changes before and after instillation were evaluated through ophthalmic examinations including breakup time of tear film (BUT) as measured by fluorescein staining and DR-1, Schirmer I test, Heidelberg Retina Tomograph II Rostock Cornea Module (HRTII-RCM), specular microscope, and Pentacum examination. RESULTS: Both the LVFX and MFLX groups had no significant change in each examination before and after instillation. There was also no statistically significant difference in measurements after the 7-day instillation between the groups. CONCLUSION: Our study results suggest that as with LVFX, MFLX used in a normal clinical setting is unlikely to cause any obvious adverse effects on human normal cornea. PMID- 21060670 TI - A retrospective study of nine cases of Acanthamoeba keratitis. AB - PURPOSE: To evaluate the clinical features of Acanthamoeba keratitis in nine patients diagnosed at Dokkyo Medical University Koshigaya Hospital, Saitama, Japan. METHODS: In nine eyes of nine patients, Acanthamoeba keratitis was diagnosed by direct light microscopy of corneal scrapings stained by the Parker ink-potassium hydroxide method between September 2006 and September 2009. Their clinical features and course were studied retrospectively. Antifungal eye drops, systemic antifungal therapy, and surgical debridement of the corneal lesions were performed in all patients. RESULTS: At presentation, the clinical stage was initial in six cases, transient in one case, and complete in two cases. The patients were all contact lens wearers who had washed their lens storage cases with tap water. After treatment, final visual acuity was improved in six cases, unchanged in one case, and worse in two cases. The patient with the worst final vision (hand motion) had rheumatoid arthritis and was taking oral prednisolone, which led to corneal perforation and prevented adequate debridement from being done. CONCLUSION: Acanthamoeba keratitis is closely related to wearing contact lenses and washing the lens storage case with tap water. Although final visual acuity improved after treatment in most patients, insufficient surgical debridement resulted in a poor visual prognosis. PMID- 21060671 TI - Optic nerve head analysis of superior segmental optic hypoplasia using Heidelberg retina tomography. AB - PURPOSE: To evaluate the optic disc characteristics of eyes with superior segmental optic hypoplasia (SSOH) using the Heidelberg retina tomograph (HRT). PATIENTS AND METHODS: Thirteen eyes of 13 Japanese patients with SSOH were studied with the HRT (software version: 3.0). The group included six males and seven females, with a mean age of 34.7 years. Six optic disc parameters in the six sectors derived from the patients with SSOH were compared with those of 13 eyes of 13 normal controls. In addition, the diagnostic classification performance of the Frederick S Mikelberg (FSM) discriminant function, glaucoma probability score (GPS), and Moorfields regression analysis (MRA) were assessed. RESULTS: When compared with normal subjects, many of the optic disc parameters were significantly altered in SSOH in the superior sectors. The area under the curve (AUC) for the receiver operating characteristic was 0.932 for the rim area, 0.926 for the cup-to-disc area ratio, and 0.882 for the cup shape measure. Among the HRT parameters, the largest AUC (0.988) was found for the cup shape measure in the nasal superior segment. The proportion classified as outside normal limits by the FSM discriminant function was 92.3% (12 eyes). For GPS, six eyes (46.2%) were classified as outside normal limits. For MRA, when borderline cases were considered test-negative or test-positive, 10 eyes (76.9%) or 11 eyes (84.6%) were classified as outside normal limits, respectively. The AUCs were 0.976 for the FSM discriminant function, 0.914 for the MRA overall classification, and 0.710 for the GPS overall classification. CONCLUSIONS: In eyes with SSOH, there is a significant thinning of the rim, especially in the nasal superior sector. Approximately half of the eyes with SSOH were classified as abnormal using indices developed for detecting glaucoma, but the sectorial analysis revealed that the affected sectors were different from those of glaucoma. Optic nerve head measurements using the HRT may be useful in evaluating the optic disc characteristics in eyes with SSOH. PMID- 21060672 TI - Management of chronic anterior uveitis relapses: efficacy of oral phospholipidic curcumin treatment. Long-term follow-up. AB - Curcumin has been successfully applied to treat inflammatory conditions in experimental research and in clinical trials. The purpose of our study is to evaluate the efficacy of an adjunctive-to-traditional treatment with Norflo tablets (curcumin-phosphatidylcholine complex; Meriva) administered twice a day in recurrent anterior uveitis of different etiologies. The study group consisted of 106 patients who completed a 12-month follow-up therapeutic period. We divided the patients into three main groups of different uveitis origin: group 1 (autoimmune uveitis), group 2 (herpetic uveitis), and group 3 (different etiologies of uveitis). The primary end point of our work was the evaluation of relapse frequency in all treated patients, before and after Norflo treatment, followed by the number of relapses in the three etiological groups. Wilcoxon signed-rank test showed a P < 0.001 in all groups. The secondary end points were the evaluation of relapse severity and of the overall quality of life. The results showed that Norflo was well tolerated and could reduce eye discomfort symptoms and signs after a few weeks of treatment in more than 80% of patients. In conclusion, our study is the first to report the potential therapeutic role of curcumin and its efficacy in eye relapsing diseases, such as anterior uveitis, and points out other promising curcumin-related benefits in eye inflammatory and degenerative conditions, such as dry eye, maculopathy, glaucoma, and diabetic retinopathy. PMID- 21060673 TI - Acanthamoeba and Stenotrophomonas maltophilia keratitis with fungal keratitis in the contralateral eye. AB - PURPOSE: The purpose of this study is to describe the diagnosis, course, and outcome of a case of Acanthamoeba and Stenotrophomonas keratitis with a fungal keratitis in the contralateral eye. METHODS: A case of Acanthamoeba and Stenotrophomonas keratitis was diagnosed with confocal microscopy and cultures with confocal diagnosis of fungal keratitis in the fellow eye. RESULTS: During the initial treatment of the Acanthamoeba and Stenotrophomonas keratitis, the contralateral eye developed a keratitis that demonstrated hyphae in the corneal stroma with confocal microscopy consistent with fungal keratitis. CONCLUSIONS: Bilateral chronic keratitis cannot be assumed to be caused by the same organism and independent cultures, and confocal microscopy needs to be performed to direct appropriate therapy. PMID- 21060674 TI - Candida albicans keratitis in an immunocompromised patient. AB - PURPOSE: When investigating a case of unexplained corneal ulceration, we need to think of fungal infection and any predisposing factors. METHODS: A case study of a corneal ulceration in a patient who was HIV positive with a devastating visual outcome. RESULTS: Therapeutic corneal graft was necessary due to corneal perforation. Immunocompromised state of patient was retrospectively diagnosed. CONCLUSIONS: Candida albicans keratitis is an opportunistic infection of a compromised cornea, and sometimes unknowingly compromised host, which can be initially misdiagnosed. Despite intensive antifungal therapy, occasionally patients require corneal grafting to improve vision, and before it is possible to establish an accurate diagnosis. PMID- 21060675 TI - Toxicity of antiglaucoma drugs with and without benzalkonium chloride to cultured human corneal endothelial cells. AB - PURPOSE: The toxicity of antiglaucoma medications to ocular surface cells has been evaluated extensively; however, the toxicity to corneal endothelial cells (CECs) remains elusive. Our aim is to evaluate the toxicity of antiglaucoma medications to CECs using an in vitro toxicity assay. METHODS: Primary cultures of human (H) CECs derived from eye bank specimens were established. Following exposure of HCECs to test solutions for 10, 30, or 60 minutes, or 48 hours, we measured cell viability using a WST-1 assay. Test solutions were diluted in culture media and included 0.5% Timoptol((r)), preservative-free 0.5% timolol maleate, 1% Trusopt((r)), preservative-free 1% dorzolamide, Travatan((r)), Travatan Z((r)), Xalatan((r)), and benzalkonium chloride (BAK). To assess cell viability, the value of the test culture well after treatment was expressed as a percentage of that of the control well. Toxicity of each solution was compared using the cell viability score (CVS). RESULTS: After exposure to 10-fold dilutions of test solutions for 48 hours, HCEC viabilities were 48.5% for 0.5% Timoptol, 80.9% for preservative-free 0.5% timolol maleate, 47.0% for 1% Trusopt, 71.7% for preservative-free 1% dorzolamide, 55.5% for Travatan, 88.5% for Travatan Z, and 52.5% for Xalatan. Exposure to test solutions diluted 100-fold or more resulted in HCEC viabilities >80%, with the exception of preservative-free 1% dorzolamide, which resulted in a viability of 72.0% at a dilution of 100-fold. Based on CVS, the order of cell viability was Travatan Z >= preservative-free timolol maleate = preservative-free dorzolamide > 0.5% Timoptol = 1% Trusopt > Travatan >= Xalatan. Assessment of the combined effect of drug and BAK revealed that latanoprost reduced the toxicity of BAK. CONCLUSION: Antiglaucoma eye drops produced HCEC toxicity that appeared to depend on the presence of BAK. Because dilution of the antiglaucoma solutions resulted in markedly lower HCEC toxicity, HCEC damage due to antiglaucoma medication may occur only in rare cases. The CVS was useful for comparison of the toxicity of the drugs. PMID- 21060676 TI - MRSA and cataract surgery - reflections for practice. AB - INTRODUCTION: Postoperative bacterial endophthalmitis is a devastating complication of cataract surgery. Methicillin-resistant Staphylococcus aureus (MRSA) endophthalmitis is rare. Recent debate over MRSA screening in United Kingdom (UK) National Health Service (NHS) hospital services has implications for cataract patients and ophthalmology services. AIMS: To discuss issues for clinical practice as based on reflective experience at a UK district general NHS hospital in relation to care of MRSA-positive cataract patients. METHODS: Retrospective case series and reflective practice. RESULTS: Three cases presented highlight practice points around cataract patients colonized with MRSA. Known or determined MRSA-colonized patients should be treated with anti-microbial agents at time of cataract surgery known to be active against MRSA. Preventative treatment with intracameral vancomycin or intravenous teicoplanin alongside appropriate topical treatments may be of merit. Importantly fluoroquinolones, often prescribed by cataract surgeons, may have a selective effect favoring the proliferation of MRSA. CONCLUSION: MRSA screening may cause unnecessary delays in cataract care and may represent a patient safety concern in its own right. Patients colonized with MRSA may safely undergo cataract surgery provided there is no evidence of periorbital infection and provided appropriate infection control and antibiotic prophylaxis measures are used. The well-prepared cataract surgeon needs to be aware of developments in infection control and should liaise with local clinical microbiology colleagues in relation to bacterial resistance to antibiotics. PMID- 21060677 TI - Safety and tolerability of tafluprost in treatment of elevated intraocular pressure in open-angle glaucoma and ocular hypertension. AB - Glaucoma is one of the most common neuropathies of the optic nerve. An elevated intraocular pressure (IOP) is a well documented risk factor for the development and progression of this disease. Until now, IOP reduction is the only well documented successful method of glaucoma treatment. Among the many hypotensive drugs, prostaglandin analogs are proved to be the most potent antiglaucoma agents, with very few systemic side effects. A new prostanoid FP receptor analog, tafluprost, has been introduced into the medical treatment of glaucoma and ocular hypertension. Many studies have shown that it is an efficient IOP-lowering drug, and that it is safe and well tolerated. A preservative-free tafluprost formulation is as potent as a preserved one, but it has fewer and milder toxic effects on the eye. PMID- 21060678 TI - Combined photodynamic therapy and intravitreal bevacizumab for idiopathic polypoidal choroidal vasculopathy: one-year follow-up. AB - OBJECTIVE: To report the efficacy and safety of combined photodynamic therapy (PDT) and intravitreal bevacizumab (IVB) injection in the treatment of idiopathic polypoidal choroidal vasculopathy (IPCV). MATERIAL AND METHODS: A prospective case series of 10 eyes of 10 consecutive patients affected by IPCV with subfoveal involvement. PDT plus IVB (1.25 mg/0.05 mL) injection two weeks later was performed in all patients. Two adjunctive injections of bevacizumab were scheduled at four and eight weeks after the initial treatment. Best-corrected visual acuity (BCVA), fluorescein and indocyanine green angiographies, and optical coherence tomography were obtained at baseline, and at one, three, six, nine, and 12 months. RESULTS: The combined treatment led to an improvement of both neurosensory detachment and pigmented epithelial detachment in all eyes, with a decrease of exudation and regression of macular thickness, which remained stable to the end of follow-up. However, BCVA remained stable over the 12 months of follow-up. CONCLUSION: These findings demonstrate that PDT/IVB combined therapy is able to achieve morphologic stabilization of the IPCV lesion, through a rapid decrease of macular thickness and regression of the size of polypoidal vascular lesion. PMID- 21060679 TI - Regulation of miRNA transcription in macrophages in response to Candida albicans. AB - Macrophages detect pathogens via pattern recognition receptors (PRRs), which trigger several intracellular signaling cascades including the MAPK and NFkappaB pathways. These in turn mediate the up-regulation of pro-inflammatory cytokines that are essential to combat the pathogen. However as the over-production of pro inflammatory cytokines results in tissue damage or septic shock, precise control of these signaling pathways is essential and achieved via the induction of multiple negative feedback mechanisms. miRNAs are small regulatory RNAs that are able to affect protein expression, via the regulation of either mRNA stability or translation. Up-regulation of specific miRNAs could have the potential to modulate PRR signaling, as has been shown for both miR-146 and miR-155. Here we have analysed which miRNAs are up-regulated in mouse macrophages in response to the fungal pathogen heat killed Candida albicans and compared the profile to that obtained with the TLR4 ligand LPS. We found that in addition to miR-146 and miR 155, both Candida albicans and LPS were also able to up-regulate miR-455 and miR 125a. Analysis of the signaling pathways required showed that NFkappaB was necessary for the transcription of all 4 pri-miRNAs, while the ERK1/2 and p38 MAPK pathways were also required for pri-miR-125a transcription. In addition the anti-inflammatory cytokine IL-10 was found to be able to induce miR-146a and b, but inhibited miR-155 induction. These results suggest that miR-455, miR-125, miR 146 and miR-155 may play important roles in regulating macrophage function following PRR stimulation. PMID- 21060680 TI - Different Mi-2 complexes for various developmental functions in Caenorhabditis elegans. AB - Biochemical purifications from mammalian cells and Xenopus oocytes revealed that vertebrate Mi-2 proteins reside in multisubunit NuRD (Nucleosome Remodeling and Deacetylase) complexes. Since all NuRD subunits are highly conserved in the genomes of C. elegans and Drosophila, it was suggested that NuRD complexes also exist in invertebrates. Recently, a novel dMec complex, composed of dMi-2 and dMEP-1 was identified in Drosophila. The genome of C. elegans encodes two highly homologous Mi-2 orthologues, LET-418 and CHD-3. Here we demonstrate that these proteins define at least three different protein complexes, two distinct NuRD complexes and one MEC complex. The two canonical NuRD complexes share the same core subunits HDA-1/HDAC, LIN-53/RbAp and LIN-40/MTA, but differ in their Mi-2 orthologues LET-418 or CHD-3. LET-418 but not CHD-3, interacts with the Kruppel like protein MEP-1 in a distinct complex, the MEC complex. Based on microarrays analyses, we propose that MEC constitutes an important LET-418 containing regulatory complex during C. elegans embryonic and early larval development. It is required for the repression of germline potential in somatic cells and acts when blastomeres are still dividing and differentiating. The two NuRD complexes may not be important for the early development, but may act later during postembryonic development. Altogether, our data suggest a considerable complexity in the composition, the developmental function and the tissue-specificity of the different C. elegans Mi-2 complexes. PMID- 21060681 TI - Variability in isotope discrimination factors in coral reef fishes: implications for diet and food web reconstruction. AB - Interpretation of stable isotope ratios of carbon and nitrogen (delta(13)C and delta(15)N) is generally based on the assumption that with each trophic level there is a constant enrichment in the heavier isotope, leading to diet-tissue discrimination factors of 3.40/00 for (15)N (DeltaN) and ~0.50/00 for (13)C (DeltaC). Diet-tissue discrimination factors determined from paired tissue and gut samples taken from 152 individuals from 26 fish species at Ningaloo Reef, Western Australia demonstrate a large amount of variability around constant values. While caution is necessary in using gut contents to represent diet due to the potential for high temporal variability, there were significant effects of trophic position and season that may also lead to variability in DeltaN under natural conditions. Nitrogen enrichment increased significantly at higher trophic levels (higher tissue delta(15)N), with significantly higher DeltaN in carnivorous species. Changes in diet led to significant changes in DeltaN, but not tissue delta(15)N, between seasons for several species: Acanthurus triostegus, Chromis viridis, Parupeneus signatus and Pomacentrus moluccensis. These results confirm that the use of meta-analysis averages for DeltaN is likely to be inappropriate for accurately determining diets and trophic relationships using tissue stable isotope ratios. Where feasible, discrimination factors should be directly quantified for each species and trophic link in question, acknowledging the potential for significant variation away from meta-analysis averages and, perhaps, controlled laboratory diets and conditions. PMID- 21060682 TI - Identification and characterization of a leucine-rich repeat kinase 2 (LRRK2) consensus phosphorylation motif. AB - Mutations in LRRK2 (leucine-rich repeat kinase 2) have been identified as major genetic determinants of Parkinson's disease (PD). The most prevalent mutation, G2019S, increases LRRK2's kinase activity, therefore understanding the sites and substrates that LRRK2 phosphorylates is critical to understanding its role in disease aetiology. Since the physiological substrates of this kinase are unknown, we set out to reveal potential targets of LRRK2 G2019S by identifying its favored phosphorylation motif. A non-biased screen of an oriented peptide library elucidated F/Y-x-T-x-R/K as the core dependent substrate sequence. Bioinformatic analysis of the consensus phosphorylation motif identified several novel candidate substrates that potentially function in neuronal pathophysiology. Peptides corresponding to the most PD relevant proteins were efficiently phosphorylated by LRRK2 in vitro. Interestingly, the phosphomotif was also identified within LRRK2 itself. Autophosphorylation was detected by mass spectrometry and biochemical means at the only F-x-T-x-R site (Thr 1410) within LRRK2. The relevance of this site was assessed by measuring effects of mutations on autophosphorylation, kinase activity, GTP binding, GTP hydrolysis, and LRRK2 multimerization. These studies indicate that modification of Thr1410 subtly regulates GTP hydrolysis by LRRK2, but with minimal effects on other parameters measured. Together the identification of LRRK2's phosphorylation consensus motif, and the functional consequences of its phosphorylation, provide insights into downstream LRRK2-signaling pathways. PMID- 21060683 TI - Binary IS typing for Staphylococcus aureus. AB - BACKGROUND: We present an easily applicable test for rapid binary typing of Staphylococcus aureus: binary interspace (IS) typing. This test is a further development of a previously described molecular typing technique that is based on length polymorphisms of the 16S-23S rDNA interspace region of S. aureus. METHODOLOGY/PRINCIPAL FINDINGS: A novel approach of IS-typing was performed in which binary profiles are created. 424 human and animal derived MRSA and MSSA isolates were tested and a subset of these isolates was compared with multi locus sequence typing (MLST) and Amplified Fragment Length Polymorphism (AFLP). Binary IS typing had a high discriminatory potential and a good correlation with MLST and AFLP. CONCLUSIONS/SIGNIFICANCE: Binary IS typing is easy to perform and binary profiles can be generated in a standardized fashion. These two features, combined with the high correlation with MLST clonal complexes, make the technique applicable for large-scale inter-laboratory molecular epidemiological comparisons. PMID- 21060684 TI - Investigating Agrobacterium-mediated transformation of Verticillium albo-atrum on plant surfaces. AB - BACKGROUND: Agrobacterium tumefaciens has long been known to transform plant tissue in nature as part of its infection process. This natural mechanism has been utilised over the last few decades in laboratories world wide to genetically manipulate many species of plants. More recently this technology has been successfully applied to non-plant organisms in the laboratory, including fungi, where the plant wound hormone acetosyringone, an inducer of transformation, is supplied exogenously. In the natural environment it is possible that Agrobacterium and fungi may encounter each other at plant wound sites, where acetosyringone would be present, raising the possibility of natural gene transfer from bacterium to fungus. METHODOLOGY/PRINCIPAL FINDINGS: We investigate this hypothesis through the development of experiments designed to replicate such a situation at a plant wound site. A. tumefaciens harbouring the plasmid pCAMDsRed was co-cultivated with the common plant pathogenic fungus Verticillium albo-atrum on a range of wounded plant tissues. Fungal transformants were obtained from co cultivation on a range of plant tissue types, demonstrating that plant tissue provides sufficient vir gene inducers to allow A. tumefaciens to transform fungi in planta. CONCLUSIONS/SIGNIFICANCE: This work raises interesting questions about whether A. tumefaciens may be able to transform organisms other than plants in nature, or indeed should be considered during GM risk assessments, with further investigations required to determine whether this phenomenon has already occurred in nature. PMID- 21060685 TI - Ribonucleoprotein particles containing non-coding Y RNAs, Ro60, La and nucleolin are not required for Y RNA function in DNA replication. AB - BACKGROUND: Ro ribonucleoprotein particles (Ro RNPs) consist of a non-coding Y RNA bound by Ro60, La and possibly other proteins. The physiological function of Ro RNPs is controversial as divergent functions have been reported for its different constituents. We have recently shown that Y RNAs are essential for the initiation of mammalian chromosomal DNA replication, whereas Ro RNPs are implicated in RNA stability and RNA quality control. Therefore, we investigate here the functional consequences of RNP formation between Ro60, La and nucleolin proteins with hY RNAs for human chromosomal DNA replication. METHODOLOGY/PRINCIPAL FINDINGS: We first immunoprecipitated Ro60, La and nucleolin together with associated hY RNAs from HeLa cytosolic cell extract, and analysed the protein and RNA compositions of these precipitated RNPs by Western blotting and quantitative RT-PCR. We found that Y RNAs exist in several RNP complexes. One RNP comprises Ro60, La and hY RNA, and a different RNP comprises nucleolin and hY RNA. In addition about 50% of the Y RNAs in the extract are present outside of these two RNPs. Next, we immunodepleted these RNP complexes from the cytosolic extract and tested the ability of the depleted extracts to reconstitute DNA replication in a human cell-free system. We found that depletion of these RNP complexes from the cytosolic extract does not inhibit DNA replication in vitro. Finally, we tested if an excess of recombinant pure Ro or La protein inhibits Y RNA-dependent DNA replication in this cell-free system. We found that Ro60 and La proteins do not inhibit DNA replication in vitro. CONCLUSIONS/SIGNIFICANCE: We conclude that RNPs containing hY RNAs and Ro60, La or nucleolin are not required for the function of hY RNAs in chromosomal DNA replication in a human cell-free system, which can be mediated by Y RNAs outside of these RNPs. These data suggest that Y RNAs can support different cellular functions depending on associated proteins. PMID- 21060686 TI - Phylogenetic analysis of local-scale tree soil associations in a lowland moist tropical forest. AB - BACKGROUND: Local plant-soil associations are commonly studied at the species level, while associations at the level of nodes within a phylogeny have been less well explored. Understanding associations within a phylogenetic context, however, can improve our ability to make predictions across systems and can advance our understanding of the role of evolutionary history in structuring communities. METHODOLOGY/PRINCIPAL FINDINGS: Here we quantified evolutionary signal in plant soil associations using a DNA sequence-based community phylogeny and several soil variables (e.g., extractable phosphorus, aluminum and manganese, pH, and slope as a proxy for soil water). We used published plant distributional data from the 50 ha plot on Barro Colorado Island (BCI), Republic of Panama. Our results suggest some groups of closely related species do share similar soil associations. Most notably, the node shared by Myrtaceae and Vochysiaceae was associated with high levels of aluminum, a potentially toxic element. The node shared by Apocynaceae was associated with high extractable phosphorus, a nutrient that could be limiting on a taxon specific level. The node shared by the large group of Laurales and Magnoliales was associated with both low extractable phosphorus and with steeper slope. Despite significant node-specific associations, this study detected little to no phylogeny-wide signal. We consider the majority of the 'traits' (i.e., soil variables) evaluated to fall within the category of ecological traits. We suggest that, given this category of traits, phylogeny-wide signal might not be expected while node-specific signals can still indicate phylogenetic structure with respect to the variable of interest. CONCLUSIONS: Within the BCI forest dynamics plot, distributions of some plant taxa are associated with local-scale differences in soil variables when evaluated at individual nodes within the phylogenetic tree, but they are not detectable by phylogeny-wide signal. Trends highlighted in this analysis suggest how plant-soil associations may drive plant distributions and diversity at the local-scale. PMID- 21060687 TI - Universal plant DNA barcode loci may not work in complex groups: a case study with Indian berberis species. AB - BACKGROUND: The concept of DNA barcoding for species identification has gained considerable momentum in animals because of fairly successful species identification using cytochrome oxidase I (COI). In plants, matK and rbcL have been proposed as standard barcodes. However, barcoding in complex genera is a challenging task. METHODOLOGY AND PRINCIPAL FINDINGS: We investigated the species discriminatory power of four reportedly most promising plant DNA barcoding loci (one from nuclear genome--ITS, and three from plastid genome--trnH-psbA, rbcL and matK) in species of Indian Berberis L. (Berberidaceae) and two other genera, Ficus L. (Moraceae) and Gossypium L. (Malvaceae). Berberis species were delineated using morphological characters. These characters resulted in a well resolved species tree. Applying both nucleotide distance and nucleotide character based approaches, we found that none of the loci, either singly or in combinations, could discriminate the species of Berberis. ITS resolved all the tested species of Ficus and Gossypium and trnH-psbA resolved 82% of the tested species in Ficus. The highly regarded matK and rbcL could not resolve all the species. Finally, we employed amplified fragment length polymorphism test in species of Berberis to determine their relationships. Using ten primer pair combinations in AFLP, the data demonstrated incomplete species resolution. Further, AFLP analysis showed that there was a tendency of the Berberis accessions to cluster according to their geographic origin rather than species affiliation. CONCLUSIONS/SIGNIFICANCE: We reconfirm the earlier reports that the concept of universal barcode in plants may not work in a number of genera. Our results also suggest that the matK and rbcL, recommended as universal barcode loci for plants, may not work in all the genera of land plants. Morphological, geographical and molecular data analyses of Indian species of Berberis suggest probable reticulate evolution and thus barcode markers may not work in this case. PMID- 21060688 TI - Do fleas affect energy expenditure of their free-living hosts? AB - BACKGROUND: Parasites can cause energetically costly behavioural and immunological responses which potentially can reduce host fitness. However, although most laboratory studies indicate that the metabolic rate of the host increases with parasite infestation, this has never been shown in free-living host populations. In fact, studies thus far have shown no effect of parasitism on field metabolic rate (FMR). METHODOLOGY AND RESULTS: We tested the effect of parasites on the energy expenditure of a host by measuring FMR using doubly labelled water in free-living Baluchistan gerbils (Gerbillus nanus) infested by naturally occurring fleas during winter, spring and summer. We showed for the first time that FMR of free-living G. nanus was significantly and positively correlated with parasite load in spring when parasite load was highest; this relationship approached significance in summer when parasite load was lowest but was insignificant in winter. Among seasons, winter FMRs were highest and summer FMRs were lowest in G. nanus. DISCUSSION: The lack of parasite effect on FMR in winter could be related to the fact that FMR rates were highest among seasons. In this season, thermoregulatory costs are high which may indicate that less energy could be allocated to defend against parasites or to compensate for other costly activities. The question about the cost of parasitism in nature is now one of the major themes in ecological physiology. Our study supports the hypothesis that parasites can elevate FMR of their hosts, at least under certain conditions. However, the effect is complex and factors such as season and parasite load are involved. PMID- 21060689 TI - Motor network degeneration in amyotrophic lateral sclerosis: a structural and functional connectivity study. AB - BACKGROUND: Amyotrophic lateral sclerosis (ALS) is a neurodegenerative disease characterised by motor neuron degeneration. How this disease affects the central motor network is largely unknown. Here, we combined for the first time structural and functional imaging measures on the motor network in patients with ALS and healthy controls. METHODOLOGY/PRINCIPAL FINDINGS: Structural measures included whole brain cortical thickness and diffusion tensor imaging (DTI) of crucial motor tracts. These structural measures were combined with functional connectivity analysis of the motor network based on resting state fMRI. Focal cortical thinning was observed in the primary motor area in patients with ALS compared to controls and was found to correlate with disease progression. DTI revealed reduced FA values in the corpus callosum and in the rostral part of the corticospinal tract. Overall functional organisation of the motor network was unchanged in patients with ALS compared to healthy controls, however the level of functional connectedness was significantly correlated with disease progression rate. Patients with increased connectedness appear to have a more progressive disease course. CONCLUSIONS/SIGNIFICANCE: We demonstrate structural motor network deterioration in ALS with preserved functional connectivity measures. The positive correlation between functional connectedness of the motor network and disease progression rate could suggest spread of disease along functional connections of the motor network. PMID- 21060690 TI - Recurrent Acute Pancreatitis and Therapy for Ulcerative Colitis. AB - Drugs are a rare cause of pancreatitis. Whereas some drugs are well known to induce an attack of pancreatitis, some people may be more prone to develop pancreatitis because of personal susceptibility. We describe a recurrent case of acute pancreatitis after administration of several drugs in a patient with intestinal inflammatory bowel disease that needed to be treated with subsequent antiinflammatory agents. Genetic mutation in the CFTR gene was found in the patient that led us to postulate that CFTR was a trigger for drug-induced acute pancreatitis. In conclusion, genetic analysis should be advised in case of recurrent pancreatitis in patient with intestinal inflammatory bowel disease. PMID- 21060691 TI - Is Endoscopic Submucosal Dissection the Option for Early Gastric Cancer Patients with Contraindication to Surgery? AB - Surgical therapy is the traditional approach for early gastric cancer. Patients with comorbidities cannot benefit from this treatment because of high surgical morbidities and mortalities. Endoscopic submucosal dissection is a new technique for complete en bloc resection of early gastric cancer. We report the case of a patient with severe cardiomyopathy who developed early gastric cancer without metastases present on CT scan. The patient underwent endoscopic submucosal dissection because of the high risk associated to surgery due to severe comorbidity. The patient had complete submucosal dissection with complete en bloc resection. The lateral and deep margins were free of cancerous cells based on histopathology study. The patient was controlled every 6 months for 30 months by endoscopy. Systematic biopsies were done. No recurrences were diagnosed. This report supports the application of endoscopic treatment for patients with early gastric cancer and at high risk for surgery due to comorbidities. PMID- 21060692 TI - Cavernous Transformation of the Portal Vein Might Increase the Risk of Liver Abscess. AB - Cavernous transformation of the portal vein (CTPV) is not quite common in adults, and cases with CTPV and acute liver abscess are lacking. We report a patient with CTPV inducing extrahepatic and intrahepatic obstruction, finally leading to acute liver abscess due to bile duct infection. We aim to find out the possible relationship between CTPV and acute liver abscess. A 45-year-old female patient was admitted to our hospital for recurrent upper abdominal pain and distension for one year, aggravated with fever for three years. A diagnosis of CTPV and liver abscess was made by 16-slice computed tomography. Effective antibiotics and drainage were used for this patients, and she was eventually cured. When treating patients with CTPV, extrahepatic and intrahepatic obstruction, one should be aware of the presence of acute liver abscess, and empirical antibiotics might be valuable. PMID- 21060693 TI - Idiopathic Retroperitoneal Hematoma. AB - A 34-year-old female presented with sudden onset of severe abdominal pain in a flank distribution. A large mass was palpable in the right upper quadrant on physical examination. Abdominal contrast-enhanced computed tomography showed a well-defined, right-sided, retroperitoneal cystic lesion located between the abdominal aorta and the inferior vena cava (IVC). The tumor size was 55 * 58 mm, and it compressed the gallbladder and the duodenum. Upper gastrointestinal radiography revealed a stricture of the second portion of the duodenum by the tumor. T2-weighted magnetic resonance imaging showed that the whole part was hyperintense with hypointense rims, but the inner was partially hypointense. Based on the radiological findings, the preoperative differential diagnosis included retroperitoneal teratoma, Schwannoma, abscess, and primary retroperitoneal tumor. On laparotomy, the tumor was located in the right retroperitoneal cavity. Kocher maneuver and medial visceral rotation, which consists of medial reflection of the upper part of right colon and duodenum by incising their lateral peritoneal attachments, were performed. Although a slight adhesion to the IVC was detected, the tumor was removed safely. Thin-section histopathology examination detected neither tumor tissues nor any tissues such as adrenal gland, ovarian tissue, or endometrial implants. The final pathological diagnosis was idiopathic retroperitoneal hematoma; the origin of the bleeding was unclear. The patient was discharged without any complication 5 days after the operation. PMID- 21060694 TI - Successful Endoscopic Dilation Treatment of Small Intestinal Stricture Occurring during Chemotherapy for Malignant Lymphoma. AB - We report a stricture occurring during chemotherapy for malignant lymphoma that was successfully treated by endoscopic balloon dilation. The patient was diagnosed with stage IV malignant lymphoma by esophagogastroduodenoscopy and computed tomography scans. She complained of nausea and vomiting after undergoing the second cycle of chemotherapy. A small intestinal series through an ileus tube showed severe stricture of the ileum. Endoscopic balloon dilation was successfully performed with single-balloon endoscopy. After the procedure, her symptoms subsided and did not recur even 8 months after endoscopic dilation therapy. PMID- 21060695 TI - Isolated Splenic Mycobacterial Disease: A Cause of Persistent Fever in a Hairy Cell Leukemia Patient. AB - We describe a 69-year-old male patient who was referred for the investigation of long-lasting fever, anemia and neutropenia. Hairy cell leukemia was diagnosed and treated successfully. However, fever persisted despite thorough investigation and use of broad-spectrum antibiotics. Four months after the initial diagnosis, the patient underwent explorative laparotomy and splenectomy. Spleen biopsy revealed multiple necrotizing mycobacterial granulomata while the patient's fever disappeared permanently. Isolated splenic mycobacterial disease is very rare. This case report emphasizes that investigation of chronic fever in hairy cell leukemia requires a high level of clinical suspicion. Early diagnostic procedures for evidence of atypical mycobacterial infection should be considered. When everything else fails, surgery can be helpful in selected cases. PMID- 21060696 TI - The Returning Traveller: Dengue Fever Report. AB - This report describes a 21-year-old male patient who presented to a UK hospital with mild symptoms of fever, malaise and arthralgia. He had just returned from Hoga Islands (Indonesia) where he had spent six weeks. While examination was unremarkable, his blood showed a significant rise in liver function tests and a steady drop in white cells as well as platelets. Dengue serology was later found to be positive for anti-Dengue IgG as well as IgM. With supportive treatment, this young gentleman recovered quickly and was discharged with a plan for follow up in the clinic. PMID- 21060697 TI - Metastatic Small Bowel Tumor from Descending Colon Cancer with Extensive Hematogenous or Lymphogenous Spread: Survey of the Japanese Literature. AB - We present the case of a 68-year-old female patient who was diagnosed with cancer of the descending colon in July 1994 and underwent partial resection of the colon (type 2, moderately to well differentiated adenocarcinoma, se, ly1, v1, n(-)). In April 1996, she was admitted to a nearby hospital for symptoms of ileus, which improved at the hospital. However, she was referred to our hospital for melena. In blood test, Hb was 8.7 g/dl, showing anemia, and carcinoembryonic antigen level was elevated to 50.7 ng/ml. Abdominal CT and small bowel series showed only mild expansion of the small bowel, suggesting no obvious occlusion. Abdominal surgery was performed in May 1995 for repeated development of ileus symptoms and suspicion of bleeding from the small bowel. Since the findings of the abdominal surgery showed a circular tumor in the lower ileum, partial resection of the small bowel was performed. Histopathological examination showed type 3, moderately to well differentiated adnocarcinoma, se, ly2, v0, n = 1/13. The principal tumor was located within the subserosa and grew up exclusively through the muscularis propria and the submucosa, into the mucous layer. The mucosa remained slightly on the surface layer. Based on these findings, the patient was diagnosed with metastasis of descending colon cancer to the small bowel. Her prognosis was good, and neither metastasis nor redevelopment of the cancer have been confirmed to date, 11 years and 7 months since the surgery. PMID- 21060698 TI - Prolapse of Intussusception through the Anus as a Result of Sigmoid Colon Cancer. AB - Adult intussusception is rare and most often associated with cancer. We report a case of intussuscepted sigmoid colon into the rectum protruding from the anus of a 47-year-old woman. The cause of the intussusception was sigmoid colon cancer. We removed the intussuscepted part of the sigmoid colon as well as the rectum and regional lymph nodes. The patient recovered uneventfully and there has been no evidence of recurrence of the cancer. PMID- 21060699 TI - Rectal Carcinoma with Heterotopic Bone: Report of a Case. AB - Heterotopic bone is rarely present in malignant tumors of the gastrointestinal tract. We herein report a case of rectal adenocarcinoma with heterotopic bone. A 46-year-old Japanese male presented to our hospital with abdominal distension and constipation. Colonoscopic examination showed an ulcerated polypoid tumor of the rectum which nearly obstructed the rectal lumen. Abdominal computed tomography showed a tumor of the rectum with calcified deposits. Low anterior resection with lateral lymph node dissection was performed under the tentative diagnosis of rectal cancer. Histological examination of the resected specimen showed mucinous carcinoma of the rectum with heterotopic bone. One of the metastatic lymph nodes dissected also showed heterotopic bone. In the present report, we describe this rare tumor and briefly review the pertinent literature regarding rectal cancer with heterotopic bone. PMID- 21060700 TI - Spontaneous Cholecystocutaneous Fistula. AB - Spontaneous cholecystocutaneous fistula is a rare complication of chronic calculous cholecystitis because currently gallstones are diagnosed and treated at an early stage. This occurrence is possible even if it seems actually to be rare. We report the case of a 90-year-old woman admitted to our hospital with diarrhea of 4 days duration and low-grade fever (37.5 degrees C). On physical examination, she had a 10 * 10 cm erythematous swelling and discomfort of the upper right abdominal quadrant; the skin and mucosae were dry. Transabdominal ultrasonography showed a gallbladder with abnormalities of the wall, a single gallstone impacted in the infundibulum and a fluid collection with irregular margins containing fluctuating echoes adjacent to the anterior abdominal wall of the upper right abdominal quadrant. A diagnosis of spontaneous cholecystocutaneous fistula with an abdominal purulent collection was reached. Due to the high anesthesiological risk of the patient, conservative management was carried out with fluids, broad spectrum antibiotic, albumin and calcium supplementation. Computed tomography drainage of the purulent collection was also carried out. Both clinical and laboratory parameters substantially improved during the following two days, but on the third day of hospitalization, the patient died from a sudden arrhythmic event. PMID- 21060701 TI - Lymphoepithelioma-Like Carcinoma of the Stomach with Epithelioid Granulomas. AB - An 83-year-old Japanese man was admitted to our hospital for gastric adenocarcinoma mimicking a submucosal tumor in the gastric body. Considering his general condition, partial resection of the stomach and dissection of regional lymph nodes were performed; a dome-shaped tumor that was largely covered by normal mucosa and having a shallow central stellate ulcer was removed. Histopathologically, the carcinoma cell nests were surrounded by prominent lymphoid stroma. Sarcoid-like epithelioid granulomas were noted both in the tumor stroma and in the regional lymph node with metastasis. Epstein-Barr virus (EBV) encoded RNA (EBER) in situ hybridization showed an intense and diffuse positive reaction in the carcinoma cells and no reaction in the surrounding gastric and lymphoid tissues. While the presence of lymphoid stroma is a characteristic finding in EBV-associated lymphoepithelioma-like carcinoma, sarcoid-like epithelioid granulomas might be associated with latent EBV infection. PMID- 21060702 TI - Adenosquamous Carcinoma and Pure Squamous Cell Carcinoma of the Pancreas: Report of two Cases. AB - Adenosquamous carcinoma (ASC) and pure squamous cell carcinoma (SCC) of the pancreas are very rare diseases. The author herein reports two cases of ASC and SCC of the pancreas. The first case is ASC. An 80-year-old woman was admitted to our hospital because of abdominal pain and weakness. Imaging modalities including CT, MRI and ERCP revealed a pancreatic body tumor. Distal partial resection of the pancreas and splenectomy were performed. Grossly, an infiltrative solid tumor measuring 3 * 4 * 4 cm was present in the pancreatic body. Histologically, it was an ASC consisting of poorly differentiated adenocarcinoma element (20% in area) and SCC element (80%). There was a gradual transition between the two. Many perineural invasions and lymphovascular permeations were recognized. The patient died of systemic metastasis five months after operation. The second case is an SCC. A 69-year-old woman presented with abdominal pain and jaundice. Imaging modalities including CT, MRI and ERCP revealed a tumor in the head of the pancreas. Pancreaticoduodenectomy was performed. Grossly, an infiltrative solid tumor measuring 5 * 5 * 6 cm was present. Histologically, the tumor was pure SCC. The SCC was moderately differentiated SCC. A large number of perineural invasions and lymphovascular permeations were present. The patient died of systemic metastasis three months after operation. The author speculates that ASC of the pancreas may be derived from squamous tansdifferentiation of adenocarcinoma element or from pluripotent stem cells, and that SCC of the pancreas may arise from malignant transformation of squamous metaplasia of pancreatic ducts or from pluripotent stem cells. PMID- 21060703 TI - Spindle Cell Carcinoma of the Common Bile Duct: Case Report with Immunohistochemical Analysis. AB - The author reports a very rare case of spindle cell carcinoma of the common bile duct with an emphasis on immunohistochemical features. A 65-year-old man was admitted to our hospital because of jaundice. Imaging modalities revealed a tumor of the common bile duct, and bile cytology demonstrated malignant cells. A pancreatico-duodenectomy was performed. Grossly, an elevated tumor (15 * 10 * 3 mm) was present in the middle portion of the common bile duct. Microscopically, the tumor consisted of well-differentiated adenocarcinoma (20% in area) and spindle cell carcinoma (80% in area). There were gradual transitions between the two. The tumor cells invaded into the serosa. Immunohistochemically, the spindle cell carcinoma element was positive for four types of pancytokeratins, cytokeratin 7 (CK7), CK8, CK18, CK19, CK20, epithelial membrane antigen, vimentin, p53 protein, Ki-67 (labeling = 52%), and CEA. It was negative for high molecular-weight CK, CK5/6, CK14, p63, neuron-specific enolase chromogranin, synaptophysin, CD56, CA19-9, CD34, desmin, S100 protein, myoglobin, a-smooth muscle antigen, CD34, CD68 and KIT. The adenocarcinoma element showed similar immunoreactivity except for negative vimentin, and positive CA19-9. The present case is the first report of spindle cell carcinoma of the common bile duct demonstrating an extensive immunohistochemistry. The spindle cell carcinoma in the present case may be derived from sarcomatous transformation of the adenocarcinoma element. CK20 newly emerges in the development of spindle cell carcinoma of the common bile duct. PMID- 21060704 TI - A Five-Year-Old Boy with Marked Hypergastrinemia Associated with H. pylori Infection. AB - A 5-year-old boy was referred to our department for persistent epigastric discomfort. Serum gastrin level was 635 pg/ml with a pepsinogen (PG) I level of 102.7 ng/ml and a PG I/II ratio of 23.2, indicating a hyperacidic state. Upper gastrointestinal endoscopy showed normal gastric mucosal folds and no abnormalities including no gastric mucosal atrophy. To investigate the cause of hypergastrinemia, a Ca injection test was performed and the patient showed no definitive response to a large load of Ca. Contrast-enhanced dynamic CT revealed no space-occupying lesions. The results from these two studies were not consistent with the presence of gastrinoma. A urea breath test showed 2.8%, and a test for the fecal H. pylori antigen was positive. Since H. pylori infection was considered to be a possible cause of hypergastrinemia, eradication therapy was introduced. The therapy was shown to be successful by using a repeated urea breath test that showed a normalization to 0.6%. 7 months after the therapy blood examination showed a gastrin level of 191 pg/ml, a PG I level of 36.7 ng/ml, and a PG I/II ratio of 7.3. An immunostaining study of the gastric mucosa suggested that a decrease in somatostatin secretion due to a reduction in D cell population might have induced hypergastrinemia in this case. In children with H. pylori infection showing marked hypergastrinemia, immunohistochemical examination and therapeutic diagnosis by eradication may be helpful in the differential diagnosis of gastrinoma. PMID- 21060705 TI - Heterotopic Pancreatic Tissue of the Stomach: Report of Three Cases and Consideration of Its Histogenesis. AB - Heterotopic pancreatic tissue of the stomach is a rare condition. The author herein reports three cases of heterotopic pancreatic of the stomach. The three cases were found in the 8,154 gastric specimens (biopsy 6,122 cases, gastrectomy 2,032 cases) of our pathology laboratory; the frequency was 0.04%. The first case was a 57-year-old woman who presented with epigastralgia. Endoscopic examination revealed a submucosal tumor in the body of the stomach. Partial gastrectomy was performed. Histologically, the lesion measured 25 * 20 * 25 mm and was submucosal heterotopic pancreatic tissue with hemorrhage and cystic changes. It was composed of acinar cells, ducts, and islets (Heinrich type I). The second case was a 57 year-old man without symptoms. Endoscopic examination revealed a submucosal tumor, and biopsy was taken. The biopsy showed heterotopic pancreatic tissue in the mucosa. It consisted of acinar cells and ducts (Heinrich type II). The third case was a 54-year-old woman without symptoms. Endoscopic examination showed chronic gastritis, and biopsy was obtained. The biopsy revealed heterotopic pancreatic tissue in the mucosa. It consisted of acinar cells and ducts (Heinrich type II). The first case appears to be a true heterotopic pancreas of congenital abnormality. The second and third cases seem to be heterotopic pancreatic tissues of congenital anomaly of the gastric mucosa. The histogenesis of heterotopic pancreatic tissue is discussed. PMID- 21060706 TI - Endoscopic Removal of an Unusual Foreign Body Causing Gastrointestinal Bleeding. AB - Foreign body ingestion is a condition more common in the pediatric population than in adults. In adults, although foreign body ingestion can be well tolerated, approximately 10-20% of patients require endoscopic intervention. Delayed diagnosis and unremoved foreign bodies can cause serious and fatal complications including perforation, fistula and gastrointestinal bleeding. Here we report a patient with bleeding duodenal ulcer thought to be initiated by a large foreign body. PMID- 21060707 TI - A Case of Chronic Appendicopathy Caused by Parasitic Infection. AB - Parasitic infection of the appendix is rarely seen, but should be considered in patients with symptoms of chronic appendicitis. It is rarely associated with histological inflammation of the appendix, therefore radiographic imaging, performed during initial workup, remains unremarkable most of the time. PMID- 21060708 TI - Massive Subcutaneus Emphysema following Endoscopic Retrograde Cholangiopancreatography with Sphincterotomy. AB - Although endoscopic retrograde cholangiopancreatography (ERCP) is an effective procedure for the diagnosis and treatment of the pancreatic and extrahepatic biliary tract diseases, it is still related with several complications. A female patient who underwent an ERCP with sphincterotomy developed massive subcutaneous emphysema along with pneumomediastinum and pneumoperitoneum. Although mild respiratory distress occurred, based on the absence of intaabdominal leakage of gastrografin, the patient was managed conservatively. In conclusion, the retroperitoneal air collection related to ERCP is well recognized even in the absence of obvious perforation and may spread to adjacent areas, causing serious complications. PMID- 21060709 TI - Therapeutic Success of Rifaximin for Clostridium difficile Infection Refractory to Metronidazole and Vancomycin. AB - We report the case of a 46-year-old white male with confirmed Clostridium difficile infection for >4 weeks after fluoroquinolone therapy. The patient received two courses of metronidazole 500 mg three times daily (t.i.d.) during which time diarrhea resolved; however, symptoms recurred 14-15 days after treatment termination. He received a 2-week course of vancomycin 125 mg four times daily, with symptoms recurring 10 days after treatment conclusion. The patient then received a pulsed tapering schedule of vancomycin with adjunctive Saccharomyces boulardii. Diarrhea recurred 12 days after treatment completion. He received rifaximin 400 mg t.i.d. while hospitalized for diarrhea-associated complications. Symptoms resolved within 24 h. The patient received a 4-week regimen of rifaximin 400 mg orally t.i.d. after discharge. No further episodes of diarrhea were reported within 6 months after treatment termination. The present case supports the potential benefit of rifaximin for the treatment of recurrent Clostridium difficile infection. PMID- 21060710 TI - Pancreatic and Gastric Plasmacytoma Presenting with Obstructive Jaundice, Diagnosed with Endoscopic Ultrasound-Guided Fine Needle Aspiration. AB - Pancreatic plasmacytoma is a rare disorder which may present with obstructive jaundice. Only eighteen cases have been reported in the English language literature. We present the first case of pancreatic plasmacytoma and gastric plasmacytoma diagnosed with endoscopic ultrasound-guided fine needle aspiration (EUS-FNA). A 75-year-old male with a known history of multiple myeloma presented with obstructive jaundice and a pancreatic mass. A concomitant gastric mass due to gastric plasmacytoma was seen. The diagnosis was established via EUS-FNA of the pancreatic mass. Pancreatic plasmacytoma should be suspected in patients with a history of myeloma. EUS-FNA is a safe and effective modality in the diagnosis of pancreatic plasmacytoma. Radiation therapy should be the first-line of therapy in treating pancreatic plasmacytomas. PMID- 21060711 TI - Small Bowel Adenocarcinoma in a Patient with Coeliac Disease: Case Report and Review of the Literature. AB - Coeliac disease (CD) is an autoimmune disorder which leads to chronic inflammation of the gut. Furthermore, CD is associated with upper gastrointestinal malignancies, particularly lymphoma of the small intestine. Besides lymphoma, an increased frequency of associated small bowel carcinoma has been described. Here we report the case of a 70-year-old male suffering from CD who was treated with a gluten-free diet presenting with complaints of nausea, vomiting and weight loss of about 8 kg in two months. He underwent esophagogastroduodenoscopy, which identified distention of the stomach and duodenum and in the pars horizontalis a distinct obstruction was suggestive. However, histopathological examination showed a normal mucosal membrane. Additionally, a computed tomography scan of the abdomen was performed which showed an expanded stomach and duodenum up to the ligament of Treitz. During an explorative laparotomy a small tumor was palpated near the ligament of Treitz. Subsequently, a duodenal segment resection was performed. After surgery, the patient recovered well and left our hospital in good condition. PMID- 21060712 TI - Metastatic Renal Cell Cancer and a Gastric Mass: An Unusual Finding. AB - Renal cell cancer (RCC) accounts for approximately 3% of all adult malignancies. RCC has a metastasis rate of approximately 25%, which is most commonly to the lungs (>50%). On the contrary, RCC metastasis to the gastrointestinal tract (excluding the liver) is very uncommon and ranges from 0.2 to 0.7%. Thus, a gastric cancer in a patient with known metastatic RCC would most likely be secondary to metastasis. We present the first reported case of a metastatic RCC coexisting with a new-onset primary gastric cancer and a review of management using guidelines from metastatic RCC to the stomach. An 82-year-old African American male with papillary RCC status post left nephrectomy with recurrence of liver metastasis presented with failure to thrive shortly after his third cycle of chemotherapy despite stable disease by imaging studies. He had received 7 chemotherapy cycles of Gemzar, Nexavar, and Avastin prior to admission. He subsequently had a drop in his hemoglobin and was found to have hemoccult positive stool in the setting of recent Avastin. Endoscopic evaluation showed a 3 cm ulcerated mass in the cardia which was biopsied. The biopsy showed invasive and poorly differentiated gastric adenocarcinoma unrelated to his RCC. The patient subsequently underwent partial gastrectomy with loop gastrojejunostomy for resection of his stage 1 primary gastric adenocarcioma. The surgery also facilitated future chemotherapy (Avastin), which could not be given prior to surgery due to its side effect of bleeding. The patient did not receive adjuvant chemoradiation for his gastric cancer due to his comorbidities at the time and was doing well at a one month follow-up. Metastatic RCC and primary gastric cancer can coexist, especially when there is an overlap of risk factors such as smoking or nitrosamines. The management of a gastric cancer in the setting of metastatic RCC is similar to the management of solitary primary gastric carcinoma. Treatment of the primary gastric cancer can facilitate future chemotherapy such as Avastin, which has been recently approved for the treatment of metastatic RCC. PMID- 21060713 TI - Repeated Pancreatectomy for Recurrent Pancreatic Carcinoma after Pylorus Preserving Pancreatoduodenectomy: Report of Two Patients. AB - Repeated pancreatectomy for pancreatic carcinoma is extremely rare. We report two such patients who underwent pancreatectomy for carcinoma developing in the pancreatic remnant after pylorus-preserving pancreatoduodenectomy (PpPD) for invasive pancreatic ductal carcinoma. One patient underwent PpPD for invasive pancreatic ductal carcinoma and received adjuvant chemotherapy. Follow-up computed tomography (CT) demonstrated a low-density mass in the remnant pancreas, which was diagnosed as a carcinoma by endoscopic ultrasound-guided fine-needle aspiration cytology 5 years 10 months after PpPD. She underwent curative resection of the remnant pancreas and is alive and well 13 months after the second operation. The other patient underwent PpPD for invasive pancreatic ductal carcinoma. Follow-up CT showed a low-density mass in the remnant pancreas after 2 years 11 months. He received systemic chemotherapy with S-1 for 3 months. The tumor shrank, and the patient underwent curative resection of the remnant pancreas 3 years 1 month after the initial operation. Repeated pancreatectomy may provide a chance of long survival for patients with carcinoma developing in the remnant pancreas after pancreatectomy if the recurrence occurring at long term is limited to the remnant pancreas. PMID- 21060714 TI - Probing presynaptic regulation of extracellular dopamine with iontophoresis. AB - Iontophoresis allows for localized drug ejections directly into brain regions of interest driven by the application of current. Our lab has previously adapted a method to quantitatively monitor iontophoretic ejections. Here those principles have been applied in vivo to modulate electrically evoked release of dopamine in anesthetized rats. A neutral, electroactive marker molecule that is ejected purely by electroosmotic flow (EOF) was used to monitor indirectly the ejection of electroinactive dopaminergic drugs (raclopride, quinpirole, and nomifensine). Electrode placements were marked with an iontophoretically ejected dye, pontamine sky blue. We show that EOF marker molecules, acetaminophen (AP) and 2-(4 nitrophenoxy) ethanol, have no effect on electrically evoked dopamine release in the striatum or the sensitivity of electrode. Additionally, we establish that a short, 30 second ejection of raclopride, quinpirole, or nomifensine with iontophoresis is sufficient to affect autoreceptor regulation and the re-uptake of dopamine. These effects vary in lifetime, indicating that this technique can be used to study receptor kinetics. PMID- 21060715 TI - Maternal Influences on Asian American-Pacific Islander Adolescents' Perceived Maternal Sexual Expectations and Their Sexual Initiation. AB - Maternal influences on adolescents' sexual initiation have been examined over two time points in 433 Asian American-Pacific Islander (AAPI) adolescents in a secondary analysis of the Add Health data set using structural equation modeling. A longitudinal model built on a preliminary qualitative study is used to examine the fit between data and model. Maternal perceived connectedness and adolescents' perceived maternal sexual expectations in sexual timing are found to be protective for delaying AAPI adolescents' sexual initiation. Unexpectedly, mothers' perceptions of sexual discussion are not protective for delaying adolescents' sexual initiation. The results suggest interventions should focus on promoting AAPI mothers' perceptions of connectedness with their adolescents and adolescents' perceptions of their mothers' sexual expectations (as measured by adolescents' perceived maternal disapproval attitudes and affects) in delaying sexual initiation. PMID- 21060716 TI - Shifts in gamma phase-amplitude coupling frequency from theta to alpha over posterior cortex during visual tasks. AB - The phase of ongoing theta (4-8 Hz) and alpha (8-12 Hz) electrophysiological oscillations is coupled to high gamma (80-150 Hz) amplitude, which suggests that low-frequency oscillations modulate local cortical activity. While this phase amplitude coupling (PAC) has been demonstrated in a variety of tasks and cortical regions, it has not been shown whether task demands differentially affect the regional distribution of the preferred low-frequency coupling to high gamma. To address this issue we investigated multiple-rhythm theta/alpha to high gamma PAC in two subjects with implanted subdural electrocorticographic grids. We show that high gamma amplitude couples to the theta and alpha troughs and demonstrate that, during visual tasks, alpha/high gamma coupling preferentially increases in visual cortical regions. These results suggest that low-frequency phase to high frequency amplitude coupling is modulated by behavioral task and may reflect a mechanism for selection between communicating neuronal networks. PMID- 21060717 TI - Cholinergic and non-cholinergic projections from the pedunculopontine and laterodorsal tegmental nuclei to the medial geniculate body in Guinea pigs. AB - The midbrain tegmentum is the source of cholinergic innervation of the thalamus and has been associated with arousal and control of the sleep/wake cycle. In general, the innervation arises bilaterally from the pedunculopontine tegmental nucleus (PPT) and the laterodorsal tegmental nucleus (LDT). While this pattern has been observed for many thalamic nuclei, a projection from the LDT to the medial geniculate body (MG) has been questioned in some species. We combined retrograde tracing with immunohistochemistry for choline acetyltransferase (ChAT) to identify cholinergic projections from the brainstem to the MG in guinea pigs. Double-labeled cells (retrograde and immunoreactive for ChAT) were found in both the PPT (74%) and the LDT (26%). In both nuclei, double-labeled cells were more numerous on the ipsilateral side. About half of the retrogradely labeled cells were immunonegative, suggesting they are non-cholinergic. The distribution of these immunonegative cells was similar to that of the immunopositive ones: more were in the PPT than the LDT and more were on the ipsilateral than the contralateral side. The results indicate that both the PPT and the LDT project to the MG, and suggest that both cholinergic and non-cholinergic cells contribute substantially to these projections. PMID- 21060718 TI - Sleep-waking discharge of ventral tuberomammillary neurons in wild-type and histidine decarboxylase knock-out mice. AB - Using extracellular single-unit recordings, we have determined the characteristics of neurons in the ventral tuberomammillary nucleus (VTM) of wild type (WT) and histidine decarboxylase knock-out (HDC-KO) mice during the sleep waking cycle. The VTM neurons of HDC-KO mice showed no histamine immunoreactivity, but were immunoreactive for the histaminergic (HA) neuron markers adenosine deaminase and glutamic acid decarboxylase 67. In the VTM of WT mice, we found waking (W)-specific, non-W-specific W-active, sleep-active, W and paradoxical sleep (PS)-active, and state-indifferent neuron groups. We previously demonstrated in WT mice that only W-specific neurons are histaminergic and that they are characterized by a triphasic broad action potential. In the VTM of HDC KO mice, we found all these groups of state-dependent and state-indifferent neurons, including W-specific neurons that were characterized by a triphasic broad action potential and a W-specific slow tonic discharge, as in WT mice. The W-specific neurons ceased firing before the onset of electroencephalogram (EEG) synchronization, the first EEG sign of sleep, and remained silent during both slow-wave sleep (SWS) and PS. At the transition from SWS to W, they discharged after the onset of EEG activation, the first EEG sign of W. They either responded to an arousing stimulus with a long delay or did not respond. They therefore presented exactly the same characteristics as those seen in the VTM of WT mice. Thus VTM neurons deprived of their natural transmitter histamine still exhibit the firing properties of W-specific HA neurons. PMID- 21060719 TI - Spike-timing dependent plasticity and the cognitive map. AB - Since the discovery of place cells - single pyramidal neurons that encode spatial location - it has been hypothesized that the hippocampus may act as a cognitive map of known environments. This putative function has been extensively modeled using auto-associative networks, which utilize rate-coded synaptic plasticity rules in order to generate strong bi-directional connections between concurrently active place cells that encode for neighboring place fields. However, empirical studies using hippocampal cultures have demonstrated that the magnitude and direction of changes in synaptic strength can also be dictated by the relative timing of pre- and post-synaptic firing according to a spike-timing dependent plasticity (STDP) rule. Furthermore, electrophysiology studies have identified persistent "theta-coded" temporal correlations in place cell activity in vivo, characterized by phase precession of firing as the corresponding place field is traversed. It is not yet clear if STDP and theta-coded neural dynamics are compatible with cognitive map theory and previous rate-coded models of spatial learning in the hippocampus. Here, we demonstrate that an STDP rule based on empirical data obtained from the hippocampus can mediate rate-coded Hebbian learning when pre- and post-synaptic activity is stochastic and has no persistent sequence bias. We subsequently demonstrate that a spiking recurrent neural network that utilizes this STDP rule, alongside theta-coded neural activity, allows the rapid development of a cognitive map during directed or random exploration of an environment of overlapping place fields. Hence, we establish that STDP and phase precession are compatible with rate-coded models of cognitive map development. PMID- 21060720 TI - Synapse-specific inhibitory control of hippocampal feedback inhibitory circuit. AB - Local circuit and long-range GABAergic projections provide powerful inhibitory control over the operation of hippocampal inhibitory circuits, yet little is known about the input- and target-specific organization of interacting inhibitory networks in relation to their specific functions. Using a combination of two photon laser scanning photostimulation and whole-cell patch clamp recordings in mice hippocampal slices, we examined the properties of transmission at GABAergic synapses formed onto hippocampal CA1 stratum oriens - lacunosum moleculare (O-LM) interneurons by two major inhibitory inputs: local projection originating from stratum radiatum interneurons and septohippocampal GABAergic terminals. Optical mapping of local inhibitory inputs to O-LM interneurons revealed that vasoactive intestinal polypeptide- and calretinin-positive neurons, with anatomical properties typical of type III interneuron-specific interneurons, provided the major local source of inhibition to O-LM cells. Inhibitory postsynaptic currents evoked by minimal stimulation of this input exhibited small amplitude and significant paired-pulse and multiple-pulse depression during repetitive activity. Moreover, these synapses failed to show any form of long-term synaptic plasticity. In contrast, synapses formed by septohippocampal projection produced higher amplitude and persistent inhibition and exhibited long-term potentiation induced by theta-like activity. These results indicate the input and target specific segregation in inhibitory control, exerted by two types of GABAergic projections and responsible for distinct dynamics of inhibition in O-LM interneurons. The two inputs are therefore likely to support the differential activity- and brain state-dependent recruitment of hippocampal feedback inhibitory circuits in vivo, crucial for dendritic disinhibition and computations in CA1 pyramidal cells. PMID- 21060721 TI - What have We Learned from "Perturbing" the Human Cortical Motor System with Transcranial Magnetic Stimulation? AB - The purpose of this paper is twofold. First, we will review different approaches that one can use with transcranial magnetic stimulation (TMS) to study both its effects on motor behavior and on neural connections in the human brain. Second, we will present evidence obtained in TMS-based studies showing that the dorsal premotor area (PMd), the ventral premotor area (PMv), the supplementary motor area (SMA), and the pre-supplementary motor area (pre-SMA) each have different roles to play in motor behavior. We highlight the importance of the PMd in response selection based on arbitrary cues and in the control of arm movements, the PMv in grasping and in the discrimination of bodily actions, the SMA in movement sequencing and in bimanual coordination, and the pre-SMA in cognitive control. We will also discuss ways in which TMS can be used to chart "true" cerebral reorganization in clinical populations and how TMS might be used as a therapeutic tool to facilitate motor recovery after stroke. We will end our review by discussing some of the methodological challenges and future directions for using this tool in basic and clinical neuroscience. PMID- 21060722 TI - Studying network mechanisms using intracranial stimulation in epileptic patients. AB - Patients suffering from focal drug-resistant epilepsy who are explored using intracranial electrodes allow to obtain data of exceptional value for studying brain dynamics in correlation with pathophysiological and cognitive processes. Direct electrical stimulation (DES) of cortical regions and axonal tracts in those patients elicits a number of very specific perceptual or behavioral responses, but also abnormal responses due to specific configurations of epileptic networks. Here, we review how anatomo-functional brain connectivity and epilepsy network mechanisms can be assessed from DES responses measured in patients. After a brief summary of mechanisms of action of brain electrical stimulation, we recall the conceptual framework for interpreting DES results in the context of brain connectivity and review how DES can be used for the characterization of functional networks, the identification of the seizure onset zone, the study of brain plasticity mechanisms, and the anticipation of epileptic seizures. This pool of exceptional data may be underexploited by fundamental research on brain connectivity and leaves much to be learned. PMID- 21060723 TI - Pravastatin provides antioxidant activity and protection of erythrocytes loaded Primaquine. AB - Loading erythrocytes with Primaquine (PQ) is advantageous. However, PQ produces damage to erythrocytes through free radicals production. Statins have antioxidant action and are involved in protective effect against situation of oxidative stress. Thus the protective effect of pravastatin (PS) against PQ induced oxidative damage to human erythrocytes was investigated in the current studies upon loading to erythrocytes.The erythrocytes were classified into; control erythrocytes, erythrocytes incubated with either 2 mM of PS or 2 mM of PQ, and erythrocytes incubated with combination of PS plus PQ. After incubation for 30 min, the effect of the drugs on erythrocytes hemolysis as well as some biomarkers of oxidative stress (none protein thiols, protein carbonyl, thiobarbituric acid reactive substance) were investigated.Our results revealed that PS maintains these biomarkers at values similar to that of control ones. On the other hand, PQ cause significant increases of protein carbonyl by 115% and thiobarbituric acid reactive substance by 225% while non-protein thiols were significantly decreased by 112 % compared with control erythrocytes. PS pre-incubation before PQ exerts marked reduction of these markers in comparison with PQ alone. Moreover, at NaCl concentrations between 0.4% and 0.8%, PQ causes significant increase of Red Blood Cells (RBCs) hemolysis in comparison with the other groups (P<0. 001). Scanning electron micrograph indicates spherocytes formation by PQ incubation, but in the other groups the discocyte shape of erythrocytes was preserved.The reduction of protein oxidation and lipids peroxidation by PS is related to antioxidants effect of this statin. Preservation of erythrocytes fragility and morphology by PS are related to its free radicals scavenging effect. It is concluded that pravastatin has protective effect against erythrocytes dysfunction related any situations associated with increased oxidative stress, especially when loaded with PQ. PMID- 21060724 TI - Comparative efficacy and tolerability of 5-Loxin and AflapinAgainst osteoarthritis of the knee: a double blind, randomized, placebo controlled clinical study. AB - Aflapin((r)) is a novel synergistic composition derived from Boswellia serrata gum resin (Indian Patent Application No. 2229/CHE/2008). Aflapin is significantly better as an anti-inflammatory agent compared to the Boswellia extracts presently available in the market. A 90-day, double-blind, randomized, placebo-controlled study was conducted to evaluate the comparative efficacy and tolerability of 5 Loxin((r)) and Aflapin((r)) in the treatment of osteoarthritis (OA) of the knee (Clinical trial registration number: ISRCTN80793440). Sixty OA subjects were included in the study. The subjects received either 100 mg (n=20) of 5-Loxin((r)) or 100 mg (n=20) of Aflapin((r)) or a placebo (n=20) daily for 90 days. Each patient was evaluated for pain and physical functions by using the standard tools (visual analog scale, Lequesne's Functional Index, and Western Ontario and McMaster Universities Osteoarthritis Index) at the baseline (day 0), and at days 7, 30, 60 and 90. A battery of biochemical parameters in serum, urine and hematological parameters in citrated whole blood were performed to assess the safety of 5-Loxin((r)) and Aflapin((r)) in OA subjects. Fifty seven subjects completed the study. At the end of the study, both 5-Loxin((r)) and Aflapin conferred clinically and statistically significant improvements in pain scores and physical function scores in OA subjects. Interestingly, significant improvements in pain score and functional ability were recorded as early as 7 days after initiation of the study in the treatment group supplemented with 100 mg Aflapin. Corroborating the improvements in pain scores in treatment groups, our in vitro studies provide evidences that Aflapin((r)) is capable of inhibiting cartilage degrading enzyme MMP-3 and has the potential to regulate the inflammatory response by inhibiting ICAM-1. Aflapin((r)) and 5-Loxin((r)) reduce pain and improve physical functions significantly in OA subjects. Aflapin exhibited better efficacy compared to 5-Loxin((r)). In comparison with placebo, the safety parameters were almost unchanged in the treatment groups. Hence both 5 Loxin((r)) and Aflapin((r)) are safe for human consumption. PMID- 21060725 TI - Non-syndromic multiple supernumerary teeth in a family unit with a normal karyotype: case report. AB - Introduction. Hyperdontia is an odontostomatologic anomaly characterized by an excess in tooth number. It seems to occur more often in patients with hereditary factors concerning this anomaly: this case represents a rare form of hyperdontia, with bilateral multiple supernumerary teeth, with evident penetrance of the phenotype in the family unit engaged in the present study. The karyotype determination excludes a pathogenesis on chromosomal basis.Case report. A 30 years old patient came to our observation with five impacted teeth (1.8, 2.8, 3.8, 4.7 and 4.8), as well as with the presence of an impacted supernumerary tooth (distomolar 4.9). The patient was suggested to allow us to perform a radiologic screening to his two sisters aged 17 and 13 years.The X-ray photography showed that the elder sister had nine impacted teeth; these were 1.8 1.9 - 2.8 - 2.9 - 2.10 - 3.8 - 3.9 - 4.8 - 4.9; while the youngest sister had four impacted teeth, that is 1.8 - 1.9 - 2.8 - 2.9.Conclusions. The value of the present case report can be used as a paradigm for the assessment of the hereditary factors predisposing the onset of hyperdontia, and for the consequent management by oral surgeon of family units in which the odontostomatologic anomaly was detected without any syndromic forms. PMID- 21060726 TI - Mechanical forces used for cell fractionation can create hybrid membrane vesicles. AB - The ability to understand the inner works of the cell requires methods for separation of intracellular membrane-enclosed compartments. Disruption of the plasma membrane (PM) by mechanical forces to investigate the content of the cell is common practice. Whether vesicles or membranes of different sources can fuse as a result is unclear. If such contamination occurs, conclusions based on these techniques should consider these. Utilizing an endoplasmic reticulum (ER) membrane marker and a PM marker, we were able to detect the source of membranes following the breakup of cells using flow cytometry and immuno Electron Microscopy (immuno EM). Fractionation processes produced a small fraction of new membrane entities from two distinctively different origins generated during the initial disruption steps in a temperature independent manner, stressing that defining organelles or intrinsic fusion events based on such procedures and markers are valid when exceeding the small number of vesicles fused during the fractionation process. PMID- 21060727 TI - Nuclear factor-Y (NF-Y) regulates transcription of mouse Dmrt7 gene by binding to tandem CCAAT boxes in its proximal promoter. AB - Dmrt7, a member of the Dmrt family of genes, is required for spermatogenesis. However, promoter functions of the gene Dmrt7 remain unknown. We have cloned and characterized the proximal promoter region of the mouse Dmrt7 gene. Functional analysis of the 5' flanking region by sequential deletion mutations revealed crucial positive elements between -60 and +1, in which two highly conserved and tandem CCAAT boxes: the CCAAT box1 (-48/-44) and the CCAAT box2 (-7/-3) are located. Site-directed mutagenesis studies demonstrated that both CCAAT boxes are indispensable to the promoter activity. Electrophoretic mobility shift assays (EMSAs) and gel-supershift assays indicated that transcription factor NF-Y binds to the promoter. Chromatin immunoprecipitation (ChIP) analysis demonstrated that NF-Y interacts in vivo with the promoter of the Dmrt7 gene in testis. Co transfection and reporter analysis showed that over-expression of NF-Ys increased transcription of the Dmrt7-luc gene whereas expression of a dominant-negative NF Ya decreased the transcription. This suggests that NF-Y can activate the Dmrt7 promoter. These results provide evidence of a transcription regulatory mechanism that controls Dmrt7 gene expression in mouse testis. PMID- 21060728 TI - Mechanism of processive movement of monomeric and dimeric kinesin molecules. AB - Kinesin molecules are motor proteins capable of moving along microtubule by hydrolyzing ATP. They generally have several forms of construct. This review focuses on two of the most studied forms: monomers such as KIF1A (kinesin-3 family) and dimers such as conventional kinesin (kinesin-1 family), both of which can move processively towards the microtubule plus end. There now exist numerous models that try to explain how the kinesin molecules convert the chemical energy of ATP hydrolysis into the mechanical energy to "power" their processive movement along microtubule. Here, we attempt to present a comprehensive review of these models. We further propose a new hybrid model for the dimeric kinesin by combining the existing models and provide a framework for future studies in this subject. PMID- 21060729 TI - The application of Toll like receptors for cancer therapy. AB - Toll-like receptor (TLR) proteins play key roles in immune responses against infection. Using TLR proteins, host can recognize the conserved molecular structures found in pathogens called pathogen-associated molecular patterns (PAMPs). At the same time, some TLRs are able to detect specific host molecules, such as high-mobility group box protein 1 (HMGB1) and heat shock proteins (hsp), and lead to inflammatory responses. Thus, it has been suggested that TLRs are involved in the development of many pathogenic conditions. Recent advances in TLR related research not only provide us with scientific information, but also show the therapeutic potential against diseases, such as autoimmune disease and cancer. In this mini review, we demonstrate how TLRs pathways could be involved in cancer development and their therapeutic application, and discuss recent patentable subjects, in particular, that are targeting this unique pathway. PMID- 21060730 TI - Ets family protein, erg expression in developing and adult mouse tissues by a highly specific monoclonal antibody. AB - Oncogenic activation of the ETS Related Gene (ERG) in humans was originally identified in subsets of Ewing sarcomas, myeloid leukemias and, recently, in the majority of prostate cancers. Expression of human ERG protein and consequently its functions in normal and disease states needs to be better understood in light of its suggested role in cell differentiation and proliferation. Here, we analyzed temporal and spatial expression of the Erg (mouse protein) by immunohistochemical analysis during mouse embryonic and adult organogenesis using a highly specific ERG monoclonal antibody (ERG MAb). This study establishes widespread immunolocalization of Erg protein in endothelial cells and restricted expression in precartilage and hematopoietic tissues. Intriguingly, Erg is not expressed in any epithelial tissue including prostate epithelium, or in infiltrating lymphocytes that are occasionally seen in the prostate environment, a common site of tumors with ERG rearrangements and unscheduled ERG expression. These findings will further aid in investigations of Erg functions in normal and disease conditions. PMID- 21060731 TI - The therapeutic value of monoclonal antibodies directed against immunogenic tumor glycoproteins. AB - Monoclonal antibodies developed against immunogenic proteins (Tumor Specific Antigens/TSA's) that are expressed in human cancers, display a unique behavioral pattern. They appear to serve in a dual role. This includes the early recognition of these immunogenic membrane proteins that can serve as diagnostic markers, and the targeting of such markers for the destruction of the tumor, primarily thru ADCC.The monoclonals (mAbs) that we have developed against specific immunogenic tumor membrane proteins have been studied in detail. These tumor proteins, when first defined, were referred to as tumor associated antigens. With the ability of the mAbs to demonstrate therapeutic antitumor activity in those patients with relatively advanced malignancies, the term tumor specific was introduced. Monoclonals that we were able to develop from tumor specific proteins derived from colon and pancreas cancer were found capable of targeting those tumors to induce apoptosis. We were also able to define immunogenic membrane proteins from lung (squamous and adenoCa) as well as prostate neoplasms. Monoclonals developed from these tumor antigens are in the initial phases of investigation with regard to their specificity and antitumor activity.Mabs capable of targeting the malignancies noted above were produced following immunization of BALBc mice with the Tumor Specific Antigens. The hybridomas that were screened and found to express the antibodies of interest appeared for the most part as IgG2a's. It became apparent after a short period of time that stability of the Fab CDR loops as well as the therapeutic efficacy of the hybridoma mAbs could be lost. Stability was achieved by chimerization and or humanization. The resulting mAbs were found to switch their isotypes to an IgG1 subsequent to chimerization and or humanization, when expressed in CHO cells. The monoclonals, so produced, were not only more efficient in controlling tumor growth but minimized the development of a HAMA response.Because of 1) the specificity of this group of monoclonal antibodies in targeting well defined immunogenic proteins that were expressed on the tumor cell membrane,2) their lack of cross reactivity to normal tissue, 3) relatively low toxicity when delivered intravenously, 4) rapid targeting of tumor cell populations (4-6 hrs in vitro) and their 5) ability to destroy xenograft transplants (in vivo) within days of delivery, these mAbs were felt to be ideal for possible use in the treatment of patients with recurrent and or metastatic tumors.Initial clinical studies have been planned for following the filing of an IND. It is required by FDA that the potential effects of tumor control and toxicity be defined using the naked antibodies produced under GMP conditions, In those situations where patients with recurrent malignancies are to be studied we have come to realize that a number of factors can influence the response to monoclonal therapy. This includes the amount of shed antigen in the serum at the time of treatment that could initiate immune complex formation as well as the shedding of inhibitory material into the serum possibly effecting an immune response. As such we plan to eventually employ the therapeutic mAbs in combination with chemotherapy as a means of enhancing the immunogenicity of the tumor system being treated and to possibly weaken the malignant growth for easier destruction by the mAb. We will also look at the combination of mAbs with immunostimulants such as GMCSF and IL-2 (fusion proteins) and eventual conjugation of the mAbs with alpha and possibly B-emitters to help in targeting bystander cells. The present paper reviews the potential therapeutic value of such mAbs in the treatment of recurrent malignancies, especially those having failed chemotherapy in established clinical trials. PMID- 21060732 TI - Self-Expandable Metal Stent for Closure of a Large Leak after Total Gastrectomy. AB - In recent years, self-expandable metallic stents (SEMSs) have emerged as a promising treatment alternative for the bridging and sealing of esophageal perforations and extensive anastomotic leaks after esophageal resection or total gastrectomy. A 56-year-old woman underwent a total gastrectomy with Roux-en-Y end to-side esophagojejunostomy for a gastric signet ring cell carcinoma. Ten days later, esophagogastroduodenoscopy showed a 2 cm fistula in the distal end of the Roux limb of the anastomosis. This was confirmed by gastrografin esophagography. The patient was started on total parenteral nutrition. Having deemed clipping treatment for this fistula unfeasible, we decided to insert a partially silicone coated SEMS (Evolution Controlled Release Esophageal Stent System, Cook Medical, Winston-Salem, N.C., USA). The stent was removed after ten days. Gastrografin esophagography showed no further contrast extravasation, and esophagogastroduodenoscopy showed closure of the fistula. No clinical complications were observed, and the patient was able to start normal per os nutrition. In conclusion, the treatment of symptomatic leaks in patients who have undergone esophagojejunostomy is challenging, and leakage from the jejunal stump can be a potentially serious complication. In the treatment of leakage after total gastrectomy, plastic stents (which are either too light or exercise too little radial force) and totally covered metallic stents may not adhere sufficiently to the esophagojeujunal walls and, as a result, migrate beyond the anastomosis. The promising results of this report suggest that early stenting, using a partially silicone-coated SEMS, is a feasible alternative to surgical treatment in this category of patients. PMID- 21060733 TI - Extrapulmonary Small Cell Carcinoma of the Stomach: A Lethal Entity. AB - Extrapulmonary small cell carcinoma of the stomach is a rare and aggressive malignancy with a poor prognosis that was first described in 1976 by Matsusaka et al. In 1989 it was recognized by the World Health Organization as an independent entity affecting the stomach. Pure and composite are the two types of gastric small cell carcinoma reported in the literature. We report a case of a 68-year old African American male with metastatic pure-type extrapulmonary small cell cancer of the stomach. The primary lesion measured approximately 7 cm in diameter on endoscopy. The diagnosis was made on the basis of characteristic histological features of small, round, oval lymphocyte-like cells with hyperchromatic nuclei, and scant cytoplasm consistent with small cell carcinoma. Gastric small cell carcinoma is typically diagnosed at an advanced stage as demonstrated in our case. We conducted a literature review discussing the two types of extrapulmonary small cell carcinoma of the stomach and their prevalence. PMID- 21060734 TI - Probing prokaryotic social behaviors with bacterial "lobster traps". AB - Bacteria are social organisms that display distinct behaviors/phenotypes when present in groups. These behaviors include the abilities to construct antibiotic resistant sessile biofilm communities and to communicate with small signaling molecules (quorum sensing [QS]). Our understanding of biofilms and QS arises primarily from in vitro studies of bacterial communities containing large numbers of cells, often greater than 10(8) bacteria; however, in nature, bacteria often reside in dense clusters (aggregates) consisting of significantly fewer cells. Indeed, bacterial clusters containing 10(1) to 10(5) cells are important for transmission of many bacterial pathogens. Here, we describe a versatile strategy for conducting mechanistic studies to interrogate the molecular processes controlling antibiotic resistance and QS-mediated virulence factor production in high-density bacterial clusters. This strategy involves enclosing a single bacterium within three-dimensional picoliter-scale microcavities (referred to as bacterial "lobster traps") defined by walls that are permeable to nutrients, waste products, and other bioactive small molecules. Within these traps, bacteria divide normally into extremely dense (10(12) cells/ml) clonal populations with final population sizes similar to that observed in naturally occurring bacterial clusters. Using these traps, we provide strong evidence that within low-cell number/high-density bacterial clusters, QS is modulated not only by bacterial density but also by population size and flow rate of the surrounding medium. We also demonstrate that antibiotic resistance develops as cell density increases, with as few as ~150 confined bacteria exhibiting an antibiotic-resistant phenotype similar to biofilm bacteria. Together, these findings provide key insights into clinically relevant phenotypes in low-cell-number/high-density bacterial populations. PMID- 21060735 TI - Multidrug-resistant enterococci lack CRISPR-cas. AB - Clustered, regularly interspaced short palindromic repeats (CRISPR) provide bacteria and archaea with sequence-specific, acquired defense against plasmids and phage. Because mobile elements constitute up to 25% of the genome of multidrug-resistant (MDR) enterococci, it was of interest to examine the codistribution of CRISPR and acquired antibiotic resistance in enterococcal lineages. A database was built from 16 Enterococcus faecalis draft genome sequences to identify commonalities and polymorphisms in the location and content of CRISPR loci. With this data set, we were able to detect identities between CRISPR spacers and sequences from mobile elements, including pheromone-responsive plasmids and phage, suggesting that CRISPR regulates the flux of these elements through the E. faecalis species. Based on conserved locations of CRISPR and CRISPR-cas loci and the discovery of a new CRISPR locus with associated functional genes, CRISPR3-cas, we screened additional E. faecalis strains for CRISPR content, including isolates predating the use of antibiotics. We found a highly significant inverse correlation between the presence of a CRISPR-cas locus and acquired antibiotic resistance in E. faecalis, and examination of an additional eight E. faecium genomes yielded similar results for that species. A mechanism for CRISPR-cas loss in E. faecalis was identified. The inverse relationship between CRISPR-cas and antibiotic resistance suggests that antibiotic use inadvertently selects for enterococcal strains with compromised genome defense. PMID- 21060736 TI - Enabling unbalanced fermentations by using engineered electrode-interfaced bacteria. AB - Cellular metabolism is a series of tightly linked oxidations and reductions that must be balanced. Recycling of intracellular electron carriers during fermentation often requires substrate conversion to undesired products, while respiration demands constant addition of electron acceptors. The use of electrode based electron acceptors to balance biotransformations may overcome these constraints. To test this hypothesis, the metal-reducing bacterium Shewanella oneidensis was engineered to stoichiometrically convert glycerol into ethanol, a biotransformation that will not occur unless two electrons are removed via an external reaction, such as electrode reduction. Multiple modules were combined into a single plasmid to alter S. oneidensis metabolism: a glycerol module, consisting of glpF, glpK, glpD, and tpiA from Escherichia coli, and an ethanol module containing pdc and adh from Zymomonas mobilis. A further increase in product yields was accomplished through knockout of pta, encoding phosphate acetyltransferase, shifting flux toward ethanol and away from acetate production. In this first-generation demonstration, conversion of glycerol to ethanol required the presence of an electrode to balance the reaction, and electrode linked rates were on par with volumetric conversion rates observed in engineered E. coli. Linking microbial biocatalysis to current production can eliminate redox constraints by shifting other unbalanced reactions to yield pure products and serve as a new platform for next-generation bioproduction strategies. PMID- 21060737 TI - Mammalian endothermy optimally restricts fungi and metabolic costs. AB - Endothermy and homeothermy are mammalian characteristics whose evolutionary origins are poorly understood. Given that fungal species rapidly lose their capacity for growth above ambient temperatures, we have proposed that mammalian endothermy enhances fitness by creating exclusionary thermal zones that protect against fungal disease. According to this view, the relative paucity of invasive fungal diseases in immunologically intact mammals relative to other infectious diseases would reflect an inability of most fungal species to establish themselves in a mammalian host. In this study, that hypothesis was tested by modeling the fitness increase with temperature versus its metabolic costs. We analyzed the tradeoff involved between the costs of the excess metabolic rates required to maintain a body temperature and the benefit gained by creating a thermal exclusion zone that protects against environmental microbes such as fungi. The result yields an optimum at 36.7 degrees C, which closely approximates mammalian body temperatures. This calculation is consistent with and supportive of the notion that an intrinsic thermally based resistance against fungal diseases could have contributed to the success of mammals in the Tertiary relative to that of other vertebrates. PMID- 21060738 TI - An engineered methanogenic pathway derived from the domains Bacteria and Archaea. AB - A plasmid-based expression system wherein mekB was fused to a constitutive Methanosarcina acetivorans promoter was used to express MekB, a broad-specificity esterase from Pseudomonas veronii, in M. acetivorans. The engineered strain had 80-fold greater esterase activity than wild-type M. acetivorans. Methyl acetate and methyl propionate esters served as the sole carbon and energy sources, resulting in robust growth and methane formation, with consumption of >97% of the substrates. Methanol was undetectable at the end of growth with methyl acetate, whereas acetate accumulated, a result consistent with methanol as the more favorable substrate. Acetate was consumed, and growth continued after a period of adaptation. Similar results were obtained with methyl propionate, except propionate was not metabolized. PMID- 21060739 TI - Head-to-tail intramolecular interaction of herpes simplex virus type 1 regulatory protein ICP27 is important for its interaction with cellular mRNA export receptor TAP/NXF1. AB - Herpes simplex virus type 1 (HSV-1) protein ICP27 has many important functions during infection that are achieved through interactions with a number of cellular proteins. In its role as a viral RNA export protein, ICP27 interacts with TAP/NXF1, the cellular mRNA export receptor, and both the N and C termini of ICP27 must be intact for this interaction to take place. Here we show by bimolecular fluorescence complementation (BiFC) that ICP27 interacts directly with TAP/NXF1 during infection, and this interaction failed to occur with an ICP27 mutant bearing substitutions of serines for cysteines at positions 483 and 488 in the C-terminal zinc finger. Recently, we showed that ICP27 undergoes a head-to-tail intramolecular interaction, which could make the N- and C-terminal regions accessible for binding to TAP/NXF1. To determine the importance of intramolecular association of ICP27 to its interaction with TAP/NXF1, we performed BiFC-based fluorescence resonance energy transfer (FRET) by acceptor photobleaching. BiFC-based FRET showed that the interaction between ICP27 and TAP/NXF1 occurred in living cells upon head-to-tail intramolecular association of ICP27, further establishing that TAP/NXF1 interacts with both the N and C termini of ICP27. PMID- 21060740 TI - Immunohistochemical examination for the distribution of podoplanin-expressing cells in developing mouse molar tooth germs. AB - We recently reported the expression of podoplanin in the apical bud of adult mouse incisal tooth. This study was aimed to investigate the distribution of podoplanin-expressing cells in mouse tooth germs at several developing stages. At the bud stage podoplanin was expressed in oral mucous epithelia and in a tooth bud. At the cap stage podoplanin was expressed on inner and outer enamel epithelia but not in mesenchymal cells expressing the neural crest stem cell marker nestin. At the early bell stage nestin and podoplanin were expressed in cervical loop and odontoblasts. At the root formation stage both nestin and podoplanin were weakly expressed in odontoblasts generating radicular dentin. Podoplanin expression was also found in the Hertwig epithelial sheath. These results suggest that epithelial cells of developing tooth germ acquire the ability to express nestin, and that tooth germ epithelial cells maintain the ability to express podoplanin in oral mucous epithelia. The expression of podoplanin in odontoblasts was induced as tooth germ development advanced, but was suppressed with the completion of the primary dentin, suggesting that podoplanin may be involved in the cell growth of odontoblasts. Nestin may function as an intermediate filament that binds podoplanin in odontoblasts. PMID- 21060741 TI - Clinical practice guideline for accurate diagnosis and effective treatment of gastrointestinal stromal tumor in Korea. AB - Despite the rarity in incidence and prevalence, gastrointestinal stromal tumor (GIST) has emerged as a distinct pathogenetic entity. And the clinical management of GIST has been evolving very rapidly due to the recent recognition of its oncogenic signal transduction pathway and the introduction of new molecular targeted therapy. Successful management of GIST requires a multidisciplinary approach firmly based on accurate histopathologic diagnosis. However, there was no standardized guideline for the management of Korean GIST patients. In 2007, the Korean GIST study group (KGSG) published the first guideline for optimal diagnosis and treatment of GIST in Korea. As the second version of the guideline, we herein have updated recent clinical recommendations and reflected changes in diagnosis, surgical and medical treatments for more optimal clinical practice for GIST in Korea. We hope the guideline can be of help in enhancing the quality of diagnosis by members of the Korean associate of physicians involving in GIST patients's care and subsequently in achieving optimal efficacy of treatment. PMID- 21060742 TI - Korean guidelines for the prevention of venous thromboembolism. AB - This guideline focuses on the primary prevention of venous thromboembolism (VTE) in Korea. The guidelines should be individualized and aim at patients scheduled for major surgery, as well as patients with a history of trauma, high-risk pregnancy, cancer, or other severe medical illnesses. Currently, no nation-wide data on the incidence of VTE exist, and randomized controlled trials aiming at the prevention of VTE in Korea have yielded few results. Therefore, these guidelines were based on the second edition of the Japanese Guidelines for the Prevention of VTE and the eighth edition of the American College of Chest Physicians (ACCP) Evidenced-Based Clinical Practice Guidelines. These guidelines establish low-, moderate-, and high-risk groups, and recommend appropriate thromboprophylaxis for each group. PMID- 21060743 TI - Relationship between obesity and depression in the Korean working population. AB - This study was conducted to investigate potential relationship between obesity and depression in Korean working population. A total of 8,121 workers, aged 21-75 yr, participated in this nationwide cross-section research. The participants asked to complete a questionnaire regarding the socio-demographic factors and health-related behaviors, height, and weight. To measure degree of depression, the Korean version of the Center for Epidemiologic Studies-Depression scale (CES D) was used. Chi-square test and multivariate logistic regression analyses were performed to examine the degree of association between obesity and depression. Compared to normal-weight women, overweight women had a lower adjusted odds ratio (OR) for depression (OR=0.65, 95% confidence interval 0.46 to 0.97). Underweight (1.42, 0.83 to 2.44) and obese women (BMI >=30) had higher ORs for depression (1.47, 0.64 to 3.36), but these were insignificant. Compared to normal-weight men, obese men had higher crude ORs (1.94, 1.03 to 3.62) and adjusted ORs (1.77, 0.89 to 3.53) for depression, while underweight and overweight men showed no significant differences. These findings suggest that being overweight may protect Korean female worker against depression. PMID- 21060744 TI - A comprehensive model of factors affecting adoption of clinical practice guidelines in Korea. AB - This study aims to investigate the factors related to the adoption of clinical practice guidelines in clinical settings in Korea; it also aims to determine how these factors differ depending on the specific situation of health care system and professional climate. The research sample comprised physicians who are board members of academic societies with experiences in development of clinical practice guidelines using a convenient sampling. We analyzed 324 physicians with pooling two-year sample of 2007 and 2008. From all the respondents, 48.8% stated that they followed Clinical Practice Guidelines, and 93.4% agreed with the content in the Clinical Practice Guidelines. With regard to the item on the self efficacy of practicing guidelines, 90.3% of the respondents selected 'low level'. In the regression analysis, the factors associated with implementation were level of recognition, agreement and self-efficacy and positive attitude towards practice guidelines. Although the health care system in Korea differs from those in Western countries, our results revealed that the factors related to the adoption of practice guidelines were similar to the research results of Western countries. These results suggest that professionals' attitudes towards clinical practice guidelines are universal, and implementation strategies should be developed globally. PMID- 21060745 TI - Disruption of microtubules sensitizes the DNA damage-induced apoptosis through inhibiting nuclear factor kappaB (NF-kappaB) DNA-binding activity. AB - The massive reorganization of microtubule network involves in transcriptional regulation of several genes by controlling transcriptional factor, nuclear factor kappa B (NF-kappaB) activity. The exact molecular mechanism by which microtubule rearrangement leads to NF-kappaB activation largely remains to be identified. However microtubule disrupting agents may possibly act in synergy or antagonism against apoptotic cell death in response to conventional chemotherapy targeting DNA damage such as adriamycin or comptothecin in cancer cells. Interestingly pretreatment of microtubule disrupting agents (colchicine, vinblastine and nocodazole) was observed to lead to paradoxical suppression of DNA damage-induced NF-kappaB binding activity, even though these could enhance NF-kappaB signaling in the absence of other stimuli. Moreover this suppressed NF-kappaB binding activity subsequently resulted in synergic apoptotic response, as evident by the combination with Adr and low doses of microtubule disrupting agents was able to potentiate the cytotoxic action through caspase-dependent pathway. Taken together, these results suggested that inhibition of microtubule network chemosensitizes the cancer cells to die by apoptosis through suppressing NF kappaB DNA binding activity. Therefore, our study provided a possible anti-cancer mechanism of microtubule disrupting agent to overcome resistance against to chemotherapy such as DNA damaging agent. PMID- 21060746 TI - Trends in general and abdominal obesity among Korean adults: findings from 1998, 2001, 2005, and 2007 Korea National Health and Nutrition Examination Surveys. AB - We examined trends in obesity among Korean adults, using body mass index (BMI) and waist circumference (WC) as reported in national surveys. Data (10,043 men and 12,758 non-pregnant women) were derived from four waves of the Korea National Health and Nutrition Examination Survey conducted in 1998, 2001, 2005, and 2007. Between 1998 and 2007, the distribution of BMI and WC showed shifts toward the right among men. Mean values of BMI and WC and the corresponding overweight (includes obesity) and obesity prevalences showed increasing trends in men but not in women. Women aged 60+ showed significant increases in obesity measures, including mean BMI and WC, and the associated prevalences. Among women aged 20 39, the prevalence of underweight increased significantly between 1998 and 2007, and BMI showed a decreasing tendency. These time trends in young women were the reverse of the trends in young men. In conclusion, policy efforts to abate overweight and obesity trends need to be exercised among men and older women. In addition, more national studies regarding potential increases in underweight among young women are warranted. PMID- 21060747 TI - Cardiovascular diseases after kidney transplantation in Korea. AB - Cardiovascular disease (CVD) is the leading cause of death in renal allograft recipients with functioning graft. Our study aimed to determine the incidence and the risk factors of cardiovascular disease after renal transplantation in Korea. We retrospectively analyzed 430 adult recipients who underwent kidney transplantation between January 1997 and February 2007. CVD was defined as a composite outcome of ischemic heart disease, cerebrovascular accident and peripheral vascular disease. Mean age of recipients was 40.0+/-11.8 yr. Mean duration of follow-up was 72+/-39 months. The cumulative incidence of CVD after renal transplantation was 2.4% at 5 yr, 5.4% at 10 yr and 11.4% at 12 yr. Multivariate analysis revealed that recipient's age, diabetes mellitus and duration of dialysis before transplantation were associated with post-transplant CVD (hazard ratio 1.843 [95% CI, 1.005-3.381], 3.846 [95% CI, 1.025-14.432] and 3.394 [95% CI, 1.728-6.665] respectively). In conclusion, old age, duration of dialysis and diabetes mellitus are important risk factors for post-transplant CVD, although the incidence of post-renal transplant CVD is lower in Korea than that in western countries. PMID- 21060748 TI - Epidemiology of Campylobacter jejuni outbreak in a middle school in Incheon, Korea. AB - On July 6, 2009, an outbreak of gastroenteritis occurred among middle school students in Incheon. An investigation to identify the source and describe the extent of the outbreak was conducted. A retrospective cohort study among students, teachers, and food handlers exposed to canteen food in the middle school was performed. Using self-administered questionnaires, information was collected concerning on symptoms, days that canteen food was consumed, and food items consumed. Stool samples were collected from 66 patients and 11 food handlers. The catering kitchen was inspected and food samples were taken. Of the 791 people who ate canteen food, 92 cases became ill, representing an attack rate of 11.6%. Thirty-one (40.3%) of the 77 stool specimens were positive for Campylobacter jejuni. Interviews with kitchen staff indicated the likelihood that undercooked chicken was provided. This is the first recognized major C. jejuni outbreak associated with contaminated chicken documented in Korea. PMID- 21060749 TI - Clinical benefit of low molecular weight heparin for ST-segment elevation myocardial infarction patients undergoing primary percutaneous coronary intervention with glycoprotein IIb/IIIa inhibitor. AB - The efficacy of low molecular weight heparin (LMWH) with low dose unfractionated heparin (UFH) during percutaneous coronary intervention (PCI) with or without glycoprotein (Gp) IIb/IIIa inhibitor compared to UFH with or without Gp IIb/IIIa inhibitor has not been elucidated. Between October 2005 and July 2007, 2,535 patients with ST elevation acute myocardial infarction (STEMI) undergoing PCI in the Korean Acute Myocardial Infarction Registry (KAMIR) were assigned to either of two groups: a group with Gp IIb/IIIa inhibitor (n=476) or a group without Gp IIb/IIIa inhibitor (n=2,059). These groups were further subdivided according to the use of LMWH with low dose UFH (n=219) or UFH alone (n=257). The primary end points were cardiac death or myocardial infarction during the 30 days after the registration. The primary end point occurred in 4.1% (9/219) of patients managed with LMWH during PCI and Gp IIb/IIIa inhibitor and 10.8% (28/257) of patients managed with UFH and Gp IIb/IIIa inhibitor (odds ratio [OR], 0.290; 95% confidence interval [CI], 0.132-0.634; P=0.006). Thrombolysis In Myocardial Infarction (TIMI) with major bleeding was observed in LMHW and UFH with Gp IIb/IIIa inhibitor (1/219 [0.5%] vs 1/257 [0.4%], P=1.00). For patients with STEMI managed with a primary PCI and Gp IIb/IIIa inhibitor, LMWH is more beneficial than UFH. PMID- 21060750 TI - Activated protein C protects myocardium via activation of anti-apoptotic pathways of survival in ischemia-reperfused rat heart. AB - Activated protein C (APC) is known to be beneficial on ischemia reperfusion injury in myocardium. However, the protection mechanism of APC is not fully understood. The purpose of this study was to investigate the effects and possible mechanisms of APC on myocardial ischemic damage. Artificially ventilated anaesthetized Sprague-Dawley rats were subjected to a 30 min of left anterior descending coronary artery occlusion followed by 2 hr of reperfusion. Rats were randomly divided into four groups; Sham, I/R, APC preconditioning and postconditioning group. Myocardial infarct size, apoptosis index, the phosphorylation of ERK1/2, Bcl-2, Bax and cytochrome c genes and proteins were assessed. In APC-administrated rat hearts, regardless of the timing of administration, infarct size was consistently reduced compared to ischemia/reperfusion (I/R) rats. APC improved the expression of ERK1/2 and anti apoptotic protein Bcl-2 which were significantly reduced in the I/R rats. APC reduced the expression of pro-apoptotic genes, Bax and cytochrome c. These findings suggest that APC produces cardioprotective effect by preserving the expression of proteins and genes involved in anti-apoptotic pathways, regardless of the timing of administration. PMID- 21060751 TI - Ethnic coefficients for glomerular filtration rate estimation by the Modification of Diet in Renal Disease study equations in the Korean population. AB - Race and ethnicity are influential in estimating glomerular filtration rate (GFR). We aimed to find the Korean coefficients for the Modification of Diet in Renal Disease (MDRD) study equations and to obtain novel proper estimation equations. Reference GFR was measured by systemic inulin clearance. Serum creatinine (SCr) values were measured by the alkaline picrate Jaffe kinetic method, then, recalibrated to CX3 analyzer and to isotope dilution mass spectrometry (IDMS). The Korean coefficients for the 4 and 6 variable MDRD and IDMS MDRD study equations based on the SCr recalibrated to CX3 and to IDMS were 0.73989/0.74254 and 0.99096/0.9554, respectively. Coefficients for the 4 and 6 variable MDRD equations based on the SCr measured by Jaffe method were 1.09825 and 1.04334, respectively. The modified equations showed better performances than the original equations. The novel 4 variable equations for Korean based on the SCr measured and recalibrated to IDMS were 107.904*SCr(-1.009)*age(-0.02) (*0.667, if woman) and 87.832*SCr(-0.882)*age(0.01) (*0.653, if woman), respectively. Modified estimations of the MDRD and IDMS MDRD study equations with ethnic coefficients and the novel equations improve the performance of GFR estimation for the overall renal function. PMID- 21060752 TI - Exendin-4 protects oxidative stress-induced beta-cell apoptosis through reduced JNK and GSK3beta activity. AB - Oxidative stress induced by chronic hyperglycemia in type 2 diabetes plays a crucial role in progressive loss of beta-cell mass through beta-cell apoptosis. Glucagon like peptide-1 (GLP-1) has effects on preservation of beta-cell mass and its insulin secretory function. GLP-1 possibly increases islet cell mass through stimulated proliferation from beta-cell and differentiation to beta-cell from progenitor cells. Also, it probably has an antiapoptotic effect on beta-cell, but detailed mechanisms are not proven. Therefore, we examined the protective mechanism of GLP-1 in beta-cell after induction of oxidative stress. The cell apoptosis decreased to ~50% when cells were treated with 100 uM H(2)O(2) for up to 2 hr. After pretreatment of Ex-4, GLP-1 receptor agonist, flow cytometric analysis shows 41.7% reduction of beta-cell apoptosis. This data suggested that pretreatment of Ex-4 protect from oxidative stress-induced apoptosis. Also, Ex-4 treatment decreased GSK3beta activation, JNK phosphorylation and caspase-9, -3 activation and recovered the expression of insulin2 mRNA in beta-cell lines and secretion of insulin in human islet. These results suggest that Ex-4 may protect beta-cell apoptosis by blocking the JNK and GSK3beta mediated apoptotic pathway. PMID- 21060753 TI - The value of procalcitonin and the SAPS II and APACHE III scores in the differentiation of infectious and non-infectious fever in the ICU: a prospective, cohort study. AB - Early and accurate differentiation between infectious and non-infectious fever is vitally important in the intensive care unit (ICU). In the present study, patients admitted to the medical ICU were screened daily from August 2008 to February 2009. Within 24 hr after the development of fever (>38.3C), serum was collected for the measurement of the procalcitonin (PCT) and high mobility group B 1 levels. Simplified Acute Physiology Score (SAPS) II and Acute Physiology And Chronic Health Evaluation (APACHE) III scores were also analyzed. Sixty-three patients developed fever among 448 consecutive patients (14.1%). Fever was caused by either infectious (84.1%) or non-infectious processes (15.9%). Patients with fever due to infectious causes showed higher values of serum PCT (7.8+/-10.2 vs 0.5+/-0.2 ng/mL, P=0.026), SAPS II (12.0+/-3.8 vs 7.6+/-2.7, P=0.006), and APACHE III (48+/-20 vs 28.7+/-13.3, P=0.039) than those with non-infectious fever. In receiver operating characteristic curve analysis, the area under the curve was 0.726 (95% CI; 0.587-0.865) for PCT, 0.759 (95% CI; 0.597-0.922) for SAPS II, and 0.715 (95% CI; 0.550-0.880) for APACHE III. Serum PCT, SAPS II, and APACHE III are useful in the differentiation between infectious and non-infectious fever in the ICU. PMID- 21060754 TI - Early motor balance and coordination training increased synaptophysin in subcortical regions of the ischemic rat brain. AB - The aim of this study was to evaluate the effect of early motor balance and coordination training on functional recovery and brain plasticity in an ischemic rat stroke model, compared with simple locomotor exercise. Adult male Sprague Dawley rats with cortical infarcts were trained under one of four conditions: nontrained control, treadmill training, motor training on the Rota-rod, or both Rota-rod and treadmill training. All types of training were performed from post operation day 1 to 14. Neurological and behavioral performance was evaluated by Menzies' scale, the prehensile test, and the limb placement test, at post operation day 1, 7, and 14. Both Rota-rod and treadmill training increased the expression of synaptophysin in subcortical regions of the ischemic hemisphere including the hippocampus, dentate gyrus, and thalamus, but did not affect levels of brain-derived neurotrophic factor or tyrosin kinase receptor B. The Rota-rod training also improved Menzies' scale and limb placement test scores, whereas the simple treadmill training did neither. The control group showed significant change only in Menzies' scale score. This study suggests that early motor balance and coordination training may induce plastic changes in subcortical regions of the ischemic hemisphere after stroke accompanied with the recovery of sensorimotor performance. PMID- 21060755 TI - The expression of corticotropin-releasing factor in the central nucleus of the amygdala, induced by colorectal distension, is attenuated by general anesthesia. AB - Corticotrophin-releasing factor (CRF), a key regulator of the hypothalamic pituitary axis, is expressed in the central nucleus of the amygdala (CeA) and its expression is upregulated in stress-related disorders. We investigated here the effect of noxious colorectal distension (CRD) on the expression of CRF in the CeA of conscious and unconscious rats. Adult male rats with or without general anesthesia were exposed to visceral pain induced by CRD for 5 min; this procedure was repeated 3 times with 1 min resting after each distension. The rats were sacrificed and sections of the CeA were immunostained for CRF as an indicator for anxiety response, and for phosphorylated extracellular signal-regulated kinase (p ERK) as a marker for pain-specific activation of neurons; sections of lumbosacral spinal cord were immunostained for c-Fos as a marker for activation of spinal neurons. CRD elicited a significant increase in the expression of CRF and p-ERK in the CeA and of c-Fos in the spinal cord. General anesthesia attenuated the increase in CRF and p-ERK in the CeA, but did not affect the expression of spinal c-Fos. These results suggest that conscious recognition of pain at higher brain centers is an important determinant of CRF expression in the CeA. PMID- 21060756 TI - Time dependent bladder apoptosis induced by acute bladder outlet obstruction and subsequent emptying is associated with decreased MnSOD expression and Bcl-2/Bax ratio. AB - Ischemia/reperfusion (I/R) injury-induced oxidative stress plays an important role in the functional impairment of the bladder following acute bladder outlet obstruction (BOO) via induction of apoptosis. The purpose of this study was to investigate the time course of the bladder apoptosis, and apoptosis related molecular changes in the early stage of acute BOO. Twelve-week-old male Sprague Dawley rats were divided into control, acute BOO only (I), and acute BOO plus subsequent emptying (I/R) for 30, 60, 120 min, 3 days and 2 weeks. We examined the extent of bladder apoptosis, expression of Mn-superoxide dismutase (Mn-SOD), Bcl-2, Bax, caspase 3 and poly (ADP-ribose) (PAR) in the bladder. Bladder apoptosis was significantly increased in the I/R group at 30, 60, and 120 min following bladder emptying. BOO plus subsequent emptying for 30, 60, 120 min showed significant decrease in MnSOD and Bcl-2 expression, and significant increase in caspase 3, Bax expression, and amounts of PAR. These results indicate that bladder apoptosis, induced by acute BOO and subsequent emptying, is associated with decreased MnSOD expression, increased PARP activity and imbalance in apoptosis pathways. PMID- 21060757 TI - Determination of an applicable FRAX model in Korean women. AB - We investigated which of the three FRAX fracture risk assessment tool models is most applicable to Korean women. For 306 postmenopausal women (mean age, 77 yr) with a hip fracture, fracture probabilities were calculated using FRAX models from Japan, Turkey and China. Data on bone mineral density (BMD) at the femoral neck were available for 103 patients. Significant differences existed among the models, independent of the inclusion of BMD in the calculation of fracture probabilities. The probabilities of both major osteoporotic fractures and hip fractures were significantly higher in the Japanese model than in the Turkish or Chinese models. In all of the models, the probabilities of a major osteoporotic fracture, but not of a hip fracture, decreased significantly if calculated without BMD values. By applying the Japanese model, the ten-year probabilities for major osteoporotic and hip fractures increased significantly with age. Our results suggest that the Japanese FRAX model might be the most appropriate for Korean women. PMID- 21060758 TI - Cholestatic hepatitis and thrombocytosis in a secondary syphilis patient. AB - The incidence of acute hepatitis in syphilis patient is rare. First of all, our patient presented with hepatitis comorbid with thrombocytosis. To our knowledge, this is only the second report of syphilitic hepatitis with thrombocytosis. The 42-yr-old male complained of flu-like symptoms and skin eruptions on his palms and soles. Laboratory findings suggested an acute hepatitis and thrombocytosis. Serologic test results were positive for VDRL. He recovered from his symptoms and elevated liver related enzymes with treatment. Because syphilitic hepatitis can present without any typical signs of accompanying syphilis, syphilis should be considered as a possible cause in acute hepatitis patients. PMID- 21060759 TI - The first case of catheter-related bloodstream infection caused by Nocardia farcinica. AB - Nocardia farcinica is an emerging pathogen in immunocompromised hosts. Even though several species of Nocardia have been reported as causative pathogens of catheter-related blood stream infections (CRBSI), CRBSI caused by N. farcinica has not been reported. A 70-yr-old man with a tunneled central venous catheter (CVC) for home parenteral nutrition was admitted with fever for two days. Norcardia species was isolated from the blood through CVC and peripheral bloods and identified to N. farcinica by 16S rRNA and rpoB gene sequence analyses. This report emphasizes the rapid and correct identification of causative agents in infectious diseases in the selection of antimicrobial agents and the consideration of catheter removal. PMID- 21060760 TI - Liver abscess and empyema due to Lactococcus lactis cremoris. AB - Lactococcus lactis cremoris infections are very rare in humans. We experienced liver abscess and empyema due to L. lactis cremoris in an immunocompetent adult. A 42-yr-old man was admitted with fever and abdominal pain. Abdominal computed tomography (CT) revealed a liver abscess and chest CT showed loculated pleural effusion consistent with empyema. L. lactis cremoris was isolated from culture of the abscess material and blood. The patient was treated with pus drainage from liver abscess, video-assisted thoracoscopic decortications for empyema, and antibiotics including cefotaxime and levofloxacin. The patient was completely recovered with the treatment. To our knowledge, this is the first report of a L. lactis cremoris infection in Korea. PMID- 21060761 TI - Lymphoepithelioma-like carcinoma of the urinary bladder. AB - A 78-yr-old woman presented with gross hematuria for 2 weeks. On cystoscopy, a frond-like mass was observed at the bladder trigone. Transurethral resection of bladder tumor was performed for the mass. Histopathological findings showed that 90% of lesions were lymphoepithelioma-like carcinoma (LELCA) and a few lesions were non-invasive transitional cell carcinoma. On microscopy, syncytial growth pattern and indistinct cytoplasmic borders were observed with the severe infiltration of lymphoid cells. The case was followed-up for 8 months without recurrence. This is the first report of a LELCA case in Korea. PMID- 21060762 TI - Improvement in erythropoieis-stimulating agent-induced pure red-cell aplasia by introduction of darbepoetin-alpha when the anti-erythropoietin antibody titer declines spontaneously. AB - Anti-erythropoietin antibodies usually cross-react with all kinds of recombinant erythropoietins; therefore, erythropoiesis-stimulating agent (ESA)-induced pure red-cell aplasia (PRCA) is not rescued by different ESAs. Here, we present a case of ESA-induced PRCA in a 36-yr-old woman with chronic kidney disease, whose anemic condition improved following reintroduction of darbepoetin-alpha. The patient developed progressive, severe anemia after the use of erythropoietin alpha. As the anemia did not improve after the administration of either other erythropoietin-alpha products or erythropoietin-beta, all ESAs were discontinued. Oxymetholone therapy failed to improve the transfusion-dependent anemia and a rechallenge with ESAs continuously failed to obtain a sustained response. However, her anemia improved following reintroduction of darbepoetin-alpha at 3 yr after the initial diagnosis. Interestingly, anti-erythropoietin antibodies were still detectable, although their concentration was too low for titration. In conclusion, darbepoetin-alpha can improve ESA-induced PRCA when the anti erythropoietin antibody titer declines and its neutralizing capacity is lost. PMID- 21060763 TI - A case of familial juvenile hyperuricemic nephropathy with novel uromodulin gene mutation, a novel heterozygous missense mutation in Korea. AB - Familial Juvenile hyperuricemic nephropathy (FJHN, OMIM #162000) is a rare autosomal dominant disorder characterized by hyperuricemia with renal uric acid under-excretion, gout and chronic kidney disease. In most but not all families with FJHN, genetic studies have revealed mutations in the uromodulin (UMOD) gene located on chromosome 16p11-p13. We here described a novel heterozygous missense mutation (c.1382C>A causing p.Ala461Glu) in an affected 16-year-old male with hyperuricemia, gout and chronic kidney disease. His father was also affected and the UMOD mutation was found to segregate with the disease. There has been only one case report of Korean family with FJHN, which has not been diagnosed by genetic study. This is the first report of genetically diagnosed FJHN in Korea. PMID- 21060764 TI - Composite follicular variant of papillary carcinoma and mucoepidermoid carcinoma of thyroid gland: a case report. AB - A 50-yr-old male presented a thyroid mass with dysphasia and hoarseness. He underwent total thyroidectomy and neck node dissection. Pathologically, the tumor had two distinct tumor components with intermingled areas: follicular variant of papillary carcinoma and mucoepidermoid carcinoma. Mucoepidermoid carcinoma composed of columnar cells, mucocytes, and squamoid cells showing solid and cystic lesion. Several small cysts lined by benign ciliated columnar epithelia suggesting that this tumor had originated from solid cell nest were seen around the tumor. By immunohistochemistry, columnar cells and squamoid cells in mucoepidermoid carcinoma were positive for cytokeratin but negative for thyroglobulin, TTF-1 and calcitonin. Positivity of p63 was seen in squamoid cells and basal cells of cysts. Some mucocytes are CEA positive. Tumor cells of papillary carcinoma are positive for TTF-1, thyroglobulin but negative for CEA, calcitonin and p63. PMID- 21060765 TI - Methotrimeprazine-induced corneal deposits and cataract revealed by urine drug profiling test. AB - Two schizophrenic patients who had been taking medication for a long period presented with visual disturbance of 6-month duration. Slit-lamp examination revealed fine, discrete, and brownish deposits on the posterior cornea. In addition, bilateral star-shaped anterior subcapsular lens opacities, which were dense, dust-like granular deposits, were noted. Although we strongly suspected that the patient might have taken one of the drugs of the phenothiazine family, we were unable to obtain a history of medications other than haloperidol and risperidone, which were taken for 3 yr. We performed a drug profiling test using urine samples and detected methotrimeprazine. The patient underwent surgery for anterior subcapsular lens opacities. Visual acuity improved in both eyes, but the corneal deposits remained. We report an unusual case of methotrimeprazine-induced corneal deposits and cataract in a patient with psychosis, identified by using the urine drug profiling test. PMID- 21060766 TI - Chronic Intraventricular Encapsulated Hematoma in an Adult: A Case Report. AB - This case report is a presentation of a chronic intraventricular encapsulated hematoma in an adult who presented with gait disturbance, fever, palsy of N. III, N. VI and N. VII, and photophobia. Ophthalmoscopy revealed a bilateral papilledema. Computed tomography scans and magnetic resonance imaging revealed an intraventricular mass in the lateral ventricle. Preoperative diagnosis could not rule out a neoplasm. The lesion was totally removed with open surgery and was found to be a chronic intraventricular encapsulated hematoma. A chronic intraventricular encapsulated hematoma is a rare entity. After the removal of the mass, the clinical symptoms of the patient gradually resolved. PMID- 21060767 TI - Extraordinarily Prolonged Disease Recurrence in a Granulosa Cell Tumor Patient. AB - BACKGROUND: Granulosa cell tumors are rare sex cord stromal lesions that comprise approximately 3% of all ovarian neoplasms. The vast majority of granulosa cell tumors are considered indolent but in spite of aggressive management, delayed recurrence is of significant concern. CASE REPORT: We describe a case involving a 67-year-old woman who presented with abdominal pain, bloody stools, and mild nausea. Following a CT scan of the abdomen and pelvis, a 19-cm pelvic mass was identified. Her prior medical history included a hysterectomy for uterine fibroids 40 years ago and a bilateral salpingo-oophorectomy for a presumed granulosa cell tumor 20 years ago. Final pathology revealed granulosa cell tumor with small bowel mesentery involvement. The patient underwent surgical resection and adjuvant chemotherapy; she is currently doing well. CONCLUSION: Granulosa cell tumors are considered to be of low malignant potential but they have the capacity to recur, even several years following initial patient management. This case exemplifies the disease's capacity for prolonged recurrence and further accentuates the significance of long-term follow-up in these patients. PMID- 21060768 TI - An Unusual Case of Peritoneal Carcinomatosis. AB - The peritoneal surface remains an important failure site for patients with gastrointestinal and gynecologic malignancies. In the past, oncologists regarded peritoneal carcinomatosis as an incurable component of an intra-abdominal malignancy. During the last two decades, novel therapeutic approaches have emerged for peritoneal carcinomatosis patients. We report the first case of peritoneal carcinomatosis emerging from an extra-adrenal, intra-abdominal paraganglioma. This 49-year-old male was treated with cytoreductive surgery and hyperthermic intraperitoneal perioperative chemotherapy. Paragangliomas are rare tumors of neural crest-derived chromaffin cells and can originate either from the sympathetic or from the parasympathetic ganglia. It has been estimated that as many as 10% of the paragangliomas arise outside the adrenal glands. This case represents an unreported presentation of paraganglioma. Two possible origins of this malignancy, and the applied therapy, are discussed. We report the feasibility of cytoreductive surgery plus hyperthermic intraperitoneal perioperative chemotherapy in the treatment of this malignancy. PMID- 21060769 TI - A Huge Renal Cell Carcinoma, Nine Years after Its Primary Diagnosis and Obligate Observation. AB - The clinical diagnosis of renal cell carcinoma (RCC) is radiographic. Effective imaging of the kidneys can be achieved by ultrasound, CT or MRI [Chawla et al.: J Urol 2006;175:425-431]. Solid lesions detected by ultrasound and those showing enhancement on cross-sectional imaging are considered malignant until proven otherwise. The standard of care for clinically localized RCC remains surgical resection due to the favorable prognosis associated with surgery and the relative ineffectiveness of systemic therapy. Since patients with localized RCC are often symptom-free, they sometimes refuse to receive surgical treatment or are left untreated based on a diagnosis of benign lesions. There are also cases where an RCC is relatively large and causes symptoms but is not treated surgically because of complications and other reasons. We report a 54-year-old male who underwent a difficult radical nephrectomy 9 years after the primary RCC malignancy diagnosis. PMID- 21060770 TI - Metastatic Small Intestinal Cancer of the Urinary Bladder. AB - We report an extremely rare case of small intestinal cancer metastasized to the urinary bladder, presenting a urologic symptom. A 41-year-old man first presented with nausea, vomiting and abdominal pain. Based on the clinical diagnosis of jejunal cancer, he underwent a partial resection of the jejunum with lymph node dissection. The pathological diagnosis was moderately differentiated adenocarcinoma of the jejunum, pT4N0. Seventeen months after surgery, he presented with a gross hematuria. Computed tomographic scan showed wall thickening of the posterior wall of the urinary bladder. No tumor was found in other organs or lymph nodes. Based on histological and immunohistochemical analysis, the diagnosis of urinary bladder metastasis from jejunal adenocarcinoma was made. This is the first report of urinary bladder metastasis from small intestinal cancer. Although very rare, the possibility of metastatic small intestinal cancer should be considered in differential diagnosis in patients with adenocarcinoma involving the urinary bladder. PMID- 21060771 TI - Keratitis after Implantation of Intrastromal Corneal Rings with Spontaneous Extrusion of the Segment. AB - PURPOSE: To report a case of bacterial keratitis in a patient with a history of intrastromal corneal ring segments (INTACS(r)) implantation to correct keratoconus. METHODS: The patient's history, clinical presentation, pathological analysis and therapeutic management were reviewed. RESULTS: A 36-year-old-man was referred to our department due to decreased vision and intense pain in his left eye, 40 days after INTACS(r) implantation for keratoconus. Slit-lamp examination revealed epithelial defects and stromal infiltrates in the lower channel without evidence of the inferior ring. The anterior chamber also showed a significant fibrin reaction to hypopyon. A low-tension suture was removed at the site of the incision. Microbiological study of the conjunctival swab was positive for Staphylococcus epidermidis, but the corneal culture was sterile. The patient was treated with topical fortified and systemic antibiotics. The infection slowly resolved, leaving opacity at the inferior segment site. CONCLUSIONS: Infectious keratitis following INTACS implantation is an infrequent complication that can have important consequences without suitable and early therapeutic management. PMID- 21060772 TI - A Case of Bilateral Macular Holes Showing Onset and Spontaneous Closure over Very Short Intervals. AB - PURPOSE: Idiopathic macular holes (MHs) may occur bilaterally, and the spontaneous closure of MHs was documented previously. The median interval between the onset of MHs in each eye was reported to be 17.5 months. METHOD: We report a case of bilateral MHs which occurred and resolved spontaneously over a very short interval. RESULTS: A 48-year-old woman with no history of ocular disease complained of a central scotoma and metamorphopsia in the left eye. Stage 1A MH was diagnosed in the left eye on the initial visit, which resolved spontaneously with vitreofoveal separation after 1 month. After an additional month, she complained of a similar visual disturbance in the right eye. Stage 1B MH was found in the right eye, which showed spontaneous closure after 1 month in the same manner as the left eye. CONCLUSION: We present a unique case of bilateral MHs which occurred and resolved spontaneously within a very short time period. Careful observation of the non-involved eye is needed to address the risk of early onset bilateral MHs. PMID- 21060773 TI - Graves' Ophthalmopathy Misdiagnosed as Relapsing Conjunctivitis. AB - A 59-year-old female patient presented at the outpatients' Department of Ophthalmology with epiphora, eyelid swelling, and a foreign body feeling in the right eye. The symptoms were present for 4 months, and the patient was treated as suffering from relapsing conjunctivitis. The slit lamp examination revealed keratitis due to exposure, related with the deficient closure of the eyelids. There was a 2 mm difference in the readings with the Hertel exophthalmometry examination between the eyes. Her medical history was clear, and she was referred for computed tomography of the orbits and brain and biochemical examinations (FT(3), FT(4), and TSH) to investigate the presence of an intraorbital mass. FT(3) was significantly increased and TSH was accordingly low, indicating the diagnosis of Graves' disease, which presented without other signs and symptoms apart from ophthalmopathy. Computed tomography scan excluded the diagnosis of an intraorbital mass. Therefore, it is important not to underestimate the ocular manifestations of systemic diseases. PMID- 21060774 TI - Plaque-Like Sclerodermiform Localized Mucinosis Rapidly Responsive to Topical Tacrolimus. AB - We report the successful treatment of plaque-like sclerodermiform mucinosis using tacrolimus ointment topically. We present a 70-year-old male with a large chronic erythema and hardening of the nuchal skin and shoulder area. Subjective symptoms were a moderate pruritus and a rather disabling stiffness. A biopsy specimen revealed typical features of lichen myxedematosus. In a subsequent clinical examination, no associated illnesses such as hypothyroidism or gammopathy were found. Since no established therapy exists for this condition, and as there was a lack of response to potent topical glucocorticosteroids, tacrolimus 0.03% ointment was used off-label twice daily. Surprisingly, this resulted in a rapid, almost complete clearance of the skin within three weeks of treatment. PMID- 21060775 TI - Bilateral Linear Lichen Planus Pigmentosus Associated with Hepatitis C Virus Infection. AB - Lichen planus pigmentosus is a rare subtype of lichen planus. We report a first case of lichen planus pigmentosus with bilateral linear distribution associated with hepatitis C virus infection. The lesion was improved after sun avoidance and treatment of hepatitis C virus infection with a combination of interferon and ribavirin. This case stresses the importance of screening for hepatitis C virus infection as lichen planus pigmentosus can be an associated condition. PMID- 21060776 TI - Spatiotemporal properties of the action potential propagation in the mouse visual cortical slice analyzed by calcium imaging. AB - The calcium ion (Ca(2+)) is an important messenger for signal transduction, and the intracellular Ca(2+) concentration ([Ca(2+)](i)) changes in response to an excitation of the cell. To reveal the spatiotemporal properties of the propagation of an excitatory signal with action potentials in the primary visual cortical circuit, we conducted a Ca(2+) imaging study on slices of the mouse visual cortex. Electrical stimulation of layer 4 evoked [Ca(2+)](i) transients around the stimulus electrode. Subsequently, the high [Ca(2+)](i) region mainly propagated perpendicular to the cortical layer (vertical propagation), with horizontal propagation being restricted. When the excitatory synaptic transmission was blocked, only weak and concentric [Ca(2+)](i) transients were observed. When the action potential was blocked, the [Ca(2+)](i) transients disappeared almost completely. These results suggested that the action potential contributed to the induction of the [Ca(2+)](i) transients, and that excitatory synaptic connections were involved in the propagation of the high [Ca(2+)](i) region in the primary visual cortical circuit. To elucidate the involvement of inhibitory synaptic connections in signal propagation in the primary visual cortex, the GABA(A) receptor inhibitor bicuculline was applied. In this case, the evoked signal propagated from layer 4 to the entire field of view, and the prolonged [Ca(2+)](i) transients were observed compared with the control condition. Our results suggest that excitatory neurons are widely connected to each other over the entire primary visual cortex with recurrent synapses, and inhibitory neurons play a fundamental role in the organization of functional sub networks by restricting the propagation of excitation signals. PMID- 21060777 TI - Correlation of global and gene-specific DNA methylation in maternal-infant pairs. AB - The inheritance of DNA methylation patterns is a popular theory to explain the influence of parental genetic and environmental factors on the phenotype of their offspring but few studies have examined this relationship in humans. Using 120 paired maternal-umbilical cord blood samples randomly selected from a prospective birth cohort in Bangladesh, we quantified DNA methylation by pyrosequencing seven CpG positions in the promoter region of p16, four CpG positions in the promoter region of p53, LINE-1 and Alu. Positive correlations were observed between maternal and umbilical cord blood at p16, LINE-1, and Alu but not p53. Multiple linear regression models observed a significant association between maternal and umbilical cord blood at LINE-1 and Alu (LINE-1: beta = 0.63, p<0.0001; Alu: beta = 0.28, p = 0.009). After adjusting for multiple comparisons, maternal methylation of p16 at position 4 significantly predicted methylation at the same position in umbilical cord blood (beta = 0.43, p = <0.0001). These models explained 48%, 5% and 16% of the observed variability in umbilical cord %5mC for LINE-1, Alu and p16 at position 4, respectively. These results suggest that DNA methylation in maternal blood was correlated with her offspring at LINE-1, Alu, and p16 but not p53. Additional studies are needed to confirm whether these observed associations were due to the inheritance of epigenetic events or the shared environment between mother and fetus. Future studies should also use a multi-generational family-based design that would quantify both maternal and paternal contributions to DNA methylation in offspring across more than one generation. PMID- 21060778 TI - Systematical detection of significant genes in microarray data by incorporating gene interaction relationship in biological systems. AB - Many methods, including parametric, nonparametric, and Bayesian methods, have been used for detecting differentially expressed genes based on the assumption that biological systems are linear, which ignores the nonlinear characteristics of most biological systems. More importantly, those methods do not simultaneously consider means, variances, and high moments, resulting in relatively high false positive rate. To overcome the limitations, the SWang test is proposed to determine differentially expressed genes according to the equality of distributions between case and control. Our method not only latently incorporates functional relationships among genes to consider nonlinear biological system but also considers the mean, variance, skewness, and kurtosis of expression profiles simultaneously. To illustrate biological significance of high moments, we construct a nonlinear gene interaction model, demonstrating that skewness and kurtosis could contain useful information of function association among genes in microarrays. Simulations and real microarray results show that false positive rate of SWang is lower than currently popular methods (T-test, F-test, SAM, and Fold-change) with much higher statistical power. Additionally, SWang can uniquely detect significant genes in real microarray data with imperceptible differential expression but higher variety in kurtosis and skewness. Those identified genes were confirmed with previous published literature or RT-PCR experiments performed in our lab. PMID- 21060779 TI - Anti-angiogenic therapy induces integrin-linked kinase 1 up-regulation in a mouse model of glioblastoma. AB - BACKGROUND: In order to improve our understanding of the molecular pathways that mediate tumor proliferation and angiogenesis, and to evaluate the biological response to anti-angiogenic therapy, we analyzed the changes in the protein profile of glioblastoma in response to treatment with recombinant human Platelet Factor 4-DLR mutated protein (PF4-DLR), an inhibitor of angiogenesis. METHODOLOGY/PRINCIPAL FINDINGS: U87-derived experimental glioblastomas were grown in the brain of xenografted nude mice, treated with PF4-DLR, and processed for proteomic analysis. More than fifty proteins were differentially expressed in response to PF4-DLR treatment. Among them, integrin-linked kinase 1 (ILK1) signaling pathway was first down-regulated but then up-regulated after treatment for prolonged period. The activity of PF4-DLR can be increased by simultaneously treating mice orthotopically implanted with glioblastomas, with ILK1-specific siRNA. As ILK1 is related to malignant progression and a poor prognosis in various types of tumors, we measured ILK1 expression in human glioblastomas, astrocytomas and oligodendrogliomas, and found that it varied widely; however, a high level of ILK1 expression was correlated to a poor prognosis. CONCLUSIONS/SIGNIFICANCE: Our results suggest that identifying the molecular pathways induced by anti-angiogenic therapies may help the development of combinatorial treatment strategies that increase the therapeutic efficacy of angiogenesis inhibitors by association with specific agents that disrupt signaling in tumor cells. PMID- 21060780 TI - Safety and immunogenicity following administration of a live, attenuated monovalent 2009 H1N1 influenza vaccine to children and adults in two randomized controlled trials. AB - BACKGROUND: The safety, tolerability, and immunogenicity of a monovalent intranasal 2009 A/H1N1 live attenuated influenza vaccine (LAIV) were evaluated in children and adults. METHODS/PRINCIPAL FINDINGS: Two randomized, double-blind, placebo-controlled studies were completed in children (2-17 y) and adults (18-49 y). Subjects were assigned 4:1 to receive 2 doses of H1N1 LAIV or placebo 28 days apart. The primary safety endpoint was fever >=38.3 degrees C during days 1-8 after the first dose; the primary immunogenicity endpoint was the proportion of subjects experiencing a postdose seroresponse. Solicited symptoms and adverse events were recorded for 14 days after each dose and safety data were collected for 180 days post-final dose. In total, 326 children (H1N1 LAIV, n = 261; placebo, n = 65) and 300 adults (H1N1 LAIV, n = 240; placebo, n = 60) were enrolled. After dose 1, fever >=38.3 degrees C occurred in 4 (1.5%) pediatric vaccine recipients and 1 (1.5%) placebo recipient (rate difference, 0%; 95% CI: 6.4%, 3.1%). No adults experienced fever following dose 1. Seroresponse rates in children (H1N1 LAIV vs. placebo) were 11.1% vs. 6.3% after dose 1 (rate difference, 4.8%; 95% CI: -9.6%, 13.8%) and 32.0% vs. 14.5% after dose 2 (rate difference, 17.5%; 95% CI: 5.5%, 27.1%). Seroresponse rates in adults were 6.1% vs. 0% (rate difference, 6.1%; 95% CI: -5.6%, 12.6%) and 14.9% vs. 5.6% (rate difference, 9.3%; 95% CI: -0.8%, 16.3%) after dose 1 and dose 2, respectively. Solicited symptoms after dose 1 (H1N1 LAIV vs. placebo) occurred in 37.5% vs. 32.3% of children and 41.7% vs. 31.7% of adults. Solicited symptoms occurred less frequently after dose 2 in adults and children. No vaccine-related serious adverse events occurred. CONCLUSIONS/SIGNIFICANCE: In subjects aged 2 to 49 years, two doses of H1N1 LAIV have a safety and immunogenicity profile similar to other previously studied and efficacious formulations of seasonal trivalent LAIV. TRIAL REGISTRATION: ClinicalTrials.gov NCT00946101, NCT00945893. PMID- 21060781 TI - ADAM2 interactions with mouse eggs and cell lines expressing alpha4/alpha9 (ITGA4/ITGA9) integrins: implications for integrin-based adhesion and fertilization. AB - BACKGROUND: Integrins are heterodimeric cell adhesion molecules, with 18 alpha (ITGA) and eight beta (ITGB) subunits forming 24 heterodimers classified into five families. Certain integrins, especially the alpha(4)/alpha(9) (ITGA4/ITGA9) family, interact with members of the ADAM (a disintegrin and metalloprotease) family. ADAM2 is among the better characterized and also of interest because of its role in sperm function. Having shown that ITGA9 on mouse eggs participates in mouse sperm-egg interactions, we sought to characterize ITGA4/ITGA9-ADAM2 interactions. METHODOLOGY/PRINCIPAL FINDINGS: An anti-beta(1)/ITGB1 function blocking antibody that reduces sperm-egg binding significantly inhibited ADAM2 binding to mouse eggs. Analysis of integrin subunit expression indicates that mouse eggs could express at least ten different integrins, five in the RGD binding family, two in the laminin-binding family, two in the collagen-binding family, and ITGA9-ITGB1. Adhesion assays to characterize ADAM2 interactions with ITGA4/ITGA9 family members produced the surprising result that RPMI 8866 cell adhesion to ADAM2 was inhibited by an anti-ITGA9 antibody, noteworthy because ITGA9 has only been reported to dimerize with ITGB1, and RPMI 8866 cells lack detectable ITGB1. Antibody and siRNA studies demonstrate that ITGB7 is the beta subunit contributing to RPMI 8866 adhesion to ADAM2. CONCLUSIONS/SIGNIFICANCE: These data indicate that a novel integrin alpha-beta combination, ITGA9-ITGB7 (alpha(9)beta(7)), in RPMI 8866 cells functions as a binding partner for ADAM2. ITGA9 had previously only been reported to dimerize with ITGB1. Although ITGA9 ITGB7 is unlikely to be a widely expressed integrin and appears to be the result of "compensatory dimerization" occurring in the context of little/no ITGB1 expression, the data indicate that ITGA9-ITGB7 functions as an ADAM binding partner in certain cellular contexts, with implications for mammalian fertilization and integrin function. PMID- 21060782 TI - Genetic evidence for inhibition of bacterial division protein FtsZ by berberine. AB - BACKGROUND: Berberine is a plant alkaloid that is widely used as an anti infective in traditional medicine. Escherichia coli exposed to berberine form filaments, suggesting an antibacterial mechanism that involves inhibition of cell division. Berberine is a DNA ligand and may induce filamentation through induction of the SOS response. Also, there is biochemical evidence for berberine inhibition of the cell division protein FtsZ. Here we aimed to assess possible berberine mechanism(s) of action in growing bacteria using genetics tools. METHODOLOGY/PRINCIPAL FINDINGS: First, we tested whether berberine inhibits bacterial growth through DNA damage and induction of the SOS response. The SOS response induced by berberine was much lower compared to that induced by mitomycin C in an SOS response reporter strain. Also, cell filamentation was observed in an SOS-negative E. coli strain. To test whether berberine inhibits FtsZ, we assessed its effects on formation of the cell division Z-rings, and observed a dramatic reduction in Z-rings in the presence of berberine. We next used two different strategies for RNA silencing of ftsZ and both resulted in sensitisation of bacteria to berberine, visible as a drop in the Minimum Inhibitory Concentration (MIC). Furthermore, Fractional Inhibitory Concentration Indices (FICIs) showed a high level of synergy between ftsZ silencing and berberine treatment (FICI values of 0.23 and 0.25 for peptide nucleic acid- and expressed antisense RNA-based silencing of ftsZ, respectively). Finally, over expression of ftsZ led to a mild rescue effect in berberine-treated cells. CONCLUSIONS: The results argue against DNA binding as the primary mechanism of action of berberine and support the hypothesis that its antibacterial properties are due to inhibition of the cell division protein FtsZ. In addition, the genetic approach used here provides a means to rapidly test the activity of other putative FtsZ inhibitors. PMID- 21060783 TI - Short- and long-term biomarkers for bacterial robustness: a framework for quantifying correlations between cellular indicators and adaptive behavior. AB - The ability of microorganisms to adapt to changing environments challenges the prediction of their history-dependent behavior. Cellular biomarkers that are quantitatively correlated to stress adaptive behavior will facilitate our ability to predict the impact of these adaptive traits. Here, we present a framework for identifying cellular biomarkers for mild stress induced enhanced microbial robustness towards lethal stresses. Several candidate-biomarkers were selected by comparing the genome-wide transcriptome profiles of our model-organism Bacillus cereus upon exposure to four mild stress conditions (mild heat, acid, salt and oxidative stress). These candidate-biomarkers--a transcriptional regulator (activating general stress responses), enzymes (removing reactive oxygen species), and chaperones and proteases (maintaining protein quality)--were quantitatively determined at transcript, protein and/or activity level upon exposure to mild heat, acid, salt and oxidative stress for various time intervals. Both unstressed and mild stress treated cells were also exposed to lethal stress conditions (severe heat, acid and oxidative stress) to quantify the robustness advantage provided by mild stress pretreatment. To evaluate whether the candidate-biomarkers could predict the robustness enhancement towards lethal stress elicited by mild stress pretreatment, the biomarker responses upon mild stress treatment were correlated to mild stress induced robustness towards lethal stress. Both short- and long-term biomarkers could be identified of which their induction levels were correlated to mild stress induced enhanced robustness towards lethal heat, acid and/or oxidative stress, respectively, and are therefore predictive cellular indicators for mild stress induced enhanced robustness. The identified biomarkers are among the most consistently induced cellular components in stress responses and ubiquitous in biology, supporting extrapolation to other microorganisms than B. cereus. Our quantitative, systematic approach provides a framework to search for these biomarkers and to evaluate their predictive quality in order to select promising biomarkers that can serve to early detect and predict adaptive traits. PMID- 21060784 TI - A conserved arginine-rich motif within the hypervariable N-domain of Drosophila centromeric histone H3 (CenH3) mediates BubR1 recruitment. AB - BACKGROUND: Centromere identity is determined epigenetically by deposition of CenH3, a centromere-specific histone H3 variant that dictates kinetochore assembly. The molecular basis of the contribution of CenH3 to centromere/kinetochore functions is, however, incompletely understood, as its interactions with the rest of centromere/kinetochore components remain largely uncharacterised at the molecular/structural level. PRINCIPAL FINDINGS: Here, we report on the contribution of Drosophila CenH3(CID) to recruitment of BubR1, a conserved kinetochore protein that is a core component of the spindle attachment checkpoint (SAC). This interaction is mediated by the N-terminal domain of CenH3(CID) (NCenH3(CID)), as tethering NCenH3(CID) to an ectopic reporter construct results in BubR1 recruitment and BubR1-dependent silencing of the reporter gene. Here, we also show that this interaction depends on a short arginine (R)-rich motif and that, most remarkably, it appears to be evolutionarily conserved, as tethering constructs carrying the highly divergent NCenH3 of budding yeast and human also induce silencing of the reporter. Interestingly, though NCenH3 shows an exceedingly low degree of conservation, the presence of R-rich motives is a common feature of NCenH3 from distant species. Finally, our results also indicate that two other conserved sequence motives within NCenH3(CID) might also be involved in interactions with kinetochore components. CONCLUSIONS: These results unveil an unexpected contribution of the hypervariable N-domain of CenH3 to recruitment of kinetochore components, identifying simple R-rich motives within it as evolutionary conserved structural determinants involved in BubR1 recruitment. PMID- 21060785 TI - MicroRNA-integrated and network-embedded gene selection with diffusion distance. AB - Gene network information has been used to improve gene selection in microarray based studies by selecting marker genes based both on their expression and the coordinate expression of genes within their gene network under a given condition. Here we propose a new network-embedded gene selection model. In this model, we first address the limitations of microarray data. Microarray data, although widely used for gene selection, measures only mRNA abundance, which does not always reflect the ultimate gene phenotype, since it does not account for post transcriptional effects. To overcome this important (critical in certain cases) but ignored-in-almost-all-existing-studies limitation, we design a new strategy to integrate together microarray data with the information of microRNA, the major post-transcriptional regulatory factor. We also handle the challenges led by gene collaboration mechanism. To incorporate the biological facts that genes without direct interactions may work closely due to signal transduction and that two genes may be functionally connected through multi paths, we adopt the concept of diffusion distance. This concept permits us to simulate biological signal propagation and therefore to estimate the collaboration probability for all gene pairs, directly or indirectly-connected, according to multi paths connecting them. We demonstrate, using type 2 diabetes (DM2) as an example, that the proposed strategies can enhance the identification of functional gene partners, which is the key issue in a network-embedded gene selection model. More importantly, we show that our gene selection model outperforms related ones. Genes selected by our model 1) have improved classification capability; 2) agree with biological evidence of DM2-association; and 3) are involved in many well known DM2-associated pathways. PMID- 21060786 TI - Infantile convulsions with paroxysmal dyskinesia (ICCA syndrome) and copy number variation at human chromosome 16p11. AB - BACKGROUND: Benign infantile convulsions and paroxysmal dyskinesia are episodic cerebral disorders that can share common genetic bases. They can be co-inherited as one single autosomal dominant trait (ICCA syndrome); the disease ICCA gene maps at chromosome 16p12-q12. Despite intensive and conventional mutation screening, the ICCA gene remains unknown to date. The critical area displays highly complicated genomic architecture and is the site of deletions and duplications associated with various diseases. The possibility that the ICCA syndrome is related to the existence of large-scale genomic alterations was addressed in the present study. METHODOLOGY/PRINCIPAL FINDINGS: A combination of whole genome and dedicated oligonucleotide array comparative genomic hybridization coupled with quantitative polymerase chain reaction was used. Low copy number of a region corresponding to a genomic variant (Variation_7105) located at 16p11 nearby the centromere was detected with statistical significance at much higher frequency in patients from ICCA families than in ethnically matched controls. The genomic variant showed no apparent difference in size and copy number between patients and controls, making it very unlikely that the genomic alteration detected here is ICCA-specific. Furthermore, no other genomic alteration that would directly cause the ICCA syndrome in those nine families was detected in the ICCA critical area. CONCLUSIONS/SIGNIFICANCE: Our data excluded that inherited genomic deletion or duplication events directly cause the ICCA syndrome; rather, they help narrowing down the critical ICCA region dramatically and indicate that the disease ICCA genetic defect lies very close to or within Variation_7105 and hence should now be searched in the corresponding genomic area and its surrounding regions. PMID- 21060787 TI - TGF-beta1 induces an age-dependent inflammation of nerve ganglia and fibroplasia in the prostate gland stroma of a novel transgenic mouse. AB - TGF-beta1 is overexpressed in wound repair and in most proliferative disorders including benign prostatic hyperplasia and prostate cancer. The stromal microenvironment at these sites is reactive and typified by altered phenotype, matrix deposition, inflammatory responses, and alterations in nerve density and biology. TGF-beta1 is known to modulate several stromal responses; however there are few transgenic models to study its integrated biology. To address the actions of TGF-beta1 in prostate disorders, we targeted expression of an epitope tagged and constitutively active TGF-beta1 via the enhanced probasin promoter to the murine prostate gland epithelium. Transgenic mice developed age-dependent lesions leading to severe, yet focal attenuation of epithelium, and a discontinuous basal lamina. These changes were associated with elevated fibroplasia and frequency of collagenous micronodules in collapsed acini, along with an induced inflammation in nerve ganglia and small vessels. Elevated recruitment of CD115+ myeloid cells but not mature macrophages was observed in nerve ganglia, also in an age dependent manner. Similar phenotypic changes were observed using a human prostate epithelium tissue recombination xenograft model, where epithelial cells engineered to overexpress TGF-beta1 induced fibrosis and altered matrix deposition concurrent with inflammation in the stromal compartment. Together, these data suggest that elevated TGF-beta1 expression induces a fibroplasia stromal response associated with breach of epithelial wall structure and inflammatory involvement of nerve ganglia and vessels. The novel findings of ganglia and vessel inflammation associated with formation of collagenous micronodules in collapsed acini is important as each of these are observed in human prostate carcinoma and may play a role in disease progression. PMID- 21060788 TI - Epitope density influences CD8+ memory T cell differentiation. AB - BACKGROUND: The generation of long-lived memory T cells is critical for successful vaccination but the factors controlling their differentiation are still poorly defined. We tested the hypothesis that the strength of T cell receptor (TCR) signaling contributed to memory CD8(+) T cell generation. METHODOLOGY/PRINCIPAL FINDINGS: We manipulated the density of antigenic epitope presented by dendritic cells to mouse naive CD8(+) T cells, without varying TCR affinity. Our results show that a two-fold decrease in antigen dose selectively affects memory CD8(+) T cell generation without influencing T cell expansion and acquisition of effector functions. Moreover, we show that low antigen dose alters the duration of the interaction between T cells and dendritic cells and finely tunes the expression level of the transcription factors Eomes and Bcl6. Furthermore, we demonstrate that priming with higher epitope density results in a 2-fold decrease in the expression of Neuron-derived orphan nuclear receptor 1 (Nor-1) and this correlates with a lower level of conversion of Bcl-2 into a pro apoptotic molecule and an increased number of memory T cells. CONCLUSIONS: Our results show that the amount of antigen encountered by naive CD8(+) T cells following immunization with dendritic cells does not influence the generation of functional effector CD8(+) T cells but rather the number of CD8(+) memory T cells that persist in the host. Our data support a model where antigenic epitope density sensed by CD8(+) T cells at priming influences memory generation by modulating Bcl6, Eomes and Nor-1 expression. PMID- 21060789 TI - Analysis of thyroid response element activity during retinal development. AB - Thyroid hormone (TH) signaling components are expressed during retinal development in dynamic spatial and temporal patterns. To probe the competence of retinal cells to mount a transcriptional response to TH, reporters that included thyroid response elements (TREs) were introduced into developing retinal tissue. The TREs were placed upstream of a minimal TATA-box and two reporter genes, green fluorescent protein (GFP) and human placental alkaline phosphatase (PLAP). Six of the seven tested TREs were first tested in vitro where they were shown to drive TH-dependent expression. However, when introduced into the developing retina, the TREs reported in different cell types in both a TH-dependent and TH-independent manner, as well as revealed specific spatial patterns in their expression. The role of the known thyroid receptors (TR), TRalpha and TRbeta, was probed using shRNAs, which were co-electroporated into the retina with the TREs. Some TREs were positively activated by TR+TH in the developing outer nuclear layer (ONL), where photoreceptors reside, as well as in the outer neuroblastic layer (ONBL) where cycling progenitor cells are located. Other TREs were actively repressed by TR+TH in cells of the ONBL. These data demonstrate that non-TRs can activate some TREs in a spatially regulated manner, whereas other TREs respond only to the known TRs, which also read out activity in a spatially regulated manner. The transcriptional response to even simple TREs provides a starting point for understanding the regulation of genes by TH, and highlights the complexity of transcriptional regulation within developing tissue. PMID- 21060790 TI - Mapping of genetic abnormalities of primary tumours from metastatic CRC by high resolution SNP arrays. AB - BACKGROUND: For years, the genetics of metastatic colorectal cancer (CRC) have been studied using a variety of techniques. However, most of the approaches employed so far have a relatively limited resolution which hampers detailed characterization of the common recurrent chromosomal breakpoints as well as the identification of small regions carrying genetic changes and the genes involved in them. METHODOLOGY/PRINCIPAL FINDINGS: Here we applied 500K SNP arrays to map the most common chromosomal lesions present at diagnosis in a series of 23 primary tumours from sporadic CRC patients who had developed liver metastasis. Overall our results confirm that the genetic profile of metastatic CRC is defined by imbalanced gains of chromosomes 7, 8q, 11q, 13q, 20q and X together with losses of the 1p, 8p, 17p and 18q chromosome regions. In addition, SNP-array studies allowed the identification of small (<1.3 Mb) and extensive/large (>1.5 Mb) altered DNA sequences, many of which contain cancer genes known to be involved in CRC and the metastatic process. Detailed characterization of the breakpoint regions for the altered chromosomes showed four recurrent breakpoints at chromosomes 1p12, 8p12, 17p11.2 and 20p12.1; interestingly, the most frequently observed recurrent chromosomal breakpoint was localized at 17p11.2 and systematically targeted the FAM27L gene, whose role in CRC deserves further investigations. CONCLUSIONS/SIGNIFICANCE: In summary, in the present study we provide a detailed map of the genetic abnormalities of primary tumours from metastatic CRC patients, which confirm and extend on previous observations as regards the identification of genes potentially involved in development of CRC and the metastatic process. PMID- 21060791 TI - The mouse blood-brain barrier transcriptome: a new resource for understanding the development and function of brain endothelial cells. AB - The blood-brain barrier (BBB) maintains brain homeostasis and limits the entry of toxins and pathogens into the brain. Despite its importance, little is known about the molecular mechanisms regulating the development and function of this crucial barrier. In this study we have developed methods to highly purify and gene profile endothelial cells from different tissues, and by comparing the transcriptional profile of brain endothelial cells with those purified from the liver and lung, we have generated a comprehensive resource of transcripts that are enriched in the BBB forming endothelial cells of the brain. Through this comparison we have identified novel tight junction proteins, transporters, metabolic enzymes, signaling components, and unknown transcripts whose expression is enriched in central nervous system (CNS) endothelial cells. This analysis has identified that RXRalpha signaling cascade is specifically enriched at the BBB, implicating this pathway in regulating this vital barrier. This dataset provides a resource for understanding CNS endothelial cells and their interaction with neural and hematogenous cells. PMID- 21060792 TI - Antiretroviral therapy optimisation without genotype resistance testing: a perspective on treatment history based models. AB - BACKGROUND: Although genotypic resistance testing (GRT) is recommended to guide combination antiretroviral therapy (cART), funding and/or facilities to perform GRT may not be available in low to middle income countries. Since treatment history (TH) impacts response to subsequent therapy, we investigated a set of statistical learning models to optimise cART in the absence of GRT information. METHODS AND FINDINGS: The EuResist database was used to extract 8-week and 24 week treatment change episodes (TCE) with GRT and additional clinical, demographic and TH information. Random Forest (RF) classification was used to predict 8- and 24-week success, defined as undetectable HIV-1 RNA, comparing nested models including (i) GRT+TH and (ii) TH without GRT, using multiple cross validation and area under the receiver operating characteristic curve (AUC). Virological success was achieved in 68.2% and 68.0% of TCE at 8- and 24-weeks (n = 2,831 and 2,579), respectively. RF (i) and (ii) showed comparable performances, with an average (st.dev.) AUC 0.77 (0.031) vs. 0.757 (0.035) at 8-weeks, 0.834 (0.027) vs. 0.821 (0.025) at 24-weeks. Sensitivity analyses, carried out on a data subset that included antiretroviral regimens commonly used in low to middle income countries, confirmed our findings. Training on subtype B and validation on non-B isolates resulted in a decline of performance for models (i) and (ii). CONCLUSIONS: Treatment history-based RF prediction models are comparable to GRT based for classification of virological outcome. These results may be relevant for therapy optimisation in areas where availability of GRT is limited. Further investigations are required in order to account for different demographics, subtypes and different therapy switching strategies. PMID- 21060793 TI - Involvement of TLR2 in recognition of acute gammaherpesvirus-68 infection. AB - BACKGROUND: Toll-like receptors (TLRs) play a crucial role in the activation of innate immunity in response to many viruses. We previously reported the implication of TLR2 in the recognition of Epstein-Barr virus (EBV) by human monocytes. Because murine gammaherpesvirus-68 (MHV-68) is a useful model to study human gammaherpesvirus pathogenesis in vivo, we evaluated the importance of mouse TLR2 in the recognition of MHV-68. METHODOLOGY/PRINCIPAL FINDINGS: In studies using transfected HEK293 cells, MHV-68 lead to the activation of NF-kappaB reporter through TLR2. In addition, production of interleukin-6 (IL-6) and interferon-alpha (IFN-alpha) upon MHV-68 stimulation was reduced in murine embryonic fibroblasts (MEFs) derived from TLR2-/- and MyD88-/- mice as compared to their wild type (WT) counterpart. In transgenic mice expressing a luciferase reporter gene under the control of the mTLR2 promoter, MHV-68 challenge activated TLR2 transcription. Increased expression levels of TLR2 on blood granulocytes (CD115(-)Gr1(+)) and inflammatory monocytes (CD115(+)Gr1(+)), which mobilized to the lungs upon infection with MHV-68, was also confirmed by flow cytometry. Finally, TLR2 or MyD88 deficiency was associated with decreased IL-6 and type 1 IFN production as well as increased viral burden during short-term challenges with MHV-68. CONCLUSIONS/SIGNIFICANCE: TLR2 contributes to the production of inflammatory cytokines and type 1 IFN as well as to the control of viral burden during infection with MHV-68. Taken together, our results suggest that the TLR2 pathway has a relevant role in the recognition of this virus and in the subsequent activation of the innate immune response. PMID- 21060794 TI - Transcriptional regulation of human dual specificity protein phosphatase 1 (DUSP1) gene by glucocorticoids. AB - BACKGROUND: Glucocorticoids are potent anti-inflammatory agents commonly used to treat inflammatory diseases. They convey signals through the intracellular glucocorticoid receptor (GR), which upon binding to ligands, associates with genomic glucocorticoid response elements (GREs) to regulate transcription of associated genes. One mechanism by which glucocorticoids inhibit inflammation is through induction of the dual specificity phosphatase-1 (DUSP1, a.k.a. mitogen activated protein kinase phosphatase-1, MKP-1) gene. METHODOLOGY/PRINCIPAL FINDINGS: We found that glucocorticoids rapidly increased transcription of DUSP1 within 10 minutes in A549 human lung adenocarcinoma cells. Using chromatin immunoprecipitation (ChIP) scanning, we located a GR binding region between -1421 and -1118 upstream of the DUSP1 transcription start site. This region is active in a reporter system, and mutagenesis analyses identified a functional GRE located between -1337 and -1323. We found that glucocorticoids increased DNase I hypersensitivity, reduced nucleosome density, and increased histone H3 and H4 acetylation within genomic regions surrounding the GRE. ChIP experiments showed that p300 was recruited to the DUSP1 GRE, and RNA interference experiments demonstrated that reduction of p300 decreased glucocorticoid-stimulated DUSP1 gene expression and histone H3 hyperacetylation. Furthermore, overexpression of p300 potentiated glucocorticoid-stimulated activity of a reporter gene containing the DUSP1 GRE, and this coactivation effect was compromised when the histone acetyltransferase domain was mutated. ChIP-reChIP experiments using GR followed by p300 antibodies showed significant enrichment of the DUSP1 GRE upon glucocorticoid treatment, suggesting that GR and p300 are in the same protein complex recruited to the DUSP1 GRE. CONCLUSIONS/SIGNIFICANCE: Our studies identified a functional GRE for the DUSP1 gene. Moreover, the transcriptional activation of DUSP1 by glucocorticoids requires p300 and a rapid modification of the chromatin structure surrounding the GRE. Overall, understanding the mechanism of glucocorticoid-induced DUSP1 gene transcription could provide insights into therapeutic approaches against inflammatory diseases. PMID- 21060795 TI - Neural and synaptic defects in slytherin, a zebrafish model for human congenital disorders of glycosylation. AB - Congenital disorder of glycosylation type IIc (CDG IIc) is characterized by mental retardation, slowed growth and severe immunodeficiency, attributed to the lack of fucosylated glycoproteins. While impaired Notch signaling has been implicated in some aspects of CDG IIc pathogenesis, the molecular and cellular mechanisms remain poorly understood. We have identified a zebrafish mutant slytherin (srn), which harbors a missense point mutation in GDP-mannose 4,6 dehydratase (GMDS), the rate-limiting enzyme in protein fucosylation, including that of Notch. Here we report that some of the mechanisms underlying the neural phenotypes in srn and in CGD IIc are Notch-dependent, while others are Notch independent. We show, for the first time in a vertebrate in vivo, that defects in protein fucosylation leads to defects in neuronal differentiation, maintenance, axon branching, and synapse formation. Srn is thus a useful and important vertebrate model for human CDG IIc that has provided new insights into the neural phenotypes that are hallmarks of the human disorder and has also highlighted the role of protein fucosylation in neural development. PMID- 21060796 TI - Inflammatory responses are not sufficient to cause delayed neuronal death in ATP induced acute brain injury. AB - BACKGROUND: Brain inflammation is accompanied by brain injury. However, it is controversial whether inflammatory responses are harmful or beneficial to neurons. Because many studies have been performed using cultured microglia and neurons, it has not been possible to assess the influence of multiple cell types and diverse factors that dynamically and continuously change in vivo. Furthermore, behavior of microglia and other inflammatory cells could have been overlooked since most studies have focused on neuronal death. Therefore, it is essential to analyze the precise roles of microglia and brain inflammation in the injured brain, and determine their contribution to neuronal damage in vivo from the onset of injury. METHODS AND FINDINGS: Acute neuronal damage was induced by stereotaxic injection of ATP into the substantia nigra pars compacta (SNpc) and the cortex of the rat brain. Inflammatory responses and their effects on neuronal damage were investigated by immunohistochemistry, electron microscopy, quantitative RT-PCR, and stereological counting, etc. ATP acutely caused death of microglia as well as neurons in a similar area within 3 h. We defined as the core region the area where both TH(+) and Iba-1(+) cells acutely died, and as the penumbra the area surrounding the core where Iba-1(+) cells showed activated morphology. In the penumbra region, morphologically activated microglia arranged around the injury sites. Monocytes filled the damaged core after neurons and microglia died. Interestingly, neither activated microglia nor monocytes expressed iNOS, a major neurotoxic inflammatory mediator. Monocytes rather expressed CD68, a marker of phagocytic activity. Importantly, the total number of dopaminergic neurons in the SNpc at 3 h (~80% of that in the contralateral side) did not decrease further at 7 d. Similarly, in the cortex, ATP-induced neuron damage area detected at 3 h did not increase for up to 7 d. CONCLUSIONS: Different cellular components (microglia, astrocytes, monocytes, and neutrophils) and different factors (proinflammatory and neurotrophic) could be produced in inflammatory processes depending on the nature of the injury. The results in this study suggest that the inflammatory responses of microglia and monocytes in response to ATP-induced acute injury could not be neurotoxic. PMID- 21060797 TI - Determinants of glycan receptor specificity of H2N2 influenza A virus hemagglutinin. AB - The H2N2 subtype of influenza A virus was responsible for the Asian pandemic of 1957-58. However, unlike other subtypes that have caused pandemics such as H1N1 and H3N2, which continue to circulate among humans, H2N2 stopped circulating in the human population in 1968. Strains of H2 subtype still continue to circulate in birds and occasionally pigs and could be reintroduced into the human population through antigenic drift or shift. Such an event is a potential global health concern because of the waning population immunity to H2 hemagglutinin (HA). The first step in such a cross-species transmission and human adaptation of influenza A virus is the ability for its surface glycoprotein HA to bind to glycan receptors expressed in the human upper respiratory epithelia. Recent structural and biochemical studies have focused on understanding the glycan receptor binding specificity of the 1957-58 pandemic H2N2 HA. However, there has been considerable HA sequence divergence in the recent avian-adapted H2 strains from the pandemic H2N2 strain. Using a combination of structural modeling, quantitative glycan binding and human respiratory tissue binding methods, we systematically identify mutations in the HA from a recent avian-adapted H2N2 strain (A/Chicken/PA/2004) that make its quantitative glycan receptor binding affinity (defined using an apparent binding constant) comparable to that of a prototypic pandemic H2N2 (A/Albany/6/58) HA. PMID- 21060798 TI - Wild type and mutant 2009 pandemic influenza A (H1N1) viruses cause more severe disease and higher mortality in pregnant BALB/c mice. AB - BACKGROUND: Pregnant women infected by the pandemic influenza A (H1N1) 2009 virus had more severe disease and higher mortality but its pathogenesis is still unclear. PRINCIPAL FINDINGS: We showed that higher mortality, more severe pneumonitis, higher pulmonary viral load, lower peripheral blood T lymphocytes and antibody responses, higher levels of proinflammatory cytokines and chemokines, and worse fetal development occurred in pregnant mice than non pregnant controls infected by either wild type (clinical isolate) or mouse adapted mutant virus with D222G substitution in hemagglutinin. These disease associated changes and the lower respiratory tract involvement were worse in pregnant mice challenged by mutant virus. Though human placental origin JEG-3 cell line could be infected and proinflammatory cytokines or chemokines were elevated in amniotic fluid of some mice, no placental or fetal involvement by virus were detected by culture, real-time reverse transcription polymerase chain reaction or histopathological changes. Dual immunofluorescent staining of viral nucleoprotein and type II alveolar cell marker SP-C protein suggested that the majority of infected alveolar epithelial cells were type II pneumocytes. CONCLUSION: The adverse effect of this pandemic virus on maternal and fetal outcome is largely related to the severe pulmonary disease and the indirect effect of inflammatory cytokine spillover into the systemic circulation. PMID- 21060799 TI - Analysis of Jmjd6 cellular localization and testing for its involvement in histone demethylation. AB - BACKGROUND: Methylation of residues in histone tails is part of a network that regulates gene expression. JmjC domain containing proteins catalyze the oxidative removal of methyl groups on histone lysine residues. Here, we report studies to test the involvement of Jumonji domain-containing protein 6 (Jmjd6) in histone lysine demethylation. Jmjd6 has recently been shown to hydroxylate RNA splicing factors and is known to be essential for the differentiation of multiple tissues and cells during embryogenesis. However, there have been conflicting reports as to whether Jmjd6 is a histone-modifying enzyme. METHODOLOGY/PRINCIPAL FINDINGS: Immunolocalization studies reveal that Jmjd6 is distributed throughout the nucleoplasm outside of regions containing heterochromatic DNA, with occasional localization in nucleoli. During mitosis, Jmjd6 is excluded from the nucleus and reappears in the telophase of the cell cycle. Western blot analyses confirmed that Jmjd6 forms homo-multimers of different molecular weights in the nucleus and cytoplasm. A comparison of mono-, di-, and tri-methylation states of H3K4, H3K9, H3K27, H3K36, and H4K20 histone residues in wildtype and Jmjd6-knockout cells indicate that Jmjd6 is not involved in the demethylation of these histone lysine residues. This is further supported by overexpression of enzymatically active and inactive forms of Jmjd6 and subsequent analysis of histone methylation patterns by immunocytochemistry and western blot analysis. Finally, treatment of cells with RNase A and DNase I indicate that Jmjd6 may preferentially associate with RNA/RNA complexes and less likely with chromatin. CONCLUSIONS/SIGNIFICANCE: Taken together, our results provide further evidence that Jmjd6 is unlikely to be involved in histone lysine demethylation. We confirmed that Jmjd6 forms multimers and showed that nuclear localization of the protein involves association with a nucleic acid matrix. PMID- 21060800 TI - Bringing the hospital to the patient: first treatment of stroke patients at the emergency site. AB - BACKGROUND: Early treatment with rt-PA is critical for favorable outcome of acute stroke. However, only a very small proportion of stroke patients receive this treatment, as most arrive at hospital too late to be eligible for rt-PA therapy. METHODS AND FINDINGS: We developed a "Mobile Stroke Unit", consisting of an ambulance equipped with computed tomography, a point-of-care laboratory system for complete stroke laboratory work-up, and telemedicine capabilities for contact with hospital experts, to achieve delivery of etiology-specific and guideline adherent stroke treatment at the site of the emergency, well before arrival at the hospital. In a departure from current practice, stroke patients could be differentially treated according to their ischemic or hemorrhagic etiology even in the prehospital phase of stroke management. Immediate diagnosis of cerebral ischemia and exclusion of thrombolysis contraindications enabled us to perform prehospital rt-PA thrombolysis as bridging to later intra-arterial recanalization in one patient. In a complementary patient with cerebral hemorrhage, prehospital diagnosis allowed immediate initiation of hemorrhage-specific blood pressure management and telemedicine consultation regarding surgery. Call-to-therapy decision times were 35 minutes. CONCLUSION: This preliminary study proves the feasibility of guideline-adherent, etiology-specific and causal treatment of acute stroke directly at the emergency site. PMID- 21060801 TI - Neuroengineering tools/applications for bidirectional interfaces, brain-computer interfaces, and neuroprosthetic implants - a review of recent progress. AB - The main focus of this review is to provide a holistic amalgamated overview of the most recent human in vivo techniques for implementing brain-computer interfaces (BCIs), bidirectional interfaces, and neuroprosthetics. Neuroengineering is providing new methods for tackling current difficulties; however neuroprosthetics have been studied for decades. Recent progresses are permitting the design of better systems with higher accuracies, repeatability, and system robustness. Bidirectional interfaces integrate recording and the relaying of information from and to the brain for the development of BCIs. The concepts of non-invasive and invasive recording of brain activity are introduced. This includes classical and innovative techniques like electroencephalography and near-infrared spectroscopy. Then the problem of gliosis and solutions for (semi-) permanent implant biocompatibility such as innovative implant coatings, materials, and shapes are discussed. Implant power and the transmission of their data through implanted pulse generators and wireless telemetry are taken into account. How sensation can be relayed back to the brain to increase integration of the neuroengineered systems with the body by methods such as micro-stimulation and transcranial magnetic stimulation are then addressed. The neuroprosthetic section discusses some of the various types and how they operate. Visual prosthetics are discussed and the three types, dependant on implant location, are examined. Auditory prosthetics, being cochlear or cortical, are then addressed. Replacement hand and limb prosthetics are then considered. These are followed by sections concentrating on the control of wheelchairs, computers and robotics directly from brain activity as recorded by non-invasive and invasive techniques. PMID- 21060802 TI - Surrogate spike train generation through dithering in operational time. AB - Detecting the excess of spike synchrony and testing its significance can not be done analytically for many types of spike trains and relies on adequate surrogate methods. The main challenge for these methods is to conserve certain features of the spike trains, the two most important being the firing rate and the inter spike interval statistics. In this study we make use of operational time to introduce generalizations to spike dithering and propose two novel surrogate methods which conserve both features with high accuracy. Compared to earlier approaches, the methods show an improved robustness in detecting excess synchrony between spike trains. PMID- 21060803 TI - A generic framework for real-time multi-channel neuronal signal analysis, telemetry control, and sub-millisecond latency feedback generation. AB - Distinct modules of the neural circuitry interact with each other and (through the motor-sensory loop) with the environment, forming a complex dynamic system. Neuro-prosthetic devices seeking to modulate or restore CNS function need to interact with the information flow at the level of neural modules electrically, bi-directionally and in real-time. A set of freely available generic tools is presented that allow computationally demanding multi-channel short-latency bi directional interactions to be realized in in vivo and in vitro preparations using standard PC data acquisition and processing hardware and software (Mathworks Matlab and Simulink). A commercially available 60-channel extracellular multi-electrode recording and stimulation set-up connected to an ex vivo developing cortical neuronal culture is used as a model system to validate the method. We demonstrate how complex high-bandwidth (>10 MBit/s) neural recording data can be analyzed in real-time while simultaneously generating specific complex electrical stimulation feedback with deterministically timed responses at sub-millisecond resolution. PMID- 21060804 TI - Rhythmic pulsing: linking ongoing brain activity with evoked responses. AB - The conventional assumption in human cognitive electrophysiology using EEG and MEG is that the presentation of a particular event such as visual or auditory stimuli evokes a "turning on" of additional brain activity that adds to the ongoing background activity. Averaging multiple event-locked trials is thought to result in the cancellation of the seemingly random phased ongoing activity while leaving the evoked response. However, recent work strongly challenges this conventional view and demonstrates that the ongoing activity is not averaged out due to specific non-sinusoidal properties. As a consquence, systematic modulations in ongoing activity can produce slow cortical evoked responses reflecting cognitive processing. In this review we introduce the concept of "rhythmic pulsing" to account for this specific non-sinusoidal property. We will explain how rhythmic pulsing can create slow evoked responses from a physiological perspective. We will also discuss how the notion of rhythmic pulsing provides a unifying framework linking ongoing oscillations, evoked responses and the brain's capacity to process incoming information. PMID- 21060805 TI - Remedial effects of motivational incentive on declining cognitive control in healthy aging and Parkinson's disease. AB - The prospect of reward may provide a motivational incentive for optimizing goal directed behavior. Animal work demonstrates that reward-processing networks and oculomotor-control networks in the brain are connected through the dorsal striatum, and that reward anticipation can improve oculomotor control via this nexus. Due perhaps to deterioration in dopaminergic striatal circuitry, goal directed oculomotor control is subject to decline in healthy seniors, and even more in individuals with Parkinson's disease (PD). Here we examine whether healthy seniors and PD patients are able to utilize reward prospects to improve their impaired antisaccade performance. Results confirmed that oculomotor control declined in PD patients compared to healthy seniors, and in healthy seniors compared to young adults. However, the motivational incentive of reward expectation resulted in benefits in antisaccade performance in all groups alike. These findings speak against structural and non-modifiable decline in cognitive control functions, and emphasize the remedial potential of motivational incentive mechanisms in healthy as well as pathological aging. PMID- 21060806 TI - Altering a histone H3K4 methylation pathway in glomerular podocytes promotes a chronic disease phenotype. AB - Methylation of specific lysine residues in core histone proteins is essential for embryonic development and can impart active and inactive epigenetic marks on chromatin domains. The ubiquitous nuclear protein PTIP is encoded by the Paxip1 gene and is an essential component of a histone H3 lysine 4 (H3K4) methyltransferase complex conserved in metazoans. In order to determine if PTIP and its associated complexes are necessary for maintaining stable gene expression patterns in a terminally differentiated, non-dividing cell, we conditionally deleted PTIP in glomerular podocytes in mice. Renal development and function were not impaired in young mice. However, older animals progressively exhibited proteinuria and podocyte ultra structural defects similar to chronic glomerular disease. Loss of PTIP resulted in subtle changes in gene expression patterns prior to the onset of a renal disease phenotype. Chromatin immunoprecipitation showed a loss of PTIP binding and lower H3K4 methylation at the Ntrk3 (neurotrophic tyrosine kinase receptor, type 3) locus, whose expression was significantly reduced and whose function may be essential for podocyte foot process patterning. These data demonstrate that alterations or mutations in an epigenetic regulatory pathway can alter the phenotypes of differentiated cells and lead to a chronic disease state. PMID- 21060807 TI - Actin depolymerizing factors cofilin1 and destrin are required for ureteric bud branching morphogenesis. AB - The actin depolymerizing factors (ADFs) play important roles in several cellular processes that require cytoskeletal rearrangements, such as cell migration, but little is known about the in vivo functions of ADFs in developmental events like branching morphogenesis. While the molecular control of ureteric bud (UB) branching during kidney development has been extensively studied, the detailed cellular events underlying this process remain poorly understood. To gain insight into the role of actin cytoskeletal dynamics during renal branching morphogenesis, we studied the functional requirements for the closely related ADFs cofilin1 (Cfl1) and destrin (Dstn) during mouse development. Either deletion of Cfl1 in UB epithelium or an inactivating mutation in Dstn has no effect on renal morphogenesis, but simultaneous lack of both genes arrests branching morphogenesis at an early stage, revealing considerable functional overlap between cofilin1 and destrin. Lack of Cfl1 and Dstn in the UB causes accumulation of filamentous actin, disruption of normal epithelial organization, and defects in cell migration. Animals with less severe combinations of mutant Cfl1 and Dstn alleles, which retain one wild-type Cfl1 or Dstn allele, display abnormalities including ureter duplication, renal hypoplasia, and abnormal kidney shape. The results indicate that ADF activity, provided by either cofilin1 or destrin, is essential in UB epithelial cells for normal growth and branching. PMID- 21060808 TI - Allele-specific down-regulation of RPTOR expression induced by retinoids contributes to climate adaptations. AB - The mechanistic target of rapamycin (MTOR) pathway regulates cell growth, energy homeostasis, apoptosis, and immune response. The regulatory associated protein of MTOR encoded by the RPTOR gene is a key component of this pathway. A previous survey of candidate genes found that RPTOR contains multiple SNPs with strong correlations between allele frequencies and climate variables, consistent with the action of selective pressures that vary across environments. Using data from a recent genome scan for selection signals, we honed in on a SNP (rs11868112) 26 kb upstream to the transcription start site of RPTOR that exhibits the strongest association with temperature variables. Transcription factor motif scanning and mining of recently mapped transcription factor binding sites identified a binding site for POU class 2 homeobox 1 (POU2F1) spanning the SNP and an adjacent retinoid acid receptor (RAR) binding site. Using expression quantification, chromatin immunoprecipitation (ChIP), and reporter gene assays, we demonstrate that POU2F1 and RARA do bind upstream of the RPTOR gene to regulate its expression in response to retinoids; this regulation is affected by the allele status at rs11868112 with the derived allele resulting in lower expression levels. We propose a model in which the derived allele influences thermogenesis or immune response by altering MTOR pathway activity and thereby increasing fitness in colder climates. Our results show that signatures of genetic adaptations can identify variants with functional effects, consistent with the idea that selection signals may be used for SNP annotation. PMID- 21060809 TI - The meiotic recombination checkpoint suppresses NHK-1 kinase to prevent reorganisation of the oocyte nucleus in Drosophila. AB - The meiotic recombination checkpoint is a signalling pathway that blocks meiotic progression when the repair of DNA breaks formed during recombination is delayed. In comparison to the signalling pathway itself, however, the molecular targets of the checkpoint that control meiotic progression are not well understood in metazoans. In Drosophila, activation of the meiotic checkpoint is known to prevent formation of the karyosome, a meiosis-specific organisation of chromosomes, but the molecular pathway by which this occurs remains to be identified. Here we show that the conserved kinase NHK-1 (Drosophila Vrk-1) is a crucial meiotic regulator controlled by the meiotic checkpoint. An nhk-1 mutation, whilst resulting in karyosome defects, does so independent of meiotic checkpoint activation. Rather, we find unrepaired DNA breaks formed during recombination suppress NHK-1 activity (inferred from the phosphorylation level of one of its substrates) through the meiotic checkpoint. Additionally DNA breaks induced by X-rays in cultured cells also suppress NHK-1 kinase activity. Unrepaired DNA breaks in oocytes also delay other NHK-1 dependent nuclear events, such as synaptonemal complex disassembly and condensin loading onto chromosomes. Therefore we propose that NHK-1 is a crucial regulator of meiosis and that the meiotic checkpoint suppresses NHK-1 activity to prevent oocyte nuclear reorganisation until DNA breaks are repaired. PMID- 21060810 TI - Retention and loss of RNA interference pathways in trypanosomatid protozoans. AB - RNA interference (RNAi) pathways are widespread in metaozoans but the genes required show variable occurrence or activity in eukaryotic microbes, including many pathogens. While some Leishmania lack RNAi activity and Argonaute or Dicer genes, we show that Leishmania braziliensis and other species within the Leishmania subgenus Viannia elaborate active RNAi machinery. Strong attenuation of expression from a variety of reporter and endogenous genes was seen. As expected, RNAi knockdowns of the sole Argonaute gene implicated this protein in RNAi. The potential for functional genetics was established by testing RNAi knockdown lines lacking the paraflagellar rod, a key component of the parasite flagellum. This sets the stage for the systematic manipulation of gene expression through RNAi in these predominantly diploid asexual organisms, and may also allow selective RNAi-based chemotherapy. Functional evolutionary surveys of RNAi genes established that RNAi activity was lost after the separation of the Leishmania subgenus Viannia from the remaining Leishmania species, a divergence associated with profound changes in the parasite infectious cycle and virulence. The genus Leishmania therefore offers an accessible system for testing hypothesis about forces that may select for the loss of RNAi during evolution, such as invasion by viruses, changes in genome plasticity mediated by transposable elements and gene amplification (including those mediating drug resistance), and/or alterations in parasite virulence. PMID- 21060811 TI - Facioscapulohumeral dystrophy: incomplete suppression of a retrotransposed gene. AB - Each unit of the D4Z4 macrosatellite repeat contains a retrotransposed gene encoding the DUX4 double-homeobox transcription factor. Facioscapulohumeral dystrophy (FSHD) is caused by deletion of a subset of the D4Z4 units in the subtelomeric region of chromosome 4. Although it has been reported that the deletion of D4Z4 units induces the pathological expression of DUX4 mRNA, the association of DUX4 mRNA expression with FSHD has not been rigorously investigated, nor has any human tissue been identified that normally expresses DUX4 mRNA or protein. We show that FSHD muscle expresses a different splice form of DUX4 mRNA compared to control muscle. Control muscle produces low amounts of a splice form of DUX4 encoding only the amino-terminal portion of DUX4. FSHD muscle produces low amounts of a DUX4 mRNA that encodes the full-length DUX4 protein. The low abundance of full-length DUX4 mRNA in FSHD muscle cells represents a small subset of nuclei producing a relatively high abundance of DUX4 mRNA and protein. In contrast to control skeletal muscle and most other somatic tissues, full-length DUX4 transcript and protein is expressed at relatively abundant levels in human testis, most likely in the germ-line cells. Induced pluripotent (iPS) cells also express full-length DUX4 and differentiation of control iPS cells to embryoid bodies suppresses expression of full-length DUX4, whereas expression of full-length DUX4 persists in differentiated FSHD iPS cells. Together, these findings indicate that full-length DUX4 is normally expressed at specific developmental stages and is suppressed in most somatic tissues. The contraction of the D4Z4 repeat in FSHD results in a less efficient suppression of the full-length DUX4 mRNA in skeletal muscle cells. Therefore, FSHD represents the first human disease to be associated with the incomplete developmental silencing of a retrogene array normally expressed early in development. PMID- 21060812 TI - Fidelity variants of RNA dependent RNA polymerases uncover an indirect, mutagenic activity of amiloride compounds. AB - In a screen for RNA mutagen resistance, we isolated a high fidelity RNA dependent RNA polymerase (RdRp) variant of Coxsackie virus B3 (CVB3). Curiously, this variant A372V is also resistant to amiloride. We hypothesize that amiloride has a previously undescribed mutagenic activity. Indeed, amiloride compounds increase the mutation frequencies of CVB3 and poliovirus and high fidelity variants of both viruses are more resistant to this effect. We hypothesize that this mutagenic activity is mediated through alterations in intracellular ions such as Mg2+ and Mn2+, which in turn increase virus mutation frequency by affecting RdRp fidelity. Furthermore, we show that another amiloride-resistant RdRp variant, S299T, is completely resistant to this mutagenic activity and unaffected by changes in ion concentrations. We show that RdRp variants resist the mutagenic activity of amiloride via two different mechanisms: 1) increased fidelity that generates virus populations presenting lower basal mutation frequencies or 2) resisting changes in divalent cation concentrations that affect polymerase fidelity. Our results uncover a new antiviral approach based on mutagenesis. PMID- 21060813 TI - Nuclear export and import of human hepatitis B virus capsid protein and particles. AB - It remains unclear what determines the subcellular localization of hepatitis B virus (HBV) core protein (HBc) and particles. To address this fundamental issue, we have identified four distinct HBc localization signals in the arginine rich domain (ARD) of HBc, using immunofluorescence confocal microscopy and fractionation/Western blot analysis. ARD consists of four tight clustering arginine-rich subdomains. ARD-I and ARD-III are associated with two co-dependent nuclear localization signals (NLS), while ARD-II and ARD-IV behave like two independent nuclear export signals (NES). This conclusion is based on five independent lines of experimental evidence: i) Using an HBV replication system in hepatoma cells, we demonstrated in a double-blind manner that only the HBc of mutant ARD-II+IV, among a total of 15 ARD mutants, can predominantly localize to the nucleus. ii) These results were confirmed using a chimera reporter system by placing mutant or wild type HBc trafficking signals in the heterologous context of SV40 large T antigen (LT). iii) By a heterokaryon or homokaryon analysis, the fusion protein of SV40 LT-HBc ARD appeared to transport from nuclei of transfected donor cells to nuclei of recipient cells, suggesting the existence of an NES in HBc ARD. This putative NES is leptomycin B resistant. iv) We demonstrated by co-immunoprecipitation that HBc ARD can physically interact with a cellular factor TAP/NXF1 (Tip-associated protein/nuclear export factor-1), which is known to be important for nuclear export of mRNA and proteins. Treatment with a TAP-specific siRNA strikingly shifted cytoplasmic HBc to nucleus, and led to a near 7-fold reduction of viral replication, and a near 10-fold reduction in HBsAg secretion. v) HBc of mutant ARD-II+IV was accumulated predominantly in the nucleus in a mouse model by hydrodynamic delivery. In addition to the revised map of NLS, our results suggest that HBc could shuttle rapidly between nucleus and cytoplasm via a novel TAP-dependent NES. PMID- 21060814 TI - FSHD: a repeat contraction disease finally ready to expand (our understanding of its pathogenesis). PMID- 21060815 TI - The spread of tomato yellow leaf curl virus from the Middle East to the world. AB - The ongoing global spread of Tomato yellow leaf curl virus (TYLCV; Genus Begomovirus, Family Geminiviridae) represents a serious looming threat to tomato production in all temperate parts of the world. Whereas determining where and when TYLCV movements have occurred could help curtail its spread and prevent future movements of related viruses, determining the consequences of past TYLCV movements could reveal the ecological and economic risks associated with similar viral invasions. Towards this end we applied Bayesian phylogeographic inference and recombination analyses to available TYLCV sequences (including those of 15 new Iranian full TYLCV genomes) and reconstructed a plausible history of TYLCV's diversification and movements throughout the world. In agreement with historical accounts, our results suggest that the first TYLCVs most probably arose somewhere in the Middle East between the 1930s and 1950s (with 95% highest probability density intervals 1905-1972) and that the global spread of TYLCV only began in the 1980s after the evolution of the TYLCV-Mld and -IL strains. Despite the global distribution of TYLCV we found no convincing evidence anywhere other than the Middle East and the Western Mediterranean of epidemiologically relevant TYLCV variants arising through recombination. Although the region around Iran is both the center of present day TYLCV diversity and the site of the most intensive ongoing TYLCV evolution, the evidence indicates that the region is epidemiologically isolated, which suggests that novel TYLCV variants found there are probably not direct global threats. We instead identify the Mediterranean basin as the main launch-pad of global TYLCV movements. PMID- 21060816 TI - Phylodynamics and human-mediated dispersal of a zoonotic virus. AB - Understanding the role of humans in the dispersal of predominantly animal pathogens is essential for their control. We used newly developed Bayesian phylogeographic methods to unravel the dynamics and determinants of the spread of dog rabies virus (RABV) in North Africa. Each of the countries studied exhibited largely disconnected spatial dynamics with major geopolitical boundaries acting as barriers to gene flow. Road distances proved to be better predictors of the movement of dog RABV than accessibility or raw geographical distance, with occasional long distance and rapid spread within each of these countries. Using simulations that bridge phylodynamics and spatial epidemiology, we demonstrate that the contemporary viral distribution extends beyond that expected for RABV transmission in African dog populations. These results are strongly supportive of human-mediated dispersal, and demonstrate how an integrated phylogeographic approach will turn viral genetic data into a powerful asset for characterizing, predicting, and potentially controlling the spatial spread of pathogens. PMID- 21060817 TI - Identification and genome-wide prediction of DNA binding specificities for the ApiAP2 family of regulators from the malaria parasite. AB - The molecular mechanisms underlying transcriptional regulation in apicomplexan parasites remain poorly understood. Recently, the Apicomplexan AP2 (ApiAP2) family of DNA binding proteins was identified as a major class of transcriptional regulators that are found across all Apicomplexa. To gain insight into the regulatory role of these proteins in the malaria parasite, we have comprehensively surveyed the DNA-binding specificities of all 27 members of the ApiAP2 protein family from Plasmodium falciparum revealing unique binding preferences for the majority of these DNA binding proteins. In addition to high affinity primary motif interactions, we also observe interactions with secondary motifs. The ability of a number of ApiAP2 proteins to bind multiple, distinct motifs significantly increases the potential complexity of the transcriptional regulatory networks governed by the ApiAP2 family. Using these newly identified sequence motifs, we infer the trans-factors associated with previously reported plasmodial cis-elements and provide evidence that ApiAP2 proteins modulate key regulatory decisions at all stages of parasite development. Our results offer a detailed view of ApiAP2 DNA binding specificity and take the first step toward inferring comprehensive gene regulatory networks for P. falciparum. PMID- 21060818 TI - Nucleocapsid promotes localization of HIV-1 gag to uropods that participate in virological synapses between T cells. AB - T cells adopt a polarized morphology in lymphoid organs, where cell-to-cell transmission of HIV-1 is likely frequent. However, despite the importance of understanding virus spread in vivo, little is known about the HIV-1 life cycle, particularly its late phase, in polarized T cells. Polarized T cells form two ends, the leading edge at the front and a protrusion called a uropod at the rear. Using multiple uropod markers, we observed that HIV-1 Gag localizes to the uropod in polarized T cells. Infected T cells formed contacts with uninfected target T cells preferentially via HIV-1 Gag-containing uropods compared to leading edges that lack plasma-membrane-associated Gag. Cell contacts enriched in Gag and CD4, which define the virological synapse (VS), are also enriched in uropod markers. These results indicate that Gag-laden uropods participate in the formation and/or structure of the VS, which likely plays a key role in cell-to-cell transmission of HIV-1. Consistent with this notion, a myosin light chain kinase inhibitor, which disrupts uropods, reduced virus particle transfer from infected T cells to target T cells. Mechanistically, we observed that Gag copatches with antibody crosslinked uropod markers even in non-polarized cells, suggesting an association of Gag with uropod-specific microdomains that carry Gag to uropods. Finally, we determined that localization of Gag to the uropod depends on higher-order clustering driven by its NC domain. Taken together, these results support a model in which NC-dependent Gag accumulation to uropods establishes a preformed platform that later constitutes T-cell-T-cell contacts at which HIV-1 virus transfer occurs. PMID- 21060819 TI - Inhibition of Nipah virus infection in vivo: targeting an early stage of paramyxovirus fusion activation during viral entry. AB - In the paramyxovirus cell entry process, receptor binding triggers conformational changes in the fusion protein (F) leading to viral and cellular membrane fusion. Peptides derived from C-terminal heptad repeat (HRC) regions in F have been shown to inhibit fusion by preventing formation of the fusogenic six-helix bundle. We recently showed that the addition of a cholesterol group to HRC peptides active against Nipah virus targets these peptides to the membrane where fusion occurs, dramatically increasing their antiviral effect. In this work, we report that unlike the untagged HRC peptides, which bind to the postulated extended intermediate state bridging the viral and cell membranes, the cholesterol tagged HRC-derived peptides interact with F before the fusion peptide inserts into the target cell membrane, thus capturing an earlier stage in the F-activation process. Furthermore, we show that cholesterol tagging renders these peptides active in vivo: the cholesterol-tagged peptides cross the blood brain barrier, and effectively prevent and treat in an established animal model what would otherwise be fatal Nipah virus encephalitis. The in vivo efficacy of cholesterol tagged peptides, and in particular their ability to penetrate the CNS, suggests that they are promising candidates for the prevention or therapy of infection by Nipah and other lethal paramyxoviruses. PMID- 21060820 TI - Strain-specific differences in the genetic control of two closely related mycobacteria. AB - The host response to mycobacterial infection depends on host and pathogen genetic factors. Recent studies in human populations suggest a strain specific genetic control of tuberculosis. To test for mycobacterial-strain specific genetic control of susceptibility to infection under highly controlled experimental conditions, we performed a comparative genetic analysis using the A/J- and C57BL/6J-derived recombinant congenic (RC) mouse panel infected with the Russia and Pasteur strains of Mycobacterium bovis Bacille Calmette Guerin (BCG). Bacillary counts in the lung and spleen at weeks 1 and 6 post infection were used as a measure of susceptibility. By performing genome-wide linkage analyses of loci that impact on tissue-specific bacillary burden, we were able to show the importance of correcting for strain background effects in the RC panel. When linkage analysis was adjusted on strain background, we detected a single locus on chromosome 11 that impacted on pulmonary counts of BCG Russia but not Pasteur. The same locus also controlled the splenic counts of BCG Russia but not Pasteur. By contrast, a locus on chromosome 1 which was indistinguishable from Nramp1 impacted on splenic bacillary counts of both BCG Russia and Pasteur. Additionally, dependent upon BCG strain, tissue and time post infection, we detected 9 distinct loci associated with bacillary counts. Hence, the ensemble of genetic loci impacting on BCG infection revealed a highly dynamic picture of genetic control that reflected both the course of infection and the infecting strain. This high degree of adaptation of host genetics to strain-specific pathogenesis is expected to provide a suitable framework for the selection of specific host-mycobacteria combinations during co-evolution of mycobacteria with humans. PMID- 21060822 TI - Eph regulates dorsoventral asymmetry of the notochord plate and convergent extension-mediated notochord formation. AB - BACKGROUND: The notochord is a signaling center required for the patterning of the vertebrate embryonic midline, however, the molecular and cellular mechanisms involved in the formation of this essential embryonic tissue remain unclear. The urochordate Ciona intestinalis develops a simple notochord from 40 specific postmitotic mesodermal cells. The precursors intercalate mediolaterally and establish a single array of disk-shaped notochord cells along the midline. However, the role that notochord precursor polarization, particularly along the dorsoventral axis, plays in this morphogenetic process remains poorly understood. METHODOLOGY/PRINCIPAL FINDINGS: Here we show that the notochord preferentially accumulates an apical cell polarity marker, aPKC, ventrally and a basement membrane marker, laminin, dorsally. This asymmetric accumulation of apicobasal cell polarity markers along the embryonic dorsoventral axis was sustained in notochord precursors during convergence and extension. Further, of several members of the Eph gene family implicated in cellular and tissue morphogenesis, only Ci-Eph4 was predominantly expressed in the notochord throughout cell intercalation. Introduction of a dominant-negative Ci-Eph4 to notochord precursors diminished asymmetric accumulation of apicobasal cell polarity markers, leading to defective intercalation. In contrast, misexpression of a dominant-negative mutant of a planar cell polarity gene Dishevelled preserved asymmetric accumulation of aPKC and laminin in notochord precursors, although their intercalation was incomplete. CONCLUSIONS/SIGNIFICANCE: Our data support a model in which in ascidian embryos Eph-dependent dorsoventral polarity of notochord precursors plays a crucial role in mediolateral cell intercalation and is required for proper notochord morphogenesis. PMID- 21060823 TI - A systems biology strategy on differential gene expression data discloses some biological features of atrial fibrillation. AB - Atrial fibrillation (AF), the most common cardiac arrhythmia, is associated with extensive structural, contractile, and electrophysiological remodeling. In this manuscript we re-analyzed gene expression data from a microarray experiment on AF patients and control tissues, using a new paradigm based on a posteriori unsupervised strategy in which the discrimination of patients comes out from purely syntactical premises. This paradigm, more adherent to biological reality where genes work in highly connected networks, allowed us to get both a very precise patients/control discrimination and the discovery of cell adhesion/tissue modeling and inflammation processes as the main dimensions of AF. PMID- 21060824 TI - Identifying shared genetic structure patterns among Pacific Northwest forest taxa: insights from use of visualization tools and computer simulations. AB - BACKGROUND: Identifying causal relationships in phylogeographic and landscape genetic investigations is notoriously difficult, but can be facilitated by use of multispecies comparisons. METHODOLOGY/PRINCIPAL FINDINGS: We used data visualizations to identify common spatial patterns within single lineages of four taxa inhabiting Pacific Northwest forests (northern spotted owl: Strix occidentalis caurina; red tree vole: Arborimus longicaudus; southern torrent salamander: Rhyacotriton variegatus; and western white pine: Pinus monticola). Visualizations suggested that, despite occupying the same geographical region and habitats, species responded differently to prevailing historical processes. S. o. caurina and P. monticola demonstrated directional patterns of spatial genetic structure where genetic distances and diversity were greater in southern versus northern locales. A. longicaudus and R. variegatus displayed opposite patterns where genetic distances were greater in northern versus southern regions. Statistical analyses of directional patterns subsequently confirmed observations from visualizations. Based upon regional climatological history, we hypothesized that observed latitudinal patterns may have been produced by range expansions. Subsequent computer simulations confirmed that directional patterns can be produced by expansion events. CONCLUSIONS/SIGNIFICANCE: We discuss phylogeographic hypotheses regarding historical processes that may have produced observed patterns. Inferential methods used here may become increasingly powerful as detailed simulations of organisms and historical scenarios become plausible. We further suggest that inter-specific comparisons of historical patterns take place prior to drawing conclusions regarding effects of current anthropogenic change within landscapes. PMID- 21060825 TI - The LARGE principle of cellular reprogramming: lost, acquired and retained gene expression in foreskin and amniotic fluid-derived human iPS cells. AB - Human amniotic fluid cells (AFCs) are routinely obtained for prenatal diagnostics procedures. Recently, it has been illustrated that these cells may also serve as a valuable model system to study developmental processes and for application in regenerative therapies. Cellular reprogramming is a means of assigning greater value to primary AFCs by inducing self-renewal and pluripotency and, thus, bypassing senescence. Here, we report the generation and characterization of human amniotic fluid-derived induced pluripotent stem cells (AFiPSCs) and demonstrate their ability to differentiate into the trophoblast lineage after stimulation with BMP2/BMP4. We further carried out comparative transcriptome analyses of primary human AFCs, AFiPSCs, fibroblast-derived iPSCs (FiPSCs) and embryonic stem cells (ESCs). This revealed that the expression of key senescence associated genes are down-regulated upon the induction of pluripotency in primary AFCs (AFiPSCs). By defining distinct and overlapping gene expression patterns and deriving the LARGE (Lost, Acquired and Retained Gene Expression) Principle of Cellular Reprogramming, we could further highlight that AFiPSCs, FiPSCs and ESCs share a core self-renewal gene regulatory network driven by OCT4, SOX2 and NANOG. Nevertheless, these cell types are marked by distinct gene expression signatures. For example, expression of the transcription factors, SIX6, EGR2, PKNOX2, HOXD4, HOXD10, DLX5 and RAXL1, known to regulate developmental processes, are retained in AFiPSCs and FiPSCs. Surprisingly, expression of the self-renewal-associated gene PRDM14 or the developmental processes-regulating genes WNT3A and GSC are restricted to ESCs. Implications of this, with respect to the stability of the undifferentiated state and long-term differentiation potential of iPSCs, warrant further studies. PMID- 21060826 TI - Tracking the small with the smallest--using nanotechnology in tracking zooplankton. AB - A major problem when studying behavior and migration of small organisms is that many of the questions addressed for larger animals are not possible to formulate due to constraints on tracking smaller animals. In aquatic ecosystems, this problem is particularly problematic for zoo- and phytoplankton, since tracking devices are too heavy to allow the organism to act naturally. However, recent advances in nanotechnology have made it possible to track individual animals and thereby to focus on important and urgent questions which previously have not been possible to address. Here we report on a novel approach to track movement and migratory behavior of millimeter sized aquatic animals, particularly Daphnia magna, using the commercially available nanometer sized fluorescent probes known as quantum dots. Experimental trials with and without quantum dots showed that they did not affect behavior, reproduction or mortality of the tested animals. Compared to previously used methods to label small animals, the nano-labeling method presented here offers considerable improvements including: 24 h fluorescence, studies in both light and darkness, much improved optical properties, potential to study large volumes and even track animals in semi natural conditions. Hence, the suggested method, developed in close cooperation between biologists, chemists and physicists, offers new opportunities to routinely study zooplankton responses to light, food and predation, opening up advancements within research areas such as diel vertical/horizontal migration, partial migration and other differences in intra- and interspecific movements and migration. PMID- 21060827 TI - Prevalence and phylogeny of coronaviruses in wild birds from the Bering Strait area (Beringia). AB - Coronaviruses (CoVs) can cause mild to severe disease in humans and animals, their host range and environmental spread seem to have been largely underestimated, and they are currently being investigated for their potential medical relevance. Infectious bronchitis virus (IBV) belongs to gamma coronaviruses and causes a costly respiratory viral disease in chickens. The role of wild birds in the epidemiology of IBV is poorly understood. In the present study, we examined 1,002 cloacal and faecal samples collected from 26 wild bird species in the Beringia area for the presence of CoVs, and then we performed statistical and phylogenetic analyses. We detected diverse CoVs by RT-PCR in wild birds in the Beringia area. Sequence analysis showed that the detected viruses are gamma-coronaviruses related to IBV. These findings suggest that wild birds are able to carry gamma-coronaviruses asymptomatically. We concluded that CoVs are widespread among wild birds in Beringia, and their geographic spread and frequency is higher than previously realised. Thus, Avian CoV can be efficiently disseminated over large distances and could be a genetic reservoir for future emerging pathogenic CoVs. Considering the great animal health and economic impact of IBV as well as the recent emergence of novel coronaviruses such as SARS coronavirus, it is important to investigate the role of wildlife reservoirs in CoV infection biology and epidemiology. PMID- 21060828 TI - Frequent and distinct aberrations of DNA methylation patterns in fibrolamellar carcinoma of the liver. AB - BACKGROUND: Gene silencing due to aberrant DNA methylation is a frequent event in hepatocellular carcinoma (HCC) and also in hepatocellular adenoma (HCA). However, very little is known about epigenetic defects in fibrolamellar carcinoma (FLC), a rare variant of hepatocellular carcinoma that displays distinct clinical and morphological features. METHODOLOGY/PRINCIPAL FINDINGS: We analyzed the methylation status of the APC, CDH1, cyclinD2, GSTpi1, hsa-mir-9-1, hsa-mir-9-2, and RASSF1A gene in a series of 15 FLC and paired normal liver tissue specimens by quantitative high-resolution pyrosequencing. Results were compared with common HCC arising in non-cirrhotic liver (n = 10). Frequent aberrant hypermethylation was found for the cyclinD2 (19%) and the RASSF1A (38%) gene as well as for the microRNA genes mir-9-1 (13%) and mir-9-2 (33%). In contrast to common HCC the APC and CDH1 (E-cadherin) genes were found devoid of any DNA methylation in FLC, whereas the GSTpi1 gene showed comparable DNA methylation in tumor and surrounding tissue at a moderate level. Changes in global DNA methylation level were measured by analyzing methylation status of the highly repetitive LINE-1 sequences. No evidence of global hypomethylation could be found in FLCs, whereas HCCs without cirrhosis showed a significant reduction in global methylation level as described previously. CONCLUSIONS: FLCs display frequent and distinct gene specific hypermethylation in the absence of significant global hypomethylation indicating that these two epigenetic aberrations are induced by different pathways and that full-blown malignancy can develop in the absence of global loss of DNA methylation. Only quantitative DNA methylation detection methodology was able to identify these differences. PMID- 21060829 TI - Real-time fluorescence loop mediated isothermal amplification for the diagnosis of malaria. AB - BACKGROUND: Molecular diagnostic methods can complement existing tools to improve the diagnosis of malaria. However, they require good laboratory infrastructure thereby restricting their use to reference laboratories and research studies. Therefore, adopting molecular tools for routine use in malaria endemic countries will require simpler molecular platforms. The recently developed loop-mediated isothermal amplification (LAMP) method is relatively simple and can be improved for better use in endemic countries. In this study, we attempted to improve this method for malaria diagnosis by using a simple and portable device capable of performing both the amplification and detection (by fluorescence) of LAMP in one platform. We refer to this as the RealAmp method. METHODOLOGY AND SIGNIFICANT FINDINGS: Published genus-specific primers were used to test the utility of this method. DNA derived from different species of malaria parasites was used for the initial characterization. Clinical samples of P. falciparum were used to determine the sensitivity and specificity of this system compared to microscopy and a nested PCR method. Additionally, directly boiled parasite preparations were compared with a conventional DNA isolation method. The RealAmp method was found to be simple and allowed real-time detection of DNA amplification. The time to amplification varied but was generally less than 60 minutes. All human-infecting Plasmodium species were detected. The sensitivity and specificity of RealAmp in detecting P. falciparum was 96.7% and 91.7% respectively, compared to microscopy and 98.9% and 100% respectively, compared to a standard nested PCR method. In addition, this method consistently detected P. falciparum from directly boiled blood samples. CONCLUSION: This RealAmp method has great potential as a field usable molecular tool for diagnosis of malaria. This tool can provide an alternative to conventional PCR based diagnostic methods for field use in clinical and operational programs. PMID- 21060830 TI - A pilot study of circulating miRNAs as potential biomarkers of early stage breast cancer. AB - BACKGROUND: To date, there are no highly sensitive and specific minimally invasive biomarkers for detection of breast cancer at an early stage. The occurrence of circulating microRNAs (miRNAs) in blood components (including serum and plasma) has been repeatedly observed in cancer patients as well as healthy controls. Because of the significance of miRNA in carcinogenesis, circulating miRNAs in blood may be unique biomarkers for early and minimally invasive diagnosis of human cancers. The objective of this pilot study was to discover a panel of circulating miRNAs as potential novel breast cancer biomarkers. METHODOLOGY/PRINCIPAL FINDINGS: Using microarray-based expression profiling followed by Real-Time quantitative Polymerase Cycle Reaction (RT-qPCR) validation, we compared the levels of circulating miRNAs in plasma samples from 20 women with early stage breast cancer (10 Caucasian American (CA) and 10 African American (AA)) and 20 matched healthy controls (10 CAs and 10 AAs). Using the significance level of p<0.05 constrained by at least two-fold expression change as selection criteria, we found that 31 miRNAs were differentially expressed in CA study subjects (17 up and 14 down) and 18 miRNAs were differentially expressed in AA study subjects (9 up and 9 down). Interestingly, only 2 differentially expressed miRNAs overlapped between CA and AA study subjects. Using receiver operational curve (ROC) analysis, we show that not only up-regulated but also down-regulated miRNAs can discriminate patients with breast cancer from healthy controls with reasonable sensitivity and specificity. To further explore the potential roles of these circulating miRNAs in breast carcinogenesis, we applied pathway-based bioinformatics exploratory analysis and predicted a number of significantly enriched pathways which are predicted to be regulated by these circulating miRNAs, most of which are involved in critical cell functions, cancer development and progression. CONCLUSIONS: Our observations from this pilot study suggest that the altered levels of circulating miRNAs might have great potential to serve as novel, noninvasive biomarkers for early detection of breast cancer. PMID- 21060831 TI - Ecology driving genetic variation: a comparative phylogeography of jungle cat (Felis chaus) and leopard cat (Prionailurus bengalensis) in India. AB - BACKGROUND: Comparative phylogeography links historical population processes to current/ecological processes through congruent/incongruent patterns of genetic variation among species/lineages. Despite high biodiversity, India lacks a phylogeographic paradigm due to limited comparative studies. We compared the phylogenetic patterns of Indian populations of jungle cat (Felis chaus) and leopard cat (Prionailurus bengalensis). Given similarities in their distribution within India, evolutionary histories, body size and habits, congruent patterns of genetic variation were expected. METHODOLOGY/PRINCIPAL FINDINGS: We collected scats from various biogeographic zones in India and analyzed mtDNA from 55 jungle cats (460 bp NADH5, 141 bp cytochrome b) and 40 leopard cats (362 bp NADH5, 202 bp cytochrome b). Jungle cats revealed high genetic variation, relatively low population structure and demographic expansion around the mid-Pleistocene. In contrast, leopard cats revealed lower genetic variation and high population structure with a F(ST) of 0.86 between North and South Indian populations. Niche model analyses using two approaches (BIOCLIM and MaxEnt) support absence of leopard cats from Central India, indicating a climate associated barrier. We hypothesize that high summer temperatures limit leopard cat distribution and that a rise in temperature in the peninsular region of India during the LGM caused the split in leopard cat population in India. CONCLUSIONS/SIGNIFICANCE: Our results indicate that ecological variables describing a species range can predict genetic patterns. Our study has also resolved the confusion over the distribution of the leopard cat in India. The reciprocally monophyletic island population in the South mandates conservation attention. PMID- 21060832 TI - Upregulation of barrel GABAergic neurons is associated with cross-modal plasticity in olfactory deficit. AB - BACKGROUND: Loss of a sensory function is often followed by the hypersensitivity of other modalities in mammals, which secures them well-awareness to environmental changes. Cellular and molecular mechanisms underlying cross-modal sensory plasticity remain to be documented. METHODOLOGY/PRINCIPAL FINDINGS: Multidisciplinary approaches, such as electrophysiology, behavioral task and immunohistochemistry, were used to examine the involvement of specific types of neurons in cross-modal plasticity. We have established a mouse model that olfactory deficit leads to a whisking upregulation, and studied how GABAergic neurons are involved in this cross-modal plasticity. In the meantime of inducing whisker tactile hypersensitivity, the olfactory injury recruits more GABAergic neurons and their fine processes in the barrel cortex, as well as upregulates their capacity of encoding action potentials. The hyperpolarization driven by inhibitory inputs strengthens the encoding ability of their target cells. CONCLUSION/SIGNIFICANCE: The upregulation of GABAergic neurons and the functional enhancement of neuronal networks may play an important role in cross-modal sensory plasticity. This finding provides the clues for developing therapeutic approaches to help sensory recovery and substitution. PMID- 21060833 TI - Downregulation of uPAR and cathepsin B induces apoptosis via regulation of Bcl-2 and Bax and inhibition of the PI3K/Akt pathway in gliomas. AB - BACKGROUND: Glioma is the most commonly diagnosed primary brain tumor and is characterized by invasive and infiltrative behavior. uPAR and cathepsin B are known to be overexpressed in high-grade gliomas and are strongly correlated with invasive cancer phenotypes. METHODOLOGY/PRINCIPAL FINDINGS: In the present study, we observed that simultaneous downregulation of uPAR and cathepsin B induces upregulation of some pro-apoptotic genes and suppression of anti-apoptotic genes in human glioma cells. uPAR and cathepsin B (pCU)-downregulated cells exhibited decreases in the Bcl-2/Bax ratio and initiated the collapse of mitochondrial membrane potential. We also observed that the broad caspase inhibitor, Z-Asp-2, 6 dichlorobenzoylmethylketone rescued pCU-induced apoptosis in U251 cells but not in 5310 cells. Immunoblot analysis of caspase-9 immunoprecipitates for Apaf-1 showed that uPAR and cathepsin B knockdown activated apoptosome complex formation in U251 cells. Downregulation of uPAR and cathepsin B also retarded nuclear translocation and interfered with DNA binding activity of CREB in both U251 and 5310 cells. Further western blotting analysis demonstrated that downregulation of uPAR and cathepsin B significantly decreased expression of the signaling molecules p-PDGFR-beta, p-PI3K and p-Akt. An increase in the number of TUNEL positive cells, increased Bax expression, and decreased Bcl-2 expression in nude mice brain tumor sections and brain tissue lysates confirm our in vitro results. CONCLUSIONS/SIGNIFICANCE: In conclusion, RNAi-mediated downregulation of uPAR and cathepsin B initiates caspase-dependent mitochondrial apoptosis in U251 cells and caspase-independent mitochondrial apoptosis in 5310 cells. Thus, targeting uPAR and cathepsin B-mediated signaling using siRNA may serve as a novel therapeutic strategy for the treatment of gliomas. PMID- 21060834 TI - Polycomb CBX7 directly controls trimethylation of histone H3 at lysine 9 at the p16 locus. AB - BACKGROUND: H3K9 trimethylation (H3K9me3) and binding of PcG repressor complex-1 (PRC1) may play crucial roles in the epigenetic silencing of the p16 gene. However, the mechanism of the initiation of this trimethylation is unknown. METHODOLOGY/PRINCIPAL FINDINGS: In the present study, we found that upregulating the expression of PRC1 component Cbx7 in gastric cancer cell lines MGC803 and BGC823 led to significantly suppress the expression of genes within the p16-Arf p15 locus. H3K9me3 formation was observed at the p16 promoter and Regulatory Domain (RD). CBX7 and SUV39H2 binding to these regions were also detectable in the CBX7-stably upregulated cells. CBX7-SUV39H2 complexes were observed within nucleus in bimolecular fluorescence complementation assay (BiFC). Mutations of the chromodomain or deletion of Pc-box abolished the CBX7-binding and H3K9me3 formation, and thus partially repressed the function of CBX7. SiRNA-knockdown of Suv39h2 blocked the repressive effect of CBX7 on p16 transcription. Moreover, we found that expression of CBX7 in gastric carcinoma tissues with p16 methylation was significantly lower than that in their corresponding normal tissues, which showed a negative correlation with transcription of p16 in gastric mucosa. CONCLUSION/SIGNIFICANCE: These results demonstrated for the first time, to our knowledge, that CBX7 could initiate H3K9me3 formation at the p16 promoter. PMID- 21060835 TI - HIV-infected former plasma donors in rural Central China: from infection to survival outcomes, 1985-2008. AB - BACKGROUND: The HIV epidemic among former plasma donors (FPDs) in rural Central China in the early-mid 1990s is likely the largest known HIV-infected cohort in the world related to commercial plasma donation but has never been fully described. The objectives of this study are to estimate the timing and geographic spread of HIV infection in this cohort and to demonstrate the impact of antiretroviral therapy on survival outcomes. METHODOLOGY/PRINCIPAL FINDINGS: HIV infected FPDs were identified using the national HIV epidemiology and treatment databases. Locations of subjects were mapped. Dates of infection and survival were estimated using the midpoint date between initial-final plasma donation dates from 1985-2008 among those with plasma donation windows <=2 years. Among 37,084 FPDs in the two databases, 36,110 were included. 95% were located in focal areas of Henan Province and adjacent areas of surrounding provinces. Midpoint year between initial-final plasma donation dates was 1994 among FPDs with known donation dates. Median survival from infection to AIDS was 11.8 years and, among those not treated, 1.6 years from AIDS to death. Among those on treatment, 71% were still alive after five years. Using Cox proportional hazard modeling, untreated AIDS patients were 4.9 times (95% confidence interval 4.6-5.2) more likely to die than those on treatment. CONCLUSIONS/SIGNIFICANCE: The epidemic of HIV-infected FPD in China was not widespread throughout China but rather was centered in Henan Province and the adjacent areas of surrounding provinces. Even in these areas, infections were concentrated in focal locations. Overall, HIV infections in this cohort peaked in 1994, with median survival of 13.4 years from infection to death among those not treated. Among AIDS patients on treatment, 71% were still alive after five years. PMID- 21060836 TI - Obesity reduces bone density associated with activation of PPARgamma and suppression of Wnt/beta-catenin in rapidly growing male rats. AB - BACKGROUND: It is well established that excessive consumption of a high fat diet (HFD) results in obesity; however, the consequences of obesity on postnatal skeletal development have not been well studied. METHODOLOGY AND PRINCIPAL FINDINGS: Total enteral nutrition (TEN) was used to feed postnatal day 27 male rats intragastrically with a high 45% fat diet (HFD) for four weeks to induce obesity. Fat mass was increased compared to rats fed TEN diets containing 25% fat (medium fat diet, MFD) or a chow diet (low fat diet, LFD) fed ad libitum with matched body weight gains. Serum leptin and total non-esterified fatty acids (NEFA) were elevated in HFD rats, which also had reduced bone mass compared to LFD-fed animals. This was accompanied by decreases in bone formation, but increases in the bone resorption. Bone marrow adiposity and expression of adipogenic genes, PPARgamma and aP2 were increased, whereas osteoblastogenic markers osteocalcin and Runx2 were decreased, in bone in HFD rats compared to LFD controls. The diversion of stromal cell differentiation in response to HFD stemmed from down-regulation of the key canonical Wnt signaling molecule beta catenin protein and reciprocal up-regulation of nuclear PPARgamma expression in bone. In a set of in vitro studies using pluripotent ST2 bone marrow mesenchymal stromal cells treated with serum from rats on the different diets or using the free fatty acid composition of NEFA quantified in rat serum from HFD-fed animals by GC-MS, we were able to recapitulate our in vivo findings. CONCLUSIONS/SIGNIFICANCE: These observations strongly suggest that increased NEFA in serum from rats made obese by HFD-feeding impaired bone formation due to stimulation of bone marrow adipogenesis. These effects of obesity on bone in early life may result in impaired attainment of peak bone mass and therefore increase the prevalence of osteoporosis later on in life. PMID- 21060837 TI - Identification and optimization of classifier genes from multi-class earthworm microarray dataset. AB - Monitoring, assessment and prediction of environmental risks that chemicals pose demand rapid and accurate diagnostic assays. A variety of toxicological effects have been associated with explosive compounds TNT and RDX. One important goal of microarray experiments is to discover novel biomarkers for toxicity evaluation. We have developed an earthworm microarray containing 15,208 unique oligo probes and have used it to profile gene expression in 248 earthworms exposed to TNT, RDX or neither. We assembled a new machine learning pipeline consisting of several well-established feature filtering/selection and classification techniques to analyze the 248-array dataset in order to construct classifier models that can separate earthworm samples into three groups: control, TNT-treated, and RDX treated. First, a total of 869 genes differentially expressed in response to TNT or RDX exposure were identified using a univariate statistical algorithm of class comparison. Then, decision tree-based algorithms were applied to select a subset of 354 classifier genes, which were ranked by their overall weight of significance. A multiclass support vector machine (MC-SVM) method and an unsupervised K-mean clustering method were applied to independently refine the classifier, producing a smaller subset of 39 and 30 classifier genes, separately, with 11 common genes being potential biomarkers. The combined 58 genes were considered the refined subset and used to build MC-SVM and clustering models with classification accuracy of 83.5% and 56.9%, respectively. This study demonstrates that the machine learning approach can be used to identify and optimize a small subset of classifier/biomarker genes from high dimensional datasets and generate classification models of acceptable precision for multiple classes. PMID- 21060838 TI - Exploring the use of cytochrome oxidase c subunit 1 (COI) for DNA barcoding of free-living marine nematodes. AB - BACKGROUND: The identification of free-living marine nematodes is difficult because of the paucity of easily scorable diagnostic morphological characters. Consequently, molecular identification tools could solve this problem. Unfortunately, hitherto most of these tools relied on 18S rDNA and 28S rDNA sequences, which often lack sufficient resolution at the species level. In contrast, only a few mitochondrial COI data are available for free-living marine nematodes. Therefore, we investigate the amplification and sequencing success of two partitions of the COI gene, the M1-M6 barcoding region and the I3-M11 partition. METHODOLOGY: Both partitions were analysed in 41 nematode species from a wide phylogenetic range. The taxon specific primers for the I3-M11 partition outperformed the universal M1-M6 primers in terms of amplification success (87.8% vs. 65.8%, respectively) and produced a higher number of bidirectional COI sequences (65.8% vs 39.0%, respectively). A threshold value of 5% K2P genetic divergence marked a clear DNA barcoding gap separating intra- and interspecific distances: 99.3% of all interspecific comparisons were >0.05, while 99.5% of all intraspecific comparisons were <0.05 K2P distance. CONCLUSION: The I3-M11 partition reliably identifies a wide range of marine nematodes, and our data show the need for a strict scrutiny of the obtained sequences, since contamination, nuclear pseudogenes and endosymbionts may confuse nematode species identification by COI sequences. PMID- 21060839 TI - Diclofenac hypersensitivity: antibody responses to the parent drug and relevant metabolites. AB - BACKGROUND: Hypersensitivity reactions against nonsteroidal antiinflammatory drugs (NSAIDs) like diclofenac (DF) can manifest as Type I-like allergic reactions including systemic anaphylaxis. However, except for isolated case studies experimental evidence for an IgE-mediated pathomechanism of DF hypersensitivity is lacking. In this study we aimed to investigate the possible involvement of drug- and/or metabolite-specific antibodies in selective DF hypersensitivity. METHODOLOGY/PRINCIPAL FINDINGS: DF, an organochemically synthesized linkage variant, and five major Phase I metabolites were covalently coupled to carrier proteins. Drug conjugates were analyzed for coupling degree and capacity to crosslink receptor-bound IgE antibodies from drug-sensitized mice. With these conjugates, the presence of hapten-specific IgE antibodies was investigated in patients' samples by ELISA, mediator release assay, and basophil activation test. Production of sulfidoleukotrienes by drug conjugates was determined in PBMCs from DF-hypersensitive patients. All conjugates were shown to carry more than two haptens per carrier molecule. Immunization of mice with drug conjugates induced drug-specific IgE antibodies capable of triggering mediator release. Therefore, the conjugates are suitable tools for detection of drug specific antibodies and for determination of their anaphylactic activity. Fifty nine patients were enrolled and categorized as hypersensitive either selectively to DF or to multiple NSAIDs. In none of the patients' samples evidence for drug/metabolite-specific IgE in serum or bound to allergic effector cells was found. In contrast, a small group of patients (8/59, 14%) displayed drug/metabolite-specific IgG. CONCLUSIONS/SIGNIFICANCE: We found no evidence for an IgE-mediated effector mechanism based on haptenation of protein carriers in DF hypersensitive patients. Furthermore, a potential involvement of the most relevant metabolites in DF hypersensitivity reactions could be excluded. PMID- 21060840 TI - Cellular and molecular mechanisms underlying the strong neonatal IL-12 response of lamb mesenteric lymph node cells to R-848. AB - BACKGROUND: Comparative studies on the response of neonates and adults to TLR stimulation have been almost exclusively limited to comparisons of human neonatal cord blood cells with peripheral blood from adults, and analyses of spleen cell responses in mice. We need to extend these studies and gain further information regarding such responses at mucosal sites. METHODOLOGY/PRINCIPAL FINDINGS: We used sheep as a large animal model to study TLR agonist responses in the lymph nodes draining the intestine, an organ that must adapt to profound changes after birth. In response to the imidazoquinoline compound R-848, neonatal mesenteric lymph node (MLN) and spleen cells produced more IL-12 and, consequently, more IFNgamma than their adult counterparts. This difference was age-related for both organs, but the preferential IL-12 response decreased more rapidly in the MLN, with young animals producing similar amounts of this cytokine to adults, from the age of 20 days onwards. Intracellular assays and depletion experiments identified CD14(+)CD11b(+)CD40(+) cells as the main producer of IL-12. These cells accounted for a greater proportion of neonatal than of adult MLN cells, and also produced, in direct response to R-848, more IL-12 after isolation. This strong IL-12 response in neonates occurred despite the production of larger amounts of the regulatory cytokine IL-10 and the stronger upregulation of SOCS-1 and SOCS-3 mRNA levels than in adult cells, and was correlated with an increase in p38/MAPK phosphorylation. CONCLUSIONS/SIGNIFICANCE: This is the first attempt to decipher the mechanism by which neonatal MLN cells produce more IL-12 than adult cells in response to the TLR8 agonist R-848. CD14(+)CD11b(+)CD40(+) IL-12-producing cells were more numerous in neonate than in adult MLN cells and displayed higher intracellular responsiveness upon R-848 stimulation. This work provides relevant information for future vaccination or immunostimulation strategies targeting neonates. PMID- 21060841 TI - Point mutations in c-Myc uncouple neoplastic transformation from multiple other phenotypes in rat fibroblasts. AB - Deregulation of c-Myc (Myc) occurs in many cancers. In addition to transforming various cell types, Myc also influences additional transformation-associated cellular phenotypes including proliferation, survival, genomic instability, reactive oxygen species production, and metabolism. Although Myc is wild type in most cancers (wtMyc), it occasionally acquires point mutations in certain lymphomas. Some of these mutations confer a survival advantage despite partially attenuating proliferation and transformation. Here, we have evaluated four naturally-occurring or synthetic point mutations of Myc for their ability to affect these phenotypes, as well as to promote genomic instability, to generate reactive oxygen species and to up-regulate aerobic glycolysis and oxidative phosphorylation. Our findings indicate that many of these phenotypes are genetically and functionally independent of one another and are not necessary for transformation. Specifically, the higher rate of glucose metabolism known to be associated with wtMyc deregulation was found to be independent of transformation. One mutation (Q131R) was greatly impaired for nearly all of the studied Myc phenotypes, yet was able to retain some ability to transform. These findings indicate that, while the Myc phenotypes examined here make additive contributions to transformation, none, with the possible exception of increased reliance on extracellular glutamine for survival, are necessary for achieving this state. PMID- 21060842 TI - Analysis of chaperone mRNA expression in the adult mouse brain by meta analysis of the Allen Brain Atlas. AB - The pathology of many neurodegenerative diseases is characterized by the accumulation of misfolded and aggregated proteins in various cell types and regional substructures throughout the central and peripheral nervous systems. The accumulation of these aggregated proteins signals dysfunction of cellular protein homeostatic mechanisms such as the ubiquitin/proteasome system, autophagy, and the chaperone network. Although there are several published studies in which transcriptional profiling has been used to examine gene expression in various tissues, including tissues of neurodegenerative disease models, there has not been a report that focuses exclusively on expression of the chaperone network. In the present study, we used the Allen Brain Atlas online database to analyze chaperone expression levels. This database utilizes a quantitative in situ hybridization approach and provides data on 270 chaperone genes within many substructures of the adult mouse brain. We determined that 256 of these chaperone genes are expressed at some level. Surprisingly, relatively few genes, only 30, showed significant variations in levels of mRNA across different substructures of the brain. The greatest degree of variability was exhibited by genes of the DnaJ co-chaperone, Tetratricopeptide repeat, and the HSPH families. Our analysis provides a valuable resource towards determining how variations in chaperone gene expression may modulate the vulnerability of specific neuronal populations of mammalian brain. PMID- 21060843 TI - Tissue-specific transcriptomics of the exotic invasive insect pest emerald ash borer (Agrilus planipennis). AB - BACKGROUND: The insect midgut and fat body represent major tissue interfaces that deal with several important physiological functions including digestion, detoxification and immune response. The emerald ash borer (Agrilus planipennis), is an exotic invasive insect pest that has killed millions of ash trees (Fraxinus spp.) primarily in the Midwestern United States and Ontario, Canada. However, despite its high impact status little knowledge exists for A. planipennis at the molecular level. METHODOLOGY AND PRINCIPAL FINDINGS: Newer-generation Roche-454 pyrosequencing was used to obtain 126,185 reads for the midgut and 240,848 reads for the fat body, which were assembled into 25,173 and 37,661 high quality expressed sequence tags (ESTs) for the midgut and the fat body of A. planipennis larvae, respectively. Among these ESTs, 36% of the midgut and 38% of the fat body sequences showed similarity to proteins in the GenBank nr database. A high number of the midgut sequences contained chitin-binding peritrophin (248)and trypsin (98) domains; while the fat body sequences showed high occurrence of cytochrome P450s (85) and protein kinase (123) domains. Further, the midgut transcriptome of A. planipennis revealed putative microbial transcripts encoding for cell-wall degrading enzymes such as polygalacturonases and endoglucanases. A significant number of SNPs (137 in midgut and 347 in fat body) and microsatellite loci (317 in midgut and 571 in fat body) were predicted in the A. planipennis transcripts. An initial assessment of cytochrome P450s belonging to various CYP clades revealed distinct expression patterns at the tissue level. CONCLUSIONS AND SIGNIFICANCE: To our knowledge this study is one of the first to illuminate tissue-specific gene expression in an invasive insect of high ecological and economic consequence. These findings will lay the foundation for future gene expression and functional studies in A. planipennis. PMID- 21060844 TI - Populations of radial glial cells respond differently to reelin and neuregulin1 in a ferret model of cortical dysplasia. AB - Radial glial cells play an essential role during corticogenesis through their function as neural precursors and guides of neuronal migration. Both reelin and neuregulin1 (NRG1) maintain the radial glial scaffold; they also induce expression of Brain Lipid Binding Protein (BLBP), a well known marker of radial glia. Although radial glia in normal ferrets express both vimentin and BLBP, this coexpression diverges at P3; vimentin is expressed in the radial glial processes, while BLBP appears in cells detached from the ventricular zone. Our lab developed a model of cortical dysplasia in the ferret, resulting in impaired migration of neurons into the cortical plate and disordered radial glia. This occurs after exposure to the antimitotic methylazoxymethanol (MAM) on the 24th day of development (E24). Ferrets treated with MAM on E24 result in an overall decrease of BLBP expression; radial glia that continue to express BLBP, however, show only mild disruption compared with the strongly disrupted vimentin expressing radial glia. When E24 MAM-treated organotypic slices are exposed to reelin or NRG1, the severely disrupted vimentin+ radial glial processes are repaired but the slightly disordered BLBP+ processes are not. The realignment of vimentin+ processes was linked with an increase of their BLBP expression. BLBP expressing radial glia are distinguished by being both less affected by MAM treatment and by attempts at repair. We further investigated the effects induced by reelin and found that signaling was mediated via VLDLR/Dab1/Pi3K activation while NRG1 signaling was mediated via erbB3/erbB4/Pi3K. We then tested whether radial glial repair correlated with improved neuronal migration. Repairing the radial glial scaffold is not sufficient to restore neuronal migration; although reelin improves migration of neurons toward the cortical plate signaling through ApoER2/Dab1/PI3K activation, NRG1 does not. PMID- 21060845 TI - MRE11 function in response to topoisomerase poisons is independent of its function in double-strand break repair in Saccharomyces cerevisiae. AB - Camptothecin (CPT) and etoposide (ETP) trap topoisomerase-DNA covalent intermediates, resulting in formation of DNA damage that can be cytotoxic if unrepaired. CPT and ETP are prototypes for molecules widely used in chemotherapy of cancer, so defining the mechanisms for repair of damage induced by treatment with these compounds is of great interest. In S. cerevisiae, deficiency in MRE11, which encodes a highly conserved factor, greatly enhances sensitivity to treatment with CPT or ETP. This has been thought to reflect the importance of double-strand break (DSB) repair pathways in the response to these to agents. Here we report that an S. cerevisiae strain expressing the mre11-H59A allele, mutant at a conserved active site histidine, is sensitive to hydroxyurea and also to ionizing radiation, which induces DSBs, but not to CPT or ETP. We show that TDP1, which encodes a tyrosyl-DNA phosphodiesterase activity able to release both 5'- and 3'-covalent topoisomerase-DNA complexes in vitro, contributes to ETP resistance but not CPT-resistance in the mre11-H59A background. We further show that CPT- and ETP-resistance mediated by MRE11 is independent of SAE2, and thus independent of the coordinated functions of MRE11 and SAE2 in homology-directed repair and removal of Spo11 from DNA ends in meiosis. These results identify a function for MRE11 in the response to topoisomerase poisons that is distinct from its functions in DSB repair or meiotic DNA processing. They also establish that cellular proficiency in repair of DSBs may not correlate with resistance to topoisomerase poisons, a finding with potential implications for stratification of tumors with specific DNA repair deficiencies for treatment with these compounds. PMID- 21060846 TI - Rac1 dynamics in the human opportunistic fungal pathogen Candida albicans. AB - The small Rho G-protein Rac1 is highly conserved from fungi to humans, with approximately 65% overall sequence identity in Candida albicans. As observed with human Rac1, we show that C. albicans Rac1 can accumulate in the nucleus, and fluorescence recovery after photobleaching (FRAP) together with fluorescence loss in photobleaching (FLIP) studies indicate that this Rho G-protein undergoes nucleo-cytoplasmic shuttling. Analyses of different chimeras revealed that nuclear accumulation of C. albicans Rac1 requires the NLS-motifs at its carboxyl terminus, which are blocked by prenylation of the adjacent cysteine residue. Furthermore, we show that C. albicans Rac1 dynamics, both at the plasma membrane and in the nucleus, are dependent on its activation state and in particular that the inactive form accumulates faster in the nucleus. Heterologous expression of human Rac1 in C. albicans also results in nuclear accumulation, yet accumulation is more rapid than that of C. albicans Rac1. Taken together our results indicate that Rac1 nuclear accumulation is an inherent property of this G-protein and suggest that the requirements for its nucleo-cytoplasmic shuttling are conserved from fungi to humans. PMID- 21060847 TI - Functional roles of the N- and C-terminal regions of the human mitochondrial single-stranded DNA-binding protein. AB - Biochemical studies of the mitochondrial DNA (mtDNA) replisome demonstrate that the mtDNA polymerase and the mtDNA helicase are stimulated by the mitochondrial single-stranded DNA-binding protein (mtSSB). Unlike Escherichia coli SSB, bacteriophage T7 gp2.5 and bacteriophage T4 gp32, mtSSBs lack a long, negatively charged C-terminal tail. Furthermore, additional residues at the N-terminus (notwithstanding the mitochondrial presequence) are present in the sequence of species across the animal kingdom. We sought to analyze the functional importance of the N- and C-terminal regions of the human mtSSB in the context of mtDNA replication. We produced the mature wild-type human mtSSB and three terminal deletion variants, and examined their physical and biochemical properties. We demonstrate that the recombinant proteins adopt a tetrameric form, and bind single-stranded DNA with similar affinities. They also stimulate similarly the DNA unwinding activity of the human mtDNA helicase (up to 8-fold). Notably, we find that unlike the high level of stimulation that we observed previously in the Drosophila system, stimulation of DNA synthesis catalyzed by human mtDNA polymerase is only moderate, and occurs over a narrow range of salt concentrations. Interestingly, each of the deletion variants of human mtSSB stimulates DNA synthesis at a higher level than the wild-type protein, indicating that the termini modulate negatively functional interactions with the mitochondrial replicase. We discuss our findings in the context of species specific components of the mtDNA replisome, and in comparison with various prokaryotic DNA replication machineries. PMID- 21060848 TI - Cell type-specific neuroprotective activity of untranslocated prion protein. AB - BACKGROUND: A key pathogenic role in prion diseases was proposed for a cytosolic form of the prion protein (PrP). However, it is not clear how cytosolic PrP localization influences neuronal viability, with either cytotoxic or anti apoptotic effects reported in different studies. The cellular mechanism by which PrP is delivered to the cytosol of neurons is also debated, and either retrograde transport from the endoplasmic reticulum or inefficient translocation during biosynthesis has been proposed. We investigated cytosolic PrP biogenesis and effect on cell viability in primary neuronal cultures from different mouse brain regions. PRINCIPAL FINDINGS: Mild proteasome inhibition induced accumulation of an untranslocated form of cytosolic PrP in cortical and hippocampal cells, but not in cerebellar granules. A cyclopeptolide that interferes with the correct insertion of the PrP signal sequence into the translocon increased the amount of untranslocated PrP in cortical and hippocampal cells, and induced its synthesis in cerebellar neurons. Untranslocated PrP boosted the resistance of cortical and hippocampal neurons to apoptotic insults but had no effect on cerebellar cells. SIGNIFICANCE: These results indicate cell type-dependent differences in the efficiency of PrP translocation, and argue that cytosolic PrP targeting might serve a physiological neuroprotective function. PMID- 21060849 TI - The C-terminal domain of the MutL homolog from Neisseria gonorrhoeae forms an inverted homodimer. AB - The mismatch repair (MMR) pathway serves to maintain the integrity of the genome by removing mispaired bases from the newly synthesized strand. In E. coli, MutS, MutL and MutH coordinate to discriminate the daughter strand through a mechanism involving lack of methylation on the new strand. This facilitates the creation of a nick by MutH in the daughter strand to initiate mismatch repair. Many bacteria and eukaryotes, including humans, do not possess a homolog of MutH. Although the exact strategy for strand discrimination in these organisms is yet to be ascertained, the required nicking endonuclease activity is resident in the C terminal domain of MutL. This activity is dependent on the integrity of a conserved metal binding motif. Unlike their eukaryotic counterparts, MutL in bacteria like Neisseria exist in the form of a homodimer. Even though this homodimer would possess two active sites, it still acts a nicking endonuclease. Here, we present the crystal structure of the C-terminal domain (CTD) of the MutL homolog of Neisseria gonorrhoeae (NgoL) determined to a resolution of 2.4 A. The structure shows that the metal binding motif exists in a helical configuration and that four of the six conserved motifs in the MutL family, including the metal binding site, localize together to form a composite active site. NgoL-CTD exists in the form of an elongated inverted homodimer stabilized by a hydrophobic interface rich in leucines. The inverted arrangement places the two composite active sites in each subunit on opposite lateral sides of the homodimer. Such an arrangement raises the possibility that one of the active sites is occluded due to interaction of NgoL with other protein factors involved in MMR. The presentation of only one active site to substrate DNA will ensure that nicking of only one strand occurs to prevent inadvertent and deleterious double stranded cleavage. PMID- 21060850 TI - Plasmodium berghei circumvents immune responses induced by merozoite surface protein 1- and apical membrane antigen 1-based vaccines. AB - BACKGROUND: Two current leading malaria blood-stage vaccine candidate antigens for Plasmodium falciparum, the C-terminal region of merozoite surface protein 1 (MSP1(19)) and apical membrane antigen 1 (AMA1), have been prioritized because of outstanding protective efficacies achieved in a rodent malaria Plasmodium yoelii model. However, P. falciparum vaccines based on these antigens have had disappointing outcomes in clinical trials. Discrepancies in the vaccine efficacies observed between the P. yoelii model and human clinical trials still remain problematic. METHODOLOGY AND RESULTS: In this study, we assessed the protective efficacies of a series of MSP1(19)- and AMA1-based vaccines using the P. berghei rodent malarial parasite and its transgenic models. Immunization of mice with a baculoviral-based vaccine (BBV) expressing P. falciparum MSP1(19) induced high titers of PfMSP1(19)-specific antibodies that strongly reacted with P. falciparum blood-stage parasites. However, no protection was achieved following lethal challenge with transgenic P. berghei expressing PfMSP1(19) in place of native PbMSP1(19). Similarly, neither P. berghei MSP1(19)- nor AMA1-BBV was effective against P. berghei. In contrast, immunization with P. yoelii MSP1(19)- and AMA1-BBVs provided 100% and 40% protection, respectively, against P. yoelii lethal challenge. Mice that naturally acquired sterile immunity against P. berghei became cross-resistant to P. yoelii, but not vice versa. CONCLUSION: This is the first study to address blood-stage vaccine efficacies using both P. berghei and P. yoelii models at the same time. P. berghei completely circumvents immune responses induced by MSP1(19)- and AMA1-based vaccines, suggesting that P. berghei possesses additional molecules and/or mechanisms that circumvent the host's immune responses to MSP1(19) and AMA1, which are lacking in P. yoelii. Although it is not known whether P. falciparum shares these escape mechanisms with P. berghei, P. berghei and its transgenic models may have potential as useful tools for identifying and evaluating new blood-stage vaccine candidate antigens for P. falciparum. PMID- 21060851 TI - Do seasons have an influence on the incidence of depression? The use of an internet search engine query data as a proxy of human affect. AB - BACKGROUND: Seasonal depression has generated considerable clinical interest in recent years. Despite a common belief that people in higher latitudes are more vulnerable to low mood during the winter, it has never been demonstrated that human's moods are subject to seasonal change on a global scale. The aim of this study was to investigate large-scale seasonal patterns of depression using Internet search query data as a signature and proxy of human affect. METHODOLOGY/PRINCIPAL FINDINGS: Our study was based on a publicly available search engine database, Google Insights for Search, which provides time series data of weekly search trends from January 1, 2004 to June 30, 2009. We applied an empirical mode decomposition method to isolate seasonal components of health related search trends of depression in 54 geographic areas worldwide. We identified a seasonal trend of depression that was opposite between the northern and southern hemispheres; this trend was significantly correlated with seasonal oscillations of temperature (USA: r = -0.872, p<0.001; Australia: r = -0.656, p<0.001). Based on analyses of search trends over 54 geological locations worldwide, we found that the degree of correlation between searching for depression and temperature was latitude-dependent (northern hemisphere: r = 0.686; p<0.001; southern hemisphere: r = 0.871; p<0.0001). CONCLUSIONS/SIGNIFICANCE: Our findings indicate that Internet searches for depression from people in higher latitudes are more vulnerable to seasonal change, whereas this phenomenon is obscured in tropical areas. This phenomenon exists universally across countries, regardless of language. This study provides novel, Internet-based evidence for the epidemiology of seasonal depression. PMID- 21060852 TI - An outbreak of dengue fever in St. Croix (US Virgin Islands), 2005. AB - BACKGROUND: Periodic outbreaks of dengue fever occur in the United States Virgin Islands. In June 2005, an outbreak of dengue virus (DENV) serotype-2 with cases of dengue hemorrhagic fever (DHF) was detected in St. Croix, US Virgin Islands. The objective of this report is to describe this outbreak of DENV-2 and the findings of a case-control study examining risk factors for DHF. METHODOLOGY/PRINCIPAL FINDINGS: This is the largest dengue outbreak ever recorded in St. Croix, with 331 suspected dengue cases reported island-wide during 2005 (62.2 cases/10,000 population); 54% were hospitalized, 21% had at least one hemorrhagic manifestation, 28% had thrombocytopenia, 5% had DHF and 1 patient died. Eighty-nine laboratory-positive hospitalized patients were identified. Of these, there were 15 (17%) who met the WHO criteria for DHF (cases) and 74 (83%) who did not (controls). The only variable significantly associated with DHF on bivariate or multivariable analysis was age, with an adjusted odds ratio (95% confidence interval) of 1.033 (1.003,1.064). CONCLUSIONS/SIGNIFICANCE: During this outbreak of DENV-2, a high proportion of cases developed DHF and increasing age was significantly associated with DHF. PMID- 21060853 TI - An automated phenotype-driven approach (GeneForce) for refining metabolic and regulatory models. AB - Integrated constraint-based metabolic and regulatory models can accurately predict cellular growth phenotypes arising from genetic and environmental perturbations. Challenges in constructing such models involve the limited availability of information about transcription factor--gene target interactions and computational methods to quickly refine models based on additional datasets. In this study, we developed an algorithm, GeneForce, to identify incorrect regulatory rules and gene-protein-reaction associations in integrated metabolic and regulatory models. We applied the algorithm to refine integrated models of Escherichia coli and Salmonella typhimurium, and experimentally validated some of the algorithm's suggested refinements. The adjusted E. coli model showed improved accuracy (~80.0%) for predicting growth phenotypes for 50,557 cases (knockout mutants tested for growth in different environmental conditions). In addition to identifying needed model corrections, the algorithm was used to identify native E. coli genes that, if over-expressed, would allow E. coli to grow in new environments. We envision that this approach will enable the rapid development and assessment of genome-scale metabolic and regulatory network models for less characterized organisms, as such models can be constructed from genome annotations and cis-regulatory network predictions. PMID- 21060854 TI - Informing optimal environmental influenza interventions: how the host, agent, and environment alter dominant routes of transmission. AB - Influenza can be transmitted through respirable (small airborne particles), inspirable (intermediate size), direct-droplet-spray, and contact modes. How these modes are affected by features of the virus strain (infectivity, survivability, transferability, or shedding profiles), host population (behavior, susceptibility, or shedding profiles), and environment (host density, surface area to volume ratios, or host movement patterns) have only recently come under investigation. A discrete-event, continuous-time, stochastic transmission model was constructed to analyze the environmental processes through which a virus passes from one person to another via different transmission modes, and explore which factors increase or decrease different modes of transmission. With the exception of the inspiratory route, each route on its own can cause high transmission in isolation of other modes. Mode-specific transmission was highly sensitive to parameter values. For example, droplet and respirable transmission usually required high host density, while the contact route had no such requirement. Depending on the specific context, one or more modes may be sufficient to cause high transmission, while in other contexts no transmission may result. Because of this, when making intervention decisions that involve blocking environmental pathways, generic recommendations applied indiscriminately may be ineffective; instead intervention choice should be contextualized, depending on the specific features of people, virus strain, or venue in question. PMID- 21060855 TI - Endothelial cell capture of heparin-binding growth factors under flow. AB - Circulation is an important delivery method for both natural and synthetic molecules, but microenvironment interactions, regulated by endothelial cells and critical to the molecule's fate, are difficult to interpret using traditional approaches. In this work, we analyzed and predicted growth factor capture under flow using computer modeling and a three-dimensional experimental approach that includes pertinent circulation characteristics such as pulsatile flow, competing binding interactions, and limited bioavailability. An understanding of the controlling features of this process was desired. The experimental module consisted of a bioreactor with synthetic endothelial-lined hollow fibers under flow. The physical design of the system was incorporated into the model parameters. The heparin-binding growth factor fibroblast growth factor-2 (FGF-2) was used for both the experiments and simulations. Our computational model was composed of three parts: (1) media flow equations, (2) mass transport equations and (3) cell surface reaction equations. The model is based on the flow and reactions within a single hollow fiber and was scaled linearly by the total number of fibers for comparison with experimental results. Our model predicted, and experiments confirmed, that removal of heparan sulfate (HS) from the system would result in a dramatic loss of binding by heparin-binding proteins, but not by proteins that do not bind heparin. The model further predicted a significant loss of bound protein at flow rates only slightly higher than average capillary flow rates, corroborated experimentally, suggesting that the probability of capture in a single pass at high flow rates is extremely low. Several other key parameters were investigated with the coupling between receptors and proteoglycans shown to have a critical impact on successful capture. The combined system offers opportunities to examine circulation capture in a straightforward quantitative manner that should prove advantageous for biologicals or drug delivery investigations. PMID- 21060856 TI - Numerical analysis of Ca2+ signaling in rat ventricular myocytes with realistic transverse-axial tubular geometry and inhibited sarcoplasmic reticulum. AB - The t-tubules of mammalian ventricular myocytes are invaginations of the cell membrane that occur at each Z-line. These invaginations branch within the cell to form a complex network that allows rapid propagation of the electrical signal, and hence synchronous rise of intracellular calcium (Ca(2+)). To investigate how the t-tubule microanatomy and the distribution of membrane Ca(2+) flux affect cardiac excitation-contraction coupling we developed a 3-D continuum model of Ca(2+) signaling, buffering and diffusion in rat ventricular myocytes. The transverse-axial t-tubule geometry was derived from light microscopy structural data. To solve the nonlinear reaction-diffusion system we extended SMOL software tool (http://mccammon.ucsd.edu/smol/). The analysis suggests that the quantitative understanding of the Ca(2+) signaling requires more accurate knowledge of the t-tubule ultra-structure and Ca(2+) flux distribution along the sarcolemma. The results reveal the important role for mobile and stationary Ca(2+) buffers, including the Ca(2+) indicator dye. In agreement with experiment, in the presence of fluorescence dye and inhibited sarcoplasmic reticulum, the lack of detectible differences in the depolarization-evoked Ca(2+) transients was found when the Ca(2+) flux was heterogeneously distributed along the sarcolemma. In the absence of fluorescence dye, strongly non-uniform Ca(2+) signals are predicted. Even at modest elevation of Ca(2+), reached during Ca(2+) influx, large and steep Ca(2+) gradients are found in the narrow sub-sarcolemmal space. The model predicts that the branched t-tubule structure and changes in the normal Ca(2+) flux density along the cell membrane support initiation and propagation of Ca(2+) waves in rat myocytes. PMID- 21060857 TI - Role of lipids in spheroidal high density lipoproteins. AB - We study the structure and dynamics of spherical high density lipoprotein (HDL) particles through coarse-grained multi-microsecond molecular dynamics simulations. We simulate both a lipid droplet without the apolipoprotein A-I (apoA-I) and the full HDL particle including two apoA-I molecules surrounding the lipid compartment. The present models are the first ones among computational studies where the size and lipid composition of HDL are realistic, corresponding to human serum HDL. We focus on the role of lipids in HDL structure and dynamics. Particular attention is paid to the assembly of lipids and the influence of lipid protein interactions on HDL properties. We find that the properties of lipids depend significantly on their location in the particle (core, intermediate region, surface). Unlike the hydrophobic core, the intermediate and surface regions are characterized by prominent conformational lipid order. Yet, not only the conformations but also the dynamics of lipids are found to be distinctly different in the different regions of HDL, highlighting the importance of dynamics in considering the functionalization of HDL. The structure of the lipid droplet close to the HDL-water interface is altered by the presence of apoA-Is, with most prominent changes being observed for cholesterol and polar lipids. For cholesterol, slow trafficking between the surface layer and the regimes underneath is observed. The lipid-protein interactions are strongest for cholesterol, in particular its interaction with hydrophobic residues of apoA-I. Our results reveal that not only hydrophobicity but also conformational entropy of the molecules are the driving forces in the formation of HDL structure. The results provide the first detailed structural model for HDL and its dynamics with and without apoA-I, and indicate how the interplay and competition between entropy and detailed interactions may be used in nanoparticle and drug design through self-assembly. PMID- 21060858 TI - The de novo cytosine methyltransferase DRM2 requires intact UBA domains and a catalytically mutated paralog DRM3 during RNA-directed DNA methylation in Arabidopsis thaliana. AB - Eukaryotic DNA cytosine methylation can be used to transcriptionally silence repetitive sequences, including transposons and retroviruses. This silencing is stable between cell generations as cytosine methylation is maintained epigenetically through DNA replication. The Arabidopsis thaliana Dnmt3 cytosine methyltransferase ortholog DOMAINS rearranged methyltransferase2 (DRM2) is required for establishment of small interfering RNA (siRNA) directed DNA methylation. In mammals PIWI proteins and piRNA act in a convergently evolved RNA directed DNA methylation system that is required to repress transposon expression in the germ line. De novo methylation may also be independent of RNA interference and small RNAs, as in Neurospora crassa. Here we identify a clade of catalytically mutated DRM2 paralogs in flowering plant genomes, which in A.thaliana we term domains rearranged methyltransferase3 (DRM3). Despite being catalytically mutated, DRM3 is required for normal maintenance of non-CG DNA methylation, establishment of RNA-directed DNA methylation triggered by repeat sequences and accumulation of repeat-associated small RNAs. Although the mammalian catalytically inactive Dnmt3L paralogs act in an analogous manner, phylogenetic analysis indicates that the DRM and Dnmt3 protein families diverged independently in plants and animals. We also show by site-directed mutagenesis that both the DRM2 N-terminal UBA domains and C-terminal methyltransferase domain are required for normal RNA-directed DNA methylation, supporting an essential targeting function for the UBA domains. These results suggest that plant and mammalian RNA-directed DNA methylation systems consist of a combination of ancestral and convergent features. PMID- 21060859 TI - Nasty viruses, costly plasmids, population dynamics, and the conditions for establishing and maintaining CRISPR-mediated adaptive immunity in bacteria. AB - Clustered, Regularly Interspaced Short Palindromic Repeats (CRISPR) abound in the genomes of almost all archaebacteria and nearly half the eubacteria sequenced. Through a genetic interference mechanism, bacteria with CRISPR regions carrying copies of the DNA of previously encountered phage and plasmids abort the replication of phage and plasmids with these sequences. Thus it would seem that protection against infecting phage and plasmids is the selection pressure responsible for establishing and maintaining CRISPR in bacterial populations. But is it? To address this question and provide a framework and hypotheses for the experimental study of the ecology and evolution of CRISPR, I use mathematical models of the population dynamics of CRISPR-encoding bacteria with lytic phage and conjugative plasmids. The results of the numerical (computer simulation) analysis of the properties of these models with parameters in the ranges estimated for Escherichia coli and its phage and conjugative plasmids indicate: (1) In the presence of lytic phage there are broad conditions where bacteria with CRISPR-mediated immunity will have an advantage in competition with non-CRISPR bacteria with otherwise higher Malthusian fitness. (2) These conditions for the existence of CRISPR are narrower when there is envelope resistance to the phage. (3) While there are situations where CRISPR-mediated immunity can provide bacteria an advantage in competition with higher Malthusian fitness bacteria bearing deleterious conjugative plasmids, the conditions for this to obtain are relatively narrow and the intensity of selection favoring CRISPR weak. The parameters of these models can be independently estimated, the assumption behind their construction validated, and the hypotheses generated from the analysis of their properties tested in experimental populations of bacteria with lytic phage and conjugative plasmids. I suggest protocols for estimating these parameters and outline the design of experiments to evaluate the validity of these models and test these hypotheses. PMID- 21060861 TI - Antimicrobial peptides: primeval molecules or future drugs? PMID- 21060860 TI - Common genetic variants and modification of penetrance of BRCA2-associated breast cancer. AB - The considerable uncertainty regarding cancer risks associated with inherited mutations of BRCA2 is due to unknown factors. To investigate whether common genetic variants modify penetrance for BRCA2 mutation carriers, we undertook a two-staged genome-wide association study in BRCA2 mutation carriers. In stage 1 using the Affymetrix 6.0 platform, 592,163 filtered SNPs genotyped were available on 899 young (<40 years) affected and 804 unaffected carriers of European ancestry. Associations were evaluated using a survival-based score test adjusted for familial correlations and stratified by country of the study and BRCA2*6174delT mutation status. The genomic inflation factor (lambda) was 1.011. The stage 1 association analysis revealed multiple variants associated with breast cancer risk: 3 SNPs had p-values<10(-5) and 39 SNPs had p-values<10(-4). These variants included several previously associated with sporadic breast cancer risk and two novel loci on chromosome 20 (rs311499) and chromosome 10 (rs16917302). The chromosome 10 locus was in ZNF365, which contains another variant that has recently been associated with breast cancer in an independent study of unselected cases. In stage 2, the top 85 loci from stage 1 were genotyped in 1,264 cases and 1,222 controls. Hazard ratios (HR) and 95% confidence intervals (CI) for stage 1 and 2 were combined and estimated using a retrospective likelihood approach, stratified by country of residence and the most common mutation, BRCA2*6174delT. The combined per allele HR of the minor allele for the novel loci rs16917302 was 0.75 (95% CI 0.66-0.86, ) and for rs311499 was 0.72 (95% CI 0.61-0.85, ). FGFR2 rs2981575 had the strongest association with breast cancer risk (per allele HR = 1.28, 95% CI 1.18-1.39, ). These results indicate that SNPs that modify BRCA2 penetrance identified by an agnostic approach thus far are limited to variants that also modify risk of sporadic BRCA2 wild-type breast cancer. PMID- 21060862 TI - Continuous requirement for the Clr4 complex but not RNAi for centromeric heterochromatin assembly in fission yeast harboring a disrupted RITS complex. AB - Formation of centromeric heterochromatin in fission yeast requires the combined action of chromatin modifying enzymes and small RNAs derived from centromeric transcripts. Positive feedback mechanisms that link the RNAi pathway and the Clr4/Suv39h1 histone H3K9 methyltransferase complex (Clr-C) result in requirements for H3K9 methylation for full siRNA production and for siRNA production to achieve full histone methylation. Nonetheless, it has been proposed that the Argonaute protein, Ago1, is the key initial trigger for heterochromatin assembly via its association with Dicer-independent "priRNAs." The RITS complex physically links Ago1 and the H3-K9me binding protein Chp1. Here we exploit an assay for heterochromatin assembly in which loss of silencing by deletion of RNAi or Clr-C components can be reversed by re-introduction of the deleted gene. We showed previously that a mutant version of the RITS complex (Tas3(WG)) that biochemically separates Ago1 from Chp1 and Tas3 proteins permits maintenance of heterochromatin, but prevents its formation when Clr4 is removed and re introduced. Here we show that the block occurs with mutants in Clr-C, but not mutants in the RNAi pathway. Thus, Clr-C components, but not RNAi factors, play a more critical role in assembly when the integrity of RITS is disrupted. Consistent with previous reports, cells lacking Clr-C components completely lack H3K9me2 on centromeric DNA repeats, whereas RNAi pathway mutants accumulate low levels of H3K9me2. Further supporting the existence of RNAi-independent mechanisms for establishment of centromeric heterochromatin, overexpression of clr4(+) in clr4Deltaago1Delta cells results in some de novo H3K9me2 accumulation at centromeres. These findings and our observation that ago1Delta and dcr1Delta mutants display indistinguishable low levels of H3K9me2 (in contrast to a previous report) challenge the model that priRNAs trigger heterochromatin formation. Instead, our results indicate that RNAi cooperates with RNAi independent factors in the assembly of heterochromatin. PMID- 21060864 TI - DSIF and RNA polymerase II CTD phosphorylation coordinate the recruitment of Rpd3S to actively transcribed genes. AB - Histone deacetylase Rpd3 is part of two distinct complexes: the large (Rpd3L) and small (Rpd3S) complexes. While Rpd3L targets specific promoters for gene repression, Rpd3S is recruited to ORFs to deacetylate histones in the wake of RNA polymerase II, to prevent cryptic initiation within genes. Methylation of histone H3 at lysine 36 by the Set2 methyltransferase is thought to mediate the recruitment of Rpd3S. Here, we confirm by ChIP-Chip that Rpd3S binds active ORFs. Surprisingly, however, Rpd3S is not recruited to all active genes, and its recruitment is Set2-independent. However, Rpd3S complexes recruited in the absence of H3K36 methylation appear to be inactive. Finally, we present evidence implicating the yeast DSIF complex (Spt4/5) and RNA polymerase II phosphorylation by Kin28 and Ctk1 in the recruitment of Rpd3S to active genes. Taken together, our data support a model where Set2-dependent histone H3 methylation is required for the activation of Rpd3S following its recruitment to the RNA polymerase II C terminal domain. PMID- 21060863 TI - Four novel Loci (19q13, 6q24, 12q24, and 5q14) influence the microcirculation in vivo. AB - There is increasing evidence that the microcirculation plays an important role in the pathogenesis of cardiovascular diseases. Changes in retinal vascular caliber reflect early microvascular disease and predict incident cardiovascular events. We performed a genome-wide association study to identify genetic variants associated with retinal vascular caliber. We analyzed data from four population based discovery cohorts with 15,358 unrelated Caucasian individuals, who are members of the Cohort for Heart and Aging Research in Genomic Epidemiology (CHARGE) consortium, and replicated findings in four independent Caucasian cohorts (n = 6,652). All participants had retinal photography and retinal arteriolar and venular caliber measured from computer software. In the discovery cohorts, 179 single nucleotide polymorphisms (SNP) spread across five loci were significantly associated (p<5.0*10(-8)) with retinal venular caliber, but none showed association with arteriolar caliber. Collectively, these five loci explain 1.0%-3.2% of the variation in retinal venular caliber. Four out of these five loci were confirmed in independent replication samples. In the combined analyses, the top SNPs at each locus were: rs2287921 (19q13; p = 1.61*10(-25), within the RASIP1 locus), rs225717 (6q24; p = 1.25*10(-16), adjacent to the VTA1 and NMBR loci), rs10774625 (12q24; p = 2.15*10(-13), in the region of ATXN2,SH2B3 and PTPN11 loci), and rs17421627 (5q14; p = 7.32*10(-16), adjacent to the MEF2C locus). In two independent samples, locus 12q24 was also associated with coronary heart disease and hypertension. Our population-based genome-wide association study demonstrates four novel loci associated with retinal venular caliber, an endophenotype of the microcirculation associated with clinical cardiovascular disease. These data provide further insights into the contribution and biological mechanisms of microcirculatory changes that underlie cardiovascular disease. PMID- 21060865 TI - Stress-induced activation of heterochromatic transcription. AB - Constitutive heterochromatin comprising the centromeric and telomeric parts of chromosomes includes DNA marked by high levels of methylation associated with histones modified by repressive marks. These epigenetic modifications silence transcription and ensure stable inheritance of this inert state. Although environmental cues can alter epigenetic marks and lead to modulation of the transcription of genes located in euchromatic parts of the chromosomes, there is no evidence that external stimuli can globally destabilize silencing of constitutive heterochromatin. We have found that heterochromatin-associated silencing in Arabidopsis plants subjected to a particular temperature regime is released in a genome-wide manner. This occurs without alteration of repressive epigenetic modifications and does not involve common epigenetic mechanisms. Such induced release of silencing is mostly transient, and rapid restoration of the silent state occurs without the involvement of factors known to be required for silencing initiation. Thus, our results reveal new regulatory aspects of transcriptional repression in constitutive heterochromatin and open up possibilities to identify the molecular mechanisms involved. PMID- 21060866 TI - DEB025 (Alisporivir) inhibits hepatitis C virus replication by preventing a cyclophilin A induced cis-trans isomerisation in domain II of NS5A. AB - DEB025/Debio 025 (Alisporivir) is a cyclophilin (Cyp)-binding molecule with potent anti-hepatitis C virus (HCV) activity both in vitro and in vivo. It is currently being evaluated in phase II clinical trials. DEB025 binds to CypA, a peptidyl-prolyl cis-trans isomerase which is a crucial cofactor for HCV replication. Here we report that it was very difficult to select resistant replicons (genotype 1b) to DEB025, requiring an average of 20 weeks (four independent experiments), compared to the typically <2 weeks with protease or polymerase inhibitors. This indicates a high genetic barrier to resistance for DEB025. Mutation D320E in NS5A was the only mutation consistently selected in the replicon genome. This mutation alone conferred a low-level (3.9-fold) resistance. Replacing the NS5A gene (but not the NS5B gene) from the wild type (WT) genome with the corresponding sequence from the DEB025(res) replicon resulted in transfer of resistance. Cross-resistance with cyclosporine A (CsA) was observed, whereas NS3 protease and NS5B polymerase inhibitors retained WT-activity against DEB025(res) replicons. Unlike WT, DEB025(res) replicon replicated efficiently in CypA knock down cells. However, DEB025 disrupted the interaction between CypA and NS5A regardless of whether the NS5A protein was derived from WT or DEB025(res) replicon. NMR titration experiments with peptides derived from the WT or the DEB025(res) domain II of NS5A corroborated this observation in a quantitative manner. Interestingly, comparative NMR studies on two 20-mer NS5A peptides that contain D320 or E320 revealed a shift in population between the major and minor conformers. These data suggest that D320E conferred low-level resistance to DEB025 probably by reducing the need for CypA-dependent isomerisation of NS5A. Prolonged DEB025 treatment and multiple genotypic changes may be necessary to generate significant resistance to DEB025, underlying the high barrier to resistance. PMID- 21060867 TI - cis-Urocanic acid attenuates acute dextran sodium sulphate-induced intestinal inflammation. AB - On exposure to sunlight, urocanic acid (UCA) in the skin is converted from trans to the cis form and distributed systemically where it confers systemic immunosuppression. The aim of this study was to determine if administration of cis-UCA would be effective in attenuating colitis and the possible role of IL-10. Colitis was induced in 129/SvEv mice by administering 5% dextran sodium sulfate (DSS) for 7 days in drinking water. During this period mice received daily subcutaneously injections of cis-UCA or vehicle. To examine a role for IL-10, 129/SvEv IL-10(-/-) mice were injected for 24 days with cis-UCA or vehicle. Clinical disease was assessed by measurement of body weight, stool consistency, and presence of blood. At sacrifice, colonic tissue was collected for histology and measurement of myeloperoxidase and cytokines. Splenocytes were analyzed for CD4+CD25+FoxP3+ T-regulatory cells via flow cytometry. Murine bone-marrow derived antigen-presenting cells were treated with lipopolysaccharide (LPS) +/- UCA and cytokine secretion measured. Our results demonstrated that cis-UCA at a dose of 50 ug was effective in ameliorating DSS-induced colitis as evidenced by reduced weight loss and attenuated changes in colon weight/length. This protection was associated with reduced colonic expression of CXCL1, an increased expression of IL-17A and a significant preservation of splenic CD4+CD25+FoxP3+ T-regulatory cells. cis-UCA decreased LPS induced CXCL1, but not TNFalpha secretion, from antigen-presenting cells in vitro. UCA reduced colonic levels of IFNgamma in IL 10(-/-) mice but did not attenuate colitis. In conclusion, this study demonstrates that cis-urocanic acid is effective in reducing the severity of colitis in a chemically-induced mouse model, indicating that pathways induced by ultraviolet radiation to the skin can influence distal sites of inflammation. This provides further evidence for a possible role for sunlight exposure in modulating inflammatory disorders. PMID- 21060868 TI - Misregulated E-cadherin expression associated with an aggressive brain tumor phenotype. AB - BACKGROUND: Cadherins are essential components of the adherens junction complexes that mediate cell-cell adhesion and regulate cell motility. During tissue morphogenesis, changes in cadherin expression (known as cadherin switching) are a common mechanism for altering cell fate. Cadherin switching is also common during epithelial tumor progression, where it is thought to promote tumor invasion and metastasis. E-cadherin is the predominant cadherin expressed in epithelial tissues, but its expression is very limited in normal brain. METHODOLOGY/PRINCIPAL FINDINGS: We identified E-cadherin expression in a retrospective series of glioblastomas exhibiting epithelial or pseudoepithelial differentiation. Unlike in epithelial tissues, E-cadherin expression in gliomas correlated with an unfavorable clinical outcome. Western blotting of two panels of human GBM cell lines propagated either as xenografts in nude mice or grown under conventional cell culture conditions confirmed that E-cadherin expression is rare. However, a small number of xenograft lines did express E-cadherin, its expression correlating with increased invasiveness when the cells were implanted orthotopically in mouse brain. In the conventionally cultured SF767 glioma cell line, E-cadherin expression was localized throughout the plasma membrane rather than being restricted to areas of cell-cell contact. ShRNA knockdown of E cadherin in these cells resulted in decreased proliferation and migration in vitro. CONCLUSIONS/SIGNIFICANCE: Our data shows an unexpected correlation between the abnormal expression of E-cadherin in a subset of GBM tumor cells and the growth and migration of this aggressive brain tumor subtype. PMID- 21060869 TI - A synthetic adjuvant to enhance and expand immune responses to influenza vaccines. AB - Safe, effective adjuvants that enhance vaccine potency, including induction of neutralizing Abs against a broad range of variant strains, is an important strategy for the development of seasonal influenza vaccines which can provide optimal protection, even during seasons when available vaccines are not well matched to circulating viruses. We investigated the safety and ability of Glucopyranosyl Lipid Adjuvant-Stable Emulsion (GLA-SE), a synthetic Toll-like receptor (TLR)4 agonist formulation, to adjuvant Fluzone(r) in mice and non-human primates. The GLA-SE adjuvanted Fluzone vaccine caused no adverse reactions, increased the induction of T helper type 1 (T(H)1)-biased cytokines such as IFNgamma, TNF and IL-2, and broadened serological responses against drifted A/H1N1 and A/H3N2 influenza variants. These results suggest that synthetic TLR4 adjuvants can enhance the magnitude and quality of protective immunity induced by influenza vaccines. PMID- 21060870 TI - Beyond the fragmentation threshold hypothesis: regime shifts in biodiversity across fragmented landscapes. AB - Ecological systems are vulnerable to irreversible change when key system properties are pushed over thresholds, resulting in the loss of resilience and the precipitation of a regime shift. Perhaps the most important of such properties in human-modified landscapes is the total amount of remnant native vegetation. In a seminal study Andren proposed the existence of a fragmentation threshold in the total amount of remnant vegetation, below which landscape-scale connectivity is eroded and local species richness and abundance become dependent on patch size. Despite the fact that species patch-area effects have been a mainstay of conservation science there has yet to be a robust empirical evaluation of this hypothesis. Here we present and test a new conceptual model describing the mechanisms and consequences of biodiversity change in fragmented landscapes, identifying the fragmentation threshold as a first step in a positive feedback mechanism that has the capacity to impair ecological resilience, and drive a regime shift in biodiversity. The model considers that local extinction risk is defined by patch size, and immigration rates by landscape vegetation cover, and that the recovery from local species losses depends upon the landscape species pool. Using a unique dataset on the distribution of non-volant small mammals across replicate landscapes in the Atlantic forest of Brazil, we found strong evidence for our model predictions--that patch-area effects are evident only at intermediate levels of total forest cover, where landscape diversity is still high and opportunities for enhancing biodiversity through local management are greatest. Furthermore, high levels of forest loss can push native biota through an extinction filter, and result in the abrupt, landscape-wide loss of forest-specialist taxa, ecological resilience and management effectiveness. The proposed model links hitherto distinct theoretical approaches within a single framework, providing a powerful tool for analysing the potential effectiveness of management interventions. PMID- 21060871 TI - Synphilin-1 enhances alpha-synuclein aggregation in yeast and contributes to cellular stress and cell death in a Sir2-dependent manner. AB - BACKGROUND: Parkinson's disease is characterized by the presence of cytoplasmic inclusions, known as Lewy bodies, containing both aggregated alpha-synuclein and its interaction partner, synphilin-1. While synphilin-1 is known to accelerate inclusion formation by alpha-synuclein in mammalian cells, its effect on cytotoxicity remains elusive. METHODOLOGY/PRINCIPAL FINDINGS: We expressed wild type synphilin-1 or its R621C mutant either alone or in combination with alpha synuclein in the yeast Saccharomyces cerevisiae and monitored the intracellular localization and inclusion formation of the proteins as well as the repercussions on growth, oxidative stress and cell death. We found that wild-type and mutant synphilin-1 formed inclusions and accelerated inclusion formation by alpha synuclein in yeast cells, the latter being correlated to enhanced phosphorylation of serine-129. Synphilin-1 inclusions co-localized with lipid droplets and endomembranes. Consistently, we found that wild-type and mutant synphilin-1 interacts with detergent-resistant membrane domains, known as lipid rafts. The expression of synphilin-1 did not incite a marked growth defect in exponential cultures, which is likely due to the formation of aggresomes and the retrograde transport of inclusions from the daughter cells back to the mother cells. However, when the cultures approached stationary phase and during subsequent ageing of the yeast cells, both wild-type and mutant synphilin-1 reduced survival and triggered apoptotic and necrotic cell death, albeit to a different extent. Most interestingly, synphilin-1 did not trigger cytotoxicity in ageing cells lacking the sirtuin Sir2. This indicates that the expression of synphilin-1 in wild-type cells causes the deregulation of Sir2-dependent processes, such as the maintenance of the autophagic flux in response to nutrient starvation. CONCLUSIONS/SIGNIFICANCE: Our findings demonstrate that wild-type and mutant synphilin-1 are lipid raft interacting proteins that form inclusions and accelerate inclusion formation of alpha-synuclein when expressed in yeast. Synphilin-1 thereby induces cytotoxicity, an effect most pronounced for the wild type protein and mediated via Sir2-dependent processes. PMID- 21060872 TI - Epistasis among Drosophila persimilis factors conferring hybrid male sterility with D. pseudoobscura bogotana. AB - The Bateson-Dobzhansky-Muller model posits that hybrid incompatibilities result from genetic changes that accumulate during population divergence. Indeed, much effort in recent years has been devoted to identifying genes associated with hybrid incompatibilities, often with limited success, suggesting that hybrid sterility and inviability are frequently caused by complex interactions between multiple loci and not by single or a small number of gene pairs. Our previous study showed that the nature of epistasis between sterility-conferring QTL in the Drosophila persimilis-D. pseudoobscura bogotana species pair is highly specific. Here, we further dissect one of the three QTL underlying hybrid male sterility between these species and provide evidence for multiple factors within this QTL. This result indicates that the number of loci thought to contribute to hybrid dysfunction may have been underestimated, and we discuss how linkage and complex epistasis may be characteristic of the genetics of hybrid incompatibilities. We further pinpoint the location of one locus that confers hybrid male sterility when homozygous, dubbed "mule-like", to roughly 250 kilobases. PMID- 21060873 TI - Global analysis of circulating immune cells by matrix-assisted laser desorption ionization time-of-flight mass spectrometry. AB - BACKGROUND: MALDI-TOF mass spectrometry is currently used in microbiological diagnosis to characterize bacterial populations. Our aim was to determine whether this technique could be applied to intact eukaryotic cells, and in particular, to cells involved in the immune response. METHODOLOGY/PRINCIPAL FINDINGS: A comparison of frozen monocytes, T lymphocytes and polymorphonuclear leukocytes revealed specific peak profiles. We also found that twenty cell types had specific profiles, permitting the establishment of a cell database. The circulating immune cells, namely monocytes, T lymphocytes and polymorphonuclear cells, were distinct from tissue immune cells such as monocyte-derived macrophages and dendritic cells. In addition, MALDI-TOF mass spectrometry was valuable to easily identify the signatures of monocytes and T lymphocytes in peripheral mononuclear cells. CONCLUSIONS/SIGNIFICANCE: This method was rapid and easy to perform, and unlike flow cytometry, it did not require any additional components such as specific antibodies. The MALDI-TOF mass spectrometry approach could be extended to analyze the cell composition of tissues and the activation state of immune cells. PMID- 21060874 TI - Selective chemokine receptor usage by central nervous system myeloid cells in CCR2-red fluorescent protein knock-in mice. AB - BACKGROUND: Monocyte subpopulations distinguished by differential expression of chemokine receptors CCR2 and CX3CR1 are difficult to track in vivo, partly due to lack of CCR2 reagents. METHODOLOGY/PRINCIPAL FINDINGS: We created CCR2-red fluorescent protein (RFP) knock-in mice and crossed them with CX3CR1-GFP mice to investigate monocyte subset trafficking. In mice with experimental autoimmune encephalomyelitis, CCR2 was critical for efficient intrathecal accumulation and localization of Ly6C(hi)/CCR2(hi) monocytes. Surprisingly, neutrophils, not Ly6C(lo) monocytes, largely replaced Ly6C(hi) cells in the central nervous system of these mice. CCR2-RFP expression allowed the first unequivocal distinction between infiltrating monocytes/macrophages from resident microglia. CONCLUSION/SIGNIFICANCE: These results refine the concept of monocyte subsets, provide mechanistic insight about monocyte entry into the central nervous system, and present a novel model for imaging and quantifying inflammatory myeloid populations. PMID- 21060875 TI - Replication factor C complexes play unique pro- and anti-establishment roles in sister chromatid cohesion. AB - Recent studies have lead to a rapid expansion of sister chromatid cohesion pathways. Of particular interest is the growth in classifications of anti establishment factors-now including those that are cohesin-associated (Rad61/WAPL and Pds5) or DNA replication fork-associated (Elg1-RFC). In this study, we show that the two classes of anti-establishment complexes are indistinguishable when challenged both genetically and functionally. These findings suggest that both classes function in a singular pathway that is centered on Ctf7/Eco1 (herein termed Ctf7) regulation. The anti-establishment activity of Elg1-RFC complex is particular intriguing given that an alternate Ctf18-RFC complex exhibits robust pro-establishment activity. Here, we provide several lines of evidence, including the use of Ctf7 bypass suppressors, indicating that these activities are not simply antagonistic. Moreover, the results suggest that Ctf18-RFC is capable of promoting sister chromatid pairing reactions independent of Ctf7. The combination of these studies suggest a new model of sister chromatid pairing regulation. PMID- 21060876 TI - A comparative analysis of gene-expression data of multiple cancer types. AB - A comparative study of public gene-expression data of seven types of cancers (breast, colon, kidney, lung, pancreatic, prostate and stomach cancers) was conducted with the aim of deriving marker genes, along with associated pathways, that are either common to multiple types of cancers or specific to individual cancers. The analysis results indicate that (a) each of the seven cancer types can be distinguished from its corresponding control tissue based on the expression patterns of a small number of genes, e.g., 2, 3 or 4; (b) the expression patterns of some genes can distinguish multiple cancer types from their corresponding control tissues, potentially serving as general markers for all or some groups of cancers; (c) the proteins encoded by some of these genes are predicted to be blood secretory, thus providing potential cancer markers in blood; (d) the numbers of differentially expressed genes across different cancer types in comparison with their control tissues correlate well with the five-year survival rates associated with the individual cancers; and (e) some metabolic and signaling pathways are abnormally activated or deactivated across all cancer types, while other pathways are more specific to certain cancers or groups of cancers. The novel findings of this study offer considerable insight into these seven cancer types and have the potential to provide exciting new directions for diagnostic and therapeutic development. PMID- 21060877 TI - Toxic but drank: gustatory aversive compounds induce post-ingestional malaise in harnessed honeybees. AB - BACKGROUND: Deterrent substances produced by plants are relevant due to their potential toxicity. The fact that most of these substances have an unpalatable taste for humans and other mammals contrasts with the fact that honeybees do not reject them in the range of concentrations in which these compounds are present in flower nectars. Here we asked whether honeybees detect and ingest deterrent substances and whether these substances are really toxic to them. RESULTS: We show that pairing aversive substances with an odor retards learning of this odor when it is subsequently paired with sucrose. Harnessed honeybees in the laboratory ingest without reluctance a considerable volume (20 ul) of various aversive substances, even if some of them induce significant post-ingestional mortality. These substances do not seem, therefore, to be unpalatable to harnessed bees but induce a malaise-like state that in some cases results in death. Consistently with this finding, bees learning that one odor is associated with sugar, and experiencing in a subsequent phase that the sugar was paired with 20 ul of an aversive substance (devaluation phase), respond less than control bees to the odor and the sugar. Such stimulus devaluation can be accounted for by the malaise-like state induced by the aversive substances. CONCLUSION: Our results indicate that substances that taste bitter to humans as well as concentrated saline solutions base their aversive effect on the physiological consequences that their ingestion generates in harnessed bees rather than on an unpalatable taste. This conclusion is only valid for harnessed bees in the laboratory as freely-moving bees might react differently to aversive compounds could actively reject aversive substances. Our results open a new possibility to study conditioned taste aversion based on post-ingestional malaise and thus broaden the spectrum of aversive learning protocols available in honeybees. PMID- 21060878 TI - Splice isoforms of the polyglutamine disease protein ataxin-3 exhibit similar enzymatic yet different aggregation properties. AB - Protein context clearly influences neurotoxicity in polyglutamine diseases, but the contribution of alternative splicing to this phenomenon has rarely been investigated. Ataxin-3, a deubiquitinating enzyme and the disease protein in SCA3, is alternatively spliced to encode either a C-terminal hydrophobic stretch or a third ubiquitin interacting motif (termed 2UIM and 3UIM isoforms, respectively). In light of emerging insights into ataxin-3 function, we examined the significance of this splice variation. We confirmed neural expression of several minor 5' variants and both of the known 3' ataxin-3 splice variants. Regardless of polyglutamine expansion, 3UIM ataxin-3 is the predominant isoform in brain. Although 2UIM and 3UIM ataxin-3 display similar in vitro deubiquitinating activity, 2UIM ataxin-3 is more prone to aggregate and more rapidly degraded by the proteasome. Our data demonstrate how alternative splicing of sequences distinct from the trinucleotide repeat can alter properties of the encoded polyglutamine disease protein and thereby perhaps contribute to selective neurotoxicity. PMID- 21060879 TI - Evidence of a louse-borne outbreak involving typhus in Douai, 1710-1712 during the war of Spanish succession. AB - BACKGROUND: The new field of paleomicrobiology allows past outbreaks to be identified by testing dental pulp of human remains with PCR. METHODS: We identified a mass grave in Douai, France dating from the early XVIII(th) century. This city was besieged during the European war of Spanish succession. We tested dental pulp from 1192 teeth (including 40 from Douai) by quantitative PCR (qPCR) for R. prowazekii and B. quintana. We also used ultra-sensitive suicide PCR to detect R. prowazekii and genotyped positive samples. RESULTS AND DISCUSSION: In the Douai remains, we identified one case of B. quintana infection (by qPCR) and R. prowazekii (by suicide PCR) in 6/21 individuals (29%). The R. prowazekii was genotype B, a genotype previously found in a Spanish isolate obtained in the first part of the XX(th) century. CONCLUSION: Louse-borne outbreaks were raging during the XVIII(th) century; our results support the hypothesis that typhus was imported into Europe by Spanish soldiers from America. PMID- 21060880 TI - A novel side-chain orientation dependent potential derived from random-walk reference state for protein fold selection and structure prediction. AB - BACKGROUND: An accurate potential function is essential to attack protein folding and structure prediction problems. The key to developing efficient knowledge based potential functions is to design reference states that can appropriately counteract generic interactions. The reference states of many knowledge-based distance-dependent atomic potential functions were derived from non-interacting particles such as ideal gas, however, which ignored the inherent sequence connectivity and entropic elasticity of proteins. METHODOLOGY: We developed a new pair-wise distance-dependent, atomic statistical potential function (RW), using an ideal random-walk chain as reference state, which was optimized on CASP models and then benchmarked on nine structural decoy sets. Second, we incorporated a new side-chain orientation-dependent energy term into RW (RWplus) and found that the side-chain packing orientation specificity can further improve the decoy recognition ability of the statistical potential. SIGNIFICANCE: RW and RWplus demonstrate a significantly better ability than the best performing pair-wise distance-dependent atomic potential functions in both native and near-native model selections. It has higher energy-RMSD and energy-TM-score correlations compared with other potentials of the same type in real-life structure assembly decoys. When benchmarked with a comprehensive list of publicly available potentials, RW and RWplus shows comparable performance to the state-of-the-art scoring functions, including those combining terms from multiple resources. These data demonstrate the usefulness of random-walk chain as reference states which correctly account for sequence connectivity and entropic elasticity of proteins. It shows potential usefulness in structure recognition and protein folding simulations. The RW and RWplus potentials, as well as the newly generated I TASSER decoys, are freely available in http://zhanglab.ccmb.med.umich.edu/RW. PMID- 21060881 TI - Antiangiogenic activity of 2-deoxy-D-glucose. AB - BACKGROUND: During tumor angiogenesis, endothelial cells (ECs) are engaged in a number of energy consuming biological processes, such as proliferation, migration, and capillary formation. Since glucose uptake and metabolism are increased to meet this energy need, the effects of the glycolytic inhibitor 2 deoxy-D-glucose (2-DG) on in vitro and in vivo angiogenesis were investigated. METHODOLOGY/PRINCIPAL FINDINGS: In cell culture, 2-DG inhibited EC growth, induced cytotoxicity, blocked migration, and inhibited actively forming but not established endothelial capillaries. Surprisingly, 2-DG was a better inhibitor of these EC properties than two more efficacious glycolytic inhibitors, 2 fluorodeoxy-D-glucose and oxamate. As an alternative to a glycolytic inhibitory mechanism, we considered 2-DG's ability to interfere with endothelial N-linked glycosylation. 2-DG's effects were reversed by mannose, an N-linked glycosylation precursor, and at relevant concentrations 2-DG also inhibited synthesis of the lipid linked oligosaccharide (LLO) N-glycosylation donor in a mannose-reversible manner. Inhibition of LLO synthesis activated the unfolded protein response (UPR), which resulted in induction of GADD153/CHOP and EC apoptosis (TUNEL assay). Thus, 2-DG's effects on ECs appeared primarily due to inhibition of LLOs synthesis, not glycolysis. 2-DG was then evaluated in two mouse models, inhibiting angiogenesis in both the matrigel plug assay and the LH(BETA)T(AG) transgenic retinoblastoma model. CONCLUSIONS/SIGNIFICANCE: In conclusion, 2-DG inhibits endothelial cell angiogenesis in vitro and in vivo, at concentrations below those affecting tumor cells directly, most likely by interfering with N linked glycosylation rather than glycolysis. Our data underscore the importance of glucose metabolism on neovascularization, and demonstrate a novel approach for anti-angiogenic strategies. PMID- 21060884 TI - Balanced dopamine is critical for pattern completion during associative memory recall. AB - Pattern completion, the ability to retrieve complete memories initiated by partial cues, is a critical feature of the memory process. However, little is known regarding the molecular and cellular mechanisms underlying this process. To study the role of dopamine in memory recall, we have analyzed dopamine transporter heterozygous knockout mice (DAT(+/-)), and found that while these mice possess normal learning, consolidation, and memory recall under full cue conditions, they exhibit specific deficits in pattern completion under partial cue condition. This form of memory recall deficit in the dopamine transporter heterozygous knockout mice can be reversed by a low dose of the dopamine antagonist haloperidol, further confirming that the inability to retrieve memory patterns is a result of dopamine imbalance. Therefore, our results reveal that a delicate control of the brain's dopamine level is critical for pattern completion during associative memory recall. PMID- 21060886 TI - Transmission intensity and drug resistance in malaria population dynamics: implications for climate change. AB - Although the spread of drug resistance and the influence of climate change on malaria are most often considered separately, these factors have the potential to interact through altered levels of transmission intensity. The influence of transmission intensity on the evolution of drug resistance has been addressed in theoretical studies from a population genetics' perspective; less is known however on how epidemiological dynamics at the population level modulates this influence. We ask from a theoretical perspective, whether population dynamics can explain non-trivial, non-monotonic, patterns of treatment failure with transmission intensity, and, if so, under what conditions. We then address the implications of warmer temperatures in an East African highland, where, as in other similar regions at the altitudinal edge of malaria's distribution, there has been a pronounced increase of cases from the 1970s to the 1990s. Our theoretical analyses, with a transmission model that includes different levels of immunity, demonstrate that an increase in transmission beyond a threshold can lead to a decrease in drug resistance, as previously shown, but that a second threshold may occur and lead to the re-establishment of drug resistance. Estimates of the increase in transmission intensity from the 1970s to the 1990s for the Kenyan time series, obtained by fitting the two-stage version of the model with an explicit representation of vector dynamics, suggest that warmer temperatures are likely to have moved the system towards the first threshold, and in so doing, to have promoted the faster spread of drug resistance. Climate change and drug resistance can interact and need not be considered as alternative explanations for trends in disease incidence in this region. Non-monotonic patterns of treatment failure with transmission intensity similar to those described as the 'valley phenomenon' for Uganda can result from epidemiological dynamics but under poorly understood assumptions. PMID- 21060887 TI - Influenza A (H1N1) in Victoria, Australia: a community case series and analysis of household transmission. AB - BACKGROUND: We characterise the clinical features and household transmission of pandemic influenza A (pH1N1) in community cases from Victoria, Australia in 2009. METHODS: Questionnaires were used to collect information on epidemiological characteristics, illness features and co-morbidities of cases identified in the 2009 Victorian Influenza Sentinel Surveillance program. RESULTS: The median age of 132 index cases was 21 years, of whom 54 (41%) were under 18 years old and 28 (21%) had medical co-morbidities. The median symptom duration was significantly shorter for children who received antivirals than in those who did not (p = 0.03). Assumed influenza transmission was observed in 63 (51%) households. Influenza-like illness (ILI) developed in 115 of 351 household contacts, a crude secondary attack rate of 33%. Increased ILI rates were seen in households with larger numbers of children but not larger numbers of adults. Multivariate analysis indicated contacts of cases with cough and diarrhoea, and contacts in quarantined households were significantly more likely to develop influenza-like symptoms. CONCLUSION: Most cases of pH1N1 in our study were mild with similar clinical characteristics to seasonal influenza. Illness and case features relating to virus excretion, age and household quarantine may have influenced secondary ILI rates within households. PMID- 21060888 TI - Temporal consistency is currency in shifts of transient visual attention. AB - BACKGROUND: Observers respond more accurately to targets in visual search tasks that share properties with previously presented items, and transient attention can learn featural consistencies on a precue, irrespective of its absolute location. METHODOLOGY/PRINCIPAL FINDINGS: We investigated whether such attentional benefits also apply to temporal consistencies. Would performance on a precued Vernier acuity discrimination task, followed by a mask, improve if the cue-lead times (CLTs; 50, 100, 150 or 200 ms) remained constant between trials compared to when they changed? The results showed that if CLTs remained constant for a few trials in a row, Vernier acuity performance gradually improved while changes in CLT from one trial to the next led to worse than average discrimination performance. The results show that transient attention can quickly adjust to temporal regularities, similarly to spatial and featural regularities. Further experiments show that this form of learning is not under voluntary control. CONCLUSIONS/SIGNIFICANCE: The results add to a growing literature showing how consistency in visual presentation improves visual performance, in this case temporal consistency. PMID- 21060889 TI - Effectiveness of VIA, Pap, and HPV DNA testing in a cervical cancer screening program in a peri-urban community in Andhra Pradesh, India. AB - BACKGROUND: While many studies have compared the efficacy of Pap cytology, visual inspection with acetic acid (VIA) and human papillomavirus (HPV) DNA assays for the detection cervical intraepithelial neoplasia and cancer, few have evaluated the program effectiveness. METHODS AND FINDINGS: A population-based sample of 5603 women from Medchal Mandal in Andhra Pradesh, India were invited to participate in a study comparing Pap cytology, VIA, and HPV DNA screening for the detection of CIN3+. Participation in primary screening and all subsequent follow up visits was rigorously tracked. A 20% random sample of all women screened, in addition to all women with a positive screening test result underwent colposcopy with directed biopsy for final diagnosis. Sensitivity, specificity, positive and negative predictive values were adjusted for verification bias. HPV testing had a higher sensitivity (100%) and specificity (90.6%) compared to Pap cytology (sensitivity = 78.2%; specificity = 86.0%) and VIA (sensitivity = 31.6%; specificity = 87.5%). Since 58% of the sample refused involvement and another 28% refused colposcopy or biopsy, we estimated that potentially 87.6% of the total underlying cases of CIN3 and cancer may have been missed due to program failures. CONCLUSIONS: We conclude that despite our use of available resources, infrastructure, and guidelines for cervical cancer screening implementation in resource limited areas, community participation and non-compliance remain the major obstacles to successful reduction in cervical cancer mortality in this Indian population. HPV DNA testing was both more sensitive and specific than Pap cytology and VIA. The use of a less invasive and more user-friendly primary screening strategy (such as self-collected swabs for HPV DNA testing) may be required to achieve the coverage necessary for effective reduction in cervical cancer mortality. PMID- 21060890 TI - Antioxidant biomarkers from Vanda coerulea stems reduce irradiated HaCaT PGE-2 production as a result of COX-2 inhibition. AB - BACKGROUND: In our investigations towards the isolation of potentially biologically active constituents from Orchidaceae, we carried out phytochemical and biological analyses of Vanda species. A preliminary biological screening revealed that Vanda coerulea (Griff. ex. Lindl) crude hydro-alcoholic stem extract displayed the best DPPH /(*)OH radical scavenging activity and in vitro inhibition of type 2 prostaglandin (PGE-2) release from UV(B) (60 mJ/cm(2)) irradiated HaCaT keratinocytes. PRINCIPAL FINDINGS: Bio-guided fractionation and phytochemical analysis led to the isolation of five stilbenoids: imbricatin (1) methoxycoelonin (2) gigantol (3) flavidin (4) and coelonin (5). Stilbenoids (1-3) were the most concentrated in crude hydro-alcoholic stem extract and were considered as Vanda coerulea stem biomarkers. Dihydro-phenanthropyran (1) and dihydro-phenanthrene (2) displayed the best DPPH/(*)OH radical scavenging activities as well as HaCaT intracellular antioxidant properties (using DCFH-DA probe: IC(50) 8.8 uM and 9.4 uM, respectively) compared to bibenzyle (3) (IC(50) 20.6 uM). In turn, the latter showed a constant inhibition of PGE-2 production, stronger than stilbenoids (1) and (2) (IC(50) 12.2 uM and 19.3 uM, respectively). Western blot analysis revealed that stilbenoids (1-3) inhibited COX-2 expression at 23 uM. Interestingly, stilbenoids (1) and (2) but not (3) were able to inhibit human recombinant COX-2 activity. CONCLUSIONS: Major antioxidant stilbenoids (1 3) from Vanda coerulea stems displayed an inhibition of UV(B)-induced COX-2 expression. Imbricatin (1) and methoxycoelonin (2) were also able to inhibit COX 2 activity in a concentration-dependent manner thereby reducing PGE-2 production from irradiated HaCaT cells. Our studies suggest that stilbenoids (1-3) could be potentially used for skin protection against the damage caused by UV(B) exposure. PMID- 21060891 TI - A new fluorescence-based method identifies protein phosphatases regulating lipid droplet metabolism. AB - In virtually every cell, neutral lipids are stored in cytoplasmic structures called lipid droplets (LDs) and also referred to as lipid bodies or lipid particles. We developed a rapid high-throughput assay based on the recovery of quenched BODIPY-fluorescence that allows to quantify lipid droplets. The method was validated by monitoring lipid droplet turnover during growth of a yeast culture and by screening a group of strains deleted in genes known to be involved in lipid metabolism. In both tests, the fluorimetric assay showed high sensitivity and good agreement with previously reported data using microscopy. We used this method for high-throughput identification of protein phosphatases involved in lipid droplet metabolism. From 65 yeast knockout strains encoding protein phosphatases and its regulatory subunits, 13 strains revealed to have abnormal levels of lipid droplets, 10 of them having high lipid droplet content. Strains deleted for type I protein phosphatases and related regulators (ppz2, gac1, bni4), type 2A phosphatase and its related regulator (pph21 and sap185), type 2C protein phosphatases (ptc1, ptc4, ptc7) and dual phosphatases (pps1, msg5) were catalogued as high-lipid droplet content strains. Only reg1, a targeting subunit of the type 1 phosphatase Glc7p, and members of the nutrient sensitive TOR pathway (sit4 and the regulatory subunit sap190) were catalogued as low-lipid droplet content strains, which were studied further. We show that Snf1, the homologue of the mammalian AMP-activated kinase, is constitutively phosphorylated (hyperactive) in sit4 and sap190 strains leading to a reduction of acetyl-CoA carboxylase activity. In conclusion, our fast and highly sensitive method permitted us to catalogue protein phosphatases involved in the regulation of LD metabolism and present evidence indicating that the TOR pathway and the SNF1/AMPK pathway are connected through the Sit4p-Sap190p pair in the control of lipid droplet biogenesis. PMID- 21060892 TI - Comparing brain networks of different size and connectivity density using graph theory. AB - Graph theory is a valuable framework to study the organization of functional and anatomical connections in the brain. Its use for comparing network topologies, however, is not without difficulties. Graph measures may be influenced by the number of nodes (N) and the average degree (k) of the network. The explicit form of that influence depends on the type of network topology, which is usually unknown for experimental data. Direct comparisons of graph measures between empirical networks with different N and/or k can therefore yield spurious results. We list benefits and pitfalls of various approaches that intend to overcome these difficulties. We discuss the initial graph definition of unweighted graphs via fixed thresholds, average degrees or edge densities, and the use of weighted graphs. For instance, choosing a threshold to fix N and k does eliminate size and density effects but may lead to modifications of the network by enforcing (ignoring) non-significant (significant) connections. Opposed to fixing N and k, graph measures are often normalized via random surrogates but, in fact, this may even increase the sensitivity to differences in N and k for the commonly used clustering coefficient and small-world index. To avoid such a bias we tried to estimate the N,k-dependence for empirical networks, which can serve to correct for size effects, if successful. We also add a number of methods used in social sciences that build on statistics of local network structures including exponential random graph models and motif counting. We show that none of the here-investigated methods allows for a reliable and fully unbiased comparison, but some perform better than others. PMID- 21060893 TI - Essential role of NMDA receptor channel epsilon4 subunit (GluN2D) in the effects of phencyclidine, but not methamphetamine. AB - Phencyclidine (PCP), a noncompetitive N-methyl-D-aspartate (NMDA) receptor antagonist, increases locomotor activity in rodents and causes schizophrenia-like symptoms in humans. Although activation of the dopamine (DA) pathway is hypothesized to mediate these effects of PCP, the precise mechanisms by which PCP induces its effects remain to be elucidated. The present study investigated the effect of PCP on extracellular levels of DA (DA(ex)) in the striatum and prefrontal cortex (PFC) using in vivo microdialysis in mice lacking the NMDA receptor channel epsilon1 or epsilon4 subunit (GluRepsilon1 [GluN2A] or GluRepsilon4 [GluN2D]) and locomotor activity. PCP significantly increased DA(ex) in wildtype and GluRepsilon1 knockout mice, but not in GluRepsilon4 knockout mice, in the striatum and PFC. Acute and repeated administration of PCP did not increase locomotor activity in GluRepsilon4 knockout mice. The present results suggest that PCP enhances dopaminergic transmission and increases locomotor activity by acting at GluRepsilon4. PMID- 21060894 TI - Context-dependent effects of ranaviral infection on northern leopard frog life history traits. AB - Pathogens have important effects on host life-history traits, but the magnitude of these effects is often strongly context-dependent. The outcome of an interaction between a host and an infectious agent is often associated with the level of stress experienced by the host. Ranavirus causes disease and mortality in amphibian populations in various locations around the world, but most known cases of ranaviral infection have occurred in North America and the United Kingdom. While Ranavirus virulence has been investigated, the outcome of Ranavirus infection has seldom been related to the host environment. In a factorial experiment, we exposed Northern leopard frog (Lithobates pipiens, formerly Rana pipiens) tadpoles to different concentrations of Ranavirus and investigated the effect of host density on certain life-history traits, namely survival, growth rate, developmental stage and number of days from virus exposure to death. Our results suggest a prominent role of density in driving the direction of the interaction between L. pipiens tadpoles and Ranavirus. We showed that increasing animal holding density is detrimental for host fitness as mortality rate is higher, day of death earlier, development longer and growth rate significantly lower in high-density tanks. We observed a linear increase of detrimental effects when Ranavirus doses increased in low-density conditions, with control tadpoles having a significantly higher overall relative fitness. However, this pattern was no longer observed in high-density conditions, where the effects of increasing Ranavirus dose were limited. Infected and control animals fitness were consequently similar. We speculate that the host may eventually diverts the energy required for a metabolic/immune response triggered by the infection (i.e., direct costs of the infection) to better cope with the increase in environmental "stress" associated with high density (i.e., indirect benefits of the infection). Our results illustrate how the net fitness of organisms may be shaped by ecological context and emphasize the necessity of examining the direct/indirect costs and benefits balance to fully understand host pathogen interactions. PMID- 21060895 TI - Identification of candidate genes for dyslexia susceptibility on chromosome 18. AB - BACKGROUND: Six independent studies have identified linkage to chromosome 18 for developmental dyslexia or general reading ability. Until now, no candidate genes have been identified to explain this linkage. Here, we set out to identify the gene(s) conferring susceptibility by a two stage strategy of linkage and association analysis. METHODOLOGY/PRINCIPAL FINDINGS: Linkage analysis: 264 UK families and 155 US families each containing at least one child diagnosed with dyslexia were genotyped with a dense set of microsatellite markers on chromosome 18. Association analysis: Using a discovery sample of 187 UK families, nearly 3000 SNPs were genotyped across the chromosome 18 dyslexia susceptibility candidate region. Following association analysis, the top ranking SNPs were then genotyped in the remaining samples. The linkage analysis revealed a broad signal that spans approximately 40 Mb from 18p11.2 to 18q12.2. Following the association analysis and subsequent replication attempts, we observed consistent association with the same SNPs in three genes; melanocortin 5 receptor (MC5R), dymeclin (DYM) and neural precursor cell expressed, developmentally down-regulated 4-like (NEDD4L). CONCLUSIONS: Along with already published biological evidence, MC5R, DYM and NEDD4L make attractive candidates for dyslexia susceptibility genes. However, further replication and functional studies are still required. PMID- 21060896 TI - Serum metallothionein in newly diagnosed patients with childhood solid tumours. AB - Tumour markers are substances produced by malignant cells or by the organism as a response to cancer development. Determination of their levels can, therefore, be used to monitor the risk, presence and prognosis of a cancer disease or to monitor the therapeutic response or early detection of residual disease. Time consuming imaging methods, examination of cerebrospinal fluid or tumour tissue and assays for hormones and tumour markers have been used for cancer diagnosis. However, no specific marker for diagnosis of childhood solid tumours has been discovered yet. In this study, metallothionein (MT) was evaluated as a prospective marker for such diseases. Serum metallothionein levels of patients with childhood solid tumours were determined using differential pulse voltammetry - Brdicka reaction. A more than 5-fold increase in the amount of metallothionein was found in sera of patients suffering from cancer disease, compared with those in sera of healthy donors. The average metallothionein level in the sera of healthy volunteers was 0.5 +/- 0.2 MUmol . dm-3 and was significantly different (p<0.05, determined using the Schefe test) from the average MT level found in serum samples of patients suffering from childhood solid tumours (3.4 +/- 0.8 MUmol . dm-3). Results found in this work indicate that the MT level in blood serum can be considered as a promising marker for diagnostics, prognosis and estimation of therapy efficiency of childhood tumours. PMID- 21060897 TI - Core structure of flavonoids precursor as an antihyperglycemic and antihyperlipidemic agent: an in vivo study in rats. AB - trans-Chalcone is the core structure of naringenin chalcone, located halfway in the biosynthesis pathway of flavonoids. Flavonoids have been reported as mammalian alpha-amylase inhibitors, a property which could be useful in the management of postprandial hyperglycemia in diabetes and related disorders. As a mammalian alpha-amylase inhibitor in vitro, the putative beneficial effect of trans-chalcone on diabetes was tested in a streptozotocin-induced rat model of diabetes type 1, and the results analyzed with commonly used statistical methods. Significant reduction of blood glucose levels and beneficial effect on dyslipidemia were observed in diabetic rats, as well as reduction of disturbing consequences of diabetes such as high urine volume and water intake. trans chalcone was observed to have a weight loss-inductive effect, alongside with a reduction in food intake, which is suggestive of a therapeutic potential of this compound in overweight and obese patients. PMID- 21060898 TI - Characterization of epitope specificity of Proteus penneri 7 lipopolysaccharide core region. AB - To extend the knowledge on the fragments of Proteus penneri lipopolysaccharide core regions, which determine the cross-reactions with specific antibodies, serological studies were performed by use of P. penneri 7 core-specific antiserum and Proteus sp. lipopolysaccharides. Different reactivity of the tested antiserum with three groups of antigens suggested differences in their core regions' epitope specificity. Comparing the results of the serological investigations with the previously determined structures of the core regions of the tested P. penneri lipopolysaccharides allowed distinguishing two potential tri- and tetrasaccharide epitopes and a third fragment which could not be determined precisely. PMID- 21060899 TI - Cu,Zn-superoxide dismutase deficiency in mice leads to organ-specific increase in oxidatively damaged DNA and NF-kappaB1 protein activity. AB - Earlier experimental studies have demonstrated that: i) Cu,Zn-superoxide dismutase deficiency leads to oxidative stress and carcinogenesis; ii) dysregulation of NF-kappaB pathway can mediate a wide variety of diseases, including cancer. Therefore, we decided, for the first time, to examine the level of oxidative DNA damage and the DNA binding activity of NF-kappaB proteins in SOD1 knockout, heterozygous and wild-type mice. Two kinds of biomarkers of oxidatively damaged DNA: urinary excretion of 8-oxodG and 8-oxoGua, and the level of oxidatively damaged DNA were analysed using HPLC-GC-MS and HPLC-EC. The DNA binding activity of p50 and p65 proteins in a nuclear extracts was assessed using NF-kappaB p50/p65 EZ-TFA transcription factor assay. These parameters were determined in the brain, liver, kidney and urine of SOD1 knockout, heterozygous and wild-type mice. The level of 8-oxodG in DNA was higher in the liver and kidney of knockout mice than in wild type. No differences were found in urinary excretion of 8-oxoGua and 8-oxodG between wild type and the SOD1-deficient animals. The activity of the p50 protein was higher in the kidneys, but surprisingly not in the livers of SOD1-deficient mice, whereas p65 activity did not show any variability. Our results indicate that in Cu,Zn-SOD-deficient animals the level of oxidative DNA damage and NF-kappaB1 activity are elevated in certain organs only, which may provide some explanation for organ-specific ROS induced carcinogenesis. PMID- 21060900 TI - Setting the record straight. PMID- 21060901 TI - Is it time to drug test your chronic pain patient? PMID- 21060902 TI - Screen teens for depression--it's quicker than you think. PMID- 21060903 TI - Verrucous papule on thigh. PMID- 21060904 TI - Clinical inquiries: Does DTC advertising affect physician prescribing habits? PMID- 21060905 TI - Clinical inquiries. How should you treat the newly diagnosed hypertensive patient? PMID- 21060906 TI - Clinical inquiries. How does electronic fetal heart rate monitoring affect labor and delivery outcomes? PMID- 21060907 TI - Perfusion-based microfluidic device for three-dimensional dynamic primary human hepatocyte cell culture in the absence of biological or synthetic matrices or coagulants. AB - We describe a perfusion-based microfluidic device for three-dimensional (3D) dynamic primary human hepatocyte cell culture. The microfluidic device was used to promote and maintain 3D tissue-like cellular morphology and cell-specific functionality of primary human hepatocytes by restoring membrane polarity and hepatocyte transport function in vitro without the addition of biological or synthetic matrices or coagulants. A unique feature of our dynamic cell culture device is the creation of a microenvironment, without the addition of biological or synthetic matrices or coagulants, that promotes the 3D organization of hepatocytes into cord-like structures that exhibit functional membrane polarity as evidenced by the expression of gap junctions and the formation of an extended, functionally active, bile canalicular network. PMID- 21060908 TI - PDMS free-flow electrophoresis chips with integrated partitioning bars for bubble segregation. AB - In this work, a microfluidic free-flow electrophoresis device with a novel approach for preventing gas bubbles from entering the separation area is presented. This is achieved by integrating partitioning bars to reduce the channel depth between electrode channels and separation chamber in order to obtain electrical contact and simultaneously prevent bubbles from entering the separation area. The three-layer sandwich chip features a reusable carrier plate with integrated ports for fluidic connection combined with a softlithographically cast microfluidic PDMS layer and a sealing glass slide. This design allows for a straightforward and rapid chip prototyping process. The performance of the device is demonstrated by free-flow zone electrophoretic separations of fluorescent dye mixtures as well as by the separation of labeled amines and amino acids with separation voltages up to 297 V. PMID- 21060909 TI - Correlating allostery with rigidity. AB - Allosteric proteins demonstrate the phenomenon of a ligand binding to a protein at a regulatory or effector site and thereby changing the chemical affinity of the catalytic site. As such, allostery is extremely important biologically as a regulatory mechanism for molecular concentrations in many cellular processes. One particularly interesting feature of allostery is that often the catalytic and effector sites are separated by a large distance. Structural comparisons of allosteric proteins resolved in both inactive and active states indicate that a variety of structural rearrangement and changes in motions may contribute to general allosteric behavior. In general it is expected that the coupling of catalytic and regulatory sites is responsible for allosteric behavior. We utilize a novel examination of allostery using rigidity analysis of the underlying graph of the protein structures. Our results indicate a general global change in rigidity associated with allosteric transitions where the R state is more rigid than the T state. A set of allosteric proteins with heterotropic interactions is used to test the hypothesis that catalytic and effector sites are structurally coupled. Observation of a rigid path connecting the effector and catalytic sites in 68.75% of the structures points to rigidity as a means by which the distal sites communicate with each other and so contribute to allosteric regulation. Thus structural rigidity is shown to be a fundamental underlying property that promotes cooperativity and non-locality seen in allostery. PMID- 21060910 TI - Noble metal ionic sites for catalytic hydrogen combustion: spectroscopic insights. AB - A catalytic hydrogen combustion reaction was carried out over noble metal catalysts substituted in ZrO(2) and TiO(2) in ionic form. The catalysts were synthesized by the solution combustion technique. The compounds showed high activity and CO tolerance for the reaction. The activity of Pd and Pt ion substituted TiO(2) was comparable and was higher than Pd and Pt ion substituted ZrO(2). The mechanisms of the reaction over the two supports were proposed by making use of the X-ray photoelectron spectroscopy and FT infrared spectroscopic observations. The reaction over ZrO(2) supported catalysts was proposed to take place by the utilization of the surface hydroxyl groups while the reaction over TiO(2) supported catalysts was hypothesized to be a hybrid mechanism utilizing surface hydroxyl groups and the lattice oxygen. PMID- 21060911 TI - Rate constants for cyclizations of alpha-hydroxy radical clocks. AB - The 1-hydroxy-1-methyl-6,6-diphenyl-5-hexenyl radical (4a) and the 1-hydroxy-1 methyl-7,7-diphenyl-6-heptenyl radical (4b) were prepared from the corresponding PTOC esters (anhydrides of a carboxylic acid and N-hydroxypyridine-2-thione). The key step in the synthetic method for the precursors was a coupling reaction of the respective carboxylic acids with the thiohydroxamic acid, which was conducted for ca. 5 min and followed rapidly by chromatography. Rate constants for cyclizations of radicals 4a and 4b in acetonitrile and in THF were measured directly between -30 and 60 degrees C by laser flash photolysis methods. The Arrhenius functions in acetonitrile are log k = 9.9-2.6/2.303RT and log k = 8.9 4.4/2.303RT (kcal mol(-1)) for 4a and 4b, respectively. Rate constants for cyclizations at room temperature of 9 * 10(7) s(-1) and 4 * 10(5) s(-1) are somewhat larger than the rate constants for cyclizations of analogous alkyl radicals. Crude rate constants at room temperature for H-atom trapping of 4a by thiophenol and 4b by t-butylthiol were k(T) = 1.2 * 10(9) M(-1) s(-1) and k(T) = 2 * 10(7) M(-1) s(-1), respectively, which are modestly larger than rate constants for reactions of alkyl radicals with the same trapping agents. PMID- 21060917 TI - Cu K-edge X-ray absorption spectroscopy reveals differential copper coordination within amyloid-beta oligomers compared to amyloid-beta monomers. AB - The fatal neurological disorder Alzheimer's disease has been linked to soluble neurotoxic oligomers of amyloid-beta (Abeta) peptides. Herein we demonstrate that Cu(1+) ligated within Abeta(42) oligomers (Abeta sequence: DAEFRHDSGYEVHHQKLVFFAEDVGSNKGAIIGLMVGGVVIA) possesses a highly dioxygen sensitive tetrahedral coordination geometry. The biological implications of these findings are discussed. PMID- 21060918 TI - A molecular dynamics simulation of a homogeneous organic-inorganic hybrid silica membrane. AB - A new molecular dynamics simulation method was successfully applied to construct a homogeneous organic-inorganic hybrid silica membrane using the hybrid-pcff (h pcff) potential function. Analysis suggested that the hybrid BTESE silica membrane provided a looser network and larger cavity size for the enhancement of gas permeability and selectivity. PMID- 21060919 TI - Oligosaccharide sensing with chromophore-modified curdlan in aqueous media. AB - A newly synthesized chromophore-modified curdlan functions as a saccharide chemosensor in aqueous solution, enabling us to discriminate tetrasaccharide acarbose from 24 mono-, di-, tri-, and tetrasaccharides. PMID- 21060920 TI - Ultra fast electrochromic switching of nanoporous tungsten-tantalum oxide films. AB - Self-organized nanoporous oxide layers were grown on a W-Ta alloy by electrochemical anodization. These nanostructured mixed oxide layers show an ultra-fast electrochromic switching kinetics. Compared with porous WO(3) nanostructures more than 10 times higher switching frequencies are reached along with a significantly enhanced lifetime and cyclability. PMID- 21060921 TI - Role of pseudopolymorphism on concentration dependent competitive adsorption at a liquid/solid interface. AB - We present for the first time a peculiar concentration effect on competitive adsorption of a binary mixture at the liquid/solid interface, which we attribute to the existence of pseudopolymorphism and its concentration dependence. These results are helpful for the understanding of phase behavior of multi-component systems at the interface. PMID- 21060922 TI - Oxygen catalyzed mobilization of iron from ferritin by iron(III) chelate ligands. AB - Tridentate chelate ligands of 2,6-bis[hydroxy(methyl)amino]-1,3,5-triazine family rapidly release iron from human recombinant ferritin in the presence of oxygen. The reaction is inhibited by superoxide dismutase, catalase, mannitol and urea. Suggested reaction mechanism involves reduction of the ferritin iron core by superoxide anion, diffusion of iron(II) cations outside the ferritin shell, and regeneration of superoxide anions through oxidation of iron(II) chelate complexes with molecular oxygen. PMID- 21060923 TI - Micelle to fibre biocatalytic supramolecular transformation of an aromatic peptide amphiphile. AB - We use a range of spectroscopic methods to provide mechanistic insight into a phosphatase-driven supramolecular transformation whereby an amphiphilic peptide building block, upon dephosphorylation, switches from a solution-phase, micellar structure to a gel-phase, chiral uni-directional nanofibre morphology. PMID- 21060924 TI - Towards an environmentally-friendly laboratory: dimensionality and reactivity in the mechanosynthesis of metal-organic compounds. AB - We present a proof-of-principle study of an environmentally-friendly approach to laboratory research, in which the synthesis and structural characterisation of metal-organic complexes and frameworks are achieved without using bulk solvents; our study addresses the use of heteroditopic ligands for manipulating the dimensionality of metal-organic materials and describes how kinetic obstacles in such mechanosynthesis can be overcome. PMID- 21060925 TI - A novel bulk heterojunction solar cell based on a donor-acceptor conjugated triphenylamine dye. AB - In this communication, a novel bulk heterojunction solar cell based on an electron donor-acceptor conjugated triphenylamine dye was fabricated, and a high conversion efficiency of 1.23% was achieved under AM 1.5 illumination (100 mW cm( 2)). PMID- 21060926 TI - Metal-organic framework membranes fabricated via reactive seeding. AB - A facile reactive seeding (RS) method was developed for the preparation of continuous MOF membranes on alumina porous supports, in which the porous support acted as the inorganic source reacting with the organic precursor to grow a seeding layer. PMID- 21060927 TI - From tectons to luminescent supramolecular ionic liquid crystals. AB - New phosphorescent and room-temperature liquid-crystalline materials were obtained by combining dicyanometallate anions with dicationic bisamidinium based tectons bearing four peripheral lipophilic pyrogallate moieties. PMID- 21060928 TI - Rhodium/diene-catalyzed asymmetric arylation of N-sulfonyl indolylimines: a new access to highly optically active alpha-aryl 3-indolyl-methanamines. AB - A new and efficient method for the preparation of highly enantiomerically enriched alpha-aryl 2- or 3-indolyl-methanamines by rhodium-catalyzed asymmetric arylation of N-sulfonyl indolylimines with arylboronic acids using chiral bicyclo[3.3.0] diene was developed. PMID- 21060929 TI - Ensemble modeling of very small ZnO nanoparticles. AB - The detailed structural characterization of nanoparticles is a very important issue since it enables a precise understanding of their electronic, optical and magnetic properties. Here we introduce a new method for modeling the structure of very small particles by means of powder X-ray diffraction. Using thioglycerol capped ZnO nanoparticles with a diameter of less than 3 nm as an example we demonstrate that our ensemble modeling method is superior to standard XRD methods like, e.g., Rietveld refinement. Besides fundamental properties (size, anisotropic shape and atomic structure) more sophisticated properties like imperfections in the lattice, a size distribution as well as strain and relaxation effects in the particles and-in particular-at their surface (surface relaxation effects) can be obtained. Ensemble properties, i.e., distributions of the particle size and other properties, can also be investigated which makes this method superior to imaging techniques like (high resolution) transmission electron microscopy or atomic force microscopy, in particular for very small nanoparticles. For the particles under study an excellent agreement of calculated and experimental X-ray diffraction patterns could be obtained with an ensemble of anisotropic polyhedral particles of three dominant sizes, wurtzite structure and a significant relaxation of Zn atoms close to the surface. PMID- 21060930 TI - Heterogeneous oxidation of the insecticide cypermethrin as thin film and airborne particles by hydroxyl radicals and ozone. AB - Evaluation of pesticides' fate in the atmosphere is important in terms of environmental effects on non-target areas and risk assessments analysis. This evaluation is usually done in the laboratory using analytical grade materials and is then extrapolated to more realistic conditions. To assess the effect of the pesticide purity level (i.e. analytical vs. technical) and state (i.e. sorbed film vs. airborne particles), we have investigated the oxidation rates and products of technical grade cypermethrin as thin film and in its airborne form, and compared it with our former results for analytical grade material. Technical grade thin film kinetics for both ozone and OH radicals revealed reaction rates similar to the analytical material, implying that for these processes, the analytical grade can be used as a good proxy. Oxidation products, however, were slightly different with two additional condensed phase products: formanilide, N phenyl and 2-biphenyl carboxylic acid, which were seen with the technical grade material only. OH experiments revealed spectral changes that suggest the immediate formation of surface products containing OH functionalities. For the ozonolysis studies of airborne material, a novel set-up was used, which included a long-path FTIR cell in conjugation with a Scanning Mobility Particle Sizer (SMPS) system. This set-up allowed monitoring of real-time reaction kinetics and product formation (gas and condensed phases) together with aerosol size distribution measurements. Similar condensed phase products were observed for airborne and thin film technical grade cypermethrin after ozonolysis. Additionally, CO, CO(2) and possibly acetaldehyde were identified as gaseous oxidation products in the aerosols experiments only. A kinetic model fitted to our experimental system enabled the identification of both primary and secondary products as well as extraction of a formation rate constant. Kinetic calculations (based on gaseous products formation rate) have revealed values similar to that of the thin film experiments. Interestingly, heterogeneous oxidation of cypermethrin was also found to generate ultra fine secondary organic aerosols. Again, no significant difference was observed between analytical and technical grade materials. However, particle size distribution was much broader when films were exposed to OH and ozone than to ozone alone. PMID- 21060931 TI - Hg bioavailability and impact on bacterial communities in a long-term polluted soil. AB - Different soil samples characterised by a long-term Hg-pollution were studied for Hg total content, fractionation, phytotoxicity and influence on the bacterial community. Hg pollution ranged from 1 to 50 mg kg(-1) and most of it was speciated in scarcely soluble forms. In agreement with this, the biochemical quality indexes were investigated (biomass, enzyme activities) and the bacterial community (viable heterotrophic (VH) bacteria, functional diversity) apparently was not influenced by the degree of Hg pollution. In particular, the investigated soils exhibited a low percentage of Hg-resistant (Hg(R)) bacteria ranging from less than 0.001% to 0.25% of the VH and the addition of available Hg in the form of HgCl(2) induced an enrichment of resistant Hg(R) populations. The general biodiversity of the bacterial community was evaluated by denaturing gradient gel electrophoresis of DNA of Hg spiked soil microcosms and of control soils. Hg(R) bacteria capable to grow in a minimal medium containing HgCl(2) were also isolated and identified. MerA and merB gene PCR fragments were obtained from different Hg(R) strains and the range of similarities at the DNA level and at the deduced amino acid level showed that they carried mercuric reductase and lyase. Differently from bacteria, some influence of soil Hg content on seeds' germination and root elongation was observed for Lepidium sativum L. and Solanum lycopersicum L. In conclusion, most of the Hg in these long-term polluted soils was scarcely mobile and available and did not significantly influence the soil bacterial community. The risk of potential Hg remobilization over time, that could be naturally favoured by the activity of plant roots or other inorganic processes occurring in soil, can be extenuated since bacterial community was resistant and resilient to subsequent Hg stress. PMID- 21060932 TI - High-content screening of drug-induced cardiotoxicity using quantitative single cell imaging cytometry on microfluidic device. AB - Drug-induced cardiotoxicity or cytotoxicity followed by cell death in cardiac muscle is one of the major concerns in drug development. Herein, we report a high content quantitative multicolor single cell imaging tool for automatic screening of drug-induced cardiotoxicity in an intact cell. A tunable multicolor imaging system coupled with a miniaturized sample platform was destined to elucidate drug induced cardiotoxicity via simultaneous quantitative monitoring of intracellular sodium ion concentration, potassium ion channel permeability and apoptosis/necrosis in H9c2(2-1) cell line. Cells were treated with cisapride (a human ether-a-go-go-related gene (hERG) channel blocker), digoxin (Na(+)/K(+) pump blocker), camptothecin (anticancer agent) and a newly synthesized anti cancer drug candidate (SH-03). Decrease in potassium channel permeability in cisapride-treated cells indicated that it can also inhibit the trafficking of the hERG channel. Digoxin treatment resulted in an increase of intracellular [Na(+)]. However, it did not affect potassium channel permeability. Camptothecin and SH-03 did not show any cytotoxic effect at normal use (<=300 nM and 10 MUM, respectively). This result clearly indicates the potential of SH-03 as a new anticancer drug candidate. The developed method was also used to correlate the cell death pathway with alterations in intracellular [Na(+)]. The developed protocol can directly depict and quantitate targeted cellular responses, subsequently enabling an automated, easy to operate tool that is applicable to drug-induced cytotoxicity monitoring with special reference to next generation drug discovery screening. This multicolor imaging based system has great potential as a complementary system to the conventional patch clamp technique and flow cytometric measurement for the screening of drug cardiotoxicity. PMID- 21060933 TI - Drug metabolome of the simvastatin formed by human intestinal microbiota in vitro. AB - The human colon contains a diverse microbial population which contributes to degradation and metabolism of food components. Drug metabolism in the colon is generally poorly understood. Metabolomics techniques and in vitro colon models are now available which afford detailed characterization of drug metabolites in the context of colon metabolism. The aim of this work was to identify novel drug metabolites of Simvastatin (SV) by using an anaerobic human in vitro colon model at body temperature coupled with systems biology platform, excluding the metabolism of the host liver and intestinal epithelia. Comprehensive two dimensional gas chromatography with a time-of-flight mass spectrometry (GC*GC TOFMS) was used for the metabolomic analysis. Metabolites showing the most significant differences in the active faecal suspension were elucidated in reference with SV fragmentation and compared with controls: inactive suspension or buffer with SV, or with active suspension alone. Finally, time courses of selected metabolites were investigated. Our data suggest that SV is degraded by hydrolytic cleavage of methylbutanoic acid from the SV backbone. Metabolism involves demethylation of dimethylbutanoic acid, hydroxylation/dehydroxylation and beta-oxidation resulting in the production of 2-hydroxyisovaleric acid (3 methyl-2-hydroxybutanoic acid), 3-hydroxybutanoic acid and lactic acid (2 hydroxypropanoic acid), and finally re-cyclisation of heptanoic acid (possibly de esterified and cleaved methylpyranyl arm) to produce cyclohexanecarboxylic acid. Our study elucidates a pathway of colonic microbial metabolism of SV as well as demonstrates the applicability of the in vitro colon model and metabolomics to the discovery of novel drug metabolites from drug response profiles. PMID- 21060934 TI - Chemical proteomics reveals bolinaquinone as a clathrin-mediated endocytosis inhibitor. AB - The emerging field of mass spectrometry-based chemical proteomics provides a powerful instrument in the target discovery of bioactive small-molecules, such as drugs or natural products. The identification of their macromolecular targets is required for a comprehensive understanding of their bio-pharmacological role and for unraveling their mechanism of action. We report the application of a chemical proteomics approach to the analysis of the cellular interactome of the marine metabolite bolinaquinone (BLQ). BLQ was linked to an opportune alpha,omega diamino polyethylene glycol chain and then immobilized on a matrix support. The modified beads were then used as a bait for fishing the potential partners of BLQ in a THP-1 macrophage cell lysate. Surprisingly, we identified clathrin, a protein involved in the cell internalization of proteins, viruses and other biologically relevant macromolecules, as a specific and major BLQ partner. In addition, we verified the biochemical role of BLQ testing its ability to inhibit the clathrin-mediated endocytosis of albumin. This finding indicates BLQ as a new biotechnological tool for cell endocytosis studies and paves the way to further investigation on its potential role in modulating internalization process. PMID- 21060935 TI - Delay Hill dynamics in regulatory biological systems. AB - We explore one of the best-studied protein circuits in human cells, the negative feedback loop between the tumor suppressor p53 and the oncogene Mdm2 following nuclear irradiation. Using stochastic delay differential equations and the Gillespie algorithm, we illustrate the distinct oscillatory dynamics at the single-cell and population-cell levels which were found in the recent experiments. The oscillatory dynamics of p53-Mdm2 interaction appears as coherent resonance with delay and noise in individual cells. Dephasing mechanisms provide the origin of damped oscillation at the population level out of the sustained one at the single-cell level. The non-Gaussian nature of distributions of protein populations results from the interplay between time delay and nonlinearity of reaction processes. Our findings may lead to new insights related to the effects of noise and cancer therapy. PMID- 21060936 TI - Fabrication of carbon nanofiber-polyaniline composite flexible paper for supercapacitor. AB - In this work we report a low cost technique, via simple rapid-mixture polymerization of aniline using an electrospun carbon nanofiber (CNF) paper as substrate, to fabricate free-standing, flexible CNF-PANI (PANI=polyaniline) composite paper. The morphology and microstructure of the obtained products are characterized by FESEM, FTIR, Raman and XRD. As results, PANI nanoparticles are homogeneously deposited on the surface of each CNF, forming a thin, light-weight and flexible composite paper. The resulting composite paper displays remarkably enhanced electrochemical capacitance compared with the CNF paper, making it attractive for high-performance flexible capacitors. PMID- 21060937 TI - Size-dependent properties of magnetic iron oxide nanocrystals. AB - The fine control of iron oxide nanocrystal sizes within the nanometre scale (diameters range from 2.5 to 14 nm) allows us to investigate accurately the size dependence of their structural and magnetic properties. A study of the growth conditions of these nanocrystals obtained by thermal decomposition of an iron oleate precursor in high-boiling point solvents has been carried out. Both the type of solvent used and the ligand/precursor ratio have been systematically varied, and were found to be the key parameters to control the growth process. The lattice parameters of all the nanocrystals deduced from X-ray diffraction measurements are consistent with a structure of the type Fe3-xO4, i.e. intermediate between magnetite and maghemite, which evolves toward the maghemite structure for the smallest sizes (x=1/3). The evolution of the magnetic behavior with nanoparticle sizes emphasizes clearly the influence of the surface, especially on the saturation magnetization Ms and the magneto-crystalline anisotropy K. Dipolar interactions and thermal dependence have been also taken into account in the study on the nanoscale size-effect of magnetic properties. PMID- 21060938 TI - Two-layer membranes of calcium phosphate/collagen/PLGA nanofibres: in vitro biomineralisation and osteogenic differentiation of human mesenchymal stem cells. AB - The present study evaluates the in vitro biomedical performance of an electrospun, flexible, anisotropic bilayer with one layer containing a collagen to mineral ratio similar to that in bone. The double membrane consists of a poly(lactide-co-glycolide) (PLGA) layer and an amorphous calcium phosphate (a CaP)/collagen (Col)/PLGA layer. In vitro biomineralisation and a cell culture study with human mesenchymal stem cells (hMSC) were conducted to characterise such membranes for possible application as biomaterials. Nanofibres with different a-CaP/Col/PLGA compositions were synthesised by electrospinning to mimic the actual composition of bone tissue. Immersion in simulated body fluid and in cell culture medium resulted in the deposition of a hydroxyapatite layer. Incubation of hMSC for 4 weeks allowed for assessment of the proliferation and osteogenic differentiation of the cells on both sides of the double membrane. Confocal laser scanning microscopy was used to observe the proper adhesion of the cells. Calcium and collagen content was proven by Alizarin red S and Sirius red assays. Acute cytotoxic effects of the nanoparticles or the chemicals used in the scaffold preparation could be excluded based on viability assays (alamarBlue and alkaline phosphatase activity). The findings suggest possible application of such double membranes is in treatment of bone defects with complex geometries as wound dressing material. PMID- 21060939 TI - The entropic enlightenment of organic photochemistry: strategic modifications of intrinsic decay pathways using an information-based approach. AB - Early photochemistry flourished with sunlight plus the experimental and intellectual infrastructure provided by the chemistry of organic compounds. Through the pioneering work of Giacomo Ciamician and Emanuele Paterno, it was shown that photochemical reactions give rise to products that are not accessible by thermal methods, and the green chemistry potential of organic photoreactions was already recognized at the time. Over the last century, the photochemical behavior of many chromophores and functional groups has been well documented in solution. From those studies, it has become clear that applications in organic synthesis suffer from complications arising from competing decay pathways that are intrinsic to those excited states. While there are few opportunities to control the outcome of excited molecules in solution, the potential of organic photochemistry under the influence of highly ordered structures can be appreciated with examples from photobiology. Knowing that nature can synthesize triglycerides with light, CO(2), H(2)O and a few thermal reactions, organic photochemistry should have a great potential and aim high. With that in mind, after exploring the modes of action used by living organisms to take advantage of sunlight, one can identify an approach that relies on entropic factors that result from changes in the information content of the reactant. Analogies with information theory suggest a strategy that may be used to manage chemical information to modify the intrinsic properties of chromophores. Extrapolating from recent examples, it is suggested that an information-based approach to organic photochemistry may result in important advances not only in chemical synthesis and green chemistry, but also in many other applications. PMID- 21060940 TI - Bivalent inhibitors of the tyrosine kinases ABL and SRC: determinants of potency and selectivity. AB - We recently reported a chemical genetic method for generating bivalent inhibitors of protein kinases. This method relies on the use of the DNA repair enzyme O(6) alkylguanine-DNA alkyltransferase (AGT) to display an ATP-competitive inhibitor and a ligand that targets a secondary binding domain. With this method potent and selective inhibitors of the tyrosine kinases SRC and ABL were identified. Here, we dissect the molecular determinants of the potency and selectivity of these bivalent ligands. Systematic analysis of ATP-competitive inhibitors with varying linker lengths revealed that SRC and ABL have differential sensitivities to ligand presentation. Generation of bivalent constructs that contain ligands with differential affinities for the ATP-binding sites and SH3 domains of SRC and ABL demonstrated the modular nature of inhibitors based on the AGT scaffold. Furthermore, these studies revealed that the interaction between the SH3 domain ligand and the kinase SH3 domain is the major selectivity determinant amongst closely-related tyrosine kinases. Finally, the potency of bivalent inhibitors against distinct phospho-isoforms of SRC was determined. Overall, these results provide insight into how individual ligands can be modified to provide more potent and selective bivalent inhibitors of protein kinases. PMID- 21060941 TI - Designing polymeric particles for antigen delivery. AB - By targeting dendritic cells, polymeric carriers in the nano to lower micron range constitute very interesting tools for antigen delivery. In this critical review, we review how new immunological insights can be exploited to design new carriers allowing one to tune immune responses and to further increase vaccine potency (137 references). PMID- 21060942 TI - Dinuclear, tetranuclear and polymeric complexes in copper(II) perchlorate/pyridine-2,6-diamidoxime chemistry: synthetic, structural and magnetic studies. AB - The initial use of pyridine-2,6-diamidoxime (pdamoH(2)) in metal cluster and polymer chemistry is described. Depending on the reaction conditions employed, the Cu(ClO(4))(2).6H(2)O/pdamoH(2) system has provided access to the dinuclear compound [Cu(2)(pdamoH)(2)(ClO(4))(2)(MeOH)(2)] (1), the chain-like polymer [Cu(2)(pdamoH)(2)](n)(ClO(4))(2n) (2) and to the tetranuclear cluster [Cu(4)(pdamo)(2)(pdamoH)(2)](ClO(4))(2) (3). Single-crystal, X-ray crystallography reveals different coordination modes for the pdamoH(-) ligand in each compound, providing the first evidence for the flexibility and versatility of the anionic forms of pdamoH(2). Variable-temperature magnetic susceptibility studies indicate very strong antiferromagnetic coupling in the three complexes, attributable to the double oximato bridges which link the Cu(II) spin carriers. PMID- 21060943 TI - Chiral N-heterocyclic biscarbenes based on 1,2,4-triazole as ligands for metal catalyzed asymmetric synthesis. AB - A facile and straightforward synthetic procedure for the preparation of new chiral bis-1,2,4-triazolium salts and their corresponding rhodium(I) biscarbene complexes are reported. It is widely applicable for the synthesis of 1,2,4 triazolium salts. The new chiral biscarbenes represent promising ligands for transition metal-catalyzed asymmetric syntheses. Their first successful application is demonstrated by the rhodium-catalyzed hydrogenation of dimethylitaconate and methyl-2-acetamidoacrylate which yielded enantioselectivities of up to 61% ee. PMID- 21060944 TI - An unprecedented double-bridging interpenetrating alpha-Po network based on a new heterometallic cluster {Cu4Mo6}. AB - A new coordination polymer of polyoxomolybdate, {[Cu(4)(bbp)(5)Mo(6)O(22)].(H(2)O)(4)}(infinity) (bbp = 1,4-bis(benzoimidazol-1 yl)phenyl), has been synthesized under solvothermal reaction, which represents a double-bridging interpenetrating alpha-Po network based on the bimetallic cluster {Cu(4)Mo(6)}. The thermogravimetric and electrochemical behaviors have also been studied. PMID- 21060945 TI - Micro-impedance cytometry for detection and analysis of micron-sized particles and bacteria. AB - The sensitivity of a microfluidic impedance flow cytometer is governed by the dimensions of the sample analysis volume. A small volume gives a high sensitivity, but this can lead to practical problems including fabrication and clogging of the device. We describe a microfluidic impedance cytometer which uses an insulating fluid to hydrodynamically focus a sample stream of particles suspended in electrolyte, through a large sensing volume. The detection region consists of two pairs of electrodes fabricated within a channel 200 um wide and 30 um high. The focussing technique increases the sensitivity of the system without reducing the dimensions of the microfluidic channel. We demonstrate detection and discrimination of 1 um and 2 um diameter polystyrene beads and also Escherichia coli. Impedance data from single particles are correlated with fluorescence emission measured simultaneously. Data are also compared with conventional flow cytometry and dynamic light scattering: the coefficient of variation (CV) of size is found to be comparable between the systems. PMID- 21060946 TI - Rails and anchors: guiding and trapping droplet microreactors in two dimensions. AB - This paper presents a method to control the motion of nanolitre drops in a wide and thin microchannel, by etching fine patterns into the channel's top surface. Such control is possible for drops that are squeezed by the channel roof, by allowing them to reduce their surface energy as they enter into a local depression. The resulting gain in surface energy pulls a drop into the groove such that localized holes can be used as anchors for holding drops, while linear patterns can be used as rails to guide them along complex trajectories. An anchored drop can remain stationary indefinitely, as long as the driving flow rate is below a critical value which depends on the hole and drop sizes. By micro fabricating holes into a grid pattern, drops can be arrayed and held in the observation field of a microscope against the mean carrier flow. Their contents can then be modulated by gas exchange with the flowing carrier oil. We demonstrate in particular how the pH or the oxygen levels within the drops can be controlled spatially and temporally, either by exposing rows of drops to two streams of oil at different gas concentrations or by periodically switching oil inputs to vary the gas concentration of drops as a function of time. Oxygen control is used to selectively deoxygenate droplets that encapsulate red blood cells from patients suffering from sickle cell disease, in order to study the polymerization of intracellular hemoglobin. Cycles of oxygenation and deoxygenation of anchored droplets induce depolymerization and polymerization of the hemoglobin, thus providing a method to simulate the cycling that takes place in physiological flows. PMID- 21060947 TI - Analysis of DNA hybridization regarding the conformation of molecular layer with piezoelectric microcantilevers. AB - Lead Zirconate Titanate (PZT)-embedded microcantilevers were fabricated with dimensions of 30 * 90 * 3 MUm(3) (width * length * thickness). A thicker PZT layer improved the actuation and enabled long-term data acquisition in common aqueous buffers with a frequency resolution of 20 Hz. A quantitative assay was conducted in the range of 1-20 MUM and the resonant frequency was found to increase with the concentration of target DNAs and the probe DNAs were almost saturated at 20 MUM. Back-filling with ethyleneglycol-modified alkanethiol was shown to facilitate the hybridization efficiency and stabilize the surface reaction, resulting in a signal enhancement of 40%. We report for the first time how secondary structures in oligonucleotide monolayer change the surface property of a dynamic mode microcantilever and subsequently affect its oscillating behavior. Using fabricated microcantilevers, the real time changes in resonant frequency upon hybridization were measured by utilizing different probe and target sets. The results revealed that the microcantilevers experienced a resonant frequency upshift during the hybridization with complementary DNAs if a dimer structure was present between DNA probes. A resonant frequency downshift was observed for DNA probes that did not contain any complex secondary structures. In addition, the results demonstrate the potential of using these microcantilevers to extract structural information of oligonucleotides. PMID- 21060948 TI - Phosphoproteome profile of human lung cancer cell line A549. AB - As an in vitro model for type II human lung cancer, A549 cells resist cytotoxicity via phosphorylation of proteins as demonstrated by many studies. However, to date, no large-scale phosphoproteome investigation has been conducted on A549. Here, we performed a systematical analysis of the phosphoproteome of A549 by using mass spectrometry (MS)-based strategies. This investigation led to the identification of 337 phosphorylation sites on 181 phosphoproteins. Among them, 67 phosphoproteins and 230 phosphorylation sites identified appeared to be novel with no previous characterization in lung cancer. Based on their known functions as reported in the literature, these phosphoproteins were functionally organized into highly interconnected networks. Western blotting and immunohistochemistry analyses were performed to validate the expression of a bottleneck phosphoprotein YAP1 in cancer cell lines and tissues. This dataset provides a valuable resource for further studies on phosphorylation and lung carcinogenesis. PMID- 21060949 TI - Probing aromatic, hydrophobic, and steric effects on the self-assembly of an amyloid-beta fragment peptide. AB - Aromatic amino acids have been shown to promote self-assembly of amyloid peptides, although the basis for this amyloid-inducing behavior is not understood. We adopted the amyloid-beta 16-22 peptide (Abeta(16-22), Ac-KLVFFAE NH(2)) as a model to study the role of aromatic amino acids in peptide self assembly. Abeta(16-22) contains two consecutive Phe residues (19 and 20) in which Phe 19 side chains form interstrand contacts in fibrils while Phe 20 side chains interact with the side chain of Va l18. The kinetic and thermodynamic effect of varying the hydrophobicity and aromaticity at positions 19 and 20 by mutation with Ala, Tyr, cyclohexylalanine (Cha), and pentafluorophenylalanine (F(5)-Phe) (order of hydrophobicity is Ala < Tyr < Phe < F(5)-Phe < Cha) was characterized. Ala and Tyr position 19 variants failed to undergo fibril formation at the peptide concentrations studied, but Cha and F(5)-Phe variants self-assembled at dramatically enhanced rates relative to wild-type. Cha mutation was thermodynamically stabilizing at position 20 (DeltaDeltaG = -0.2 kcal mol(-1) relative to wild-type) and destabilizing at position 19 (DeltaDeltaG = +0.2 kcal mol(-1)). Conversely, F(5)-Phe mutations were strongly stabilizing at both positions (DeltaDeltaG = -1.3 kcal mol(-1) at 19, DeltaDeltaG = -0.9 kcal mol(-1) at 20). The double Cha and F(5)-Phe mutants showed that the thermodynamic effects were additive (DeltaDeltaG = 0 kcal mol(-1) for Cha 19,20 and -2.1 kcal mol(-1) for F(5)-Phe 19,20). These results indicate that sequence hydrophobicity alone does not dictate amyloid potential, but that aromatic, hydrophobic, and steric considerations collectively influence fibril formation. PMID- 21060950 TI - Using the Man(9)(GlcNAc)(2)-DC-SIGN pairing to probe specificity in photochemical immobilization. AB - We demonstrate the expected preference of an immobilised oligosaccharide Man(9)(GlcNAc)(2) upon a 96-well photochemical array, for its known receptor, the cell-surface lectin Dendritic Cell-Specific ICAM3 Grabbing Nonintegrin (DC-SIGN) when compared to immobilised competing monosaccharides. PMID- 21060951 TI - Core-crosslinked compartmentalized cylinders. AB - We present a detailed study on the preparation of compartmentalized cylindrical nanoparticles via a templated approach: the polybutadiene part of a linear polybutadiene-block-poly(2-vinyl pyridine)-block-poly(tert-butyl methacrylate) block terpolymer, B420V280T790, having a bulk microstructure with PB cylinders covered by a P2VP double helix and embedded in a PtBMA matrix was selectively crosslinked. Subsequent sonication-assisted dissolution and chemical modifications such as quaternization (P2VP to P2VPq) and ester hydrolysis (PtBMA to poly(sodium methacrylate), PMANa) resulted in core-crosslinked cylinders soluble in organic and aqueous media. Different amounts of crosslinker and the influence of the sonication treatment on size and shape of the cylindrical aggregates were investigated. The cylinders always exhibit a compartmentalized corona. Under certain conditions, in particular quaternization of P2VP in mixtures of THF and MeOH, the helical arrangement of the P2VPq shell could be preserved even in solution, whereas in most other cases randomly distributed P2VP/P2VPq patches were observed. In aqueous solution at high pH, intramicellar interpolyelectrolyte complex (im-IPEC) formation occurred between the positively charged P2VPq shell and the negatively charged PMANa corona. We further show that different noble metal nanoparticles can be generated either selectively within the im-IPEC compartments (Pd) or randomly distributed among shell and corona of the cylinders (Au and Pt). PMID- 21060952 TI - Theoretical investigation of the complexation of crown ethers and crown ethers of fulleropyrrolidine with (CH3)(x)NH+(4-x), x = 0-4. AB - The electronic and geometric structures of dibenzo-12-crown-4, dibenzo-18-crown 6, and dibenzo-24-crown-8 ethers, and dibenzo-18-crown-6 ether of fullero-N methylpyrrolidine and their complexes with (CH(3))(x)NH+(4-x), x = 0-4 were investigated by employing density functional theory (B3LYP, M05-2X, M06-2X, MPWBIK and B2PLYP-D) in conjunction with three basis sets. Different energetic minima have been identified for all of the above molecules and complexes in the gas phase as well as in CHCl(3) solvent. We report geometries, complexation energies and some thermochemical data. For increasing values of x, the complexation energies, corrected for the basis set superposition error range from 3.29 to 0.73 eV in the gas phase and from 1.56 to 0.13 eV in the CHCl(3) solvent. In the case of the largest crown ethers, the 24-crown-8 ethers are folded around the ammonium cation so as to maximize the number of hydrogen bonds formed and present the largest complexation energies. Finally, the presence of fullero-N methylpyrrolidine, attached to the crown ethers, does not change the complexation energies substantially. PMID- 21060953 TI - New cyclometallated precursors of unsubstituted N-phenylpyrazole [{Pd(phpz)(MU X)}2] (X = AcO or OH) and study of their reactivity towards selected ligands. AB - A new acetate-bridged dinuclear palladacycle with unsubstituted N-phenylpyrazole [{Pd(phpz)(MU-AcO)}(2)] 1 has been isolated and characterised, including an X-ray diffraction study. A survey of the Cambridge Structural Database (CSD) v. 5.31 looking for analogous dimeric C^N cyclopalladated complexes has been done, exploring the incidence of cisoid/transoid arrangements, the preferred conformation of the eight-membered ring formed in the double bridge, the Pd-Pd distance and the main factors that affect it. The reaction of 1 with NBu(4)OH yielded [{Pd(phpz)(MU-OH)}(2)] 2 that has shown to be a complementary precursor of 1 in terms of acid/base reactivity. In this sense, both 1 and 2 are also well differentiated from halide precursors available to date. The preparation of selected complexes with potential applications in several fields, [Pd(phpz)(O^N)] O^N = N-p-chlorophenylsalycilaldiminate (N-pClsal) 3, picolinic acid (pic) 4; 8 hydroxiquinolinate (oxin) 5; 2-pyrrole-carboxaldeydate (2-pcal) 6, [Pd(phpz)(O^O)] O^O = salycilaldehydate (sal) 7 acetylacetonate (acac) 8, [{Pd(phpz)(MU-N^S)}(2)] N^S = 2-mercapto-1-methylimidazolate (SMeimz) 11; [{Pd(phpz)(MU-N^O)}(2)] N^O = succinimidate (succ) 12; [{Pd(phpz)(MU-N^N)}(2)] (N^N = pyrazolate (pz) 13, has been achieved using 1 or 2 as starting materials in acid/base reactions. Dithiocarbamate [Pd(phpz)(S(2)CNEt(2))] 9 and dithiophosphate [Pd(phpz){S(S)P(OEt)(2)}] 10 derivatives have been synthesised in related reactions, and the reactivity of 1 against neutral phosphine ligands has also been tested with the preparation of [Pd(phpz)(AcO)(PPh(3))] 14. The crystal structures of compounds 7, 9, 11, 12 and 13 (this one obtained from a powder sample using synchrotron radiation) have also been established, and together with 1 are the first examples of complexes containing unsubstituted N-phenylpyrazole as cyclometallated backbone that have been deposited to date on the Cambridge Structural Database. PMID- 21060954 TI - Unique 3D self-penetrating Co(II) and Ni(II) coordination frameworks with a new (4(4).6(10).8) network topology. AB - Two unique six-connected self-penetrating coordination polymers with a new (4(4).6(10).8) network topology, derived from the cross-linking of two 6(6)-dia subnets, were constructed from Ni(II) or Co(II) and two types of V-shaped tectons. The Ni(II) complex 1 shows an antiferromagnetic coupling via MU carboxylate and MU-H(2)O pathways, whereas the Co(II) complex 2 exhibits the single-ion behavior in 300-34 K and then a ferromagnetic coupling at lower temperatures. PMID- 21060955 TI - Single-crystal to single-crystal transformation of 1D coordination polymer via photochemical [2+2] cycloaddition reaction. AB - Photochemical single-crystal to single-crystal transformation of one 1D polymer [Cd(bpe)(CBA)(2)](n) afforded a new 1D polymer [Cd(rctt-tpcb)(0.5)(CBA)(2)](n) which underwent hydrothermal reaction in strong acidic solution to form a 3D coordination polymer {[Cd(rtct-tpcb)Cl(2)].2H(2)O}(n). PMID- 21060956 TI - Methods and options for the heterologous production of complex natural products. AB - This review will detail the motivations, experimental approaches, and growing list of successful cases associated with the heterologous production of complex natural products. PMID- 21060957 TI - Charge-compensated Zn metallocenes with sigma-/pi-chelating carboranyl ligands for the formation of constrained geometry Ru(II) and Ni(II) complexes. AB - Charge-compensated zinc metallocenes of types [(eta(3) C(2)B(9)H(10))(2)Zn][(eta(1)-NMe(2))ZnEt](2) (5) and [{eta(3) (Me)C(2)B(9)H(9)}(2)Zn][(eta(1)-NMe(2))ZnEt](2) (6) were produced by reacting sigma-/pi-chelating aminoalkyl dicarbollides, 7-Me(2)N(H)CH(2)-7,8-C(2)B(9)H(11) (1) and 7-Me(2)N(H)CH(2)-8-Me-7,8-C(2)B(9)H(10) (2), with diethylzinc. Zincocene 5 proved to be an excellent synthon for the formation of dicarbollide-based sigma /pi-type constrained Ru and Ni complexes. PMID- 21060958 TI - Absorption into fluorescence. A method to sense biologically relevant gas molecules. AB - In this work we present an innovative optical sensing methodology based on the use of biomolecules as molecular gating nano-systems. Here, as an example, we report on the detection of analytes related to climate change. In particular, we focused our attention on the detection of nitric oxide (NO) and oxygen (O2). Our methodology builds on the possibility of modulating the excitation intensity of a fluorescent probe used as a transducer and a sensor molecule whose absorption is strongly affected by the binding of an analyte of interest used as a filter. The two simple conditions that have to be fulfilled for the method to work are: (a) the absorption spectrum of the sensor placed inside the cuvette, and acting as the recognition element for the analyte of interest, should strongly change upon the binding of the analyte and (b) the fluorescence dye transducer should exhibit an excitation band which overlaps with one or more absorption bands of the sensor. The absorption band of the sensor affected by the binding of the specific analyte should overlap with the excitation band of the transducer. The high sensitivity of fluorescence detection combined with the use of proteins as highly selective sensors makes this method a powerful basis for the development of a new generation of analytical assays. Proof-of-principle results showing that cytochrome c peroxidase (CcP) for NO detection and myoglobin (Mb) for O2 detection can be successfully used by exploiting our new methodology are reported. The proposed technology can be easily expanded to the determination of different target analytes. PMID- 21060959 TI - Controllable fabrication of soap-bubble-like structured polyacrylic acid nano nets via electro-netting. AB - Soap-bubble-like structured polyacrylic acid (PAA) nano-nets that comprise interlinked ultrathin nanowires with diameters of 10-35 nm are controllably prepared by a one-step electro-netting process. PMID- 21060965 TI - Surface polymerization of (3,4-ethylenedioxythiophene) probed by in situ scanning tunneling microscopy on Au(111) in ionic liquids. AB - The electropolymerization of 3,4-ethylenedioxythiophene (EDOT) to poly(3,4 ethylenedioxythiophene) (PEDOT) was investigated in the air and water-stable ionic liquids 1-hexyl-3-methylimidazolium tris(pentafluoroethyl) trifluorophosphate [HMIm]FAP and 1-ethyl-3-methylimidazolium bis(trifluoromethylsulfonyl) amide [EMIm]TFSA. In situ scanning tunnelling microscopy (STM) results show that the electropolymerization of EDOT in the ionic liquid can be probed on the nanoscale. In contrast to present understanding, it was observed that the EDOT can be oxidised in ionic liquids well below its oxidation potential and the under potential growth of polymer was visualized by in situ STM. These results serve as the first study to confirm the under potential growth of conducting polymers in ionic liquids. Furthermore, ex situ microscopy measurements were performed. Quite a high current of 670 nA was observed on the nanoscale by conductive scanning force microscopy (CSFM). PMID- 21060966 TI - Prospects of stem cell therapy in diabetes - introduction to the RDS special issue. Introduction. PMID- 21060967 TI - Generation of insulin-producing cells from pluripotent stem cells: from the selection of cell sources to the optimization of protocols. AB - The pancreas arises from Pdx1-expressing progenitors in developing foregut endoderm in early embryo. Expression of Ngn3 and NeuroD1 commits the cells to form endocrine pancreas, and to differentiate into subsets of cells that constitute islets of Langerhans. beta-cells in the islets transcribe gene encoding insulin, and subsequently process and secrete insulin, in response to circulating glucose. Dysfunction of beta-cells has profound metabolic consequences leading to hyperglycemia and diabetes mellitus. beta-cells are destroyed via autoimmune reaction in type 1 diabetes (T1D). Type 2 diabetes (T2D), characterized by impaired beta-cell functions and reduced insulin sensitivity, accounts for 90% of all diabetic patients. Islet transplantation is a promising treatment for T1D. Pluripotent stem cells provide an unlimited cell source to generate new beta-cells for patients with T1D. Furthermore, derivation of induced pluripotent stem cells (iPSCs) from patients captures "disease-in-a dish" for autologous cell replacement therapy, disease modeling, and drug screening for both types of diabetes. This review highlights essential steps in pancreas development, and potential stem cell applications in cell regeneration therapy for diabetes mellitus. PMID- 21060968 TI - The new generation of beta-cells: replication, stem cell differentiation, and the role of small molecules. AB - Diabetic patients suffer from the loss of insulin-secreting beta-cells, or from an improper working beta-cell mass. Due to the increasing prevalence of diabetes across the world, there is a compelling need for a renewable source of cells that could replace pancreatic beta-cells. In recent years, several promising approaches to the generation of new beta-cells have been developed. These include directed differentiation of pluripotent cells such as embryonic stem (ES) cells or induced pluripotent stem (iPS) cells, or reprogramming of mature tissue cells. High yield methods to differentiate cell populations into beta-cells, definitive endoderm, and pancreatic progenitors, have been established using growth factors and small molecules. However, the final step of directed differentiation to generate functional, mature beta-cells in sufficient quantities has yet to be achieved in vitro. Beside the needs of transplantation medicine, a renewable source of beta-cells would also be important in terms of a platform to study the pathogenesis of diabetes, and to seek alternative treatments. Finally, by generating new beta-cells, we could learn more details about pancreatic development and beta-cell specification. This review gives an overview of pancreas ontogenesis in the perspective of stem cell differentiation, and highlights the critical aspects of small molecules in the generation of a renewable beta-cell source. Also, it discusses longer term challenges and opportunities in moving towards a therapeutic goal for diabetes. PMID- 21060969 TI - Pancreatic stem/progenitor cells for the treatment of diabetes. AB - Patients with type 1 diabetes, and most patients with type 2 diabetes, have associated hyperglycemia due to the absence or reduction of insulin production by pancreatic beta-cells. Surgical resection of the pancreas may also cause insulin dependent diabetes depending on the size of the remaining pancreas. Insulin therapy has greatly improved the quality of life of diabetic patients, but this method is inaccurate and requires lifelong treatment that only mitigates the symptoms. The successes achieved over the last few decades by the transplantation of whole pancreas and isolated islets suggest that diabetes can be cured by the replenishment of deficient beta-cells. These observations are proof-of-principle and have intensified interest in treating diabetes by cell transplantation, and by the use of stem cells. Pancreatic stem/progenitor cells could be one of the sources for the treatment of diabetes. Islet neogenesis, the budding of new islets from pancreatic stem/progenitor cells located in or near pancreatic ducts, has long been assumed to be an active process in the postnatal pancreas. Several in vitro studies have shown that insulin-producing cells can be generated from adult pancreatic ductal tissues. Acinar cells may also be a potential source for differentiation into insulin-producing cells. This review describes recent progress on pancreatic stem/progenitor cell research for the treatment of diabetes. PMID- 21060970 TI - The quest for tissue stem cells in the pancreas and other organs, and their application in beta-cell replacement. AB - Adult stem cell research has drawn a lot of attention by many researchers, due to its medical hope of cell replacement or regenerative therapy for diabetes patients. Despite the many research efforts to date, there is no consensus on the existence of stem cells in adult pancreas. Genetic lineage tracing experiments have put into serious doubt whether beta-cell neogenesis from stem/progenitor cells takes place postnatally. Different in vitro experiments have suggested centroacinar, ductal, acinar, stellate, or yet unidentified clonigenic cells as candidate beta-cell progenitors. As in the rest of the adult stem cell field, sound and promising observations have been made. However, these observations still need to be replicated. As an alternative to committed stem/progenitor cells in the pancreas, transdifferentiation or lineage reprogramming of exocrine acinar and endocrine alpha-cells may be used to generate new beta-cells. At present, it is unclear which approach is most medically promising. This article highlights the progress being made in knowledge about tissue stem cells, their existence and availability for therapy in diabetes. Particular attention is given to the assessment of methods to verify the existence of tissue stem cells. PMID- 21060971 TI - Adult pancreatic alpha-cells: a new source of cells for beta-cell regeneration. AB - Beta-cell deficit is the major pathological feature in type 1 and type 2 diabetes patients, and plays a key role in disease progression. In principle, beta-cell regeneration can occur by replication of pre-existing beta-cells, or by beta-cell neogenesis from stem/progenitors. Unfortunately, beta-cell replication is limited by the almost complete absence of beta-cells in patients with type 1 diabetes, and the increasing recognition that the beta-cell replicative capacity declines severely with age. Therefore, beta-cell neogenesis has received increasing interest. Many different cell types within the pancreas have been suggested as potential beta-cell stem/progenitor cells, but the data have been conflicting. In some cases, this may be due to different regeneration models. On the other hand, different results have been obtained with similar regeneration models, leading to confusion about the nature and existence of beta-cell neogenesis in adult animals. Here, we review the major candidates for adult regeneration pathways, and focus on the recent discovery that alpha-cells can function as a novel beta cell progenitor. Of note, this is a pathway that appears to be unique to beta cell neogenesis in the adult, as the embryonic pathway of beta-cell neogenesis does not proceed through a glucagon-positive intermediate. We conclude that beta cell neogenesis from alpha-cells is a new pathway of potential therapeutic significance, making it of high importance to elucidate the molecular events in alpha- to beta-cell conversion. PMID- 21060972 TI - Mesenchymal stem cells as feeder cells for pancreatic islet transplants. AB - Allogeneic islet transplantation serves as a source of insulin-secreting beta cells for the maintenance of normal glucose levels and treatment of diabetes. However, limited availability of islets, high rates of islet graft failure, and the need for life-long non-specific immunosuppressive therapy are major obstacles to the widespread application of this therapeutic approach. To overcome these problems, pancreatic islet transplantation was recently suggested as a potential target of the "therapeutic plasticity" of adult stem cells. In fact, new results suggest that stem/precursor cells, and mesenchymal stem cells in particular, co transplanted with islets can promote tissue engraftment and beta-cell survival via bystander mechanisms, mainly exerted by creating a milieu of cytoprotective and immunomodulatory molecules. This evidence consistently challenges the limited view that stem/precursor cells work exclusively through beta-cell replacement in diabetes therapy. It proposes that stem cells also act as "feeder" cells for islets, and supporter of graft protection, tissue revascularization, and immune acceptance. This article reviews the experience of using stem cell co transplantation as strategy to improve islet transplantation. It highlights that comprehension of the mechanisms involved will help to identify new molecular targets and promote development of new pharmacological strategies to treat type 1 and type 2 diabetes patients. PMID- 21060973 TI - Bone marrow-derived stem cell transplantation for the treatment of insulin dependent diabetes. AB - The bone marrow is an invaluable source of adult pluripotent stem cells, as it gives rise to hematopoietic stem cells, endothelial progenitor cells, and mesenchymal cells, amongst others. The use of bone marrow-derived stem cell (BMC) transplantation (BMT) may be of assistance in achieving tissue repair and regeneration, as well as in modulating immune responses in the context of autoimmunity and transplantation. Ongoing clinical trials are evaluating the effects of BMC to preserve functional beta-cell mass in subjects with type 1 and type 2 diabetes, and to favor engraftment and survival of transplanted islets. Additional trials are evaluating the impact of BMT (i.e., mesenchymal stem cells) on the progression of diabetes complications. This article reviews the progress in the field of BMC for the treatment of subjects with insulin-dependent diabetes, and summarizes clinical data of pilot studies performed over the last two decades at our research center by combining allogeneic islet transplantation with donor-specific BMC. Clinical data is summarized from pilot studies performed at our research center over the last two decades. PMID- 21060974 TI - Turning human epidermis into pancreatic endoderm. AB - OBJECTIVE: Human embryonic stem (hES) cells can be differentiated into pancreatic endoderm structures in vitro. The study was performed to determine whether induced pluripotent stem (iPS) cells can be differentiated into similar structures with comparable efficiency. METHODS: We compared the ability of hES cells and iPS cells derived from human epidermal keratinocytes to progressively differentiate into pancreatic endoderm. Human foreskin keratinocytes were reprogrammed to pluripotency by transduction with retroviruses encoding Oct4, Sox2, and Klf4. The resulting keratinocyte-derived iPS (KiPS) cell lines and a hES cell line were subjected to a modified pancreatic endoderm differentiation protocol. Cells and embryoid-body structures derived from both hES and KiPS cells were compared at different stages of development for expression of stem cell and differentiation markers, including Sox2, Oct4, Mixl1, Brachyury, Gsc, FoxA2, Sox17, Hnf4alpha, Hnf1beta, Nkx2.2, Nkx6.1, Hex, Isl1, Pdx1, and Slc2A, via Taqman real-time PCR, flow-cytometry, and/or immunocytochemistry. RESULTS: hES cells and KiPS cells expressed similar levels of the stem cell factors Sox2 and Oct4. Upon differentiation, both cell types underwent remarkably similar changes in gene expression. They acquired the definitive endoderm markers Sox17 and FoxA2. Most Sox17+ and FoxA2+ cells co-expressed Hnf4alpha and Hnf1beta, found in the primitive gut tube, a pancreas precursor. Most FoxA2+ cells were also Pdx1+, and many expressed Nkx2.2, Nkx6.1, and Isl1. CONCLUSIONS: Keratinocyte-derived iPS cells can be differentiated into pancreatic endoderm, and the efficiency of this process is comparable to that seen for hES cells. Thus keratinocytes have the potential to serve as a source of patient-specific pancreatic endoderm for transplantation. PMID- 21060975 TI - Human placenta-derived mesenchymal stem cells and islet-like cell clusters generated from these cells as a novel source for stem cell therapy in diabetes. AB - Placental tissue holds great promise as a source of cells for regenerative medicine due to its plasticity, and easy availability. Human placenta-derived mesenchymal stem cells (hPDMSCs) have the potential to differentiate into insulin producing cells. Upon transplantation, they can reverse experimental diabetes in mice. However, it is not known whether culture-expanded undifferentiated hPDMSCs are capable of restoring normoglycemia upon transplantation in streptozotocin (STZ)-induced diabetic mice. Hence we prepared long-term cultures of hPDMSCs from the chorionic villi of full-term human placenta. Flow cytometry analyses and immunocytochemistry study revealed bonafide mesenchymal nature of the isolated hPDMSCs. These cultures could differentiate into adipogenic, oesteogenic, chondrogenic, and neuronal lineages on exposure to lineage-specific cocktails. Furthermore, we showed that hPDMSCs can form islet-like cell clusters (ILCs) on stepwise exposure to serum-free defined media containing specific growth factors and differentiating agents. qRT-PCR showed the expression of insulin, glucagon, and somatostatin in undifferentiated hPDMSCs and in ILCs. Differentiated ILCs were found to express human insulin, glucagon, and somatostatin by immunocytochemistry. Additionally, ILCs also showed abundance of pancreatic transcription factors ngn3 and isl1. Both undifferentiated hPDMSCs and ILCs exihibited insulin secretion in response to glucose. Transplantation of hPDMSCs or ILCs derived from hPDMSCs in STZ-induced diabetic mice led to restoration of normoglycemia. Our results demonstrate, for the first time, reversal of hyperglycemia by undifferentiated hPDMSCs and ILCs derived from hPDMSCs. These results suggest human placenta-derived MSCs as an alternative source for cell replacement therapy in diabetes. PMID- 21060976 TI - Vertebral arteries: a target for FDG-PET imaging in giant cell arteritis? Clinical, ultrasonographic and PET study in 46 patients. AB - AIM: To demonstrate the capacity of FDG-PET to show active giant cell arteritis (GCA) of the extracerebral vertebral artery (VA) and to compare it with clinical, ultrasonographic (US) and biopsy findings. PATIENTS, METHODS: Observational study of 46 consecutive patients with the diagnosis of active GCA and abnormal high FDG uptake in the aorta and other large arteries suggestive for GCA. RESULTS: 15 of the 46 GCA patients had abnormal high FDG uptake within the extending from the V0 to V3 segment in 13 and confined to single segments in 2 patients. In 2 patients high FDG uptake in one VA was the onIy PET abnormality. In 13 patients high FDG uptake was also found in other large arteries (carotid n = 10, subclavian/axillary artery n = 12, thoracic aorta n = 12). Abnormal PET was detectable in 5 patients despite glucocorticoid (GC) treatment. Nuchal and occipital pain and ischemic stroke or TIA in the posterior circulation (n = 3) were found in 10 patients with high VA FDG uptake. US detected halos of the V0-2 segments in 8/46 patients (5/15 VA PET positive and 3/31 VA PET negative patients). Biopsies were available in 10/15 VA PET positive patients with evidence of active GCA in 7 cases. CONCLUSION: In patients with severe GCA and a high TVS the extracranial VA are a good target for PET imaging in active GCA with abnormal findings in 33% of patients with a positive PET. VA abnormalities can be an early and isolated finding in active GCA. PET is superior to US for the detection of active VA-GCA. A strong correlation between VA abnormalities and associated clinical abnormalities existed in 2/3 of patients. PET abnormalities of the VA could be detected in some cases after GC treatment has been started even at high doses. PMID- 21060978 TI - Rhinosinusitis invisible in the IPCRG Research Needs Statement? PMID- 21060977 TI - Stearoyl-CoA desaturase-1 is associated with insulin resistance in morbidly obese subjects. AB - Animal studies have revealed the association between stearoyl-CoA desaturase 1 (SCD1) and obesity and insulin resistance. However, only a few studies have been undertaken in humans. We studied SCD1 in visceral adipose tissue (VAT) and subcutaneous adipose tissue (SAT) from morbidly obese patients and their association with insulin resistance, sterol regulatory element binding protein-1 (SREBP-1) and ATPase p97, proteins involved in SCD1 synthesis and degradation. The insulin resistance was calculated in 40 morbidly obese patients and 11 overweight controls. Measurements were made of VAT and SAT SCD1, SREBP-1 and ATPase p97 mRNA expression and protein levels. VAT and SAT SCD1 mRNA expression levels in the morbidly obese patients were significantly lower than in the controls (P = 0.006), whereas SCD1 protein levels were significantly higher (P < 0.001). In the morbidly obese patients, the VAT SCD1 protein levels were decreased in patients with higher insulin resistance (P = 0.007). However, SAT SCD1 protein levels were increased in morbidly obese patients with higher insulin resistance (P < 0.05). Multiple linear regressions in the morbidly obese patients showed that the variable associated with the SCD1 protein levels in VAT was insulin resistance, and the variables associated with SCD1 protein levels in SAT were body mass index (BMI) and ATPase p97. In conclusion, these data suggest that the regulation of SCD1 is altered in individuals with morbid obesity and that the SCD1 protein has a different regulation in the two adipose tissues, as well as being closely linked to the degree of insulin resistance. PMID- 21060979 TI - Approaches to enhancing the validity of coded data in electronic medical records. PMID- 21060980 TI - [Anesthetic management in laryngotracheal surgery. High-frequency jet ventilation as strategy for ventilation during general anesthesia]. AB - During surgical procedures of the upper respiratory tract anesthesiologists and surgeons are in a kind of competition situation because of the close spatial relationship between the airway of the patient and the surgical area. Especially in laryngeal surgery the use of high-frequency jet ventilation (HFJV) offers an alternative to the endotracheal tube. During HFJV the ventilation gas is intermittently administered by an injector with a high frequency into the airway which is open to the outside. Exhalation occurs passively in the area nearby the wall of the airway cross-section. According to the availability of the technique and the indications jet ventilation can be implemented in an infraglottic, supraglottic, transtracheal or transluminal manner. To exert influence on gas exchange of the patient the respiratory rate, driving pressure, oxygen concentration and inspiration time can be changed according to the needs. Severe tracheal stenosis, risk of excessive bleeding during the procedure, patients at risk for aspiration and exacerbation of lung diseases are depicted as contraindications for HFJV. Complications under HFJV are rare despite the limited conditions for monitoring gas exchange and mechanics of ventilation in contrast to conventional ventilation. A particular challenge for the anesthesiologist is the use of HFJV during laryngeal laser surgery. PMID- 21060981 TI - [Gone with the wind or... Fate of scientific articles presented at large anesthesia congresses--an update]. AB - BACKGROUND: The goal of the present study was to evaluate the publication rate of abstracts presented during the German Anesthesia Congress (Deutscher Anasthesiecongress, DAC) and the meeting of the European Society of Anesthesiologists (ESA) in the years 2000 and 2005 in Medline listed journals (http://www.ncbi.nlm.nih.gov/pubmed). In addition, the respective impact factors of the journals in which the articles were published were evaluated (http://www.isiknowledge.com). METHODS: All abstracts of free papers and posters presented at the DAC and ESA from the years 2000 and 2005 were included into the study. The presence of authors and the topics of abstracts in the literature were analyzed by a Medline based inquiry over a time period of 5 years. The search was based on the last name and initials of authors and when these could not be identified in Medline the search was extended by keywords of relevant topics of the abstract. Umlauts "a/o/u" were replaced by "ae/oe/ue" and "beta" was replaced by "ss". Only original papers were included in this analysis. Once an original paper was found the impact factor of the journal in that year was identified. RESULTS: A total of 465 abstracts from the DAC 2000, 378 abstracts from the DAC 2005, 644 abstracts from the ESA 2000 and 720 abstracts from the ESA 2005 were included. Of the abstracts from the DAC 2000, 183 (39%) were published in Medline listed journals, 179 (47%) from DAC 2005, 218 (34%) from ESA 2000 and 233 (32%) from ESA 2005. The ESA abstracts were published in English more often than the DAC abstracts (ESA 2000: 95%; ESA 2005: 95%; DAC 2000: 78%; DAC 2005: 86%). While the publication rate after the ESA remained nearly unchanged between 2000 and 2005, the publication rate after the DAC increased by about 7%. The average impact factors of the publications were 1.777 (DAC 2000), 2.836 (DAC 2005), 1.825 (ESA 2000) and 2.36 (ESA 2005). Independent of the congress (DAC or ESA) where the abstract was presented, most articles were published in the journal Anesthesia & Analgesia. CONCLUSION: In the year 2005 more abstracts of the DAC were published in Medline listed papers than in 2000. When comparing the number of abstracts published in Medline listed journals, more abstracts of the DAC were published compared to abstracts of the ESA. The increase in papers written in English after abstract presentation on the DAC is mostly due to the wider readership which can be reached with manuscripts in the English language. Besides a larger readership, English journals often also have a higher ranked impact factor. This analysis does not claim to be a complete registration of all published abstracts due to the limitation on Medline listed journals and publications in other journals were not rated. Medline was selected because of the widespread and international use of this database. PMID- 21060982 TI - Mass predicts web asymmetry in Nephila spiders. AB - The architecture of vertical aerial orb webs may be affected by spider size and gravity or by the available web space, in addition to phylogenetic and/or developmental factors. Vertical orb web asymmetry measured by hub displacement has been shown to increase in bigger and heavier spiders; however, previous studies have mostly focused on adult and subadult spiders or on several size classes with measured size parameters but no mass. Both estimations are suboptimal because (1) adult orb web spiders may not invest heavily in optimal web construction, whereas juveniles do; (2) size class/developmental stage is difficult to estimate in the field and is thus subjective, and (3) mass scales differently to size and is therefore more important in predicting aerial foraging success due to gravity. We studied vertical web asymmetry in a giant orb web spider, Nephila pilipes, across a wide range of size classes/developmental stages and tested the hypothesis that vertical web asymmetry (measured as hub displacement) is affected by gravity. On a sample of 100 webs, we found that hubs were more displaced in heavier and larger juveniles and that spider mass explained vertical web asymmetry better than other measures of spider size (carapace and leg lengths, developmental stage). Quantifying web shape via the ladder index suggested that, unlike in other nephilid taxa, growing Nephila orbs do not become vertically elongated. We conclude that the ontogenetic pattern of progressive vertical web asymmetry in Nephila can be explained by optimal foraging due to gravity, to which the opposing selective force may be high web building costs in the lower orb. Recent literature finds little support for alternative explanations of ontogenetic orb web allometry such as the size limitation hypothesis and the biogenetic law. PMID- 21060984 TI - Grooves to tubes: evolution of the venom delivery system in a Late Triassic "reptile". AB - Venom delivery systems occur in a wide range of extant and fossil vertebrates and are primarily based on oral adaptations. Teeth range from unmodified (Komodo dragons) to highly specialized fangs similar to hypodermic needles (protero- and solenoglyphous snakes). Developmental biologists have documented evidence for an infolding pathway of fang evolution, where the groove folds over to create the more derived condition. However, the oldest known members of venomous clades retain the same condition as their extant relatives, resulting in no fossil evidence for the transition. Based on a comparison of previously known specimens with newly discovered teeth from North Carolina, we describe a new species of the Late Triassic archosauriform Uatchitodon and provide detailed analyses that provide evidence for both venom conduction and document a complete structural series from shallow grooves to fully enclosed tubular canals. While known only from teeth, Uatchitodon is highly diagnostic in possessing compound serrations and for having two venom canals on each tooth in the dentition. Further, although not a snake, Uatchitodon sheds light on the evolutionary trajectory of venom delivery systems in amniotes and provide solid evidence for venom conduction in archosaur-line diapsids. PMID- 21060985 TI - An accurate DNA marker assay for stem rust resistance gene Sr2 in wheat. AB - The stem rust resistance gene Sr2 has provided broad-spectrum protection against stem rust (Puccinia graminis Pers. f. sp. tritici) since its wide spread deployment in wheat from the 1940s. Because Sr2 confers partial resistance which is difficult to select under field conditions, a DNA marker is desirable that accurately predicts Sr2 in diverse wheat germplasm. Using DNA sequence derived from the vicinity of the Sr2 locus, we developed a cleaved amplified polymorphic sequence (CAPS) marker that is associated with the presence or absence of the gene in 115 of 122 (95%) diverse wheat lines. The marker genotype predicted the absence of the gene in 100% of lines which were considered to lack Sr2. Discrepancies were observed in lines that were predicted to carry Sr2 but failed to show the CAPS marker. Given the high level of accuracy observed, the marker provides breeders with a selection tool for one of the most important disease resistance genes of wheat. PMID- 21060986 TI - Contrasted patterns of selection since maize domestication on duplicated genes encoding a starch pathway enzyme. AB - Maize domestication from teosinte (Zea mays ssp. parviglumis) was accompanied by an increase of kernel size in landraces. Subsequent breeding has led to a diversification of kernel size and starch content among major groups of inbred lines. We aim at investigating the effect of domestication on duplicated genes encoding a key enzyme of the starch pathway, the ADP-glucose pyrophosphorylase (AGPase). Three pairs of paralogs encode the AGPase small (SSU) and large (LSU) subunits mainly expressed in the endosperm, the embryo and the leaf. We first validated the putative sequence of LSU(leaf) through a comparative expression assay of the six genes. Second, we investigated the patterns of molecular evolution on a 2 kb coding region homologous among the six genes in three panels: teosintes, landraces, and inbred lines. We corrected for demographic effects by relying on empirical distributions built from 580 previously sequenced ESTs. We found contrasted patterns of selection among duplicates: three genes exhibit patterns of directional selection during domestication (SSU(end), LSU(emb)) or breeding (LSU(leaf)), two exhibit patterns consistent with diversifying (SSU(leaf)) and balancing selection (SSU(emb)) accompanying maize breeding. While patterns of linkage disequilibrium did not reveal sign of coevolution between genes expressed in the same organ, we detected an excess of non-synonymous substitutions in the small subunit functional domains highlighting their role in AGPase evolution. Our results offer a different picture on AGPase evolution than the one depicted at the Angiosperm level and reveal how genetic redundancy can provide flexibility in the response to selection. PMID- 21060987 TI - The genetic control of tolerance to aluminum toxicity in the 'Essex' by 'Forrest' recombinant inbred line population. AB - Aluminum (Al) toxicity to plant roots is a major problem of acidic soils. The main chemical reaction involved is Al hydrolysis. Application of lime or nitrate fertilizers to raise soil pH reduces Al toxicity but not as economically as a plant genotypes with natural tolerance against this stress. Ammonium fertilization of crops and assimilation of ammonium (even that derived from dinitrogen) are particularly acidifying of the root zone. The aims of the present study were to find genotypes of soybean tolerant to aluminum stress and identify QTL underlying that trait. Used were recombinant inbred lines (RILs) derived from the cross of 'Essex' by 'Forrest'. RILs were grown in a greenhouse for 3 weeks and then transferred to hydroponics in a growth chamber. Root lengths (RL) were measured before and 72 h after Al treatment. RL before and after Al treatment were measured and used to calculate root tolerance index (RTI) and relative mean growth (RMG). RILs 1, 85, 40 and 83 had significant (P<0.005) tolerance to Al stress judged by RL after Al, RTI and RMG. Eleven minor but significant marker trait associations (P<0.05) were detected using one-way ANOVA but only two major loci were significant in composite interval maps (LOD>3.0). The QTL on linkage group F (chromosome 13) was in the interval Satt160-Satt252 with a peak at 24 cM (peak LOD was 3.3). The QTL underlay 31% of trait variation and the Essex allele provided an additional 1.61 cm of root growth over 72 h in the presence of Al. The QTL on linkage group C2 (probably chromosome 4) was in the interval from Satt202 to Satt371 with a peak at 3.2 cM (peak LOD was 14.7). The QTL underlay 34% of trait variation or 1.81 cm of growth over 72 h in the presence of Al. Both loci encompassed genes implicated in citrate metabolism, a method of aluminum detoxification known to vary among soybean cultivars. Two major loci and at least nine minor loci were inferred to underlie tolerance to Al. RILs and markers may be used to select alleles that increase tolerance to soybean against Al stress. PMID- 21060988 TI - Clinical study of ischia spinous fascia fixation--a new pelvic reconstructive surgery. AB - INTRODUCTION AND HYPOTHESIS: This study aims to introduce a new pelvic reconstructive procedure and assess its safety and efficacy. METHODS: Anatomic dissection was performed on ten Chinese female cadavers. The fascia 1 cm horizontally lateral to the ischial spine was firm and strong with no major vessels or nerves in the area. Thirty-two patients with stage III uterine prolapse underwent ischial spinous fascia fixation procedure. Objective and subjective results were observed in follow-up evaluations. RESULTS: No severe complications were observed. The objective success rate was 94% at 1-year follow up while two patients (6.3%) showed recurrence. There were significant improvements in Aa, Ba, Ap, Bp, and C (p < 0.001) by pelvic organ prolapse quantification. Two patients suffered from lower back pain and right thigh pain, respectively, while three complained a sensation of a foreign body in the vagina. CONCLUSION: As a treatment of vaginal fornix prolapse, ischial spinous fascia fixation is found to be safe and efficacious. PMID- 21060989 TI - Nerve injury locations during retropubic sling procedures. AB - The risk of persistent pain following a retropubic sling is rare (1%). Nerve injuries have been suspected as a cause of persistent postoperative pain. We present two cases of postoperative pain thought to be secondary to injury or mechanical distortion of two different pelvic nerves. Visual exam, cystoscopy, and MRI studies demonstrated no abnormal findings. Manual examination produced site-specific tenderness thought to be associated with a specific nerve distribution. Each patient's pain was first relieved with a local anesthetic block at the site of the pain. One patient required surgical excision of the mesh, and the second patient only required conservative management. Both patients' pain completely resolved. Based on these and other reported cases, along with cadaveric dissections, we hypothesize that retropubic slings can potentially injure the pudendal, ilioinguinal, and iliohypogastric nerve branches. PMID- 21060990 TI - Relationship between bone mineral density changes and risk of fractures among patients receiving calcium with or without vitamin D supplementation: a meta regression. AB - Surrogate measures of fracture risk, such as effects on bone mineral density, may be of great interest to assess the efficacy of available osteoporosis treatments.Our results suggest that bone mineral density (BMD)changes cannot be used as a surrogate of anti-fracture efficacy, among patients receiving calcium, with or without vitamin D. INTRODUCTION: The purpose of this study is to examine the association between changes in bone mineral density with reduction in the risk of fractures in patients receiving calcium with or without vitamin D. METHODS: We selected all randomized placebo-controlled clinical trials of calcium with or without vitamin D supplementation. To be included in this analysis, the studies were required to report both BMD (hip/proximal femur and/or lumbar spine) and the incidence of fractures. Meta-regression analyses were used to examine the associations of changes in BMD with reduction in risk of fracture over the duration of each study. The change in BMD was the difference between changes (from baseline) observed in the active treatment group and placebo group. RESULTS: A total of 15 randomized trials (n=47,365) were identified, most of whom (77%) came from the Women's Health Initiative trial. Results show that larger increases in BMD at the lumbar spine were not associated with greater reduction in fracture risk. Concerning hip BMD changes,we found a statistically significant relationship between hip BMD changes and reduction in risk. However, results were not quite significant after excluding the both largest studies, in which BMD changes were measured in very small subset of patients. These points may have largely biased our results. CONCLUSIONS: In conclusion, there was no evidence of a relationship between BMD changes and reduction in risk of fractures among patients receiving calcium with or without vitamin D supplementation. Calcium and/or Vitamin D may reduce fracture rates through a mechanism independent of bone density. PMID- 21060991 TI - Prevalence of vertebral fractures on chest radiographs of elderly African American and Caucasian women. AB - : The prevalence of vertebral fractures on routine chest radiographs of elderly Caucasian women was only 1.3 times higher than in African American (AA) women, a difference considerably smaller than reported in population studies. AAs with medical problems may have higher risk of vertebral fractures than previously suspected. INTRODUCTION: Earlier studies noted a 1.9- to 3.7-fold higher prevalence of vertebral fractures in Caucasian (CA) compared to African American (AA) women. These studies, however, may have suffered from selection bias. We reported that among women referred for bone density testing, the prevalence of vertebral fractures in AA was the same as in CA women. Suspecting that the latter might have been due to a referral bias, we examined the racial difference in the prevalence of vertebra fractures on chest radiographs of patients seeking general medical care, not selected for osteoporosis. METHODS: Consecutive chest radiographs (N = 1,200) of women over age 60 were evaluated using Genant's semi quantitative method. Patients' race and the presence of diseases or medications associated with increased fracture risk were ascertained from the electronic medical records. RESULTS: Among 1,011 women (76% AA) with usable radiographs, 11% had moderate or severe vertebral fractures. The prevalence of vertebral fractures was 10.3% in 773 AA and 13% in 238 CA women (p = 0.248 for difference between races). The lack of difference persisted after controlling for age, smoking, use of glucocorticoids, or presence of cancer, rheumatoid arthritis, organ transplantation, and end-stage renal disease. Among all subjects, CA women were more likely to be diagnosed and treated for osteoporosis (p <0.001). CONCLUSION: Among subjects seeking medical care, the difference in the prevalence of vertebral fractures between AA and CA women is smaller than previously suspected. Greater attention to the detection of vertebral fractures and the management of osteoporosis is warranted in AA women with medical problems. PMID- 21060992 TI - Effect of exercise on mobility, balance, and health-related quality of life in osteoporotic women with a history of vertebral fracture: a randomized, controlled trial. AB - The aim of this randomized controlled trial was to evaluate the effect of a 3 month course of exercises on mobility, balance, disease-specific, and generic health-related quality of life (HRQOL) for women with osteoporosis and a history of vertebral fractures. Our results showed that exercises improved their mobility, balance, and HRQOL. INTRODUCTION: The aim was to evaluate the effect of a 3-month course of circuit exercises plus a 3-h lesson on how to cope with osteoporosis on mobility, balance, and the HRQOL for postmenopausal women (60-84 years) with osteoporosis and a history of vertebral fracture. Our hypothesis was that a 3-month course would have a significantly positive effect on the women's mobility and balance as well as on their HRQOL. METHODS: The participants (89) were randomized to an intervention group (IT) or a control group (CT) and assessed at baseline at 3 months and at 12 months with measurement of maximum walking speed (MWS), Timed Up and GO (TUG), Functional Reach (FR), the Quality of Life Questionnaire issued by the European Foundation for Osteoporosis ('QUALEFFO 41') and the General Health Questionnaire (GHQ-20). The sample size was calculated with reference to walking speed (primary outcome), and the statistical approaches used were Student's t test or the chi-square test. RESULTS: At 3 months, better results were registered on the primary outcome, MWS as well as TUG, FR, sum score of GHQ-20, and "QUALEFFO-41: mental function" in the IT compared with the CT. At 12 months, those in the IT had a better result on the primary outcome, MWS as well as TUG, "QUALEFFO-41: total score" "QUALEFFO-41: mental function", "QUALEFFO-41: physical function", and "QULEFFO-41: pain" compared with CT. CONCLUSION: Circuit exercises will improve mobility and health related quality of life of elderly women with osteoporosis and a history of vertebral fractures. PMID- 21060993 TI - Quantitative proteomic analysis of dexamethasone-induced effects on osteoblast differentiation, proliferation, and apoptosis in MC3T3-E1 cells using SILAC. AB - SUMMARY: The impairment of osteoblast differentiation is one cause of the glucocorticoid-induced osteoporosis (GCOP). The quantitative proteomic analysis of the dexamethasone (DEX)-induced effects of osteoblast differentiation, proliferation, and apoptosis using stable-isotope labeling by amino acids in cell culture (SILAC) demonstrated drastic changes of some key proteins in MC3T3-E1 cells. INTRODUCTION: The impairment of osteoblast differentiation is one of the main explanations of GCOP. SILAC enables accurate quantitative proteomic analysis of protein changes in cells to explore the underlying mechanism of GCOP. METHODS: Osteoprogenitor MC3T3-E1 cells were treated with or without 10(-6) M DEX for 7 days, and the differentiation ability, proliferation, and apoptosis of the cells were measured. The protein level changes were analyzed using SILAC and liquid chromatography-coupled tandem mass spectrometry. RESULTS: In this study, 10(-6) M DEX inhibited both osteoblast differentiation and proliferation but induced apoptosis in osteoprogenitor MC3T3-E1 cells on day 7. We found that 10(-6) M DEX increased the levels of tubulins (TUBA1A, TUBB2B, and TUBB5), IQGAP1, S100 proteins (S100A11, S100A6, S100A4, and S100A10), myosin proteins (MYH9 and MYH11), and apoptosis and stress proteins, while inhibited the protein levels of ATP synthases (ATP5O, ATP5H, ATP5A1, and ATP5F1), G3BP-1, and Ras-related proteins (Rab-1A, Rab-2A, and Rab-7) in MC3T3-E1 cells. CONCLUSIONS: Several members of the ATP synthases, myosin proteins, small GTPase superfamily, and S100 proteins may participate in functional inhibition of osteoblast progenitor cells by GCs. Such protein expression changes may be of pathological significance in coping with GCOP. PMID- 21060994 TI - Multiplex polymerase chain reaction method discriminating Escherichia coli and Shigella sp. AB - To distinguish between Escherichia coli and other bacteria that have similar biochemical characteristics, 3 polymerase chain reaction techniques were combined. The primer sets cydA-F2-A2 and cydA-R2-A2 were designed to amplify 605 base pairs of nucleotide sequence specific for the cydA gene of Escherichia coli; primer sets lacZ-F-A and lacZ-R-A to amplify 1,023 bp of nucleotide sequence specific for the lacZ gene of Escherichia coli; and primers lacA-F2-A2 and lacA R2-A2 to amplify 325 bp of nucleotide sequence specific for the lacA gene of Escherichia coli. As a result, 3 nucleotide fragments were generated when 3 samples DNA from Escherichia coli were used as template. On the other hand, 1,023 and 605-bp products were obtained when DNA of Shigella sonnei was used, and a 605-bp product was obtained when DNA of Shigella flexneri was used. The specificity of the technique was confirmed by comparing it with the conventional culture test; the consistency rate of both tests was 0.749. These results suggest that the technique described in the present study will be useful for distinguishing Escherichia coli from Shigella species with accuracy and specificity. PMID- 21060995 TI - Determining the subjective and physiological effects of BZP combined with TFMPP in human males. AB - RATIONALE: 'Party Pills' containing benzylpiperazine (BZP) and trifluoromethylphenylpiperazine (TFMPP) have been used in a recreational context since the 1990s and, prior to April 2008, were legally available in New Zealand. Taken together, they have been reported to produce a 'high' similar to that produced by 3,4-methylenedioxymethamphetamine (MDMA). OBJECTIVES: There has been little research on the subjective effects of piperazines in humans. The purpose of this study is to further investigate the subjective and physiological responses following an oral dose of BZP combined with TFMPP in males. METHODS: In a randomised, double-blind, placebo-controlled study the subjective and physiological effects of BZP/TFMPP were investigated in 36 healthy, non-smoking males (mean age 22 +/- 4 years). Participants were tested before and approximately 120 min after administration of a single dose of placebo (n = 16) or 100/30 mg BZP/TFMPP (n = 20). Participants were required to comment on the subjective effects using three rating scales-the Addiction Research Centre Inventory (ARCI), the Visual Analogue Scale (VAS) and the Profile of Mood States (POMS). Participants' blood pressure, heart rate and body temperature were also measured. RESULTS: Statistical analysis using repeated-measures analysis of variance (ANOVA) and planned comparisons revealed that BZP/TFMPP significantly increases blood pressure and heart rate (p < 0.05). Likewise, the subjective rating scales revealed that BZP/TFMPP has significant dexamphetamine-like effects, increases dysphoria and feelings of self-confidence (p < 0.05). CONCLUSION: These physiological and subjective data reflect clear similarities between the effects of BZP/TFMPP and commonly known stimulants such as dexamphetamine and MDMA. PMID- 21060996 TI - An updated meta-analysis of nicotine preloading for smoking cessation: investigating mediators of the effect. AB - RATIONALE: Using nicotine replacement therapy (NRT) whilst smoking, prior to quitting, is called preloading. Two reviews have estimated the effect of preloading on abstinence, but need updating. Neither investigated possible mediators or moderators of the effect, which could have implications for individual treatment plans. OBJECTIVES: To update the nicotine preloading efficacy estimate and test four hypotheses: (1) Efficacy is mediated through reduced smoking reward, (2) efficacy is mediated through increased NRT adherence post-quit, (3) efficacy is mediated through increased confidence, and (4) behavioural support modifies efficacy. METHODS: Randomised controlled trials were included that allocated cigarette smokers attempting to quit to either a preloading or control condition. A Mantel-Haenszel fixed-effect model was used to calculate risk ratios from quit rates at short- and long-term follow-ups. We carried out sub-group analyses and synthesised the data available on possible mediators and moderators qualitatively. RESULTS: Eight relevant studies were included, with 2,813 participants. The risk ratio (RR) for short-term abstinence was 1.05, 95% confidence intervals (CI) = 0.92, 1.19, and for long-term abstinence 1.16, 95% CI = 0.97, 1.38. There was a marginal benefit of using nicotine patch rather than gum for preloading, significant at short-term follow up, and no significant benefit of more intensive pre-quit behavioural support. CONCLUSIONS: We found a weak non-significant effect of nicotine preloading on abstinence. None of our mediational hypotheses received strong support, however evidence suggests that efficacy was enhanced by the patch over acute NRT. Future research needs to investigate the mechanisms of preloading by carrying out mediational analysis. PMID- 21060997 TI - Cognitive conflict following appetitive versus negative cues and smoking cessation failure. AB - RATIONALE: Attentional biases and executive control deficits may play a role in smoking cessation failure. OBJECTIVES: The object of this study was to determine whether smokers' pre-quit reaction times on a computerized modified Simon task (which assesses attentional biases and executive control deficits) predict abstinence following a quit attempt. METHODS: Participants (N = 365) in a larger smoking cessation clinical trial completed the modified Simon task twice (while 10-h nicotine-deprived vs. not deprived). In the task, two photographs (i.e., two digital slides) were displayed-one always neutral, the other positive, negative, smoking-relevant, or neutral. A probe (<<< or >>>) then appeared to the left or right of center, and participants indicated the arrow's direction (left or right) which was either congruent or incongruent with the arrow's location on the screen. The incongruency effect, a measure of executive control, was calculated by subtracting the reaction time to congruent probes from the reaction time to incongruent probes. RESULTS: Greater impairment in executive control (i.e., greater probe incongruency effects) after viewing positive and smoking slides relative to negative slides predicted an inability to establish initial cessation and to maintain abstinence up to 8 weeks post-quit. CONCLUSIONS: These effects may be because smokers who avoid/escape from processing negative affect are more likely to fail in a cessation attempt. Differences in relatively automatic responses to affective cues distinguish smokers who are successful and unsuccessful in their smoking cessation attempts, but effects were modest in size. PMID- 21060998 TI - Discriminating olive and non-olive oils using HPLC-CAD and chemometrics. AB - This work presents a method for an efficient differentiation of olive oil and several types of vegetable oils using chemometric tools. Triacylglycerides (TAGs) profiles of 126 samples of different categories and varieties of olive oils, and types of edible oils, including corn, sunflower, peanut, soybean, rapeseed, canola, seed, sesame, grape seed, and some mixed oils, have been analyzed. High performance liquid chromatography coupled to a charged aerosol detector was used to characterize TAGs. The complete chromatograms were evaluated by PCA, PLS-DA, and MCR in combination with suitable preprocessing. The chromatographic data show two clusters; one for olive oil samples and another for the non-olive oils. Commercial oil blends are located between the groups, depending on the concentration of olive oil in the sample. As a result, a good classification among olive oils and non-olive oils and a chemical justification of such classification was achieved. PMID- 21060999 TI - Dispersive liquid-phase microextraction using ionic liquid as extractant for the enrichment and determination of DDT and its metabolites in environmental water samples. AB - Ionic liquids are a kind of environmentally friendly solvents which have drawn great attention in many fields. The potential of ionic liquid as dispersive liquidphase microextraction (DLPME) solvent for the enrichment of typical persistent organic pollutants, dichlorodiphenyltrichloroethane (DDT), and its metabolites including 1,1-dichloro-2,2-bis-(4'-chlorophenyl)ethane and 1,1 dichloro-2,2-bis-(4'-chlorophenyl)ethylene has been investigated. Parameters that may influence the extraction efficiency, such as the type and volume of ionic liquid, the type and volume of disperser solvent, extraction time, and sample pH, were investigated and optimized in detail. The experimental results showed the excellent linear relationship between peak area and the concentration of DDT and its metabolites over the range of 1-50 MUg L-1, and the precisions (RSDs) were 5.27-6.73% under the optimal conditions. The limits of detection could reach 0.33 0.63 MUg L-1. Satisfied results were achieved when the proposed method was applied to determine the target compounds in real-world water samples with spiked recoveries over the range 94.4-115.3%. All these facts indicated that ionic liquid DLPME coupled to HPLC was an environmentally friendly alternative for the rapid analysis of DDT and its metabolites at trace level in environmental water samples. PMID- 21061000 TI - A multi-channel bioluminescent bacterial biosensor for the on-line detection of metals and toxicity. Part II: technical development and proof of concept of the biosensor. AB - This research study deals with the on-line detection of heavy metals and toxicity within the context of environmental pollution monitoring. It describes the construction and the proof of concept of a multi-channel bioluminescent bacterial biosensor in immobilized phase: Lumisens3. This new versatile device, designed for the non-stop analysis of water pollution, enables the insertion of any bioluminescent strains (inducible or constitutive), immobilized in a multi-well removable card. The technical design of Lumisens3 has benefited from both a classical and a robust approach and includes four main parts: (1) a dedicated removable card contains 64 wells, 3 mm in depth, arranged in eight grooves within which bacteria are immobilized, (2) this card is incubated on a Pelletier block with a CCD cooled camera on top for bioluminescence monitoring, (3) a fluidic network feeds the card with the sample to be analyzed and finally (4) a dedicated computer interface, BIOLUX 1.0, controls all the elements of the biosensor, allowing it to operate autonomously. The proof of concept of this biosensor was performed using a set of four bioluminescent bacteria (Escherichia coli DH1 pBzntlux, pBarslux, pBcoplux, and E. coli XL1 pBfiluxCDABE) in the online detection of CdCl(2) 0.5 MUM and As(2)O(3) 5 MUM from an influent. When considering metals individually, the "fingerprints" from the biosensor were as expected. However, when metals were mixed together, cross reaction and synergistic effects were detected. This biosensor allowed us to demonstrate the simultaneous on-line cross detection of one or several heavy metals as well as the measurement of the overall toxicity of the sample. PMID- 21061001 TI - Characterization and classification of the aroma of beer samples by means of an MS e-nose and chemometric tools. AB - An electronic nose based on coupling of headspace (HS) with a mass spectrometer (MS) has been used in this study to classify and characterize a series of beers according to their production site and chemical composition. With this objective, we analyzed 67 beers of the same brand and preparation process but produced in different factories. The samples were also subjected to sensory evaluation by a panel of experts. Linear discriminant analysis (LDA) was used as the classification technique and stepwise LDA based on Wilk's lambda criterion was used to select the most discriminating variables. To interpret the aroma characteristics of the beers from the m/z ions obtained, score and loading bi plots were obtained by applying canonical variables. Because the beers analyzed were marketed with the same name and brand, we expected to be working with the same product irrespective of its origin. However, results from both sensory evaluation and use of the e-nose revealed differences between factories. With the e-nose it was possible to relate these differences to the presence (and abundance) of characteristic ions of different compounds typically found in beer. These results demonstrate that the HS-MS e-nose is not only an aroma sensor capable to classify and/or differentiate samples but it can also provide information about the compounds responsible for this differentiation. PMID- 21061002 TI - Ubiquinol formation in isolated photosynthetic reaction centres monitored by time resolved differential FTIR in combination with 2D correlation spectroscopy and multivariate curve resolution. AB - Two-dimensional correlation analysis was carried out in combination with multivariate curve resolution-alternating least squares (MCR-ALS) to analyse time resolved infrared (IR) difference spectra probing photoinduced ubiquinol formation in detergent-isolated reaction centres from Rhodobacter sphaeroides. The dynamic 2D IR correlation spectra have not only allowed the determination of the concomitance or non-concomitance of different chemical events through known marker bands but also have helped identify new vibrational bands related to the complex series of photochemical and redox reactions. In particular, a strong positive band located at 1565 cm-1 was found to be synchronous with the process of ubiquinol formation. In addition, a tailored MCR-ALS analysis was performed using a priori chemical knowledge of the system, in particular including the pure spectrum of one species obtained from an external measurement. Enhancing the MCR ALS performance in this way in time-dependent processes is relevant, especially when other essential pieces of information, such as kinetic models, are unavailable. The results give evidence of four independent spectral contributions. Three of them show marker bands for a monoelectronic reduction of the primary quinone QA (QA-/QA transition, first contribution), for a monoelectronic reduction of a secondary quinone QB (QB-/QB transition, second contribution) and for ubiquinol formation (third contribution). The results obtained also confirm that a key rate-limiting factor is the slow ubiquinone and ubiquinol exchange among micelles, which strongly influences the kinetic profiles of the involved species. PMID- 21061003 TI - Effects of Ginkgo biloba on cerebral blood flow assessed by quantitative MR perfusion imaging: a pilot study. AB - INTRODUCTION: Extract of Ginkgo biloba (EGb), a dietary supplement used for a number of conditions including dementia, has been suggested to increase cerebral bloodflow (CBF). The purpose of this study was to determine if changes in CBF could be detected by dynamic susceptibility contrast-enhanced magnetic resonance imaging (DSC-MRI)in elderly human subjects taking EGb. METHODS: DSC-MRI was performed in nine healthy men(mean age 61+/-10 years) before and after 4 weeks of 60 mg EGb taken twice daily. One subject underwent six consecutive scans to evaluate intrasubject reproducibility. CBF values were computed before and after EGb, and analyzed at three different levels of spatial resolution, using voxel based statistical parametric mapping (SPM), and regions of interest in different lobes, and all regions combined. RESULTS: Normalized intrasubject CBF (nCBF) measurements had a standard deviation of 7% and 4% in gray and white matter (WM) regions, respectively. SPM using an uncorrected, voxel-level threshold of P<=0.001 showed a small CBF increase in the left parietal-occipital region.CBF in individual lobar regions did not show any significant change post-EGb, but all regions combined showed a significant increase of non-normalized CBF after EGb (15% in white and 13% in gray matter, respectively, P<=0.0001). CONCLUSION: nCBF measured by DSC-MRI has good intrasubject reproducibility. In this small cohort of normal elderly individuals, a mild increase in CBF is found in the left parietal-occipital WM after EGb, as well as a small but statistically significant increase in global CBF. PMID- 21061004 TI - Transpulmonary thermodilution in neonates undergoing arterial switch surgery. AB - Measurement of the global end-diastolic volume index (GEDI) by transpulmonary thermodilution (TPTD) has become a useful technique for measuring preload in adults. This study aimed to investigate the hemodynamic changes in neonates during the postoperative period after arterial switch surgery. Over a 13-month period, the postoperative data of 12 neonates with transposition of the great arteries were retrospectively investigated. Arterial and central venous blood pressures were monitored, Cardiac index (CI), stroke volume index (SVI), systemic vascular resistance index (SVRI), GEDI, and extravascular lung water index (ELWI) were measured by thermodilution. The CI was significantly correlated with the SVRI only in the closed chest condition (r = -0.92; P < 0.001). The CI and SVI values were significantly lower and the ELWI and SVRI values significantly higher in both the open and closed chest conditions than the postextubation values. The relationship between change in GEDI and change in CI was stronger in the open chest condition (r = 0.93; P < 0.006) than in the closed chest condition (r = 0.75; P = 0.055). However, the latter just missed statistical significance. According to the findings, TPTD seems to be a useful tool for assessing cardiac function after neonatal arterial switch surgery. Establishment of normal values will be essential for proper guidance of therapy for this population using volumetric parameters. PMID- 21061005 TI - Isolation and characterisation of lactic acid bacterium for effective fermentation of cellobiose into optically pure homo L-(+)-lactic acid. AB - Effective utilisation of cellulosic biomasses for economical lactic acid production requires a microorganism with potential ability to utilise efficiently its major components, glucose and cellobiose. Amongst 631 strains isolated from different environmental samples, strain QU 25 produced high yields of l-(+) lactic acid of high optical purity from cellobiose. The QU 25 strain was identified as Enterococcus mundtii based on its sugar fermentation pattern and 16S rDNA sequence. The production of lactate by fermentation was optimised for the E. mundtii QU25 strain. The optimal pH and temperature for batch culturing were found to be 7.0 degrees C and 43 degrees C, respectively. E. mundtii QU 25 was able to metabolise a mixture of glucose and cellobiose simultaneously without apparent carbon catabolite repression. Moreover, under the optimised culture conditions, production of optically pure l-lactic acid (99.9%) increased with increasing cellobiose concentrations. This indicates that E. mundtii QU 25 is a potential candidate for effective lactic acid production from cellulosic hydrolysate materials. PMID- 21061006 TI - Development and evaluation of a real-time PCR assay for quantification of Giardia and Cryptosporidium in sewage samples. AB - Cryptosporidium and Giardia are major causes of diarrheal disease in humans worldwide and are major causes of protozoan waterborne diseases. Two DNA TaqMan PCR-based Giardia and Cryptosporidium methods targeting a 74-bp sequence of the beta-giardin Giardia gene and a 151-bp sequence of the COWP Cryptosporidium gene, respectively, were used as models to compare two different LNA/DNA TaqMan probes to improve the detection limit in a real-time PCR assay. The LNA probes were the most sensitive resulting in 0.96 to 1.57 lower C t values than a DNA Giardia TaqMan probe and 0.56 to 2.21 lower than a DNA Cryptosporidium TaqMan probe. Evaluation of TaqMan Giardia and Cryptosporidium probes with LNA substitutions resulted in real-time PCR curves with an earlier C t values than conventional DNA TaqMan probes. In conclusion, the LNA probes could be useful for more sensitive detection limits. PMID- 21061007 TI - Bacteria and archaea involved in anaerobic digestion of distillers grains with solubles. AB - Cereal distillers grains, a by-product from bioethanol industry, proved to be a suitable feedstock for biogas production in laboratory scale anaerobic digesters. Five continuously stirred tank reactors were run under constant conditions and monitored for biogas production and composition along with other process parameters. Iron additives for sulfide precipitation significantly improved the process stability and efficiency, whereas aerobic pretreatment of the grains had no effect. The microbial communities in the reactors were investigated for their phylogenetic composition by terminal restriction fragment length polymorphism analysis and sequencing of 16S rRNA genes. The bacterial subcommunities were highly diverse, and their composition did not show any correlation with reactor performance. The dominant phylotypes were affiliated to the Bacteroidetes. The archaeal subcommunities were less diverse and correlated with the reactor performance. The well-performing reactors operated at lower organic loading rates and amended with iron chloride were dominated by aceticlastic methanogens of the genus Methanosaeta. The well-performing reactor operated at a high organic loading rate and supplemented with iron hydroxide was dominated by Methanosarcina ssp. The reactor without iron additives was characterized by propionate and acetate accumulation and high hydrogen sulfide content and was dominated by hydrogenotrophic methanogens of the genus Methanoculleus. PMID- 21061008 TI - Persistent primitive olfactory artery: MR angiographic diagnosis. AB - INTRODUCTION: Persistent primitive olfactory artery (PPOA) is a relatively rare variation of the proximal anterior cerebral artery (ACA) that generally follows an extreme antero-inferior course and takes a hairpin turn. To our knowledge, incidence of PPOA has not been reported, so we researched cases in our institution records to determine incidence and investigated characteristic features of the condition on MR angiography. METHODS: To isolate cases with PPOA, we retrospectively reviewed cranial magnetic resonance (MR) angiographic images of a total of 3,626 patients in our institution and collected similar images from cases with PPOA from our two affliated hospitals. RESULTS: We found 14 cases with PPOA (six men and eight women); 5 patients in our institution, representing an incidence of 0.14%, and 9 cases from our group hospitals. The location was on the right in six cases, left in seven, and bilateral in one. An aneurysm was found at the hairpin turn in one patient, and in another patient, the PPOA connected with the accessory middle cerebral artery (MCA) and not the distal ACA. CONCLUSIONS: In our institution, incidence of PPOA on MR angiography was 0.14%. We found no laterality in frequency and rare bilaterality, aneurysm at the point of the hairpin turn, and accessory MCA arising from the PPOA. PMID- 21061009 TI - Ornithine transcarbamylase (OTC) deficiency based on a hemizygous p.R277W mutation causing life-threatening hyperammonemic crisis during treatment for Hodgkin's lymphoma. PMID- 21061010 TI - The physiological response of larval Chironomus riparius (Meigen) to abrupt brackish water exposure. AB - The physiological response of larval Chironomus riparius was examined following direct transfer from freshwater (FW) to brackish water (BW; 20% seawater). Endpoints of hydromineral status (hemolymph Na+, Cl-, and K+ levels, hemolymph pH, body water content, and whole body Na+/K+-ATPase and V-type H+-ATPase activity) were examined 1, 3, 5, 12 and 24 h following BW transfer. Larvae transferred from FW to FW served as a control. Hemolymph Na+ and Cl- levels increased following BW transfer. Hemolymph pH was initially regulated, but significantly decreased after 24 h in BW. Changes in hemolymph ions were not caused by osmotic loss of water from the hemolymph, since larvae tightly regulated total body moisture content. Furthermore, salinity did not affect hemolymph K+. When larvae were transferred to BW, Na+/K+-ATPase (NKA) activity did not significantly alter relative to FW control animals. In contrast, V-type H+-ATPase (VA) activity in C. riparius significantly decreased in BW. In FW reared C. riparius, whole body NKA and VA activities were equivalent. However, in the isolated gut with intact Malpighian tubules of FW-reared C. riparius, VA activity was significantly greater than whole body while NKA activity was equivalent. This suggested that gut and/or Malpighian tubule VA activity contributes significantly to whole body VA activity and that a decline in whole body VA activity in BW may be closely linked to alterations in the physiology of gut and Malpighian tubule tissue. Taken together, data indicate that VA is important for ion uptake in FW and that the NKA does not play a major role in regulating ion homeostasis when larvae are acutely exposed to BW. PMID- 21061011 TI - Cerebellar mutism: review of the literature. AB - PURPOSE: Cerebellar mutism is a common complication of posterior fossa surgery in children. This article reviews current status with respect to incidence, anatomical substrate, pathophysiology, risk factors, surgical considerations, treatment options, prognosis and prevention. METHODS: We reviewed all peer reviewed English publications on cerebellar mutism between the years of 1985 and 2009. The majority were found by searching for 'cerebellar mutism' and 'posterior fossa syndrome' in PubMed. Additional cases were identified by cross-checking reference lists. RESULTS: The overall incidence of postoperative cerebellar mutism is 11-29%, and patients with medulloblastomas and/or brainstem invasion are at a greater risk of developing it than those with other kinds of tumors and/or without brainstem invasion. Permanent sequelae in the form of both motor- and non-motor-related speech deficits are common, especially when the right cerebellar hemisphere is involved. The mutism is caused by bilateral pertubation of the dentate nuclei and their efferent pathways, which emphasizes the need to explore surgical methods that spare these structures. The pathophysiological mechanisms of delayed onset and resolution of cerebellar mutism are not clear, but axonal damage, edema, perfusional defects and metabolic disturbances may be involved. CONCLUSION: The incidence of cerebellar mutism is well documented in children with medulloblastoma, but precise figures for those with astrocytoma and ependymoma are lacking. Further anatomical, functional imaging and neuropsychological studies are needed to clarify the pathophysiological mechanisms in order to define preventive measures during surgery. Randomized, controlled trials of the effects of different medication and post-operative speech therapy are necessary for improving treatment. PMID- 21061012 TI - Impact of FDG PET/CT in the staging and the follow-up of anal carcinoma. AB - PURPOSE: The purpose of the study was to assess the diagnostic performance of positron emission tomography/computed tomography and fluorodeoxyglucose (18F) (FDG PET/CT) for the staging and the follow-up of anal carcinoma, and to evaluate the impact of FDG PET/CT on patient management. MATERIALS AND METHODS: Patients with anal carcinoma were referred to our department from October 2004 until July 2008. The diagnostic performance was evaluated on a perexamination basis and on a per-site basis, together with impact of PET/CT on patient management. The standard of truth was histology when available and, in all cases, follow-up data during at least 6 months. RESULTS: Fifty-eight FDG PET/CT performed in 44 patients were analysed-22 for initial staging and 36 during follow-up. The detection rate of non-excised tumours on initial examination was 93%. During post treatment follow-up, FDG PET/CT had, on a per-examination basis, sensitivity for the detection of persistent or recurrent disease of 93% and specificity of 81%, and on a per-site basis, 86% and 97%, respectively. Its negative predictive value was 94% on a per-examination basis and 98% on a per-site basis. FDG PET/CT had an impact on management in nine patients out of 44 (20%), which was relevant in eight of them (89%). CONCLUSION: FDG PET/CT is an accurate imaging modality in anal cancer. It has an interesting added value during post-treatment follow-up, especially when persistence or recurrence of disease is suspected. Further studies are needed to evaluate whether surveillance by means of FDG PET/CT might have a positive impact on overall survival. PMID- 21061013 TI - State-of-the-art of bone marrow analysis in forensic toxicology: a review. AB - Although blood is the reference medium in the field of forensic toxicology, alternative matrices are required in case of limited, unavailable or unusable blood samples. The present review investigated the suitability of bone marrow (BM) as an alternative matrix to characterize xenobiotic consumption and its influence on the occurrence of death. Basic data on BM physiology are reported in order to highlight the specificities of this matrix and their analytical and toxicokinetic consequences. A review of case reports, animal and human studies involving BM sample analysis focuses on the various parameters of interpretation of toxicological results: analytic limits, sampling location, pharmacokinetics, blood/BM concentration correlation, stability and postmortem redistribution. Tables summarizing the analytical conditions and quantification of 45 compounds from BM samples provide a useful tool for toxicologists. A specific section devoted to ethanol shows that, despite successful quantification, interpretation is highly dependent on postmortem interval. In conclusion, BM is an interesting alternative matrix, and further experimental data and validated assays are required to confirm its great potential relevance in forensic toxicology. PMID- 21061014 TI - Stereopsis in bilaterally multifocal pseudophakic patients. AB - BACKGROUND: The purpose of this study was to evaluate stereoacuity in patients with bilateral implantation of an aspheric bifocal intraocular lens (IOL). METHODS: Thirty patients scheduled for cataract surgery were implanted with the aspheric bifocal AcrySof ReSTOR IOL. Stereoacuity was measured using the vectographic Titmus and Random dot stereotests (fixed disparity) and the Howard Dolman apparatus (threshold disparity) under photopic conditions (85 cd/m2) after phacoemulsification for cataract surgery in 30 patients with low astigmatism (<=1D). Visual acuity at distance and near was also examined. All patients were examined at 1-year follow-up. RESULTS: Mean stereoacuity with the Titmus and the Random dot sterereotests was 44.55 +/- 1.08 sec arc (range, from 40 to 80) and 41.25 +/- 1.12 sec arc (range, from 20 to 70) respectively. The Howard-Dolman method showed a mean value of 18.42 +/- 6.10 sec arc, ranging from 11 to 30 sec arc. No statistically significant differences were found between the Titmus and the Random dot sterereotests values (P = 0.189). However, these values differ significantly from that found using the Howard-Dolman method (P = 0.005). Visual acuity at distance and near was 0.04 +/- 0.05 and 0.04 +/- 0.04 logMAR (about 20/20) respectively. CONCLUSIONS: Despite simultaneous images on the retina after bifocal IOL implantation, patients showed useful stereoacuity. This study confirms that retinal image blur associated with multifocality does not deteriorate stereoacuity. Stereoscopic threshold measurement with a Howard-Dolman apparatus is more accurate than vectographic tests when assessing stereoacuity after IOL surgery. PMID- 21061015 TI - Incidence of sports-related eye injuries in the United States: 2001-2009. PMID- 21061016 TI - Autocrine signaling via A(1) adenosine receptors causes downregulation of M(2) receptors in adult rat atrial myocytes in vitro. AB - G protein-activated K(+) channels composed of Kir3 (GIRK) subunits contribute to regulation of heart rate and excitability. Opening of these channels in myocytes is increased by binding of G(betagamma) upon activation of muscarinic M(2) receptors (M(2)-R) or A(1) adenosine receptors (A(1)-R). It has been shown that saturating activation of A(1)-R resulted in a smaller GIRK current than activation of M(2)-R. Adenovirus-driven overexpression of the A(1)-R caused an increase in current induced by adenosine (I(K(Ado))), whereas the M(2)-R activated current (I(K(ACh))) was reduced. Here, we sought to get deeper insight into the mechanism causing this negative crosstalk. GIRK current in cultured rat atrial myocytes was recorded in whole cell mode. Adenovirus-driven RNA interference targeting the M(2)-R resulted in a reduction in I(K(ACh)) without affecting I(K(Ado)), arguing against a competition of the two receptors for common signaling complexes. The negative effect of A(1)-R overexpression on I(K(ACh)) was reduced by the A(1)-R antagonist DPCPX and augmented by the agonist chloro-N6-cyclopentyladenosin (CCPA). In native myocytes incubation with either CCPA or the muscarinic agonist carbachol resulted in reduction in I(K(ACh)) and I(K(Ado)), suggesting common pathways of A(1)-R and M(2)-R downregulation. In the absence of agonist, inhibition of adenosine deaminase by EHNA or exposure to AMP, less to ADP, but not ATP resulted in reduction of I(K(ACh)) and I(K(Ado)). Our data indicate that atrial myocytes generate adenosine from extracellular AMP, which activates A(1)-R in an autocrine fashion. Chronic activation of A(1)-R causes parallel downregulation of both A(1)-R and M(2)-R. PMID- 21061017 TI - Change in wall composition of transfer and aleurone cells during wheat grain development. AB - In addition to the starchy endosperm, a specialized tissue accumulating storage material, the endosperm of wheat grain, comprises the aleurone layer and the transfer cells next to the crease. The transfer cells, located at the ventral region of the grain, are involved in nutrient transfer from the maternal tissues to the developing endosperm. Immunolabeling techniques, Raman spectroscopy, and synchrotron infrared micro-spectroscopy were used to study the chemistry of the transfer cell walls during wheat grain development. The kinetic depositions of the main cell wall polysaccharides of wheat grain endosperm, arabinoxylan, and (1 3)(1-4)-beta-glucan in transfer cell walls were different from kinetics previously observed in the aleurone cell walls. While (1-3)(1-4)-beta-glucan appeared first in the aleurone cell walls at 90 degrees D, arabinoxylan predominated in the transfer cell walls from 90 to 445 degrees D. Both aleurone and transfer cell walls were enriched in (1-3)(1-4)-beta-glucan at the mature stage of wheat grain development. Arabinoxylan was more substituted in the transfer cell walls than in the aleurone walls. However, arabinoxylan was more feruloylated in the aleurone than in the transfer cell walls, whatever the stage of grain development. In the transfer cells, the ferulic acid was less abundant in the outer periclinal walls while para-coumarate was absent. Possible implications of such differences are discussed. PMID- 21061018 TI - Drosophila Jing is part of the breathless fibroblast growth factor receptor positive feedback loop. AB - In the developing Drosophila trachea, extensive cell migration lays the foundation for an elaborate network of tubules to form. This process is controlled by the Drosophila fibroblast growth factor receptor, known as Breathless (Btl), whose expression is activated by the Trachealess (Trh) and Tango (Tgo) basic helix-loop-helix (bHLH)-PAS transcription factors. We previously identified the jing zinc finger transcription factor as a gene sensitive to the dosage of bHLH-PAS transcriptional activity and showed that its mutations interact genetically with those of trh and btl. Here, we demonstrate that jing is required for btl expression in the branching trachea and dominantly interacts with known regulators of btl expression, including the ETS and POU transcription factors, pointed, and drifter/ventral veinless, respectively. Furthermore, the zinc finger-containing C-terminus of Jing associates with a btl tracheal enhancer in a Trh/Tgo-dependent manner in chromatin immunoprecipitation assays in vitro and interferes with btl in vitro and in vivo. Together, our results support a model by which Jing/Trh/Tgo complexes regulate btl transcript levels during primary tracheal branching. PMID- 21061019 TI - The Drosophila jing gene is a downstream target in the Trachealess/Tango tracheal pathway. AB - Primary branching in the Drosophila trachea is regulated by the Trachealess (Trh) and Tango (Tgo) basic helix-loop-helix-PAS (bHLH-PAS) heterodimers, the POU protein Drifter (Dfr)/Ventral Veinless (Vvl), and the Pointed (Pnt) ETS transcription factor. The jing gene encodes a zinc finger protein also required for tracheal development. Three Trh/Tgo DNA-binding sites, known as CNS midline elements, in 1.5 kb of jing 5' cis-regulatory sequence (jing1.5) previously suggested a downstream role for jing in the pathway. Here, we show that jing is a direct downstream target of Trh/Tgo and that Vvl and Pnt are also involved in jing tracheal activation. In vivo lacZ enhancer detection assays were used to identify cis-regulatory elements mediating embryonic expression patterns of jing. A 2.8-kb jing enhancer (jing2.8) drove lacZ expression in all tracheal cell lineages, the CNS midline and Engrailed-positive segmental stripes, mimicking endogenous jing expression. A 1.3-kb element within jing2.8 drove expression that was restricted to Engrailed-positive CNS midline cells and segmental ectodermal stripes. Surprisingly, jing1.5-lacZ expression was restricted to tracheal fusion cells despite the presence of consensus DNA-binding sites for bHLH-PAS, ETS, and POU domain transcription factors. Given the absence of Trh/Tgo DNA-binding sites in the jing1.3 enhancer, these results are consistent with previous observations suggesting a combinatorial basis to Trh-/Tgo-mediated transcriptional regulation in the trachea. PMID- 21061020 TI - Molecular cloning and characterization of a novel ras-related protein (rap2) from Clonorchis sinensis. AB - Ras are key components of diverse signal transduction pathways and play important roles in growth and development. To know about growth regulation in Clonorchis sinensis, we have identified a full-length sequence encoding a ras-related protein (rap2) from our adult cDNA library. The open reading frame contains 561 bp encoding 186 amino acids. The hypothetical amino acid sequence shared high identities with rap2 proteins from Schistosoma japonicum and Homo sapiens. Conserved domains of small guanosine triphosphate-binding proteins and characteristic amino acid residues of rap2 proteins were observed in this sequence. Reverse transcription polymerase chain reaction experiments revealed that rap2 transcribed in adult worm, metacercaria, and eggs of C. sinensis. Recombinant rap2 protein was expressed and purified from Escherichia coli. rap2 could be probed by C. sinensis-infected rat serum in western blotting experiment. By immunohistochemistry, rap2 was localized on the tegument of adult worm and metacercaria of C. sinensis. This fundamental study might contribute to further researches in signaling systems that are related to growth control and development of C. sinensis and other parasites. PMID- 21061021 TI - Ultrastructural and molecular characterization of Sarcocystis isolated from camel (Camelus dromedarius) in Iran. AB - Sarcocystis cameli was first described in one-humped camels (Camelus dromedarius), and it is the only species which have so far reported in camels. Although more than 150 species of Sarcocystis were described in various animals, only a few data on camel Sarcocystis ultrastructure were published, and this report is the first for molecular information (DNA sequence and RLFP digestion pattern). The main objective of the present work is to characterize Sarcocystis isolated from camels by electron microscopy and PCR-RFLP methods. Muscle samples were taken from the fresh esophagus, diaphragm, skeletal muscles, and heart of one-humped camels (C. dromedarius) slaughtered in abattoirs of Tehran and Ghazvin provinces, Iran. The dissection and trypsin digestion techniques were applied for the detection of the cysts. The infected samples were fixed in glutaraldehyde and/or frozen at -20 degrees C until use for ultrastructural and molecular studies, respectively. The ultrastructural and molecular studies were carried out contemporaneously. The 18S rRNA gene of the parasites was amplified by PCR. The PCR products were cloned into a pTZ57R/T and sequenced. In addition, the PCR products were digested separately with each of the four restriction enzymes for RFLP. Our results indicated that only microcysts were observed in muscle samples. The microcysts were white, elongated, spindled, and a few spiral-shaped, with mean size 260 * 75 MUm which are identical with S. cameli. The ultrastructure of microcyst wall had many non-branched finger-like protrusions irregularly folded. There was a 600-bp specific band amplified after PCR with specific primers. The molecular data for camel Sarcocystis is reported for the first time in Iran and the world. PMID- 21061022 TI - AKT1 polymorphisms are associated with risk for metabolic syndrome. AB - Converging lines of evidence suggest that AKT1 is a major mediator of the responses to insulin,insulin-like growth factor 1 (IGF1), and glucose. AKT1 also plays a key role in the regulation of both muscle cell hypertrophy and atrophy. We hypothesized that AKT1 variants may play a role in the endophenotypes that makeup metabolic syndrome. We studied a 12-kb region including the first exon of the AKT1 gene for association with metabolic syndrome-related phenotypes in four study populations [FAMUSS cohort (n = 574; age 23.7 +/- 5.7 years), Strong Heart Study (SHS) (n = 2,134; age 55.5 +/- 7.9 years), Dynamics of Health, Aging and Body Composition (Health ABC) (n = 3,075; age 73.6 +/- 2.9 years), and Studies of a Targeted Risk Reduction Intervention through Defined Exercise (STRRIDE)(n = 175; age 40-65 years)]. We identified a three SNP haplotype that we call H1, which represents the ancestral alleles eles at the three loci and H2, which represents the derived alleles at the three loci. In young adult European Americans (FAMUSS), H1 was associated with higher fasting glucose levels in females. In middle age Native Americans (SHS), H1 carriers showed higher fasting insulin and HOMA in males, and higher BMI in females. Inolder African-American and European American subjects(Health ABC) H1 carriers showed a higher incidence of metabolic syndrome. Homozygotes for the H1 haplotype showed about twice the risk of metabolic syndrome in both males and females (p < 0.001). In middle-aged European Americans with insulin resistance (STRRIDE) studied by intravenous glucose tolerance test (IVGTT), H1 carriers showed increased insulin resistance due to the Sg component (p = 0.021). The 12-kb haplotype is a risk factor for metabolic syndrome and insulin resistance that needs to be explored in further populations. PMID- 21061023 TI - Effects of repeated volitional swallowing on the excitability of submental corticobulbar motor pathways. AB - The aim of this study was to examine the effects of repeated volitional saliva swallowing on corticobulbar excitability recorded during two muscle preactivation conditions of the submental muscle group. Motor-evoked potentials (MEPs), elicited by transcranial magnetic stimulation (TMS), were assessed in ten healthy volunteers prior to and at 5, 30, 60, and 90 min after 60 volitional saliva swallows (Protocol A). To control for intrinsic fluctuations in corticobulbar excitability during this assessment period, MEPs were also recorded, on a different day, at 30-min intervals across a 2-h period (Protocol B). At each assessment, 15 MEPs were recorded during two submental muscle preactivation conditions: volitional contraction and contraction associated with the pharyngeal phase of volitional swallowing. There were no significant effects of repetitive volitional swallowing or time on MEP measures (p>0.05). We conclude that volitional saliva swallowing does not have immediate effects on the excitability of corticobulbar projections to the submental musculature during volitionally initiated swallowing motor tasks. These results provide no evidence for use dependent potentiation of corticobulbar excitability through repetitive saliva swallowing. The lack of effects of time on mean MEP measures supports previous reports of good intrasession reliability of MEPs as a measure of corticobulbar excitability. PMID- 21061024 TI - Shade material evaluation using a cattle response model and meteorological instrumentation. AB - Shade structures are often considered as one method of reducing stress in feedlot cattle. Selection of a suitable shade material can be difficult without data that quantify material effectiveness for stress reduction. A summer study was conducted during 2007 using instrumented shade structures in conjunction with meteorological measurements to estimate relative effectiveness of various shade materials. Shade structures were 3.6 m by 6.0 m by 3.0 m high at the peak and 2.0 m high at the sides. Polyethylene shade cloth was used in three of the comparisons and consisted of effective coverings of 100%, 60% with a silver reflective coating, and 60% black material with no reflective coating. Additionally, one of the structures was fitted with a poly snow fence with an effective shade of about 30%. Each shade structure contained a solar radiation meter and a black globe thermometer to measure radiant energy received under the shade material. Additionally, meteorological data were collected as a non-shaded treatment and included temperature, humidity, wind speed, and solar radiation. Data analyses was conducted using a physiological model based on temperature, humidity, solar radiation and wind speed; a second model using black globe temperatures, relative humidity, and wind speed was used as well. Analyses of the data revealed that time spent in the highest stress category was reduced by all shade materials. Moreover, significant differences (P < 0.05) existed between all shade materials (compared to no-shade) for hourly summaries during peak daylight hours and for 'full sun' days. PMID- 21061025 TI - Efficacy of magnifying endoscopy with flexible spectral imaging color enhancement in the diagnosis of colorectal tumors. AB - BACKGROUND: Magnifying endoscopy with flexible spectral imaging color enhancement (FICE) is an image-enhanced endoscopy that captures the surface and vascular patterns of colorectal tumors. We evaluated and compared FICE magnification to narrow-band imaging (NBI) magnification. METHODS: Flexible spectral imaging color enhancement or NBI magnification was performed to the visualize surface and vascular patterns of colorectal tumors, classified into 4 types: Type A, Type B, Type C1/C2, and Type C3, as previously reported. A total of 235 colorectal tumors were examined. The correlations between classifications found by FICE or NBI magnification and histopathological diagnoses were examined. Image evaluation was validated by assessing inter-observer and intra-observer agreements on examinations. RESULTS: Twenty-eight hyperplastic polyps (HPs), 115 tubular adenomas (TAs), 72 mucosal and slightly invaded submucosal cancers (M-sSM), and 20 massively invaded submucosal cancers (mSM) were diagnosed. By FICE magnification, HP and TA were observed in 93.3 and 6.7% of Type A (15 lesions), respectively. TA, M-sSM, and HP were observed in 82.6, 15.4, and 2.0% of Type B (52 lesions),respectively. M-sSM, TA, and mSM were observed in 50.0,46.0, and 4.0% of Type C1/2 (50 lesions), respectively.mSMs were observed in all 7 Type C3 lesions. In diagnosing mSM in Type C3, the sensitivity and specificity of FICE magnification were 77.7 and 100%, respectively, compared to those of NBI, at 63.6 and 99.0%, respectively. Imaging evaluation was validated accurately by intra- and intraobserver measurements showing consistent results. CONCLUSIONS: The classification of colorectal tumors by FICE magnification correlated well with the histopathological diagnoses, similar to findings for NBI magnification. FICE magnification can be evaluated accurately with the same diagnostic classifications as those used for NBI magnification. PMID- 21061026 TI - Genetic diversity of Newcastle disease viruses isolated from domestic poultry species in Eastern China during 2005-2008. AB - Seventy-nine Newcastle disease viruses (NDV) isolated from clinical specimens of different poultry species including chickens, pigeons (Columba livia), geese and ostriches in Eastern China during 2005-2008 were characterized biologically and phylogenetically. The results showed genetic diversity of these viruses: three class I viruses and one genotype I and 12 genotype II viruses of class II circulating in chickens were avirulent; four genotype VIb viruses isolated from pigeons were moderately virulent; and two genotype III viruses and 57 genotype VIId viruses were highly virulent. The three class I viruses were further classified as genotypes 2 and 3. The very high F protein sequence identity of one genotype I virus with strain Queensland V4 and 12 genotype II viruses with strain La Sota indicated that these viruses originated from the two vaccine strains. Two genotype III viruses shared greater than 99% sequence identity with the moderately virulent vaccine strain Mukteswar but exhibited significantly higher virulence, suggesting that they evolved from the vaccine virus and that the Mukteswar vaccine should be banned in China. Fifty-seven of the 63 virulent NDVs in this study belonged to genotype VIId, indicating its predominance in Eastern China. Genotype VIId viruses could be further classified into two subgroups. Four of the five NDVs isolated from pigeons belonged to genotype VIb, indicating its host-specific preference. Both the genotype VIb and VIId NDVs showed low amino acid similarity to the vaccine strains currently used in China, implying the urgent need to develop better vaccines against the most prevalent NDVs in China. PMID- 21061027 TI - Tools for phospho- and glycoproteomics of plasma membranes. AB - Analysis of plasma membrane proteins and their posttranslational modifications is considered as important for identification of disease markers and targets for drug treatment. Due to their insolubility in water, studying of plasma membrane proteins using mass spectrometry has been difficult for a long time. Recent technological developments in sample preparation together with important improvements in mass spectrometric analysis have facilitated analysis of these proteins and their posttranslational modifications. Now, large scale proteomic analyses allow identification of thousands of membrane proteins from minute amounts of sample. Optimized protocols for affinity enrichment of phosphorylated and glycosylated peptides have set new dimensions in the depth of characterization of these posttranslational modifications of plasma membrane proteins. Here, I summarize recent advances in proteomic technology for the characterization of the cell surface proteins and their modifications. In the focus are approaches allowing large scale mapping rather than analytical methods suitable for studying individual proteins or non-complex mixtures. PMID- 21061028 TI - A new approach to 3-substituted tetrahydro-beta-carboline derivative via diethyl acetamidomalonate. AB - Strategically a new approach for the synthesis tetrahydro-beta-carboline unit with the aid of diethyl acetamidomalonate as a glycine equivalent has been described. PMID- 21061029 TI - Zinc(II) modulates specifically amyloid formation and structure in model peptides. AB - Metal ions such as zinc and copper can have dramatic effects on the aggregation kinetics of and the structures formed by several amyloidogenic peptides/proteins. Depending on the identity of the amyloidogenic peptide/protein and the conditions, Zn(II) and Cu(II) can promote or inhibit fibril formation, and in some cases these metal ions have opposite effects. To better understand this modulation of peptide aggregation by metal ions, the impact of Zn(II) binding to three amyloidogenic peptides (Abeta14-23, Abeta11-23, and Abeta11-28) on the formation and structure of amyloid-type fibrils was investigated. Zn(II) was able to accelerate fibril formation for all three peptides as measured by thioflavin T fluorescence and transmission electron microscopy. The effects of Zn(II) on Abeta11-23 and Abeta11-28 aggregation were very different compared with the effects of Cu(II), showing that these promoting effects were metal-specific. X ray absorption spectroscopy suggested that the Zn(II) binding to Abeta11-23 and Abeta11-28 is very different from Cu(II) binding, but that the binding is similar in the case of Abeta14-23. A model is proposed in which the different coordination chemistry of Zn(II) compared with Cu(II) explains the metal-specific effect on aggregation and the difference between peptides Abeta14-23 and Abeta11 23/Abeta11-28. PMID- 21061030 TI - Mercury resistance and volatilization by oil utilizing haloarchaea under hypersaline conditions. AB - The hydrocarbon utilizing haloarchaea, Haloferax (two strains), Halobacterium and Halococcus from a hypersaline coastal area of the Arabian Gulf, had the potential for resistance and volatilization of Hg(2+). Individual haloarchaea resisted up to between 100 and 200 ppm HgCl2 in hydrocarbon free media with salinities between 1 and 4 M NaCl, but only up to between 20 and 30 ppm in a mineral medium containing 3 M NaCl, with 0.5% (w/v) crude oil, as a sole source of carbon and energy. Halococcus and Halobacterium volatilized more mercury than Haloferax. The individual haloarchaea consumed more crude oil in the presence of 3 M NaCl than in the presence of 2 M NaCl. At both salinities, increasing the HgCl2 concentration in the medium from 0 to 20 ppm resulted in decreasing the oil consumption values by the individual haloarchaea. However, satisfactory oil consumption still occurred in the presence of 10 ppm HgCl2. It was concluded that haloarchaea with the combined potential for mercury resistance and volatilization and hydrocarbon consumption could be useful in removing toxic mercury forms effectively from oil free, mercury contaminated, hypersaline environments, and mercury and oil, albeit less effectively, from oily hypersaline environments. PMID- 21061031 TI - Bacterial diversity of soil in the vicinity of Pindari glacier, Himalayan mountain ranges, India, using culturable bacteria and soil 16S rRNA gene clones. AB - Three 16S rRNA gene clone libraries (P1L, P4L and P8L) were constructed using three soil samples (P1S, P4S and P8S) collected near Pindari glacier, Himalayas. The three libraries yielded a total of 703 clones. Actinobacteria, Firmicutes and Proteobacteria were common to the three libraries. In addition to the above P1L and P8L shared the phyla Acidobacteria, Bacteroidetes, Gemmatimonadetes and Planctomycetes. Phyla Chlamydiae, Chlorobi, Chloroflexi, Dictyoglomi, Fibrobacteres, Nitrospirae, Verrucomicrobia, candidate division SPAM and candidate TM7s TM7a phylum were present only in P1L. Rarefaction analysis indicated that the bacterial diversity in P4S and P8S soil samples was representative of the sample. Principal component analysis (PCA) revealed that P1S and P8S were different from P4S soil sample. PCA also indicated that arsenic content, pH, Cr and altitude influence the observed differences in the percentage of specific OTUs in the three 16S rRNA gene clone libraries. The observed bacterial diversity was similar to that observed for other Himalayan and non polar cold habitats. A total of 40 strains of bacteria were isolated from the above three soil samples and based on the morphology 20 bacterial strains were selected for further characterization. The 20 bacteria belonged to 12 different genera. All the isolates were psychro-, halo- and alkalitolerant. Amylase and urease activities were detected in majority of the strains but lipase and protease activities were not detected. Long chain, saturated, unsaturated and branched fatty acids were predominant in the psychrotolerant bacteria. PMID- 21061032 TI - Molecular dynamics of the "hydrophobic patch" that immobilizes hydrophobin protein HFBII on silicon. AB - The experimentally-observed stable, electrically-conducting interface formed between hydrophobin protein HFBII and silicon provides a model system for the Bio/ICT interfaces required for bionanoelectronics. The present work used molecular dynamics (MD) computer simulations to investigate the atom-scale details of the assembly and structure of the HFBII/silicon interface, using models on the order of 40,000 atoms to compute energy profiles for the full protein interacting with a bare Si(111) substrate in aqueous solution. Five nanoseconds of free, equilibrated dynamics were performed for six models with initial protein:silicon separations ranging from 1.2 to 0.2 nanometers in steps of 0.2 nm. Three of the models formed extensive protein:silicon van der Waals's interfacial contacts. The model with 0.2 nm starting separation serves as an illustrative example of the dynamic interface created, whereby hydrophobic patch residues cycle between flat and more protruding patch conformations that favor respectively close inter-patch and close patch-surface contacts, with protein:surface separations cycling between 0.2 and 0.4 nm over the 5 ns of dynamics. Analysis of residue-based binding energies at the interface reveal three leucines Leu19, Leu21 and Leu63, together with isoleucine Ile22 and alanine Ala61, as the primary drivers towards adhesion on bare silicon, providing the atom-scale details of HFBII's hydrophobic patch which in turn provides leads for the engineering of more tightly-coupled interfaces. PMID- 21061033 TI - Theoretical study on modeling and prediction of optical rotation for biodegradable polymers containing alpha-amino acids using QSAR approaches. AB - The main purpose of the present study was modeling and prediction of the optical rotation ([M](D)) of some biodegradable polymers containing alpha-amino acids using quantitative structure-activity relationship (QSAR) approaches. In order to attain this goal, the optical rotation of a collection of 53 polymers was selected as a data set. The data set was randomly divided into three sections, training, test and external validation sets. By using dragon software, various descriptors were calculated for all molecules in the data set. The important descriptors were selected applying genetic algorithm-partial least squares (GA PLS) method. Then an artificial neural network (ANN) was written with MATLAB 7 and used these descriptors as inputs and its output was optical rotation of desired polymers. Then, the constructed network was used for the prediction of ([M](D)) values of validation set. The squared correlation coefficient R2 values of the ANN model for the training, test and validation sets were 0.998, 0.996 and 0.996 respectively. The results showed the ability of developed ANN to predict optical rotation of various polymers. PMID- 21061034 TI - A tool for the morphological analysis of mixtures of lipids and water in computer simulations. AB - When analyzing computer simulations of mixtures of lipids and water, the questions to be answered are often of a morphological nature. They can deal with global properties, like the kind of phase that is adopted or the presence or absence of certain key features like a pore or stalk, or with local properties, like the local curvature present at a particular part of the lipid/water interface. While in principle all of the information relating to the global and local morphological properties of a system can be obtained from the set of atomic coordinates generated by a computer simulation, the extraction of this information is a tedious task that usually involves using a visualization program and performing the analysis by eye. Here we present a tool that employs the technique of morphological image analysis (MIA) to automatically extract the global morphology--as given by Minkowski functionals--from a set of atomic coordinates, and creates an image of the system onto which the local curvatures are mapped as a color code. PMID- 21061035 TI - Clinical potential of diagnostic methods for the rapid diagnosis of Mycoplasma pneumoniae pneumonia in adults. AB - The purpose of the present study was to evaluate the accuracy and usefulness of three rapid diagnostic methods, ImmunoCard Mycoplasma kit, chest high-resolution computed tomography (HRCT) findings, and the Japanese Respiratory Society (JRS) scoring system (including six parameters), for the early presumptive diagnosis of Mycoplasma pneumoniae pneumonia in adults. We performed three rapid diagnostic methods at the same time in four pneumonia groups: 68 cases with M. pneumoniae pneumonia, 133 cases with Streptococcus pneumoniae pneumonia, 30 cases with Haemophilus influenzae pneumonia, and 20 cases with Legionella pneumonia. The sensitivity and specificity were 35% and 68% for ImmunoCard, 73% and 85% with HRCT, and 83% and 90% with the JRS scoring system, respectively. Among the three rapid diagnostic methods, the JRS scoring system was the most useful tool for initiating the administration of adequate antibiotic therapy for probable M. pneumoniae pneumonia. We suggest that M. pneumoniae pneumonia should be suspected when there is a correlation of more than five parameters in the JRS scoring system (99% specificity). If there is a correlation of three or four parameters in the JRS scoring system, chest computed tomography (CT) findings are helpful for the presumptive diagnosis of M. pneumoniae pneumonia. PMID- 21061036 TI - Integrating proteomic and transcriptomic high-throughput surveys for search of new biomarkers of colon tumors. AB - To the search of new colon tumor biomarkers in the transition from normal colon (NC) mucosa to adenoma (AD) and adenocarcinoma (AC), we integrated microarray data with the results of a high-throughput proteomic workflow. In proteomic study, we used a modified isoelectric focusing protocol on strips with an immobilized pH gradient to separate peptides labeled with iTRAQ (isobaric tags for relative and absolute quantitation) tags followed by liquid chromatography tandem mass spectrometry analysis. Gene expression measurements were done using Affymetrix GeneChip HG-U133plus2 microarrays and quantitative reverse transcriptase PCR (q-RT-PCR). We identified 3,886 proteins with at least two peptides. Of them, 1,061 proteins were differentially expressed [FC >= 1.5; FDR <= 0.01] in two pair-wise comparisons: AD vs. NC and AC vs. AD while 15 and 23 proteins were progressively up-regulated and down-regulated in the NC/AD/AC sequence, respectively. The quantitative proteomic information was subsequently correlated with microarray data. For a collection of genes with the same direction of changes of both mRNA and protein levels, we obtained 785/853/795 genes in AD vs. NC/AC vs. NC/AC vs. AD comparison, respectively. Further evaluation of sequentially altered gene expression by q-RT-PCR on individual samples of 24 NCs, 42 ADs, and 26 ACs confirmed progressive expression of six genes: biglycan, calumenin, collagen type XII, alpha 1 (COL12A1), monoamine oxidase A (MAOA), ectonucleoside triphosphate diphosphohydrolase 5 (ENTPD5), and MOCO sulphurase C-terminal domain-containing 2 (MOSC2). Among them, three continuously down-regulated (MAOA, ENTPD5, and MOSC2) and one continuously overexpressed (COL12A1) are reported, to our best knowledge, for the first time in a connection to colon cancer onset. PMID- 21061037 TI - Elevated postoperative inflammatory biomarkers are associated with short- and medium-term cognitive dysfunction after coronary artery surgery. AB - PURPOSE: We tested the hypothesis that elevated postoperative interleukin-6 (IL 6) and C-reactive protein (CRP) concentrations are associated with short- and medium-term impairment of cognitive functions in patients after coronary artery surgery using cardiopulmonary bypass. METHODS: Eighty-six age- and education balanced patients >=55 years of age undergoing elective coronary artery bypass surgery with cardiopulmonary bypass and 28 nonsurgical controls with coronary artery disease were enrolled. Recent verbal and nonverbal memory and executive functions were assessed before surgery and at 1 week and 3 months after surgery using a cognitive test battery. IL-6 concentrations were measured before surgery and 4 h after cardiopulmonary bypass, and CRP concentrations were measured before surgery and at 24 and 72 h after anesthetic induction. Overall cognitive function between high and low biomarker concentration groups was analyzed by the Wilcoxon rank-sum test. RESULTS: Recent memory was at least 1 standard deviation (SD) impaired at 1 week and 3 months in the high-CRP compared with low-CRP and in the high-IL-6 compared with low-IL-6 concentration groups. Overall cognitive function was significantly (P = 0.04 and P = 0.01, respectively) different between the high- and low-CRP concentration groups (CRP assayed 24 h after anesthetic induction) at both 1 week and 3 months. Overall cognitive function was also significantly (P = 0.04) different between the high and low-IL-6 concentration groups at 1 week after surgery. CONCLUSION: The results suggest that elevated postoperative IL-6 and CRP concentrations are associated with the subsequent development of short- and medium-term impairment of cognitive functions after coronary artery surgery. PMID- 21061038 TI - Sox2 up-regulation and glial cell proliferation following degeneration of spiral ganglion neurons in the adult mouse inner ear. AB - In the present study, glial cell responses to spiral ganglion neuron (SGN) degeneration were evaluated using a murine model of auditory neuropathy. Ouabain, a well-known Na,K-ATPase inhibitor, has been shown to induce SGN degeneration while sparing hair cell function. In addition to selectively removing type I SGNs, ouabain leads to hyperplasia and hypertrophy of glia-like cells in the injured auditory nerves. As the transcription factor Sox2 is predominantly expressed in proliferating and undifferentiated neural precursors during neurogenesis,we sought to examine Sox2 expression patterns following SGN injury by ouabain. Real-time RT-PCR and Western blot analyses of cochlea indicated a significant increase in Sox2 expression by 3 days posttreatment with ouabain. Cells incorporating bromodeoxyuridine(BrdU) and expressing Sox2 were counted in the auditory nerves of control and ouabain-treated ears. The glial phenotype of Sox2+cells was identified by two neural glial markers: S100 and Sox10. The number of Sox2+ glial cells significantly increased at 3 days post-treatment and reached its maximum level at 7 days post-treatment. Similarly,the number of BrdU+ cells increased at 3 and 7 days post-treatment in the injured nerves. Quantitative analysis with dual-immunostaining procedures indicated that about 70% of BrdU+ cells in the injured nerves were Sox2+ glial cells. These results demonstrate that up-regulation of Sox2 expression is associated with increased cell proliferation in the auditory nerve after injury. PMID- 21061039 TI - The effect of static ear canal pressure on human spontaneous otoacoustic emissions: spectral width as a measure of the intra-cochlear oscillation amplitude. AB - Spontaneous otoacoustic emissions can be detected as peaks in the Fourier spectrum of a microphone signal recorded from the ear canal. The height, center frequency, and spectral width of SOAE peaks changed when a static pressure was applied to the ear canal. Most commonly, with either increasing or decreasing static pressure, the frequency increased, the amplitude decreased, and the width increased. These changes are believed to result from changes in the middle ear properties. Specifically, reduced middle ear transmission is assumed to attenuate the amplitude of emissions. We reconsidered this explanation by investigating the relation between peak height and width. We showed that the spectral width of SOAE peaks is approximately proportional to . This is consistent with a (Rayleigh) oscillator model in which broadening of the SOAE peak is caused by broadband intra-cochlear noise, which is assumed to be independent of static ear canal pressure. The relation between emission peak height and width implicates that the intra-cochlear oscillation amplitude attentuates relative to the intra-cochlear noise level when a static ear canal pressure is applied. Apparently, ear canal static pressure directly affects the active mechanics in the inner ear. PMID- 21061040 TI - Dynamics of the interfacial film in bicontinuous microemulsions based on a partly ionic surfactant mixture: A neutron spin-echo study. AB - In a microemulsion system based on a mixture of nonionic and ionic surfactants the addition of alcohol instead of changing the temperature was used to tune the curvature of the surfactant interface. The influence of the addition of the short chain alcohol 2-propanol in the system water-perchloroethylene- Marlowet IHF-2 propanol is studied using neutron spin-echo spectroscopy. In contrast to alcohols with long alkyl chains 2-propanol is no strong co-surfactant, but changes the properties of the solvents. The present contribution focuses on the bicontinuous phase in this system and a quantitative analysis of the obtained neutron spin echo data is proposed within the theoretical framework given by Zilman and Granek for amphiphilic membranes. It turns out that, in addition to the local movements of the surfactant film, also a collective diffusional mode of the bicontinuous structure has to be taken into account. The presented approach allows to calculate the bending elastic constant kappa of the film. The approach is subsequently applied to follow changes of kappa as induced by changes of the alcohol concentration. PMID- 21061041 TI - Expression and distribution of immunoglobulin G and its receptors in an immune privileged site: the eye. AB - It has recently been demonstrated that not only mature B lymphocytes, but also non-lymphoid cells, including cancer cells and neurons, express IgG. In the eye, an important immune privileged site, the presence of IgG has been ascribed to IgG entering the eye through breaches of the blood-ocular barrier. Here we demonstrate that the eye itself can produce IgG intrinsically. Applying immunohistochemistry, in situ hybridization, and RT-PCR, several intraocular structures were found to express proteins and mRNA transcripts of IgG heavy chains, light chains, V(D)J rearrangements, and enzymes required for V(D)J recombination. IgG receptors were also detected in the intraocular epithelium and endothelium. The extensive distribution of IgG and its receptors in intraocular structures indicates that locally produced IgG could play a significant role in maintaining the ocular microenvironment and protection of the eyes, and it might also be involved in the pathogenesis of age-related macular degeneration and some inflammatory diseases. PMID- 21061042 TI - The modulatory effect of lipids and glucose on the neonatal immune response induced by Staphylococcus epidermidis. AB - BACKGROUND: Parenteral nutrition is an important risk factor for late onset sepsis in neonates. This may be caused by the long-term need of central venous access but also through a potentially modulating effect of lipids and glucose on the immune function. OBJECTIVE: It was the aim of this study to characterize the effect of lipids and glucose on the neonatal immune response in an in vitro Staphylococcus epidermidis sepsis model using whole cord blood of healthy term infants and preterm infants. RESULTS: At the single cell level, IL-6, IL-8 and TNF-alpha expression of CD14+ cells was significantly increased upon addition of 1% lipids, while the addition of clinically meaningful lipid concentrations had no remarkable effect. When glucose was added to whole cord blood cultures, a dose dependent effect was demonstrated for IL-8 expression but not for other cytokines. CONCLUSIONS: These in vitro data suggest that the proinflammatory cytokine response to S. epidermidis may be modulated by lipids and glucose. Further studies are needed to investigate whether these findings are applicable to clinical settings and to evaluate the role of cytokine monitoring in infants receiving long-term parenteral nutrition. PMID- 21061043 TI - An analysis of the bacterial community in a membrane bioreactor fed with photo Fenton pre-treated toxic water. AB - A photo-Fenton-membrane bioreactor (MBR) coupled system is an innovative tool for the treatment of wastewater containing high quantities of contaminants. In this paper, wastewater with 200 mg l(-1) of dissolved organic carbon (DOC) of a selected mixture of five commercial pesticides: Vydate(r), Metomur(r), Couraze(r), Ditimur-40(r), and Scala(r) was treated by combining photo-Fenton and MBR. The effect of photo-treated pollutants on MBR operation was investigated by studying the population changes that occurred with time in the activated sludge of the biological system. Pre-treatment with photo-Fenton was carried out (only up to 34% of mineralization of DOC) and, after MBR treatment, 98% of biodegradation efficiency was obtained. During the biological treatment, little changes in the activated sludge population were detected by DGGE analysis, maintaining acceptable biodegradation efficiency, which points out the robustness of the MBR treatment versus changes in feed composition. PMID- 21061044 TI - In silico strategies for the selection of chelating compounds with potential application in metal-promoted neurodegenerative diseases. AB - The development of new strategies to find commercial molecules with promising biochemical features is a main target in the field of biomedicine chemistry. In this work we present an in silico-based protocol that allows identifying commercial compounds with suitable metal coordinating and pharmacokinetic properties to act as metal-ion chelators in metal-promoted neurodegenerative diseases (MpND). Selection of the chelating ligands is done by combining quantum chemical calculations with the search of commercial compounds on different databases via virtual screening. Starting from different designed molecular frameworks, which mainly constitute the binding site, the virtual screening on databases facilitates the identification of different commercial molecules that enclose such scaffolds and, by imposing a set of chemical and pharmacokinetic filters, obey some drug-like requirements mandatory to deal with MpND. The quantum mechanical calculations are useful to gauge the chelating properties of the selected candidate molecules by determining the structure of metal complexes and evaluating their stability constants. With the proposed strategy, commercial compounds containing N and S donor atoms in the binding sites and capable to cross the BBB have been identified and their chelating properties analyzed. PMID- 21061045 TI - Stabilization of Cu-contaminated army firing range soils using waste oyster shells. AB - stabilization/solidification (S/S) process was used to immobilize Cu in contaminated soils obtained from two army firing ranges sites (A and B) with total Cu concentrations of 520 and 380 mg/kg, respectively. Both waste oyster shells (WOS) and pretreated oyster shells (POS) were used to immobilize Cu in the contaminated soils. Waste oyster shells passing the #10 mesh and #20 mesh were used for the Sites A and B, respectively. WOS- and POS-treated soil samples cured for 28 days were evaluated for Cu leaching by the Korean Standard Leaching Test (KSLT) method. Slurry suspensions were prepared to investigate the Cu immobilization mechanism using X-ray powder diffraction (XRPD) and scanning electron microscopy (SEM) energy dispersive X-ray spectroscopy (EDX) analyses. The treatment results showed that the POS treatment was more effective than the WOS treatment of 28 days. For Site A, 10 wt% WOS and 3 wt% POS dosages were required to pass the Korean warning standard of 50 mg/kg, while 10 wt% WOS and 5 wt% POS dosages were required for the Site B treatment. The XRPD and SEM-EDX results showed that Cu immobilization was strongly linked to both CSH/CAH and ettringite. Overall, the POS treatment was effective at immobilizing the Cu in the contaminated soils, very likely due to its CaO content. PMID- 21061046 TI - Heart failure and the risk of stroke: the Rotterdam Study. AB - Patients with heart failure used to have an increased risk of stroke, but this may have changed with current treatment regimens. We assessed the association between heart failure and the risk of stroke in a population-based cohort that was followed since 1990. The study uses the cohort of the Rotterdam Study and is based on 7,546 participants who at baseline (1990-1993) were aged 55 years or over and free from stroke. The associations between heart failure and risk of stroke were assessed using time-dependent Cox proportional hazards models, adjusted for cardiovascular risk factors (smoking, diabetes mellitus, BMI, ankle brachial index, blood pressure, atrial fibrillation, myocardial infarction and relevant medication). At baseline, 233 participants had heart failure. During an average follow-up time of 9.7 years, 1,014 persons developed heart failure, and 827 strokes (470 ischemic, 75 hemorrhagic, 282 unclassified) occurred. The risk of ischemic stroke was more than five-fold increased in the first month after diagnosis of heart failure (age and sex adjusted HR 5.79, 95% CI 2.15-15.62), but attenuated over time (age and sex adjusted HR 3.50 [95% CI 1.96-6.25] after 1-6 months and 0.83 [95% CI 0.53-1.29] after 0.5-6 years). Additional adjustment for cardiovascular risk factors only marginally attenuated these risks. In conclusion, the risk of ischemic stroke is strongly increased shortly after the diagnosis of heart failure but returns to normal within 6 months after onset of heart failure. PMID- 21061047 TI - Scorpion (Odontobuthus doriae) venom induces apoptosis and inhibits DNA synthesis in human neuroblastoma cells. AB - Scorpion and its organs have been used to cure epilepsy, rheumatism, and male impotency since medieval times. Scorpion venom which contains different compounds like enzyme and non-enzyme proteins, ions, free amino acids, and other organic inorganic substances have been reported to posses antiproliferative, cytotoxic, apoptogenic, and immunosuppressive properties. We for the first time report the apoptotic and antiproliferative effects of scorpion venom (Odontobuthus doriae) in human neuroblastoma cells. After exposure of cells to medium containing varying concentrations of venom (10, 25, 50, 100, and 200 MUg/ml), cell viability decreased to 90.75, 75.53, 55.52, 37.85, and 14.30%, respectively, after 24 h. Cells expressed morphological changes like swelling, inhibition of neurite outgrowth, irregular shape, aggregation, rupture of membrane, and release of cytosolic contents after treatment with venom. Lactate dehydrogenase (LDH) level increased in 50 and 100 MUg/ml as compared to control, but there was no significant increase in LDH level at a dose of 10 and 20 MUg/ml. Two concentrations viz. 50 and 100 MU/ml were selected because of the profound effect of these concentrations on the cellular health and population. Treatment with these two concentrations induced reactive nitrogen intermediates and depolarization in mitochondria. While caspase-3 activity increased in a concentration-dependent manner, only 50 MUg/ml was able to fragment DNA. It was interesting to note that at higher dose, i.e., 100 MUg/ml, the cells were killed, supposedly by acute necrosis. DNA synthesis evidenced by bromodeoxyuridine (BrdU) incorporation was inhibited in a concentration-dependent manner. The cells without treatment incorporated BrdU with high affinity confirming their cancerous nature whereas very less incorporation was noticed in treated cells. Our results show apoptotic and antiproliferative potential of scorpion venom (O. doriae) in human neuroblastoma cells. These properties make scorpion venom a valuable therapeutic agent in cancer research. PMID- 21061048 TI - Ambulatory dispersal in Tetranychus urticae: an artificial selection experiment on propensity to disperse yields no response. AB - Dispersal to new hosts is an important process for an invasive herbivore, such as the two-spotted spider mite. A recent study, using artificial selection experiments, has suggested that genetic variation and genetic trade-offs are present for propensity to disperse in this species. However, due to the experimental setup alternative explanations for the response to selection could not be ruled out. Using an altered setup, we investigated whether the propensity for ambulatory dispersal differs genetically between individuals and whether genetic correlations with life-history traits exist. Upward and downward selection on propensity to leave the colony was performed for seven generations in four replicate artificial selection experiments and the results were compared to control lines. No consistent responses to selection were found and no significant effect on life-history traits (oviposition rate, juvenile survival, development rate and number of adult offspring) or sex ratio was present across the replicates. The data suggest that our base population of spider mites harbours at best a low amount of additive genetic variation for this behaviour. PMID- 21061049 TI - Characterization of basal pseudopod-like processes in ileal and colonic PYY cells. AB - The peptide tyrosine tyrosine (PYY) is produced and secreted from L cells of the gastrointestinal mucosa. To study the anatomy and function of PYY-secreting L cells, we developed a transgenic PYY-green fluorescent protein mouse model. PYY containing cells exhibited green fluorescence under UV light and were immunoreactive to antibodies against PYY and GLP-1 (glucagon-like peptide-1, an incretin hormone also secreted by L cells). PYY-GFP cells from 15 MUm thick sections were imaged using confocal laser scanning microscopy and three dimensionally (3D) reconstructed. Results revealed unique details of the anatomical differences between ileal and colonic PYY-GFP cells. In ileal villi, the apical portion of PYY cells makes minimal contact with the lumen of the gut. Long pseudopod-like basal processes extend from these cells and form an interface between the mucosal epithelium and the lamina propria. Some basal processes are up to 50 MUm in length. Multiple processes can be seen protruding from one cell and these often have a terminus resembling a synapse that appears to interact with neighboring cells. In colonic crypts, PYY-GFP cells adopt a spindle-like shape and weave in between epithelial cells, while maintaining contact with the lumen and lamina propria. In both tissues, cytoplasmic granules containing the hormones PYY and GLP-1 are confined to the base of the cell, often filling the basal process. The anatomical arrangement of these structures suggests a dual function as a dock for receptors to survey absorbed nutrients and as a launching platform for hormone secretion in a paracrine fashion. PMID- 21061050 TI - The influence of basic ventilation strategies on cerebral oxygenation in anesthetized patients without vascular disease. AB - OBJECTIVES: Optimizing cerebral oxygenation is of paramount importance in certain intraoperative situations. There is, however, a paucity of published data pertaining to changes in cerebral oxygenation seen with increases in the inspired fraction of oxygen (FIO2) or end-tidal carbon dioxide (PETCO2) in anesthetized patients without vascular disease. Here we tested the hypothesis that changes in FIO2 or PETCO2 correlate to a significant change in regional cerebral oxygenation (rSO2) in anesthetized patients without vascular disease. METHODS: This was a prospective pilot study approved by the IRB. We measured rSO2 using the INVOS 5100B monitor in ten anesthetized patients. Patients were excluded if they had a history of or risk factors for vascular disease, suffered from respiratory failure, or did not speak English. Following induction of anesthesia and intubation, FIO2 and minute ventilation were sequentially adjusted. At each set point, rSO2 was recorded and arterial blood gas analysis was performed. Each patient acted as their own control. A paired-sample t test was used to evaluate the change in rSO2 resultant upon each intervention. RESULTS: The baseline rSO2 was measured with patients awake, breathing room air and varied between 48 and 72%. While maintaining PETCO2 in the range 30-35 mmHg, rSO2 was 8% higher when 100% oxygen was delivered compared to FIO2 30% (P = 0.021). While maintaining PETCO2 in the range 40-45 mmHg, rSO2 was 7% higher when 100% oxygen is delivered compared to FIO2 30% (P = 0.032). While maintaining FIO2 at 100%, rSO2 was 2% higher when PETCO2 was in the range 40-45 mmHg compared to PETCO2 30-35 mmHg (P = 0.017). While maintaining FIO2 at 30%, rSO2 was not statistically different between PECO2 40-45 mmHg and PETCO2 30-35 mmHg. CONCLUSIONS: Modulating oxygenation and ventilation in anesthetized patients without vascular disease leads to measurable changes in rSO2. PMID- 21061051 TI - Brain mitochondria from rats treated with sulforaphane are resistant to redox regulated permeability transition. AB - Oxidative stress promotes Ca2+-dependent opening of the mitochondrial inner membrane permeability transition pore (PTP), causing bioenergetic failure and subsequent cell death in many paradigms, including those related to acute brain injury. One approach to pre-conditioning against oxidative stress is pharmacologic activation of the Nrf2/ARE pathway of antioxidant gene expression by agents such as sulforaphane (SFP). This study tested the hypothesis that administration of SFP to normal rats increases resistance of isolated brain mitochondria to redox-sensitive PTP opening. SFP or DMSO vehicle was administered intraperitoneally to adult male rats at 10 mg/kg 40 h prior to isolation of non synaptic brain mitochondria. Mitochondria were suspended in medium containing a respiratory substrate and were exposed to an addition of Ca2+ below the threshold for PTP opening. Subsequent addition of tert-butyl hydroperoxide (tBOOH) resulted in a cyclosporin A-inhibitable release of accumulated Ca2+ into the medium, as monitored by an increase in fluorescence of Calcium Green 5N within the medium, and was preceded by a decrease in the autofluorescence of mitochondrial NAD(P)H. SFP treatment significantly reduced the rate of tBOOH-induced Ca2+ release but did not affect NAD(P)H oxidation or inhibit PTP opening induced by the addition of phenylarsine oxide, a direct sulfhydryl oxidizing agent. SFP treatment had no effect on respiration by brain mitochondria and had no effect on PTP opening or respiration when added directly to isolated mitochondria. We conclude that SFP confers resistance of brain mitochondria to redox-regulated PTP opening, which could contribute to neuroprotection observed with SFP. PMID- 21061052 TI - Thermal imaging as a lie detection tool at airports. AB - We tested the accuracy of thermal imaging as a lie detection tool in airport screening. Fifty-one passengers in an international airport departure hall told the truth or lied about their forthcoming trip in an interview. Their skin temperature was recorded via a thermal imaging camera. Liars' skin temperature rose significantly during the interview, whereas truth tellers' skin temperature remained constant. On the basis of these different patterns, 64% of truth tellers and 69% of liars were classified correctly. The interviewers made veracity judgements independently from the thermal recordings. The interviewers outperformed the thermal recordings and classified 72% of truth tellers and 77% of liars correctly. Accuracy rates based on the combination of thermal imaging scores and interviewers' judgements were the same as accuracy rates based on interviewers' judgements alone. Implications of the findings for the suitability of thermal imaging as a lie detection tool in airports are discussed. PMID- 21061053 TI - Lexical and grammatical skills in toddlers on the autism spectrum compared to late talking toddlers. AB - This study compared language development in 30-month-old toddlers on the autism spectrum and 25- month-old late talking toddlers without autism. Groups were matched on overall productive vocabulary (and nonverbal cognition was controlled) in order to compare language acquisition patterns related to vocabulary composition and early lexical-grammatical relationships. Findings revealed that semantic categories of words- including psychological state terms-used by toddlers on the autism spectrum were very similar to those of late talkers. Both groups were equivalent with respect to grammatical complexity and proportion of toddlers combining words, though late talkers displayed a relatively stronger association between lexical-grammatical abilities. These tentative findings are consistent with a dimensional account of early, core linguistic abilities across different populations of children with language delay. PMID- 21061054 TI - Processing of ironic language in children with high-functioning autism spectrum disorder. AB - We examined processing of verbal irony in three groups of children: (1) 18 children with high-functioning Autism Spectrum Disorder (HFASD), (2) 18 typically developing children, matched to the first group for verbal ability, and (3) 18 typically-developing children matched to the first group for chronological age. We utilized an irony comprehension task that minimized verbal and pragmatic demands for participants. Results showed that children with HFASD were as accurate as typicallydeveloping children in judging speaker intent for ironic criticisms, but group differences in judgment latencies, eye gaze, and humor evaluations suggested that children with HFASD applied a different processing strategy for irony comprehension; one that resulted in less accurate appreciation of the social functions of irony. PMID- 21061055 TI - The Interaction Between Pubertal Timing and Peer Popularity for Boys and Girls: An Integration of Biological and Interpersonal Perspectives on Adolescent Depression. AB - The transition to adolescence marks a time of sharply increased vulnerability to the development of depression, particularly among girls. Past research has examined isolated risk factors from individual theoretical models (e.g., biological, interpersonal, and cognitive) of depression, but few have examined integrative models. This study investigated the conjoint effects of early pubertal timing and popularity in the longitudinal prediction of depressive symptoms. A total of 319 girls and 294 boys (ages 11-14) provided information on their pubertal status, depressive symptoms, and the social status (i.e., popularity) of their peers. Adolescents completed a second measure of depressive symptoms 11 months after the initial time point. Findings supported an integrated biological-interpersonal model in explaining the development of depressive symptoms during adolescence. Early pubertal development was associated with increase in depressive symptoms only when accompanied by low levels of popularity. High levels of popularity buffered the association between early pubertal development and later depressive symptoms. Unexpectedly, these results were significant both for girls and boys. Results are discussed in terms of dynamic systems theories. PMID- 21061056 TI - Youth empowerment in context: exploring tensions in school-based yPAR. AB - In much of the youth empowerment literature, researchers focus on the relationship between youth and adults involved in empowerment programs while neglecting the broader social framework in which these relationships and the program itself functions. Utilizing an ecological model, the current research examines the tensions that surfaced in attempts to create an empowering setting in an after-school PAR program with fifth-graders. Challenging assumptions about youth, structural challenges, and conflicting theories of change are highlighted. Results examine the role of sociocultural context as PAR researchers attempt to create a setting in which students gain skills to become change agents within their school. The study suggests that youth empowerment is a context dependent process that requires attention to a multiplicity of factors that influence possibilities for empowerment via second order change. PMID- 21061057 TI - Examining Multi-Sector Community Collaboratives as Vehicles for Building Organizational Capacity. AB - While community collaboratives have emerged as a prominent vehicle for fostering a more coordinated community response to complex issues, research to date suggests that the success of these efforts at achieving community/population level improvements is mixed. As a result, researchers and practitioners are increasing their focus on the intermediate outcomes accomplished by these entities. The purpose of this study is to expand upon this examination of potential intermediate outcomes by investigating the ways in which collaboratives strengthen the capacity of the organizations who participate as members. Utilizing a mixed methods design, we present an empirically-based framework of organizational outcomes associated with participation in a community collaborative. The dimensions of this framework are validated based on quantitative findings from representatives of 614 different organizations and agencies nested within 51 different community collaboratives. This article then explores how the characteristics of organizations and their representatives relate to the nature and type of impacts associated with membership. Based on study findings, we argue that community collaboratives can be effective interventions for strengthening organizational capacity across all sectors in ways that can promote greater community resiliency. PMID- 21061058 TI - Gold nanoparticles inhibit vascular endothelial growth factor-induced angiogenesis and vascular permeability via Src dependent pathway in retinal endothelial cells. AB - The purpose of this study was to investigate the effect of gold nanoparticles on the signaling cascade related to angiogenesis and vascular permeability induced by Vascular Endothelial Growth Factor (VEGF) in Bovine retinal endothelial cells (BRECs). The effect of VEGF and gold nanoparticles on cell viability, migration and tubule formation was assessed. PP2 (Src Tyrosine Kinase inhibitor) was used as the positive control and the inhibitor assay was performed to compare the effect of AuNPs on VEGF induced angiogenesis. The transient transfection assay was performed to study the VEGFR2/Src activity during experimental conditions and was confirmed using western blot analysis. Treatment of BRECs with VEGF significantly increased the cell proliferation, migration and tube formation. Furthermore, gold nanoparticles (500 nM) significantly inhibited the proliferation, migration and tube formation, in the presence of VEGF in BRECs. The gold nanoparticles also inhibited VEGF induced Src phosphorylation through which their mode of action in inhibiting angiogenic pathways is revealed. The fate of the gold nanoparticles within the cells is being analyzed using the TEM images obtained. The potential of AuNPs to inhibit the VEGF165-induced VEGFR-2 phosphorylation is also being confirmed through the receptor assay which elucidates one of the possible mechanism by which AuNPs inhibit VEGF induced angiogenesis. These results indicate that gold nanoparticles can block VEGF activation of important signaling pathways, specifically Src in BRECs and hence modulation of these pathways may contribute to gold nanoparticles ability to block VEGF-induced retinal neovascularization. PMID- 21061059 TI - Economic issues involved in integrating genomic testing into clinical care: the case of genomic testing to guide decision-making about chemotherapy for breast cancer patients. AB - The use of taxanes to treat node-positive (N+) breast cancer patients is associated with heterogeneous benefits as well as with morbidity and financial costs. This study aimed to assess the economic impact of using gene expression profiling to guide decision-making about chemotherapy, and to discuss the coverage/reimbursement issues involved. Retrospective data on 246 patients included in a randomised trial (PACS01) were analyzed. Tumours were genotyped using DNA microarrays (189-gene signature), and patients were classified depending on whether or not they were likely to benefit from chemotherapy regimens without taxanes. Standard anthracyclines plus taxane chemotherapy (strategy AT) was compared with the innovative strategy based on genomic testing (GEN). Statistical analyses involved bootstrap methods and sensitivity analyses. The AT and GEN strategies yielded similar 5-year metastasis-free survival rates. In comparison with AT, GEN was cost-effective when genomic testing costs were less than 2,090?. With genomic testing costs higher than 2,919?, AT was cost effective. Considering a 30% decrease in the price of docetaxel (the patent rights being about to expire), GEN was cost-effective if the cost of genomic testing was in the 0?-1,139?, range; whereas AT was cost-effective if genomic testing costs were higher than 1,891?. The use of gene expression profiling to guide decision-making about chemotherapy for N+ breast cancer patients is potentially cost-effective. Since genomic testing and the drugs targeted in these tests yield greater well-being than the sum of those resulting from separate use, questions arise about how to deal with extra well-being in decision-making about coverage/reimbursement. PMID- 21061060 TI - Relationship of adult neurogenesis with tau phosphorylation and GSK-3beta activity in subventricular zone. AB - Altered neurogenesis has been reported in Alzheimer disease (AD), the most common neurodegenerative disorder characterized with hyperphosphorylated tau and accumulation of beta-amyloid (Abeta). Recent studies suggest that tau phosphorylation is essential for hippocampal neurogenesis, however, it is not known whether tau phosphorylation also play a role in neurogenesis of subventricular zone (SVZ), another main progenitor niche in the brain. Here, we examined the expression of phosphorylated tau (p-tau) in SVZ and analyzed the role of p-tau in adult SVZ neurogenesis. We found that the expression of p-tau increased during postnatal development and remains at a high level until adulthood, and the p-tau was colocalized with some SVZ neural precursors. However, up-regulating glycogen synthase kinase-3 (GSK-3), a crucial tau kinase, had no effect on SVZ neurogenesis in adult rat brain. The SVZ neurogenesis was also unaffected in tau knockout and human tau transgenic mice. These results suggest that tau phosphorylation and GSK-3 activation may not be essential for adult SVZ neurogenesis. PMID- 21061061 TI - Re-emergence of multi-drug resistant Salmonella enterica serovar Stanley from cattle. AB - During 2009, Salmonella enterica subspecies enterica serovar Stanley isolates were recovered from cattle diagnostic specimens in southern Japan, and the isolates were examined to characterize the genetic determinants involved in this new pathogenicity that associated with mortality in cattle. All the isolates were multi-drug resistance exhibited resistance to ampicillin, chloramphenicol, streptomycin, sulfamethoxazole, oxytetracycline, and kanamycin (ACSSuT-Km) encoded by blaTEM, catA, aadA1, sul1, tet(A), and aphA1 genes, respectively. Class 1 integrons of 1.5-kb size were detected in all MDR isolates. The isolates harboured easily transferable plasmids of ca. 210-kb with the potential of transmitting resistance phenotype and genotype detected in the donor isolates. XbaI-digested PFGE patterns generated two related clusters implicated in the dissemination of multi-drug resistance amongst Salmonella Stanley isolates. An emergence of multi-drug resistant Salmonella Stanley amongst food-producing animals, including cattle is a threat to human health, as resistant isolates may be transmitted to humans through the food chain. PMID- 21061062 TI - Life span extension in Drosophila melanogaster induced by morphine. AB - The influence of morphine on the life span of Drosophila melanogaster fruit flies has been investigated. Morphine hydrochloride (MH) at concentrations of 0.01, 0.05 and 0.25 mg/ml was added to a medium starting from day 5 or 54 of imaginal life. Supplementation with MH starting from day 5 of imaginal life has resulted in significant increases in the mean life span of males at all concentrations studied. In females, a significant increase in life span compared with control was obtained only for those treated with 0.25 mg/ml MH. In flies with MH feeding from day 54, residual life span was significantly increased in both males and females after treatment with 0.05 mg/ml MH. The present data, together with those of our earlier study in mice (Dubiley et al. Probl Aging Longvity 9:331-332, 2000) suggest that morphine supplementation can result in life extension in both vertebrate and invertebrate animal species. PMID- 21061063 TI - Pilot of a diabetes primary prevention program in a hard-to-reach, low-income, immigrant Hispanic population. AB - An immigrant Hispanic population in the Texas-Mexico border region urgently requested assistance with diabetes. The project team implemented an exploratory pilot intervention to prevent type 2 diabetes in the general population through enhanced nutrition and physical activity. Social networks in low-income rural areas(colonias) participated in an adaptation of the Diabetes Empowerment Education Program. The program had a pre-post-test design with a comparison group. The intervention had a small but significant effect in lowering body mass index, the biological outcome variable. The process evaluation shows that the participants valued the pilot project and found it culturally and economically appropriate. This program was the first primary prevention program in diabetes to address a general population successfully. The study shows that low-income, rural Mexican American families will take ownership of a program that is participatory and tailored to their culture and economic situation. PMID- 21061067 TI - Children's knowledge of the quantifier dou in Mandarin Chinese. AB - The quantifier dou (roughly corresponding to English 'all') in Mandarin Chinese has been the topic of much discussion in the theoretical literature. This study investigated children's knowledge of this quantifier using a new methodological technique, which we dubbed the Question-Statement Task. Three questions were addressed: (i) whether young Mandarin-speaking children know that dou is a universal quantifier that quantifies over the elements to its left, (ii) whether they know that dou is an adverb of quantification (Q-adverb) which can (unselectively) bind any variable in its domain, and (iii) whether they know that dou can quantify over wh-words. The main finding was that, by age four, Mandarin speaking children have the relevant knowledge. The results reflect the early availability of adult-like linguistic knowledge of dou-quantification. PMID- 21061066 TI - Health-Related Quality of Life, Subjective Health Complaints, Psychological Distress and Coping in Pakistani Immigrant Women With and Without the Metabolic Syndrome : The InnvaDiab-DEPLAN Study on Pakistani Immigrant Women Living in Oslo, Norway. AB - The increasingly high number of immigrants from South-East Asia with The Metabolic Syndrome (MetS) is an important challenge for the public health sector. Impaired glucose is essential in MetS. The blood glucose concentration is not only governed by diet and physical activity, but also by psychological distress which could contribute to the development of MetS. The aim of this study is to describe health-related quality of life, subjective health complaints (SHC), psychological distress, and coping in Pakistani immigrant women, with and without MetS. As a part of an randomized controlled intervention study in Oslo, Norway, female Pakistani immigrants (n = 198) answered questionnaires regarding health related quality of life, SHC, psychological distress, and coping. Blood variables were determined and a standardized oral glucose tolerance test was performed. The participants had a high score on SHC and psychological distress. About 40% of the participants had MetS, and this group showed significantly lower general health, lower physical function, and more bodily pain, than those without MetS. Those with MetS also had more SHC, depressive symptoms, higher levels of somatisation, and scored significantly lower on the coping strategy of active problem solving. Pakistani immigrant women seem to have a high prevalence of SHC and psychological distress, especially those with MetS. PMID- 21061068 TI - Disambiguating information and memory resources in children's processing of Italian relative clauses. AB - We investigated the role of number agreement on verb and of animacy in the comprehension of subject and object relative clauses in 51 monolingual Italian speaking children, mean age 9:33, tested through a self-paced listening experiment with a final comprehension question. A digit span test and a listening span test were also administered to examine the role of memory in comprehension. Subject relative clauses were easier to comprehend than object relative clauses; animacy of the relative clause head improved comprehension of object relative clauses; memory, as measured by the digit span test, modulates comprehension of object relative clauses, both with animate and inanimate heads, as shown in response accuracy. Although all children process number agreement morphology on the verb, only some perform a correct reanalysis, as shown by the accuracy measures.We argue that number agreement disambiguation is particularly taxing for children, as it provides a negative symptom in the sense of Fodor and Inoue (J Psycholinguist Res 29(1):25-36, 2000)and reanalysis requires them to hold two dependencies in memory. PMID- 21061069 TI - Professional values and nursing. AB - The values of nursing arise from a concern with human flourishing. If the desire to become a nurse is a reflection of an aspiration to care for others in need then we should anticipate that those who choose to nurse have a tendency towards the values we would normally associate with a caring profession (care, compassion, perhaps altruism, and so on). However, these values require a secure base if they are not to succumb to the corrupting pressures of the increasingly instrumental nature of the values of the institutions in which healthcare in general and nursing in particular takes place. One way of securing a base for withstanding the corrupting influences of the institution is to understand nursing as a practice in the sense in which Alasdair MacIntyre uses that term. In this brief paper I will outline ways in which the managerial imperative of meeting targets is both distorting practice and undermining nursing's values. I conclude that understanding nursing as a MacIntyrean practice provides a refuge from what might otherwise be overwhelming pressures for nurses to adopt instrumental values to the detriment of professional caring values. PMID- 21061070 TI - Content identification of the IWQOL-Lite with the International Classification of Functioning, Disability and Health. AB - INTRODUCTION: The identification of the content of a measure could ensure that the most appropriate measure is chosen to meet a particular objective. Mapping the Impact of Weight on Quality Of Life (IWQOL-Lite) to the International Classification of Functioning, Disability and Health (ICF) will improve the understanding of its structure and aid in the interpretation of the results. METHODS: A mapping exercise was performed by 21 raters using the Delphi technique to identify the ICF codes that best describe the content of the items of the IWQOL-Lite. Both French and English versions were linked to validate the French translation. The results were validated on a sample of 122 participants and were also compared to the mapping performed by another group. RESULTS: Most of the content of the IWQOL-Lite was identified. All five components of the ICF were represented in the IWQOL-Lite. The mapping differed across languages. The results of the mapping were similar to those obtained by another group. CONCLUSIONS: The content of the IWQOL-Lite is compatible with the ICF. The measure has good content validity. The content could be improved by the addition and the specification of some terms. The French translation of some items should be revised. PMID- 21061071 TI - Do Portuguese and UK health state values differ across valuation methods? AB - PURPOSE: There has been an increasing interest in developing country-specific preference weights for widely used measures of health-related quality of life. The valuation of health states has usually been done using cardinal preference elicitation techniques of standard gamble (SG) or time trade-off (TTO). Yet there is increasing interest in the use of ordinal methods to elicit health state utility values as an alternative to the more conventional cardinal techniques.This raises the issue of firstly whether ordinal and cardinal methods of preference elicitation provide similar results and secondly whether this relationship is robust across different valuation studies and different populations. METHODS: This study examines SG and rank preference weights for the SF-6D derived from samples of the UK and Portuguese general population. The preference weights for the Portuguese sample (n = 140) using rank data are estimated here with 810 health state valuations. The study further examines whether the use of these different preference weights has an impact when comparing the health of different age and severity groups in the Portuguese working population (n = 2,459). RESULTS: The rank model performed well across the majority of measures of goodness of fit used. The preference weights for the Portuguese sample using rank data are systematically lower than the UK weights for physical functioning and pain. Yet our results suggest higher similarity between preference weights derived using rank data than using standard gamble across the UK and Portuguese samples. Our results further suggest that the SF-6D values for a sample of the Portuguese working-age population and differences across groups are affected by the use of different preference weights. CONCLUSION: We suggest that the use of a Portuguese SF-6D weighting system is preferred for studies aiming to reflect the health state preferences of the Portuguese population. PMID- 21061072 TI - The comparison of low and standard dose ACTH and glucagon stimulation tests in the evaluation of hypothalamo-pituitary-adrenal axis in healthy adults. AB - Evaluation of the HPA axis is still a challenge; due to different sensitivities and stimulation efficiencies of dynamic tests, lack of standard assays for cortisol measurement and lack of data regarding the effects of age and gender on the results of the HPA axis evaluation with different dynamic tests. This study was performed to compare 1 MUg ACTH, 250 MUg ACTH and glucagon tests in the evaluation of HPA axis. The study was carried out on 55 healthy individuals (28 men, 27 women). 10-12 volunteers were included from every decades between 20 and 70 years. Low dose short synacthen test (1 MUg ACTH), standard dose short synacthen test (250 MUg ACTH) and glucagon tests were performed consecutively. The mean peak cortisol response to standard dose ACTH stimulation test was found to be significantly higher than the low dose ACTH and glucagon stimulation tests. The mean peak cortisol responses to low dose ACTH and the glucagon stimulation tests were not significantly different. The mean peak cortisol responses did not differ significantly between different age or sex groups. The lowest peak cortisol responses obtained after low dose ACTH and glucagon stimulation tests were 12.5 and 9.1 MUg/dl respectively in the volunteers who all had cortisol responses higher than 20 MUg/dl after standard dose ACTH stimulation test. The lowest cortisol responses obtained during 250 MUg ACTH, 1 MUg ACTH and glucagon stimulation tests were found to be 20.1, 12.5 and 9.1 MUg/dl in a known group of healthy people. So the consideration of appropriate hormonal cut-off levels for each test seems reasonable. The age, sex and body mass indeces were not shown to affect the cortisol response to dynamic stimulation tests. PMID- 21061073 TI - Neuroprotective potentials of candesartan, atorvastatin and their combination against stroke induced motor dysfunction. AB - Cerebral ischaemia is a leading cause of death and disability. The objective of the present investigation was to explore the neuroprotective potentials of candesartan and atorvastatin alone and their combination against the cerebral ischaemia induced behavioral, biochemical, and mitochondrial dysfunction. Male Wistar rats (200-220 g) were subjected to bilateral common carotid artery occlusion for 30 min followed by 24 h reperfusion. Candesartan (0.1 and 0.3 mg/kg) and atorvastatin (10 and 20 mg/kg) were pretreated for 7 days before animals were subjected to ischaemia reperfusion injury. Various behavioral tests (locomotor activity and rotarod performance), biochemical parameters (Malondialdehyde levels, nitrite concentration, superoxide dismutase and catalase activity, redox ratio, and GST) and mitochondrial enzyme (Complex I, II, III, and IV) dysfunctions were measured in cerebral cortex, striatum and hippocampus of the ischaemic brain. Seven days candesartan (0.1 and 0.3 mg/kg) or atorvastatin (10 and 20 mg/kg) pretreatment significantly attenuated neurobehavioral alterations, oxidative damage and restored mitochondrial enzyme dysfunction as compared to control (I/R) group. Further, combined treatment of candesartan (0.1 mg/kg) and atorvastatin (10 mg/kg) significantly potentiated their protective effect which was significant as compared to their effect alone. Present study suggests the protective effect of candesartan and atorvastatin and their combination against ischaemia reperfusion induced behavioral and biochemical alterations in rats. PMID- 21061074 TI - Local control following single-dose intraoperative radiotherapy prior to surgical excision of early-stage breast cancer. AB - BACKGROUND: Multiple partial breast radiotherapy techniques are available. We have previously presented the technical details of our procedure of delivering partial breast irradiation with a single fraction of intraoperative radiotherapy (IORT) targeting the tumor in situ prior to partial mastectomy. This study details our completed, single-institution trial. MATERIALS AND METHODS: An IRB approved, DSMB-monitored phase II trial was performed with the following inclusion criteria: women age >=48, ultrasound-visible invasive ductal cancers <3 cm, clinically negative axillary nodes. IORT was delivered using mobile electron irradiator, at least a 1.5-cm radial and 1-cm deep margin; patients received 15 Gy and immediately underwent partial mastectomy. Ipsilateral breast recurrence was classified as true/marginal, elsewhere in the breast or nodal basin. Kaplan Meier methods were used to estimate survival functions and exact 95% confidence intervals are reported. RESULTS: Between 2003 and 2007, 71 women underwent IORT (median follow-up: 3.5 years). For patients with tumor-involved or close margins, additional therapy was required: 7 patients, total mastectomy; 11, whole breast radiation. Four women experienced invasive ipsilateral breast failures (1 new primary, 3 margin recurrences) for a 3-year local control rate of 49 of 53 (94.8%; 95% confidence interval 92.4% [95% CI] 84.2-98.3%), actuarial three-year in breast recurrence was 8% (95% CI 2-18%), and breast cancer-specific survival was 100%. CONCLUSIONS: Intraoperative radiotherapy delivered to an in situ tumor is feasible, but our local control rate at 3.5 years is concerning. Possible changes to this technique to improve local control rates include better preoperative imaging (MRI), routine intraoperative ultrasound, and improved IORT delivery (larger cone, increased dose). PMID- 21061075 TI - Impact of progression during neoadjuvant chemotherapy on surgical management of breast cancer. AB - BACKGROUND: Although neoadjuvant chemotherapy (NCT) is standard therapy for locally advanced breast cancer, it remains controversial for early-stage disease due to concerns that disease progression may make breast-conservation therapy (BCT), or even operability, impossible. The goal of this study was to determine the impact of disease progression during NCT on surgical management. METHODS: We reviewed clinicopathological data on patients who received NCT for stage I-III breast cancer from 1994 to 2007. Chemotherapy regimens were anthracycline-and/or taxane-based as determined by the treating medical oncologist. RESULTS: Of 1,928 patients who received NCT, 1,762 (91%) had a partial or complete response, 107 (6%) had stable disease (SD), and 59 (3%) progressed (PD) while receiving at least one regimen. Of the patients with progressive disease, 40 (68%) patients underwent mastectomy, 12 (20%) underwent BCT, and 7 (12%) did not undergo surgery. In patients who underwent mastectomy, only three (8%) were BCT candidates before progression. Overall, disease progression changed the operative plan in 11 (0.5%) patients: 3 developed distant metastasis, 2 developed clinical lymphadenopathy, 3 required mastectomy instead of BCT, 2 became inoperable, and 1 required flap closure. CONCLUSIONS: Disease progression while receiving NCT is infrequent (3%), but early identification may allow for change to other, potentially beneficial, therapeutic interventions. Patients with breast cancer who receive NCT should be evaluated frequently for response to therapy. Overall, progression during NCT changes the surgical management in a small proportion of patients. PMID- 21061076 TI - Effect of nuclear power on CO2 emission from power plant sector in Iran. AB - INTRODUCTION: It is predicted that demand for electricity in Islamic Republic of Iran will continue to increase dramatically in the future due to the rapid pace of economic development leading to construction of new power plants. At the present time, most of electricity is generated by burning fossil fuels which result in emission of great deal of pollutants and greenhouse gases (GHG) such as SO2, NOx, and CO2. The power industry is the largest contributor to these emissions. Due to minimal emission of GHG by renewable and nuclear power plants, they are most suitable replacements for the fossil-fueled power plants. However, the nuclear power plants are more suitable than renewable power plants in providing baseload electricity. The Bushehr Nuclear Power Plant, the only nuclear power plant of Iran, is expected to start operation in 2010. This paper attempts to interpret the role of Bushehr nuclear power plant (BNPP) in CO2 emission trend of power plant sector in Iran. MATERIALS AND METHODS: In order to calculate CO2 emissions from power plants, National CO2 coefficients have been used. The National CO2 emission coefficients are according to different fuels (natural gas, fuels gas, fuel oil). RESULTS AND DISCUSSION: By operating Bushehr Nuclear Power Plant in 2010, nominal capacity of electricity generation in Iran will increase by about 1,000 MW, which increases the electricity generation by almost 7,000 MWh/year (it is calculated according to availability factor and nominal capacity of BNPP). CONCLUSIONS: Bushehr Nuclear Power Plant will decrease the CO2 emission in Iran power sector, by about 3% in 2010. PMID- 21061077 TI - Role of quantity of additional food to predators as a control in predator-prey systems with relevance to pest management and biological conservation. AB - Necessity to understand the role of additional food as a tool in biological control programs is being increasingly felt, particularly due to its eco-friendly nature. A thorough mathematical analysis in this direction revealed the vital role of quality and quantity of the additional food in the controllability of the predator-prey systems. In this article controllability of the additional food- provided predator-prey system is studied from perspectives of pest eradication and biological conservation. Time optimal paths have been constructed to drive the state of the system to a desired terminal state by choosing quantity of the additional food as control variable. The theory developed in this article has been illustrated by solving problems related to pest eradication and biological conservation. PMID- 21061078 TI - Stochastic analysis of the motion of DNA nanomechanical bipeds. AB - In this paper, we formulate and analyze a Markov process modeling the motion of DNA nanomechanical walking devices.We consider a molecular biped restricted to a well-defined one-dimensional track and study its asymptotic behavior.Our analysis allows for the biped legs to be of different molecular composition, and thus to contribute differently to the dynamics. Our main result is a functional central limit theorem for the biped with an explicit formula for the effective diffusivity coefficient in terms of the parameters of the model. A law of large numbers, a recurrence/transience characterization and large deviations estimates are also obtained.Our approach is applicable to a variety of other biological motors such as myosin and motor proteins on polymer filaments. PMID- 21061079 TI - Numerical Simulation of Unsteady Blood Flow through Capillary Networks. AB - A numerical method is implemented for computing unsteady blood flow through a branching capillary network. The evolution of the discharge hematocrit along each capillary segment is computed by integrating in time a one-dimensional convection equation using a finite-difference method. The convection velocity is determined by the local and instantaneous effective capillary blood viscosity, while the tube to discharge hematocrit ratio is deduced from available correlations. Boundary conditions for the discharge hematocrit at divergent bifurcations arise from the partitioning law proposed by Klitzman and Johnson involving a dimensionless exponent, q>=1. When q=1, the cells are partitioned in proportion to the flow rate; as q tends to infinity, the cells are channeled into the branch with the highest flow rate. Simulations are performed for a tree-like, perfectly symmetric or randomly perturbed capillary network with m generations. When the tree involves more than a few generations, a supercritical Hopf bifurcation occurs at a critical value of q, yielding spontaneous self-sustained oscillations in the absence of external forcing. A phase diagram in the m-q plane is presented to establish conditions for unsteady flow, and the effect of various geometrical and physical parameters is examined. For a given network tree order, m, oscillations can be induced for a sufficiently high value of q by increasing the apparent intrinsic viscosity, decreasing the ratio of the vessel diameter from one generation to the next, or by decreasing the diameter of the terminal vessels. With other parameters fixed, oscillations are inhibited by increasing m. The results of the continuum model are in excellent agreement with the predictions of a discrete model where the motion of individual cells is followed from inlet to outlet. PMID- 21061080 TI - The return of bedside rounds. PMID- 21061081 TI - The Return of Bedside Rounds. PMID- 21061082 TI - From the Editors' Desk: Self-Plagiarism and Other Editorial Crimes and Misdemeanors. PMID- 21061083 TI - I believe, therefore I do. PMID- 21061085 TI - Re: O'Connor KM and Kiely EA: Lessons learned using Snodgrass hypospadias repair (Irish J Med Sci 175:37). PMID- 21061084 TI - Primary care providers' judgments of opioid analgesic misuse in a community-based cohort of HIV-infected indigent adults. AB - BACKGROUND: Primary care providers (PCPs) must balance treatment of chronic non cancer pain with opioid analgesics with concerns about opioid misuse. OBJECTIVE: We co-enrolled community-based indigent adults and their PCPs to determine PCPs' accuracy of estimating opioid analgesic misuse and illicit substance use. DESIGN: Patient-provider dyad study. PARTICIPANTS: HIV-infected, community-based indigent adults ('patients') and their PCPs. MAIN MEASURES: Using structured interviews, we queried patients on use and misuse of opioid analgesics and illicit substances. PCPs completed patient- and provider-specific questionnaires. We calculated the sensitivity, specificity, and measures of agreement between PCPs' judgments and patients' reports of opioid misuse and illicit substance use. We examined factors associated with PCPs' thinking that their patients had misused opioid analgesics and determined factors associated with patients' misuse. KEY RESULTS: We had 105 patient-provider dyads. Of the patients, 21 had misused opioids and 45 had used illicit substances in the past year. The sensitivity of PCPs' judgments of opioid analgesic misuse was 61.9% and specificity, 53.6% (Kappa score 0.09, p = 0.10). The sensitivity of PCPs' judgments of illicit substance use was 71.1% and specificity, 66.7% (Kappa score 0.37, p <0.001). PCPs were more likely to think that younger patients (Adjusted odds ratio (AOR) 0.89, 95% CI 0.84-0.97), African American patients (AOR 2.53, 95% CI 1.05-6.07) and those who had used illicit substances in the past year (AOR 3.33, 95% CI 1.35 8.20) had misused opioids. Younger (AOR 0.94, 95% CI 0.86-1.02) and African American (AOR 0.71, 95% CI 0.25-1.97) patients were not more likely to report misuse, whereas persons who had used illicit substances were (AOR 3.01, 95% CI 1.04-8.76). CONCLUSION: PCPs' impressions of misuse were discordant with patients' self-reports of opioid analgesic misuse. PCPs incorrectly used age and race as predictors of misuse in this high-risk cohort. PMID- 21061086 TI - Handover rounds in Irish hospitals. AB - BACKGROUND: With the increasing complexities in medicine and the reduction in working hours, shift work patterns are emerging for hospital doctors and with them the possibility for discontinuity of patient care and negative outcomes for patient safety. AIMS: The purpose of this study was to evaluate the prevalence, format and structure of formal handover rounds in Irish hospitals in four different specialties. METHODS: A 26-item questionnaire was sent to 61 participants in 26 hospitals. RESULTS: Just over a quarter of respondents (28%) reported formal handover rounds. Respondents from Obstetrics and Gynaecology were more likely to report handover rounds (80%). Prominent features of handover include frequent consultant (100%) and post-call staff (73%) attendance. CONCLUSION: This study confirms that handover rounds are not universal in Irish hospitals. While this does not imply that patient safety is compromised, the need for effective and comprehensive handover is a critical aspect of patient care. PMID- 21061087 TI - Specific poly-phenolic compounds in cell culture of Vitis vinifera L. cv. Gamay Freaux. AB - Cell cultures established from plants represent an attractive alternative to whole plants for effective production of bioactive secondary metabolites. Cell culture from Vitis vinifera L. cv. Gamay Freaux accumulated high amounts of hydroxycinnamic acid derivatives and anthocyanins. Two new compounds were identified: 3-O-glucosylresveratrol, a stilbene derivative, abundant in cell suspension culture, and a hydroxyphenol, 4-(3,5-dihydroxyphenyl)-phenol, abundant in callus culture. The major anthocyanin monoglucosides present in cell suspension culture were cyanidin 3-O-glucoside and peonidin 3-O-glucoside, and the major cinnamoyl derivatives were cyanidin 3-O-p-coumaryl glucoside and peonidin 3-O-p-coumaryl glucoside. Three minor anthocyanin compounds were found in V. vinifera cell culture: delphinidin 3-O-glucoside, petunidin 3-O-glucoside, and delphinidin 3-O-pcoumaryl glucoside. Anthocyanin levels of cell suspension cultures increased significantly--about eight fold--after 4-day cultivation in new medium. Salicylic acid at a concentration of 50 MUM did not enhance anthocyanin accumulation in cell suspension culture, and similar levels of jasmonic acid significantly reduced the anthocyanin content. PMID- 21061088 TI - Gene expression profile in rat dorsal root ganglion following sciatic nerve injury and systemic neurotrophin-3 administration. AB - Following sciatic nerve transection in adult rats, a proportion of injured dorsal root ganglion (DRG) neurons die, through apoptosis, over the following 6 months. Previous studies showed that axotomy and neurotrophin-3 administration may have effects on expression of neurotrophins and their receptors in DRG. In the current study, the fourth and fifth lumbar DRGs of rats were examined 2 weeks after right sciatic nerve transection and ligation. The effects of axotomy and systemic NT-3 treatment on neuronal genes were investigated by microarray. The results demonstrated that bone morphogenetic protein (BMP) and Janus protein tyrosine kinase signaling pathways are induced in axotomized DRG, and PI-3 kinase and BMP pathways and genes controlling various cellular functions were induced after axotomy and NT-3 administration. PMID- 21061089 TI - Low immunohistochemical expression of MGMT in ACTH secreting pituitary tumors of patients with Nelson syndrome. AB - MGMT expression in tumors has been correlated with response to treatment with temozolomide therapy. Few medical therapies are available for Nelson syndrome, and the efficacy of such therapeutics remains limited. The aim of the present study was to assess immunohistochemical expression of MGMT in ACTH-secreting pituitary adenomas of patients with Nelson syndrome. Our material consisted of eight specimens from ACTH-secreting pituitary adenomas of patients with Nelson syndrome. Immunohistochemical staining for MGMT was performed using the streptavidin-biotin-peroxidase complex method. MGMT immunoreactivity was assessed microscopically and recorded as an estimated percentage of nuclear MGMT immunostaining (0 = none, 1=<10%, 2=<25%, 3=<50%, 4=>50%). Five of the eight specimens (65%) exhibited no MGMT immunoreactivity, with two out of eight cases (25%) showing slight MGMT staining (<10%) and one out of eight cases (12%) demonstrating moderate MGMT positivity (<25%). Patient male/female ratio was 3:5, with average patient age being 62.4 (range 57-66). Our findings suggest that temozolomide therapy may be of potential use in patients with Nelson syndrome, as these tumors express absent/low levels of MGMT. Absent or low MGMT staining in brain and other neoplasms has been shown to correlate with successful treatment with temozolomide, and recent reports of aggressive pituitary adenomas suggest similar outcomes. PMID- 21061090 TI - Centrally administered urocortin 3 inhibits food intake and gastric emptying in mice. AB - Urocortin 3 (Ucn3) is recognized as a member of the corticotropin-releasing factor (CRF) family, which plays an important role in regulating food intake. We investigated the effects of centrally administered Ucn3 on food intake and gastric emptying in mice. Intracerebroventricular (ICV)administration of Ucn3 (0.1-1 nmol per mouse) decreased food intake in a dose-dependent manner. The inhibitory effect of Ucn3 on food intake was less potent than that of centrally administered CRF and Urocortin 1. ICV administration of Ucn3 (0.1-1 nmol per mouse) decreased the gastric emptying rate in a dose-dependent manner. Ucn3 decreased food intake in high-fat diet-fed obese mice as well as in lean mice. These results indicated that Ucn3 influences feeding behavior and gut motility, and may be a promising therapeutic target in the treatment of eating and functional gastrointestinal disorders. PMID- 21061091 TI - Expression of P-450 aromatase, estrogen receptor alpha and beta, and alpha inhibin in the fetal baboon testis after estrogen suppression during the second half of gestation. AB - Expression of the molecules that modulate the synthesis and action of estrogen in, or reflect function of, Sertoli cells was determined in the fetal testis of baboons in which estrogen levels were suppressed in the second half of gestation to determine whether this may account for the previously reported alteration in fetal testis germ cell development. P-450 aromatase, estrogen receptor (ER) beta, and alpha-inhibin protein assessed by immunocytochemistry was abundantly expressed in Sertoli cells of the fetal baboon testis, but unaltered in baboons in which estrogen levels were suppressed by letrozole administration. Moreover, P 450 aromatase and ERalpha and beta mRNA levels, assessed by real-time RT-PCR, were similar in germ/Sertoli cells and interstitial cells isolated from the fetal testis of untreated and letrozole-treated baboons. These results indicate that expression of the proteins that modulate the formation and action of estrogen in, and function of, Sertoli cells is not responsible for the changes in germ cell development in the fetal testis of estrogen-deprived baboons. PMID- 21061092 TI - Injections of Clostridium botulinum neurotoxin A may cause thyroid complications in predisposed persons based on molecular mimicry with thyroid autoantigens. AB - A woman with Hashimoto's thyroiditis, under replacement L-T4, repeatedly experienced, over a 10-year period, elevations of serum TSH after eyelid injections of Clostridium botulinum neurotoxin A (Btx). We hypothesized a link between Btx injections and TSH elevations via molecular mimicry, and aimed to verify our hypothesis. Using an in silico approach, we searched first for amino acid sequence homology between Btx and thyroid autoantigens, and next for HLA binding motifs within homologous segments. We found that (i) Btx and thyroid autoantigens share amino acid sequence homology; (ii) some homologous regions contain epitopes of both Btx and thyroid autoantigens; (iii) some of such regions contain HLA-DR3 and/or HLA-DR7 binding motifs, which predominate over other HLA DRs. This is relevant because the patient's HLA-DR haplotype was DR3/DR7. In conclusion, clinical and bioinformatics data suggest a possible pathogenetic link between Btx and autoimmune thyroid diseases. Considering the wide and increasing medical and dermocosmetic use of Btx, and the frequently subclinical course of autoimmune thyroid diseases, we think that thyroid "complications" may pass frequently undetected in Btx-treated persons. PMID- 21061093 TI - Gastrointestinal stromal tumor presenting as a hormonally inactive adrenal mass. AB - Gastrointestinal stromal tumors (GISTs) are the most common mesenchymal tumors of the gastrointestinal tract. Rarely, tumors with the same histological and immunohistochemical features arise in organs having no connection to the tubular gastrointestinal tract designated as extra-gastrointestinal stromal tumors (EGISTs). In this article, we report the first case of an EGIST presenting as a hormonally inactive adrenal mass. A 68-year-old woman with a 3-month history of right abdominal pain was clinically diagnosed as having a hormonally inactive right adrenal tumor sizing 15 cm in diameter. This mass and the tightly fixed right adrenal gland were resected en bloc.Histologically, the tumor was composed primarily of monomorphic spindle cells. Mitotic figure was 2-3 per 50 high power fields. Immunohistochemical analysis revealed strong positivity for CD117 (c-KIT) and smooth muscle actin (a-SMA), but negativity for beta-catenin, CD34, pan keratin,S-100, desmin, and H-caldesmon. Genetic analysis showed no mutations in KIT gene exons 9, 11, 13, and 17,and in exon 18 of the platelet-derived growth factor-2 receptor gene (PDGFR). The patient proved to be tumor-free at the 18 month follow-up. This case under study demonstrates that EGIST should be included in the differential diagnosis of hormonally inactive adrenal tumors.CD117 (c-KIT) immunohistochemistry should be applied in the pathological workup of soft tissue adrenal tumors.This case is an additional example suggesting that the prognosis of even a very large EGIST is not definitely grave. PMID- 21061094 TI - Prevalence of incidental thyroid cancer and its ultrasonographic features in subcentimeter thyroid nodules of patients with hyperthyroidism. AB - In patients, who undergo surgery for hyperthyroidism, many cases of incidental thyroid cancer (ITC) have been detected. In the literature, there is no study about ITC in subcentimeter nodules in these patients. We performed this study to determine the frequency of ITC in subcentimeter nodules and ultrasonographic features that can predict malignancy in the patients with hyperthyroidism. We retrospectively reviewed our database about 3114 patients, who underwent thyroidectomy in our hospital. Among 869 patients (27.9%), who were operated because of hyperthyroidism, we enrolled 337 patients, who underwent total thyroidectomy and had subcentimeter nodule [59 Graves' disease (GD) 98 subcentimeter nodule; 278 toxic multinodular goitre (TMNG), 359 subcentimeter nodule], in this study. Twenty-five nodules with ITC and 432 benign nodules have been detected and compared for ultrasonographic (US) features. Incidental thyroid cancer detection ratio was 5.4% [10.2% (10/98) in subcentimeter thyroid nodules in individuals with GD, and 4.1% (15/359) in individuals with TMNG, P = 0.018)]. Significant differences have been observed between the groups in terms of microcalcification in US examination of malign and benign subcentimeter thyroid nodules and the ratio of anterioposterior diameter to transverse diameter (A/T) >=1 [(OR = 5.172; 95% CI: 1.495-17.886, P = 0.015), and (OR = 5.930; 95% CI: 1.531-22.971, P = 0.007), respectively]. We detected a higher incidence of ITC in subcentimeter thyroid nodules in GD compared to TMNG. US examination of subcentimeter nodules in hyperthyroid individuals has indicated that microcalcification and ratio of A/T >=1 are the parameters that predict malignancy. PMID- 21061096 TI - Fatal flower. PMID- 21061097 TI - The role of red yeast rice for the physician. AB - Red yeast rice is an ancient Chinese dietary staple and medication used by millions of patients as an alternative therapy for hypercholesterolemia. In recent years, the use of red yeast rice has grown exponentially due to increased public interest in complementary and alternative medications and the publication of several randomized, controlled trials demonstrating its efficacy and safety in different populations. The most promising role for red yeast rice is as an alternative lipid-lowering therapy for patients who refuse to take statins because of philosophical reasons or patients who are unable to tolerate statin therapy due to statin-associated myalgias. However, there is limited government oversight of red yeast rice products, wide variability of active ingredients in available formulations, and the potential of toxic byproducts. Therefore, until red yeast rice products are regulated and standardized, physicians and patients should be cautious in recommending this promising alternative therapy for hyperlipidemia. PMID- 21061098 TI - Metabolic profiling for the detection of bladder cancer. AB - The development and progression of many human diseases often result in changes in gene expression and protein and metabolite concentrations. Changes at the protein and metabolite level often are detectable in biological fluids and tissues before the appearance of clinical symptoms, rendering them useful diagnostic and prognostic biomarkers. As with many conditions, the discovery of a sensitive and specific urinary biomarker for bladder cancer would save lives and reduce the suffering due to this condition. A number of potential urinary protein biomarkers for bladder cancer have been identified, but they lack the sensitivity and specificity required to replace cystoscopy and histopathology. We discuss the use of mass spectrometry and nuclear magnetic resonance spectroscopy for the detection of metabolites in biological samples, comment on their advantages and limitations, and discuss recently published work in urine metabolic profiling for bladder cancer detection. PMID- 21061099 TI - Is testing for antiphosphatidylethanolamine antibodies clinically useful? AB - One of the most intriguing characteristics of the antiphospholipid syndrome (APS) is that diagnosis requires the combined presence of clinical abnormalities (thrombosis and/or miscarriages) and at least one of the following antiphospholipid antibodies: lupus anticoagulant, anticardiolipin, or anti-beta2 glycoprotein I. Clinicians occasionally have difficulty making this diagnosis in patients with a clinical picture of APS but without any of the previously mentioned antiphospholipid antibodies. Such a status has been defined as "seronegative APS." Under these conditions, antiphosphatidylethanolamine antibodies deserve particular attention, as they have been described as being associated with the main clinical events of APS. Thus, this review focuses on issues related to the characteristics of antiphosphatidylethanolamine antibodies, including the nature of antigen targets and their role in homeostasis, the methodologic problems encountered in their detection, and their clinical associations. PMID- 21061100 TI - The scleroderma kidney: progress in risk factors, therapy, and prevention. AB - Scleroderma renal crisis (SRC) is characterized by malignant hypertension, oliguric/anuric acute renal failure, and important mortality, with a 5-year survival rate of 65%. SRC occurs in 2% to 5% of patients with systemic sclerosis (SSc), particularly those with diffuse cutaneous SSc in the first years of disease evolution. Several retrospective studies have found high-dose corticosteroid therapy to be associated with increased risk of SRC, and anti-RNA polymerase III antibodies have been detected in one third of patients with SRC. Treatment relies on the early control of blood pressure with increasing doses of angiotensin-converting enzyme inhibitors, eventually associated with calcium channel blockers together with dialysis if necessary. After 2 years on dialysis, eligible patients should be considered for renal transplantation. The strategy for prevention of SRC lacks consensus. However, corticosteroids and/or nephrotoxic drugs should be avoided in patients with diffuse cutaneous SSc. PMID- 21061101 TI - Biomarkers of scleroderma lung disease: recent progress. AB - This article reviews the clinical background and significance of selected biomarkers that have been studied in relation to systemic sclerosis, or scleroderma, a devastating connective tissue disease whose morbidity and mortality are often related to pulmonary complications. Interstitial lung disease is the most common pulmonary manifestation in systemic sclerosis, and the search for a noninvasive biomarker to assess and monitor patients and their lung disease is a nascent and expending field of study. In this article, we examine the background and significance of a variety of selected biomarkers and assess their role in relation to systemic sclerosis-related interstitial lung disease. PMID- 21061102 TI - Monitoring fluidized bed drying of pharmaceutical granules. AB - Placebo granules consisting of lactose monohydrate, corn starch, and polyvinylpyrrolidone were prepared using de-ionized water in a high-shear mixer and dried in a conical fluidized bed dryer at various superficial gas velocities. Acoustic, vibration, and pressure data obtained over the course of drying was analyzed using various statistical, frequency, fractal, and chaos techniques. Traditional monitoring methods were also used for reference. Analysis of the vibration data showed that the acceleration levels decreased during drying and reached a plateau once the granules had reached a final moisture content of 1-2 wt.%; this plateau did not differ significantly between superficial gas velocities, indicating a potential criterion to support drying endpoint identification. Acoustic emissions could not reliably identify the drying endpoint. However, high kurtosis values of acoustic emissions measured in the filtered air exhaust corresponded to high entrainment rates. This could be used for process control to adjust the fluidization gas velocity to allow drying to continue rapidly while minimizing entrainment and possible product losses. PMID- 21061103 TI - Self-regulation of cerebral blood flow by means of transcranial Doppler sonography biofeedback. AB - BACKGROUND: Transcranial Doppler sonography (TCD) allows the continuous non invasive assessment of intracranial blood flow velocities with high temporal resolution. It may therefore prove suitable for biofeedback of cerebral perfusion. PURPOSE: The study explored whether healthy individuals can successfully be trained in self-regulation of cerebral blood flow using TCD biofeedback. METHODS: Twenty-two subjects received visual feedback of flow velocities in the middle cerebral arteries of both hemispheres. They were randomly assigned to two groups, one of which attempted to increase, the other to decrease the signal within eight training sessions. Heart rate and respiratory frequency were also monitored. RESULTS: Both groups achieved significant changes in flow velocities in the expected directions. Modulations in heart rate and respiratory frequency during biofeedback did not account for these effects. CONCLUSIONS: TCD biofeedback enables efficient self-regulation of cerebral blood flow. It is promising in applications such as the treatment of migraine and post stroke rehabilitation. PMID- 21061104 TI - Cardiovascular care and research in the networked era. PMID- 21061105 TI - Stem cells and their derivatives: a renaissance in cardiovascular translational research. AB - Moving from the bench to the bedside is an expensive and arduous journey with a high risk of failure. One roadblock on the path of translational medicine is the paucity of predictive in vitro models available during preclinical drug development. The ability of human embryonic stem (ES) and induced pluripotent stem (iPS) cells to generate virtually any tissue of the body, in vitro, makes these cells an obvious choice for use in drug discovery and translational medicine. Technological advancements in the production of stem cells and their differentiation into relevant cell types, such as cardiomyocytes, has permitted the utility of these cells in the translational medicine setting. In particular, the derivation and differentiation of patient-specific iPS cells will facilitate an understanding of basic disease etiology, enable better drug efficacy and safety screens, and ultimately lead to personalized patient therapies. This review will focus on recent advancements in the derivation and differentiation of human ES and iPS cells into cardiomyocytes and their uses in safety testing and modeling human disease. PMID- 21061106 TI - Early increase in myocardial perfusion after stem cell therapy in patients undergoing incomplete coronary artery bypass surgery. AB - Incomplete revascularization is associated with worse long-term outcomes. Autologous bone marrow cells (BMC) have recently been tested in patients with severe coronary artery disease. We tested the hypothesis that intramyocardial injection of autologous BMC increases myocardial perfusion in patients undergoing incomplete coronary artery bypass grafting (CABG). Twenty-one patients (19 men), 59 +/- 7 years old, with limiting angina and multivessel coronary artery disease (CAD), not amenable to complete CABG were enrolled. BMC were obtained prior to surgery, and the lymphomonocytic fraction separated by density gradient centrifugation. During surgery, 5 mL containing 2.1 +/- 1.3 * 108 BMC (CD34+ = 0.8 +/- 0.3%) were injected in the ischemic non-revascularized myocardium. Myocardial perfusion was assessed by magnetic resonance imaging (MRI) at baseline and 1 month after surgery. The increase in myocardial perfusion was compared between patients with <50% (group A, n = 11) with that of patients with >50% (group B, n = 10) of target vessels (stenosis >= 70%) successfully bypassed. Injected myocardial segments included the inferior (n = 12), anterior (n = 7), and lateral (n = 2) walls. The number of treated vessels (2.3 +/- 0.8) was significantly smaller than the number of target vessels (4.2 +/- 1.0; P < 0.0001). One month after surgery, cardiac MRI showed a similar reduction (%) in the ischemic score of patients in group A (72.5 +/- 3.2), compared to patients in group B (78.1 +/- 3.2; P = .80). Intramyocardial injection of autologous BMC may help increase myocardial perfusion in patients undergoing incomplete CABG, even in those with fewer target vessels successfully treated. This strategy may be an adjunctive therapy for patients suffering from a more advanced (diffuse) CAD not amenable for complete direct revascularization. PMID- 21061107 TI - Perioperative intravenous lidocaine infusion for postoperative pain control: a meta-analysis of randomized controlled trials. AB - INTRODUCTION: Various strategies have been proposed for postoperative pain control. Among those, intravenous lidocaine infusion (IVLI) has gained in interest. However, its clinical benefit remains unclear. This systematic review is an evaluation of the analgesic efficacy and safety of IVLI during general anesthesia. METHODS: A systematic search was performed using MEDLINE, EMBASE, Cochrane, and SCOPUS databases, likewise, grey literature. The review included all randomized controlled trials that used a placebo or any comparator and evaluated IVLI during general anesthesia for any type of surgery. Primary outcomes were pain control and opioid requirement. Secondary outcomes were mortality, length of stay, ileus recovery time, nausea/vomiting, and adverse events. Random effects models were used and heterogeneity was assessed using the I2 index. RESULTS: From 5,472 citations retrieved, 29 studies involving a total of 1,754 patients met eligibility. At six hours postoperatively, intravenous lidocaine infusion reduced pain at rest (weighted mean difference [WMD]-8.70, 95% confidence intervals [CI] -16.19 to -1.21), during cough (WMD -11.19, 95% CI 17.73 to -4.65), and during movement (WMD -9.56, 95% CI -17.31 to-1.80). Intravenous lidocaine infusion also reduced opioid requirement (morphine) (WMD 8.44 mg, 95% CI -11.32 to -5.56), time to first flatus (WMD -7.62 hr, 95% CI 10.78 to -4.45), time to first feces (WMD -10.71 hr, 95% CI -16.14 to -5.28), nausea/vomiting (risk ratios = 0.71, 95% CI 0.57-0.90), and hospital length of stay (WMD -0.17 days, 95% CI -0.41 to 0.07). Abdominal surgery was strongly associated with benefit. For the 12 studies that systematically screened adverse events, the incidence of cardiac and neurologic adverse events was comparable. Eight studies observed toxic plasma levels. DISCUSSION: Perioperative IVLI reduced postoperative pain and opioid requirement, as well as ileus recovery time, hospital length of stay, and nausea/vomiting. Intravenous lidocaine infusion was effective mainly in abdominal surgery populations. Considering that toxic levels were detected and that adverse events were not systematically screened for in most studies, dose and safety of IVLI should be established before recommending its use. PMID- 21061108 TI - Complications of peripheral nerve catheter removal at home: case series of five ambulatory interscalene blocks. AB - PURPOSE: The placement of continuous peripheral nerve catheters on an ambulatory basis is increasing and is routine at our institution. There are few reports of complications associated with peripheral nerve catheter removal in the literature. Described herein is a case series of five patients where complications related to catheter withdrawal were observed. CLINICAL FEATURES: A stimulating catheter with a stainless steel coil surrounded by polyurethane (19 G, 60-cm) exhibited shearing when removal proved difficult in five patients. In four cases, catheter removal by the patients was not possible, requiring them to return to hospital for management. No long-term sequelae were observed in any patient. CONCLUSIONS: There can be various causes for difficulty with catheter removal, such as a technical aspect of catheter placement, catheter design, tissue reaction at the catheter site, or a combination thereof. The majority of complications related to outpatient perineural catheters can be handled over the telephone, but our case series may highlight a potential management dilemma in placing continuous stimulating perineural catheters on an ambulatory basis. PMID- 21061109 TI - Non-convulsive status epilepticus in the postanesthesia care unit following meningioma excision. AB - PURPOSE: Non-convulsive status epilepticus (NCSE) is an underdiagnosed clinical entity in which electrical seizures occur with subtle or no overt clinical manifestations. It can cause delayed recovery from anesthesia and constitutes an important differential diagnosis for prolonged postoperative unconsciousness. This condition can be diagnosed only by electroencephalogram (EEG), and the institution of early treatment is associated with better prognosis. This case is presented to illustrate the occurrence of this rare clinical entity in a patient who had undergone extradural surgery. CLINICAL FEATURES: An elderly female with no history of seizures or predisposing factors for convulsions underwent an uncomplicated left frontotemporal craniotomy for excision of an extradural meningioma. She was unresponsive following surgery, which could not be explained by the imaging and laboratory investigations. A subsequent EEG demonstrated periodic epileptiform discharges in lateralized left hemispheric distribution characteristic of seizures. The seizures were not effectively prevented by prophylactic fosphenytoin; however, the patient responded slowly to intravenous levetiracetam, which is known to be a more effective treatment for NCSE. The patient had no predisposing factors for the development of seizures and was undergoing an extradural surgery. CONCLUSIONS: This case illustrates NCSE and emphasizes the importance of obtaining an electro-encephalogram early following craniotomy to diagnose any changes in the patient's mental status. This case also emphasizes that institution of early treatment is important to assure better prognosis. PMID- 21061110 TI - Prevalence, severity, and risk factors of symptomatic gastroesophageal reflux disease among employees of a large hospital in northern India. AB - BACKGROUND AND AIMS: Gastroesophageal reflux disease (GERD) is considered to be a common and chronic gastrointestinal disorder. The prevalence of GERD is believed to be less in Asia than in Western countries. Population-based data on GERD are lacking from India. The present study aimed at determining the prevalence of GERD symptoms in an adult Indian community and the potential risk factors associated with GERD. METHODS: The study population consisted of all the employees of All India Institute of Medical Sciences, New Delhi. An interview-based observational study was done on the basis of an earlier validated questionnaire. The subjects were asked about the frequency and severity of heartburn and/or regurgitation experienced by them in the previous year. These symptoms were then scored from 0 to 18. Subjects with a score of at least 4 were considered to have symptomatic GERD. Association of GERD with factors like age, sex, BMI, Kuppuswamy social class index, smoking, alcohol, NSAID use, and comorbid illness was analyzed. RESULTS: A total of 4079 employees were interviewed in person on a 29-item questionnaire from June 2003 to January 2005. Of the 4039 eligible subjects, 653 (16.2%) had GERD; 3.6% had heartburn on daily basis and 5.9% on a weekly basis. The corresponding prevalences for regurgitation were 3.3% and 5.0%, respectively. One hundred and eight of 4039 (2.7%) had severe GERD symptoms. Higher BMI (OR=1.90, 95% CI: 1.4-2.6 for BMI >=25), current smoking (OR=1.48, 95% CI: 1.19 1.83), asthma (OR=3.13, CI: 2.06-4.76) and hypertension (OR=1.71, 95% CI: 1.16 2.50) were associated with the presence of GERD symptoms. CONCLUSIONS: Prevalence of GERD in an urban adult population from northern India is 16.2% which is similar to other industrialized countries. Higher body mass index, current smoking, and presence of asthma or hypertension predisposes to GERD in our population. PMID- 21061111 TI - Help me in my confusion: should we think more about mammography and colonoscopy as "preference sensitive care'? PMID- 21061112 TI - Lysophosphatidic acid (LPA)-a perspective marker in ovarian cancer. AB - To compare plasma lysophosphatidic acid (LPA) levels in ovarian cancer patients in women with benign ovarian tumors and in women with no ovarian pathology. We correlated clinico-pathological parameters with plasma LPA levels. Capillary electrophoresis with indirect ultraviolet detection was used to analyze the plasma LPA levels of 159 patients (81 patients with ovarian cancer, 27 women without ovarian or uterine pathologies, and 51 patients with benign ovarian tumors) during a 5-year period. Patients with ovarian cancer had a significantly higher plasma LPA level (n=81; median (med), 11.53 MUmol/l; range, 1.78-43.21 MUmol/l) compared with controls with no ovarian pathology (n=27; med, 1.86 MUmol/l; range, 0.94-9.73 MUmol/l), and patients with benign ovarian tumor (n=51; med, 6.17 MUmol/l; range, 1.12-25.23 MUmol/l; P<0.001). We found that plasma LPA levels were associated with the International Federation of Gynecology and Obstetrics stage. The histological subtype and grade of ovarian cancer did not influence the plasma LPA levels in this study. The plasma LPA level can be a useful marker for ovarian cancer, particularly in the early stages of the disease. PMID- 21061113 TI - [Stoma creation during low anterior resection: the cons]. AB - Creation of a protective stoma is nowadays considered the standard of care in patients undergoing low rectal resection to protect these patients from the potentially hazardous consequences of an anastomotic leak. This appears reasonable in patients with acknowledged risk factors such as male gender, low anastomosis, preoperative radiochemotherapy, intraoperative complications, or steroid treatment to ensure patients' safety. However, from our view, it is debatable, if patients without these risk factors can undergo low rectal resection without a stoma. This approach can prevent patients form potential risks of stoma creation as well as closure and the associated readmission to the hospital. Based on reliable patient selection, avoiding a protective stoma during low rectal resection can increase patients' satisfaction and decrease primary and secondary medical costs. However, this approach is hampered by the lack of evidence for patient selection, leading to legal concerns that justify this approach only in highly motivated patients after detailed counseling of the individual patient. PMID- 21061114 TI - Cost-effectiveness of biphasic insulin aspart versus insulin glargine in patients with type 2 diabetes in China. AB - BACKGROUND: The OnceMix and INITIATE studies have indicated that biphasic insulin aspart 30 (BIAsp 30) is more effective than insulin glargine (IGlarg), in terms of glycohemoglobin reductions, in patients with type 2 diabetes initiating insulin therapy. The cost-effectiveness of BIAsp 30 versus IGlarg in the Chinese setting is estimated here. METHODS: The validated and peer-reviewed CORE Diabetes Model was used. The nephropathy, retinopathy, and stroke submodels were modified to incorporate available Chinese clinical data. Diabetes complication costs were derived from hospital surveys in Beijing and Chengdu. Simulated cohorts and insulin treatment effects were based on the OnceMix study for once-daily BIAsp 30 versus IGlarg and on the INITIATE study for twice-daily BIAsp 30 versus IGlarg. Life expectancy and direct medical costs were calculated. Projections were made over 30-year time horizons, with costs and life years discounted at 3% annually. Extensive sensitivity analyses were performed, including adjustments to cardiovascular risk for Chinese ethnicity. RESULTS: Once-daily BIAsp 30 increased life expectancy by 0.04 years (12.37 vs. 12.33 years) and reduced direct medical costs by Chinese Yuan (CNY) 59,710 per patient (CNY 229,911 vs. CNY 289,621 per patient) compared with IGlarg in the OnceMix-based analysis. Twice-daily BIAsp 30 increased life expectancy by 0.08 years (12.99 vs. 12.91 years) and reduced direct medical costs by CNY 107,349 per patient (CNY 303,142 vs. CNY 410,491 per patient) compared with IGlarg in the INITIATE-based analysis. Improvements in life expectancy were driven by reduced incidences of most diabetes-related complications. Cost savings were attributable to lower lifetime insulin costs for BIAsp 30 compared with IGlarg in China. Lowered cardiovascular risk for Chinese ethnicity reduced the projected clinical improvements for BIAsp 30 but increased treatment-related lifetime cost savings. CONCLUSIONS: BIAsp 30, either once- or twice-daily, improved projected life expectancy and reduced projected costs compared with IGlarg in the Chinese setting. PMID- 21061115 TI - [Treatment of acute and chronic peroneal tendon disorders]. AB - Peroneal tendon injuries are recognized with increasing frequency to be the cause of persistent lateral ankle symptoms. The lesions are frequently found in patients with concomitant anatomical or biomechanical abnormalities, such as chronic lateral instability or cavovarus deformity. The most common mechanism involves a sudden inversion injury or repetitive activities. Three categories of injuries can be distinguished: (1) tendinitis and tenosynovitis, (2) tendon subluxation and dislocation and (3) tendon tears and ruptures. Many of these conditions respond to conservative therapy. However, when left untreated, the disorders can lead to persistent lateral ankle pain and substantial functional deficits. This is particularly true in patients with an underlying anatomical predisposition to lesions. PMID- 21061116 TI - Pharmacological blockade of dopamine D2 receptors by aripiprazole is not associated with striatal sensitization. AB - The partial agonist profile of novel antipsychotics such as aripiprazole has hardly been demonstrated in biochemical assays on animal tissues. As it is established that responses induced by dopamine D2 receptor agonists are increased in models of dopaminergic sensitization, this paradigm was used in order to facilitate the detection of the partial agonist properties of aripiprazole. At variance with all other partial and full agonists tested, the partial agonist properties of aripiprazole were not revealed in guanosine 5'-O-(gamma [35S]thiotriphosphate ([35S]GTPgammaS) binding assays on striatal membranes from haloperidol-treated rats. Hence,aripiprazole behaved as an antagonist, efficiently inhibiting the functional response to dopamine. Similarly, in behavioural assays, aripiprazole dose-dependently inhibited the stereotypies elicited by apomorphine. However, at variance with haloperidol, repeated administrations of aripiprazole(3 weeks) at the doses of 10 and 30 mg/kg did not induce any up-regulation or hyperfunctionality of the dopamine D2 receptors in the striatum. These data highlight the putative involvement of other pharmacological targets for aripiprazole that would support in the prevention of secondary effects commonly associated with the blockade of striatal dopamine D2 receptors. Hence, in additional experiments, aripiprazole was found to efficiently promote [35S]GTPgammaS binding in hippocampal membranes through the activation of 5-HT(1A) receptors. Further experiments investigating the second messenger cascades should be performed so as to establish the functional properties of aripiprazole and understand the mechanism underlying the prevention of dopamine receptor regulation in spite of the observed antagonism. PMID- 21061117 TI - Antibodies against ClC7 inhibit extracellular acidification-induced Cl- currents and bone resorption activity in mouse osteoclasts. AB - The Cl- channel/transporter ClC7 is crucial for osteoclastic bone resorption and might become a therapeutic target for osteoporosis. In this study, we raised anti ClC7 polyclonal antibodies against three different peptide sequences, including G215, P249, and R286, which are the mutation regions found in autosomal dominant osteopetrosis type II patients and examined the effects of these antibodies on the ClC7 Cl- current induced by extracellular acidification (acid-activated Cl- current) using the whole-cell patch clamp technique and bone resorption activity in mouse osteoclasts. Intracellular dialysis of osteoclasts with antibodies to intracellular G215 (Ab-G215) and extracellular application of antibodies to extracellular P249 (Ab-P249) or R286 (Ab-R286) inhibited the acid-activated Cl- current. These antibodies also suppressed the acid-activated Cl- current in ClC7 overexpressing Raw264.7 cells; however, Cl- currents evoked by hypotonic stimulation and the inherent inwardly rectifying K+ currents in mouse osteoclasts were unaffected by these antibodies. Furthermore, extracellularly applied Ab-P249 and Ab-R286 also reduced bone resorption activity. Our results demonstrate that these antibodies specifically block ClC7 in mouse osteoclasts. Thus, anti-ClC7 antibodies have potential promise for treatment of osteoporosis. PMID- 21061118 TI - Low persistence of anticholinergic drug use in Sweden. PMID- 21061119 TI - The potential pitfalls of low-activity protocols in PET/CT imaging. PMID- 21061121 TI - Prognostic implications of post-stress ejection fraction decrease detected by gated SPECT in the absence of stress-induced perfusion abnormalities. AB - PURPOSE: The prognostic meaning of a post-stress ejection fraction (EF) decrease detected by perfusion gated SPECT is still unclear.We therefore followed up patients with post-stress EF decrease in the absence of stress-induced perfusion abnormalities. METHODS: We prospectively enrolled 57 consecutive patients with post-stress EF drop >= 5 EF units and summed difference score (SDS) <= 1. They were followed up for more than 1 year and their outcome was compared with a group of sex- and age-matched controls with the same SDS but without EF decrease. RESULTS: During follow-up there were 13 events (1 cardiac death, 1 non-fatal myocardial infarction, 1 congestive heart failure and 10 late revascularizations). In the control group we registered six events. There was a significant difference (p<0.0001) between the event-free survival curves of the two groups. CONCLUSION: The event rate of patients with post-stress EF decrease >= 5 EF units is relatively high and is significantly worse than that of a control group of patients with similarly normal SDS but without EF changes. Therefore, a post-stress EF decrease without stress-induced perfusion abnormalities should be cautiously interpreted. PMID- 21061122 TI - Addition of atropine to submaximal exercise stress testing in patients evaluated for suspected ischaemia with SPECT imaging: a randomized, placebo-controlled trial. AB - PURPOSE: To evaluate the effects of the addition of atropine to exercise testing in patients who failed to achieve their target heart rate (HR) during stress myocardial perfusion imaging with single-photon emission computed tomography (SPECT). METHODS: The study was a prospective, randomized, placebo-controlled design. Patients with suspected or known coronary artery disease who failed to achieve a target HR (>=85% of maximal predicted HR) during exercise SPECT imaging were randomized to receive intravenous atropine (n=100) or placebo (n=101). RESULTS: The two groups of patients did not differ with respect to demographic or clinical characteristics. A higher proportion of patients in the atropine group achieved the target HR compared to the placebo group (60% versus 3%, p<0.0001). SPECT imaging was abnormal in a higher proportion of patients in the atropine group as compared to the placebo group (57% versus 42%, p<0.05). Stress-induced myocardial ischaemia was present in more patients in the atropine group as compared to placebo (47% versus 29%, p<0.01). In both groups of patients, no major side effects occurred. CONCLUSION: The addition of atropine at the end of exercise testing is more effective than placebo in raising HR to adequate levels, without additional risks of complications. The use of atropine in patients who initially failed to achieve their maximal predicted HR is associated with a higher probability of achieving a diagnostic myocardial perfusion study. PMID- 21061124 TI - Effects of glutathione modulation on oxidative stress and enzymatic antioxidant defence in yeast Pachysolen tannophilus. AB - The aim of this study was to explore the relationship of intracellular glutathione with various oxidative stress markers and the stress protectant marker trehalose. In the first group of yeast cells, diethyl maleate was used for depletion of glutathione. A second group of yeast cells were incubated with amino acids constituting glutathione (GIu, Cys, Gly) to increase glutathione level. Increased level of oxidative stress marker like ROS, protein carbonyl formation and lipid peroxidation and decreased viability in glutathione-depleted cells were observed in the present study. The increased activity of antioxidant enzymes SOD and CAT in the glutathione depleted group suggests the interaction of different antioxidant defence system in Pachysolen tannophilus. Furthermore, the increased levels of trehalose in glutathione-depleted group shows that trehalose acts as a stress reducer in glutathione depleted Pachysolen tannophilus. PMID- 21061125 TI - The euryhaline yeast Debaryomyces hansenii has two catalase genes encoding enzymes with differential activity profile. AB - Debaryomyces hansenii is a spoilage yeast able to grow in a variety of ecological niches, from seawater to dairy products. Results presented in this article show that (i) D. hansenii has an inherent resistance to H2O2 which could be attributed to the fact that this yeast has a basal catalase activity which is several-fold higher than that observed in Saccharomyces cerevisiae under the same culture conditions, (ii) D. hansenii has two genes (DhCTA1 and DhCTT1) encoding two catalase isozymes with a differential enzymatic activity profile which is not strictly correlated with a differential expression profile of the encoding genes. PMID- 21061126 TI - Analysis of the composition and characteristics of culturable endophytic bacteria within subnival plants of the Tianshan Mountains, northwestern China. AB - This study first described the composition and characteristics of culturable endophytic bacteria isolated from wild alpine-subnival plant species growing under extreme environmental conditions (i.e., on the border of a glacier with frequently fluctuating and freezing temperatures, strong wind, and high ultraviolet radiation). Using a cultivation-dependent approach and 16S rRNA gene amplification techniques, 93 bacterial isolates showing different phenotypic properties were obtained from 20 different subnival plant species, of which gram positive bacteria (61.5%), psychrotolerant bacteria (67.3%), and pigmented isolates (70.9%) accounted for a large proportion. All these characteristics of endophytes were closely related to the survival environment of their host plants and were in good agreement with microbes occurring in other cold environments. Phylogenetic analysis indicated that the endophytic isolates consisted of five phylogenetic groups comprising alpha-proteobacteria, gamma-proteobacteria, the high G+C content gram-positive bacteria, the low G+C content gram-positive bacteria, and Flavobacterium-Bacteroides-Cytophaga. The largest generic diversity was found in the HGC group, while Clavibacter, Agreia, Rhodococcus, Sphingomonas, and Pseudomonas were the most prevalent genera. Of all isolates, 46.4% showed a high sequence similarity (98-100%) to strains discovered from other cold environments such as glaciers, tundra, and polar seas. Furthermore, 36.4% of the isolates produced Indole-3-acetic acid and 76.3% were able to solubilize mineral phosphate, which revealed that endophytic bacteria with multiple physiological functions were abundant and widespread in subnival plants. These results are essential for understanding the ecological roles of endophytes and as a foundation for further studying the interactions with plants and environment. PMID- 21061127 TI - Sternal fracture after elective electrical cardioversion of atrial fibrillation. PMID- 21061128 TI - The association between dietary intake and cervical intraepithelial neoplasia grade 2 or higher among women in a high-risk rural area of China. AB - OBJECTIVE: To evaluate the relationship between dietary intake and risk of cervical intraepithelial neoplasia grade 2 or higher (CIN2+) while controlling for human papillomavirus (HPV) infection. METHODS: In 1999 and 2002, 11,031 women aged 35-50, were screened as part of two cross-sectional population-based cervical cancer screening studies in China. Dietary food intake information was collected by a 79-item Food Frequency Questionnaire (FFQ). 748 HPV-positive women (557 normal biopsy; 95 CIN2, 79 CIN3 and 17 cancer) with completed interviews and biopsy results were included in our data analysis. Specific dietary food groups were classified into dark-colored vegetables, light-colored vegetables, onion vegetables, legumes, nuts, eggs, and meat. Unconditional logistic regression was used to evaluate the association between dietary intake and the risk of developing CIN2+. RESULTS: Inverse associations between CIN2+ risk and onion vegetables, legumes, nuts, and meat were observed. Comparison of the lowest and highest tertiles for consumption of onion vegetables, legumes, nuts, and meat yielded adjusted odds ratios (ORs) of 0.589 [95% confidence intervals (CI), 0.387 0.897; P for trend = 0.011], 0.591 (95% CI, 0.392-0.892; P for trend = 0.012), 0.635 (95% CI, 0.426-0.946; P for trend = 0.019), 0.624 (95% CI, 0.406-0.958; P for trend = 0.044), respectively, for CIN2+ risk among 748 HPV-positive women. No associations were found between CIN2+ risk and dark-colored vegetables, light colored vegetables or eggs. CONCLUSIONS: Dietary intake of onion vegetables, legumes, nuts, and meat is associated with reduced risk of CIN2+. These foods may provide a protective factor against CIN2+. PMID- 21061129 TI - A rare tumor of the female genital tract: primary ovarian leiomyosarcoma. AB - INTRODUCTION: Primary ovarian leiomyosarcomas are rare gynecological tumors, which usually affect postmenopausal women. MATERIALS AND METHODS: This short communication aims to remind this uncommon malignant pathology by describing a case and presenting a short review of the literature. CONCLUSION: Unfortunately, these tumors are aggressive and have a poor prognosis. PMID- 21061130 TI - Results of surgery for sporadic primary hyperparathyroidism in patients with preoperatively negative sestamibi scintigraphy and ultrasound. AB - PURPOSE: The purpose of this study is to investigate the results of first-time surgery for sporadic primary hyperparathyroidism (pHPT) in patients with preoperatively negative sestamibi scintigraphy and ultrasound. METHODS: Data were gathered prospectively in a multicenter database for quality control in parathyroid surgery. Between 2004 and 2008, 3,158 patients underwent first-time surgery for sporadic pHPT. A total of 984 patients were subjected to preoperative localization with ultrasound and sestamibi scintigraphy, and in 173 patients, both investigations were negative. Intraoperative findings and early outcome are reported. RESULTS: One hundred and fifty-five of 173 patients underwent bilateral neck exploration. The median weight of excised parathyroid tissue was 350 mg. In 23 patients (13.3%), the exploration was negative. A total of 112 patients (64.7%) had a histological diagnosis of parathyroid adenoma and 38 patients (22%) had multiglandular disease. Six weeks after operation, 164 patients were available for analysis, and 30 patients (18%) had persistent pHPT. The risk for persistent pHPT increased for patients with few intraoperatively identified (p = 0.001) and excised (p = 0.024) parathyroid glands. Patients operated with intraoperative parathyroid hormone (iOPTH) had lower risk for persistent pHPT 7/79 (9%) compared with 23/85 patients (27%) operated without iOPTH (p = 0.003). CONCLUSIONS: Negative localization with sestamibi and ultrasound in pHPT infers a highly selected patient population with small parathyroid adenomas, an alarmingly high rate of negative exploration, and an increased risk for persistent disease. The use of iOPTH influences cure rate favorably. PMID- 21061131 TI - Influence of mesh type on the quality of early outcomes after inguinal hernia repair in ambulatory setting controlled study: Glucamesh(r) vs Polypropylene(r). AB - BACKGROUND: Early post-operative pain after inguinal hernia repair delays management in ambulatory setting. The type of mesh used for repair appears to influence their incidence. AIMS: The aim of this randomized, prospective study using the alternation principle was to compare post-operative early pain and the quality of life of patients operated on for inguinal hernia depending on the type of mesh used and their impact on management in ambulatory setting. PATIENTS AND METHODS: Fifty successive patients were included prospectively. Hernia repair was performed using the Lichtenstein procedure with two types of mesh, namely standard polypropylene 100 g/m2 (Prolene(r)) or light polypropylene (55 g/m2) with a natural beta-D-glucan coating (Glucamesh(r)). The main assessment criterion was post-operative pain evaluated twice daily by the patient for 7 days, using the visual analogue scale (VAS) and their use of analgesics. The secondary assessment criteria were the rate of unscheduled admissions the evening of the intervention and the hospital length of stay and the quality of life evaluated by the SF12 questionnaire at pre-operative stage and on days 7 and 30. RESULTS: The two groups were comparable. The operative durations were identical (38.8+/-10.2 vs 48+/-15.4 min). On the evening of the intervention before discharge, the pain was less intense in the Glucamesh(r) group (mean VAS score 21.6+/-2.4 vs 31.7+/-6.2, p=0.02). On day 4, 20% of patients from the Glucamesh(r) group and none of the patients from the Prolene(r) group had a VAS score of 0 (p=0.02). There were no unscheduled admissions in either group, but the hospital stay was significantly shorter in the Glucamesh(r) group compared with the Prolene(r) group (288+/-35 vs 360+/-48 min, p=0.02). The post-operative quality of life evaluated by the SF12 questionnaire from day 7 to day 30 was the same in both groups (38+/-4.8 vs 37+/-5.2) and altered as at pre-operative stage compared with a control population (normal=50). CONCLUSIONS: This randomized, prospective study showed that the use of a self-adhesive, light mesh, reducing the fixation sutures and coated with factors favouring tissue integration, such as the Glucamesh(r) prosthesis, significantly reduced early post-operative pain compared with conventional prostheses and could increase the percentage of patients likely to undergo an ambulatory hernia repair. PMID- 21061132 TI - Annex to Quirke et al. Quality assurance in pathology in colorectal cancer screening and diagnosis: annotations of colorectal lesions. AB - Multidisciplinary, evidence-based European Guidelines for quality assurance in colorectal cancer screening and diagnosis have recently been developed by experts in a pan-European project coordinated by the International Agency for Research on Cancer. The full guideline document includes a chapter on pathology with pan European recommendations which take into account the diversity and heterogeneity of health care systems across the EU. The present paper is based on the annex to the pathology chapter which attempts to describe in greater depth some of the issues raised in the chapter in greater depth, particularly details of special interest to pathologists. It is presented here to make the relevant discussion known to a wider scientific audience. PMID- 21061133 TI - Quality assurance in pathology in colorectal cancer screening and diagnosis European recommendations. AB - In Europe, colorectal cancer is the most common newly diagnosed cancer and the second most common cause of cancer deaths, accounting for approximately 436,000 incident cases and 212,000 deaths in 2008. The potential of high-quality screening to improve control of the disease has been recognized by the Council of the European Union who issued a recommendation on cancer screening in 2003. Multidisciplinary, evidence-based European Guidelines for quality assurance in colorectal cancer screening and diagnosis have recently been developed by experts in a pan-European project coordinated by the International Agency for Research on Cancer. The full guideline document consists of ten chapters and an extensive evidence base. The content of the chapter dealing with pathology in colorectal cancer screening and diagnosis is presented here in order to promote international discussion and collaboration leading to improvements in colorectal cancer screening and diagnosis by making the principles and standards recommended in the new EU Guidelines known to a wider scientific community. PMID- 21061134 TI - Effect of extracellular enzyme activity on digestion performance of mesophilic UASB reactor treating high-strength municipal wastewater. AB - Effect of extracellular enzyme activity on digestion performance of up-flow anaerobic sludge blanket (UASB) reactor was investigated for enhancement of anaerobic treatability of municipal wastewater. Two identical UASB reactors (9 L), namely Reactor-A (without enzyme addition) and Reactor-B (with enzyme addition),were simultaneously operated at mesophilic conditions (32 +/- 2 degrees C) with a hydraulic retention time of 24 h. Preliminary test results showed that the highest total chemical oxygen demand (TCOD) removal were achieved with an extracellular enzyme dosage of 0.2 mL/L. In the activation period of the extracellular enzyme (on days 186-212), while Reactor-A removed up to 69.3% of TCOD and 55.9% of soluble chemical oxygen demand (SCOD), Reactor-B effectively removed up to 81.9% of TCOD and 72.2% of SCOD. The average VFA/alkalinity ratios were determined to be about 0.40 (+/-0.03) and 0.28 (+/-0.08) for Reactor-A and Reactor-B, respectively. PMID- 21061135 TI - Computational screening of novel thiamine-catalyzed decarboxylation reactions of 2-keto acids. AB - A molecular modeling strategy to screen the capacity of known enzymes to catalyze the reactions of non-native substrates is presented. The binding of pyruvic acid and non-native ketoacids in the active site of pyruvate ferredoxin oxidoreductase was examined using docking analysis, and our results suggest that enzyme-non native ketoacid-bound species are feasible. Quantum mechanics/molecular mechanics methods were then used to study the geometry of the covalent intermediate formed from the enzyme and the various ketoacids. Finally, quantum mechanical methods were used to study the decarboxylation reaction of 2-keto acids at the mechanistic level. This hierarchical screening ranked the substrates from those that cannot be accommodated by the enzyme (phenyl pyruvate) to those whose conversion rate would most closely approach that of the native substrate (2 ketobutanoic acid and 2-ketovaleric acid). Most notably, our investigation suggests that novel pathways generated using generalized enzyme actions may be screened using the hierarchical approach employed here. PMID- 21061137 TI - Assessing prenatal depression in the rural developing world: a comparison of two screening measures. AB - Significant levels of prenatal depression are reported from the Indian subcontinent (25-45%). A wide variety of measures have been used to screen for prenatal depression in western research. However, little evidence exists on the use of such measures in the context of the developing world. The objective of this study was to assess the validity of the Edinburgh Postnatal Depression Scale (EPDS) and the Kessler 10 Scale of Psychological Distress (K10) as screening measures for prenatal depression in rural South India. One hundred ninety-four women in their third trimester of pregnancy were assessed at a rural prenatal clinic in Karnataka, South India, using the EPDS, the K10 (scored 0-40) and a structured diagnostic psychiatric interview to establish a DSM-IV diagnosis of depression. Depressed women scored significantly higher on the EPDS and K-10 than controls. A receiver-operating characteristic analyses showed both scales to be good screening instruments for prenatal depression in rural South India at a cut off of >=13 on the EPDS (sensitivity = 100%, specificity = 84.90%, and area under the curve = 0.95) and >=6 on the K10 (sensitivity = 100%, specificity = 81.30%, and area under the curve = 0.95). The EPDS and K10 have thus been shown to have equally good sensitivity and specificity in rural settings in the developing world at a cut-off score of >=13 and >=6, respectively. This study demonstrates the validity of the EPDS and K10 in screening pregnant women for depression during their prenatal check-ups. PMID- 21061138 TI - Serum analyses for protein, albumin and IL-1-RA serve as reliable predictors for seroma formation after incisional hernia repair. AB - PURPOSE: The aim of this study was to identify potential parameters as predictors for seroma formation after incisional hernia mesh repair. METHODS: The incidence of postoperative seroma was determined prospectively in 37 patients who underwent incisional hernia repair with lightweight polypropylene-polyglactin composite mesh (Vypro-II(r)). Postoperative seroma manifestation was related to patient characteristics (gender, age, BMI, comorbidity, nicotine abuse) and to preoperative serum concentration of total protein, albumin, interleukin-1 receptor-antagonist (IL-1-RA), propeptid-III-procollagen, hyaluronan and fibronectin. Ultrasound investigation was performed on postoperative days 1, 2, 3, 8 and 10. RESULTS: Ten patients (27%) developed seroma with a mean volume of 77 +/- 88 ml. Higher BMI correlated with increased seroma formation (P = 0.038). In patients with seroma, total protein (67 +/- 7 vs 72 +/- 4 g/l; P = 0.037), albumin (42 +/- 3 vs 40 +/- 4 g/l; P = 0.018) and IL-1-RA (1.4 +/- 1 vs 0.8 +/- 0.6 U/ml; P = 0.048) exhibited significantly altered serum concentrations in comparison to patients without seroma formation. No significant differences were seen in any other parameters. CONCLUSIONS: High BMI, lowered preoperative serum concentration of total protein and albumin, and high serum concentration of IL-1 RA are related to an elevated risk for postoperative seroma formation. PMID- 21061139 TI - The transinguinal preperitoneal hernia correction vs Lichtenstein's technique; is TIPP top? AB - BACKGROUND: Chronic pain is the main drawback of the Lichtenstein procedure for inguinal hernia repair, with a reported incidence of 15-40%. The transinguinal pre-peritoneal (TIPP) technique seems to be associated with less chronic pain, comparable to the total extra peritoneal (TEP) technique. The aim of this study was to evaluate 3 years of TIPP and Lichtenstein experience since the start of our Hernia Center Brabant in January 2006. METHODS: Patient records of unilateral primary inguinal anterior hernia corrections (TIPP and Lichtenstein) performed since the opening of Hernia Center Brabant (2006-2008) were evaluated in a retrospective study. ASA class 4 and 5, <18 years, recurrences and bilateral hernias were excluded. In the TIPP technique, a PolysoftTM Hernia Patch was placed into the preperitoneal space using an anterior protocol led approach. The Lichtenstein technique was performed as described by Amid [Amid et al (1996) Eur J Surg 162:447-453] and modified with a soft mesh. One of the hernia surgeons decided peroperatively which technique to perform. Baseline characteristics and postoperative complications were assessed retrospectively. The attempted follow up period was 6 months. Chronic pain was assessed in both groups as mild (VAS 1 3), moderate (VAS 4-6) or severe (VAS 7-10). Chronic pain was defined in both groups as any pain sensation lasting longer than 3 months postoperatively, or when local injection of analgesia was necessary. Patients who did not come back because of chronic pain after regular follow up were regarded as free of pain. RESULTS: A total of 496 patients were included in this study; 225 TIPP and 271 Lichtenstein anterior inguinal hernia operations were analyzed. Data from one TIPP-patient were lost. Both groups were comparable with regard to baseline characteristics regarding age (p = 0.059), gender (p = 0.478) and ASA classification (p = 0.104). TIPP: mean age 52.7 years, ASA-classification I: 54%, II: 36% and III: 5.3%. A total of 7.6% complications were assessed; recurrence (n = 1), bleeding (and re-operation) (n = 4); 10 patients (4.4%) experienced chronic pain. Persisting sensation loss occurred in 0.9%. Lichtenstein: mean age 57.3 years, ASA-classification I: 51%, II: 38% and III: 11%. A total of 8.5% complications were assessed; recurrence (n = 3), bleeding (and re-operation) (n = 3); 11 Lichtenstein patients (4.1%) experienced chronic pain. Persisting sensation loss occurred in 2.2%. Limitations of this retrospective study were incomplete follow up (31.3% had only one post operative visit 14 days after surgery) and these patients were further regarded as free of pain. Therefore, possible under-reporting of chronic pain could be present. The study was not double blind. CONCLUSION: This retrospective study design revealed no significantly better results for the TIPP procedure as compared to the Lichtenstein technique. The incidence of chronic pain reported in this retrospective study has been low in both groups since the opening of the Hernia Center Brabant. These results form the basis for a prospective randomized clinical trial comparing the TIPP and Lichtenstein techniques. PMID- 21061140 TI - Hepatic resection with or without adjuvant iodine-131-lipiodol for hepatocellular carcinoma: a comparative analysis. AB - BACKGROUND: Resection of hepatocellular carcinoma (HCC) is potentially curative; however, recurrence is common. To date, few or no effective adjuvant therapies have been adequately investigated. This study evaluates the efficacy of adjuvant iodine-131-lipiodol after hepatic resection through the experience of a single center hepatobiliary service of managing this disease. PATIENTS AND METHODS: All patients who underwent hepatic resection for HCC and received adjuvant iodine-131 lipiodol between January 1991 and August 2009 were selected for inclusion into the experimental group. A group composed of patients treated during the same time period without adjuvant iodine-131-lipiodol was identified through the unit's HCC surgery database for comparison. The endpoints of this study were disease-free survival and overall survival. RESULTS: Forty-one patients who received adjuvant iodine-131-lipiodol after hepatic resection were compared with a matched group of 41 patients who underwent hepatic resection only. The median disease-free and overall survival were 24 versus 10 months (P = 0.032) and 104 versus 19 months (P = 0.001) in the experimental and control groups, respectively. Rates of intrahepatic-only recurrences (73 vs. 37%; P = 0.02) and surgical and nonsurgical treatments for recurrences (84 vs. 56%; P = 0.04) were higher in the experimental group compared to the control group. CONCLUSION: The finding of this study corroborates the current evidence from randomized and nonrandomized trials that adjuvant iodine-131-lipiodol improves disease-free and overall survival in patients with HCC after hepatic resection. The lengthened disease-free survival after adjuvant iodine-131-lipiodol allows for further disease-modifying treatments to improve the overall survival. PMID- 21061141 TI - Experimental measurement and modeling analysis on mechanical properties of incudostapedial joint. AB - The incudostapedial (IS) joint between the incus and stapes is a synovial joint consisting of joint capsule, cartilage, and synovial fluid. The mechanical properties of the IS joint directly affect the middle ear transfer function for sound transmission. However, due to the complexity and small size of the joint, the mechanical properties of the IS joint have not been reported in the literature. In this paper, we report our current study on mechanical properties of human IS joint using both experimental measurement and finite element (FE) modeling analysis. Eight IS joint samples with the incus and stapes attached were harvested from human cadaver temporal bones. Tension, compression, stress relaxation and failure tests were performed on those samples in a micro-material testing system. An analytical approach with the hyperelastic Ogden model and a 3D FE model of the IS joint including the cartilage, joint capsule, and synovial fluid were employed to derive mechanical parameters of the IS joint. The comparison of measurements and modeling results reveals the relationship between the mechanical properties and structure of the IS joint. PMID- 21061142 TI - Contribution of perfusion-weighted magnetic resonance imaging in the differentiation of meningiomas and other extra-axial tumors: case reports and literature review. AB - We present six cases of extra-axial lesions: three meningiomas [including one intraventricular and one cerebellopontine angle (CPA) meningioma], one dural metastasis, one CPA schwannoma and one choroid plexus papilloma which were chosen from a larger cohort of extra-axial tumors evaluated in our institution. Apart from conventional MR examinations, all the patients also underwent perfusion weighted imaging (PWI) using dynamic susceptibility contrast method on a 1.5 T MR unit (contrast: 0.3 mmol/kg, rate 5 ml/s). Though the presented tumors showed very similar appearance on conventional MR images, they differed significantly in perfusion examinations. The article draws special attention to the usefulness of PWI in the differentiation of various extra-axial tumors and its contribution in reaching final correct diagnoses. Finding a dural lesion with low perfusion parameters strongly argues against the diagnosis of meningioma and should raise a suspicion of a dural metastasis. In cases of CPA tumors, a lesion with low relative cerebral blood volume values should be suspected to be schwannoma, allowing exclusion of meningioma to be made. In intraventricular tumors arising from choroid plexus, low perfusion parameters can exclude a diagnosis of meningioma. In our opinion, PWI as an easy and quick to perform functional technique should be incorporated into the MR protocol of all intracranial tumors including extra-axial neoplasms. PMID- 21061143 TI - Heterogeneity in malignant gliomas: a magnetic resonance analysis of spatial distribution of metabolite changes and regional blood volume. AB - First-pass contrast-enhanced dynamic perfusion imaging provides information about the regional cerebral blood volume (rCBV), an increase of which indicates neovascularization. MR spectroscopic imaging informs about metabolite changes in brain tumors, with elevated choline (Cho) values revealing cell proliferation and density, and the glial metabolite creatine (Cr) representing high-energy storage. This study investigates metabolite changes within the tumor voxel of maximal rCBV value (rCBVmax). Anatomically coregistered parameter maps of rCBV, Cho and Cr were evaluated in 36 patients with primary or recurrent WHO grade III or IV gliomas. Apart from Cho and Cr values within the voxel of rCBVmax (Choperf, Crperf), the maximal Cho and Cr values of the tumor tissue were recorded (Chomax, Crmax). The correlation between these parameters was analyzed with Spearman's rho test while a binomial test was performed to check whether Chomax = Choperf and Crmax = Crperf. We found that, in 29 of the 36 patients, neither Cho nor Cr had their maxima in the voxel of rCBVmax (Choperf, Crperf < Chomax, Crmax, P < 0.001). However, Choperf was highly correlated with Chomax (r = 0.76, P < 0.001) and Crperf with Crmax (r = 0.47, P < 0.001). Further Choperf correlated with Crperf (r = 0.55, P < 0.001). Neither of the spectroscopic parameters (Chomax, Crmax, Choperf, Crperf,) correlated with rCBVmax. In conclusion, in WHO grade III and IV gliomas the voxel with maximal rCBV often differs from the voxel with the maximal Cho and Cr, indicating the spatial divergence between neovascularization and tumor cell proliferation, cell density and glial processes. However, tCho and tCr changes within the area of neovascularization are positively correlated with the maximal increase within the tumor tissue. These results demonstrate aspects of regional tumor heterogeneity as characterized by different MR modalities that, apart from histopathological grading might be crucial for neurosurgical biopsy as well as for antiangiogenetic and future molecular therapies. PMID- 21061144 TI - Bacterial decolorization of black liquor in axenic and mixed condition and characterization of metabolites. AB - The pulping byproducts (black liquor) cause serious environmental problem due to its high pollution load. In order to search the degradability of black liquor, the potential bacterial strains Citrobacter freundii (FJ581026) and Citrobacter sp. (FJ581023) were applied in axenic and mixed condition. Results revealed that the mixed bacterial culture are more effective than axenic condition and can reduce 82% COD, 79% AOX, 79% color and 60% lignin after 144 h of incubation period. Additionally, the optimum activity of lignin degrading enzyme was noted at 96 h and characterized as manganese peroxidase (MnP) by SDS-PAGE analysis. Further, the HPLC analysis of control and bacterial degraded sample has shown the reduction as well as shifting of peaks compared to control indicating the degradation as well as transformation of compounds of black liquor. The comparative GC-MS analysis of control and degraded black liquor revealed that along with lignin fragment some chlorophenolic compounds 2,4,6-trichlorophenol, 2,3,4,5-tetrachlorophenol and pentachlorophenol were detected in black liquor degraded by axenic culture whereas these chlorophenolic compounds were completely absent in black liquor degraded by mixed bacterial culture. These chlorophenol inhibit the oxidative degradation which seems a major reason behind the low degradability of axenic degradation compared to mixed culture. The innovation of this aerobic treatment of alkaline black liquor opens additional possibilities for the better treatment of black liquor along with its metabolic product. PMID- 21061145 TI - Platelet adhesion and fusion to endothelial cell facilitate the metastasis of tumor cell in hypoxia-reoxygenation condition. AB - To investigate the relevant molecular mechanisms of platelet in promoting metastasis of tumor cell. The adhesion of fluorescence dye labeled-platelet to human liver sinusoidal endothelial cell (LSEC) line and tumor cell lines were detected by fluorescence microscope and fluorescence plate reader or laser scanning confocal microscope. The relevant adhesion molecules were analyzed by the antibody blockage experiment. The immune colloidal gold transmission electron microscope (TEM), flow cytometry and dye transfer were used to decipher the adhesion and fusion of platelet and LSEC. The tumor cells adhesion to vessels in ischemia condition was analyzed on mouse mesenteric vessels and the metastasis and neovascularization of metastatic foci in pulmonary tissue were also detected after tumor cells injected into nude mice via tail veil. After hypoxia reoxygenation, tumor cell or LSEC markedly increased its adhesion with platelet, which could be blocked by different antibodies to platelet adhesion molecules. Platelet increased adhesion of tumor cell to LSEC in dose-dependent manner. The fusion of platelet and LSEC was demonstrated by translocation of fluorescent dye from platelet into the adherent LSEC; gpIIb emerged on the LSEC; and confirmed by TEM. The morphological examination found platelet presented between tumor cell and LSEC. Animal experiment indicated that the tumor adhesion to vessels was seldom in normal condition, but increased in ischemia-reperfusion condition, and further significantly enhanced by platelets. The number of tumor metastatic foci and the density of blood vessels within metastatic foci in lung were markedly increased by tumor cell pre-adhered with platelet. The adhesion or fusion of platelet to endothelial cell mediated by platelet surface adhesion molecules, which could promote the adhesion of tumor cell with endothelial cells and the tumor metastasis. PMID- 21061146 TI - Effects of low molecular weight sulfated galactan fragments from Botryocladia occidentalis on the pharmacological and enzymatic activity of sPLA2 from Crotalus durissus cascavella. AB - Low molecular weight fragments of sulfated galactans (Boc-5 and Boc-10) from the red algae Botryocladia occidentalis significantly inhibited Crotalus durissus cascavella sPLA2 enzymatic activity. Equimolar ratios of sPLA2 to Boc-5 or Boc-10 resulted in allosteric inhibition of sPLA2. Under the conditions tested, we observed that both Boc-5 and Boc-10 strongly decreased edema, myonecrosis, and neurotoxicity induced by native sPLA2. PMID- 21061147 TI - Effect of methylglyoxal modification of human alpha-crystallin on the structure, stability and chaperone function. AB - alpha-Crystallin functions as a molecular chaperone and maintains transparency of eye lens by protecting other lens-proteins. Non-enzymatic glycation of alpha crystallin by methylglyoxal, plays a crucial role on its chaperone function and structural stability. Our studies showed that methylglyoxal modification even in lower concentration caused significant decrease in chaperone function of alpha crystallin as reflected both in thermal aggregation assay and enzyme refolding assay. Thermal denaturation studies showed drastic reduction of denaturation temperature with increase in the degree of modification. Thermodynamic stability studies by urea denaturation assay reflected a decrease of transition midpoint. Quantitatively we found that DeltaG degrees of native alpha-crystallin decreased from 21.6 kJ/mol to 10.4 kJ/mol due to 72 h modification by 10 mM methylglyoxal. The surface hydrophobicity of alpha-crystallin after MG modification, was found to be decreased. Circular dichroism spectroscopy revealed conversion of beta sheet structure to random coil structure. Significant cross-linking was also observed due to methylglyoxal modification of human alpha-crystallin. PMID- 21061149 TI - Activation of different neuronal phenotypes in the rat brain induced by liver ischemia-reperfusion injury: dual Fos/neuropeptide immunohistochemistry. AB - The aim of the present study was to reveal the effect of liver ischemia reperfusion injury (LIRI) on the activity of selected neuronal phenotypes in rat brain by applying dual Fos-oxytocin (OXY), vasopressin (AVP), tyrosine hydroxylase (TH), phenylethanolamine N-methyltransferase (PNMT), corticoliberine (CRH), and neuropeptide Y (NPY) immunohistochemistry. Two liver ischemia reperfusion models were investigated: (i) single ligation of the hepatic artery (LIRIa) for 30 min and (ii) combined ligation of the portal triad (the common hepatic artery, portal vein, and common bile duct) (LIRIb) for 15 min. The animals were killed 90 min, 5 h, and 24 h after reperfusion. Intact and sham operated rats served as controls. As indicated by semiquantitative estimation, increases in the number of Fos-positive cells mainly occurred 90 min after both liver reperfusion injuries, including activation of AVP and OXY perikarya in the hypothalamic paraventricular (PVN) and supraoptic (SON) nuclei, and TH, NPY, and PNMT perikarya in the catecholaminergic ventrolateral medullar A1/C1 area. Moreover, only PNMT perikarya located in the A1/C1 cell group exhibited increased Fos expression 5 h after LIRIb reperfusion. No or very low Fos expression was found 24 h after reperfusion in neuronal phenotypes studied. Our results show that both models of the LIRI activate, almost by the same effectiveness, a number of different neuronal phenotypes which stimulation may be associated with a complex of physiological responses induced by (1) surgery (NPY, TH, PNMT), (2) hemodynamic changes (AVP, OXY, TH, PNMT), (3) inflammation evoked by ischemia and subsequent reperfusion (TH), and (4) glucoprivation induced by fasting (NPY, PNMT, TH). All these events may contribute by different strength to the development of pathological alterations occurring during the liver ischemia reperfusion injury. PMID- 21061150 TI - The effect of spider toxin PhTx3-4, omega-conotoxins MVIIA and MVIIC on glutamate uptake and on capsaicin-induced glutamate release and [Ca2+]i in spinal cord synaptosomes. AB - In spinal cord synaptosomes, the spider toxin PhTx3-4 inhibited capsaicin stimulated release of glutamate in both calcium-dependent and -independent manners. In contrast, the conus toxins, omega-conotoxin MVIIA and xconotoxin MVIIC, only inhibited calcium-dependent glutamate release. PhTx3-4, but not omega conotoxin MVIIA or xconotoxin MVIIC, is able to inhibit the uptake of glutamate by synaptosomes, and this inhibition in turn leads to a decrease in the Ca(2+) independent release of glutamate. No other polypeptide toxin so far described has this effect. PhTx3-4 and omega-conotoxins MVIIC and MVIIA are blockers of voltage dependent calcium channels, and they significantly inhibited the capsaicin induced rise of intracellular calcium [Ca(2+)](i) in spinal cord synaptosomes, which likely reflects calcium entry through voltage-gated calcium channels. The inhibition of the calcium-independent glutamate release by PhTx3-4 suggests a potential use of the toxin to block abnormal glutamate release in pathological conditions such as pain. PMID- 21061151 TI - Fasudil mesylate protects PC12 cells from oxidative stress injury via the Bax mediated pathway. AB - We previously reported that fasudil mesylate (FM) improves neurological deficit and neuronal damage in rats with ischemia following middle cerebral artery occlusion and reperfusion in vivo. In this study, the properties of FM on hydrogen peroxide (H(2)O(2))-induced oxidative stress insult in cultured PC12 cells as well as the underlying mechanisms were investigated in vitro. Pretreatment with FM (5, 10 MUM) prior to H(2)O(2) exposure significantly elevated cell viability, reduced cell apoptosis by MTT assay, LDH assay, Hoechst 33258 dye staining, and FM also decreased the accumulation of reactive oxygen species (ROS) by DCFH-DA staining and NBT test. Furthermore, FM also reversed the upregulation of Bax/Bcl-2 ratio, the downstream cascade following ROS. FM protected PC12 cells from oxidative stress insult via downregulating the Bax/Bcl 2 ratio. These findings indicate that a direct effect of fasudil mesylate on PC12 cells may be partly responsible for its protective effect against oxidative stress injury. PMID- 21061152 TI - MYBPC1 computational phosphoprotein network construction and analysis between frontal cortex of HIV encephalitis (HIVE) and HIVE-control patients. AB - MYBPC1 computational phosphoprotein network construction and analysis of frontal cortex of HIV encephalitis (HIVE) was very useful to identify novel markers and potential targets for prognosis and therapy. Based on integrated gene regulatory network infer method by linear programming and a decomposition procedure with analysis of the significant function cluster using kappa statistics and fuzzy heuristic clustering from the database for annotation, visualization, and integrated discovery, we identified and constructed significant molecule MYBPC1 phosphoprotein network from 12 frontal cortex of HIVEcontrol patients and 16 HIVE in the same GEO Dataset GDS1726. Our result verified MYBPC1 phosphoprotein module only in the upstream of frontal cortex of HIVEcontrol patients (CREB5, MAPKAPK3 inhibition), whereas in the upstream of frontal cortex of HIVE (CREB5, ZC3HAV1 activation; ROR1 inhibition) and downstream (MAPKAPK3 activation; CFDP1, PDCD4, RBBP6 inhibition). Importantly, we determined that MYBPC1 phosphoprotein cluster of HIVE was involved in signal transduction, transferase, post-translational protein modification, developmental process and glycoprotein (only in HIVE terms), the condition was vital to inflammation and cognition impairment of HIVE. Our result demonstrated that common terms in both HIVE-control patients and HIVE included phosphoprotein, organelle, response to stimulus, nucleic acid binding, primary metabolic process, and biological regulation, and these terms were more relative to inflammation and cognition impairment, therefore, we deduced the stronger MYBPC1 phosphoprotein network in HIVE. It would be necessary of the stronger MYBPC1 phosphoprotein function to inflammation and cognition impairment of HIVE. PMID- 21061153 TI - Expressions of per1 clock gene and genes of signaling peptides vasopressin, vasoactive intestinal peptide, and oxytocin in the suprachiasmatic and paraventricular nuclei of hypertensive TGR[mREN2]27 rats. AB - Hypertensive rats with multiple extra copies of the renin gene (TGR) exert an inverted circadian blood pressure (BP) profile. We investigated whether circadian oscillations in the hypothalamic suprachiasmatic nucleus (SCN), a main circadian oscillator, and the paraventricular nucleus (PVN), involved in BP control, are influenced in TGR rats. The expression of the clock gene per1, a marker of circadian timing, was measured in the SCN and PVN. Moreover, the expression of genes encoding vasopressin (AVP), vasoactive intestinal peptide (VIP) in the SCN, and AVP and oxytocin (OXT) in the PVN were studied by in situ hybridization. Expression of the per1 gene showed a distinct circadian rhythm in both the SCN and PVN with no differences observed between the TGR and control Sprague-Dawley (SD) rats. The expression of avp in the SCN was rhythmic in both strains and moderately higher in TGR than in SD rats while no significant changes were found in the PVN. The expression of vip in the SCN and oxt in the PVN did not differ between both strains. Our results may indicate that changes occurring downstream to the SCN are responsible for the development of the inverted BP rhythm in TGR hypertensive rats. PMID- 21061154 TI - Detoxified extract of Rhus verniciflua stokes inhibits rotenone-induced apoptosis in human dopaminergic cells, SH-SY5Y. AB - Rhus verniciflua Stokes (RVS), traditionally used as a food supplement and in traditional herbal medicine for centuries in Korea, is known to possess various pharmacological properties. Environmental neurotoxins such as rotenone, a specific inhibitor of complex I provide models of Parkinson's disease (PD) both in vivo and in vitro. In this study, we investigated the neuroprotective effect of RVS against rotenone-induced toxicity in human dopaminergic cells, SH-SY5Y. Cells exposed to rotenone for 24 h-induced cellular injury and apoptotic cell death. Pretreatment of cells with RVS provided significant protection to SH-SY5Y cells. Further, RVS offered remarkable protection against rotenone-induced oxidative stress and markedly inhibited mitochondrial membrane potential (MMP) disruption. RVS also attenuated the up-regulation of Bax, Caspase-9 and Caspase-3 and down-regulation of Bcl-2. Moreover, pretreatment with RVS prevented the decrease in tyrosine hydroxylase (TH) levels in SH-SY5Y cells. Interestingly, RVS conferred profound protection to human dopaminergic cells by preventing the downregulation of brain-derived neurotrophic factor (BDNF) and glial cell line derived neurotrophic factor (GDNF). These results suggest that RVS may protect dopaminergic neurons against rotenone-induced apoptosis by multiple functions and contribute to neuroprotection in neurodegenerative diseases, such as PD. PMID- 21061155 TI - Localization of prohibitin in the nuclear matrix and alteration of its expression during differentiation of human neuroblastoma SK-N-SH cells induced by retinoic acid. AB - The nuclear matrix-intermediate filament system of human neuroblastoma SK-N-SH cells before and after retinoic acid (RA) treatment was selectively extracted and the distribution of prohibitin (PHB) in the nuclear matrix, as well as its colocalization with related genes, was observed. Results of two-dimensional gel electrophoresis (2-DE), mass spectrometry (MS) identification, and protein immunoblotting all confirm that PHB was present in the components of SK-N-SH nuclear matrix proteins and was down-regulated after RA treatment. Immunofluorescence microscopy observations show that PHB was localized in the nuclear matrix and its distribution was altered due to RA treatment. Laser confocal microscopy results reveal that PHB colocalized with the expression products of c-myc, c-fos, p53, and Rb, but the colocalization region was altered after RA treatment. Our results prove that PHB is a nuclear matrix protein and is localized in nuclear matrix fibers. The distribution of PHB in SK-N-SH cells and its colocalization with related proto-oncogenes and tumor suppressor genes suggest that PHB plays pivotal roles in the differentiation of SK-N-SH cells and deserves further study. PMID- 21061156 TI - Adrenal responses to stress. AB - Based on concepts proposed by Langley, Cannon, and Selye, adrenal responses to stress occur in a syndrome that reflects activation of the sympathoadrenal system and hypothalamic-pituitary-adrenocortical (HPA) axis; and a "stress syndrome" maintains homeostasis in emergencies such as "fight or flight" situations, but if the stress response is excessive or prolonged then any of a variety of clinical disorders can arise. The idea of a unitary sympathoadrenal system does not account for evidence that different stressors elicit different patterns of autonomic responses, with exposure to some stressors differentially affecting sympathetic noradrenergic and adrenomedullary hormonal activities. Instead, adrenomedullary responses to stressors are more closely tied to adrenocortical than to sympathetic noradrenergic responses. Distress involves concurrent activation of the HPA and adrenomedullary neuroendocrine systems. PMID- 21061158 TI - The Wnt signaling pathway protects retinal ganglion cell 5 (RGC-5) cells from elevated pressure. AB - The Wnt pathway is an essential signaling cascade that regulates survival and differentiation in the retina. We recently demonstrated that retinal ganglion cells (RGCs) have constitutively active Wnt signaling in vivo. However, the role of Wnt in RGC viability or function is unknown. In this study, we investigated whether Wnt protects the retinal ganglion cell line RGC-5 from elevated pressure, oxidative stress, and hypoxia injuries. Expression of RGC marker genes in the RGC 5 cultures was confirmed by immunocytochemistry and PCR. We demonstrated that the Wnt3a ligand significantly reduced pressure-induced caspase activity in RGC-5 cells (n = 5, P = 0.03) and decreased the number of TUNEL-positive cells (n = 5, P = 0.0014). Notably, Wnt3a-dependent protection was reversed by the Wnt signaling inhibitor Dkk1. In contrast, Wnt3a did not protect RGC-5 cells from oxidative stress or hypoxia. Furthermore, Wnt3a significantly increased growth factor expression in the presence of elevated pressure but not in the presence of oxidative stress and hypoxia. These results indicate that Wnt3a induces injury specific survival pathways in RGC-5 cells, potentially by upregulating neuroprotective growth factors. Therefore, activation of the Wnt pathway by Wnt3a could be investigated further as a tool to develop novel molecular therapeutic strategies for the prevention of RGC death in retinal disease. PMID- 21061159 TI - Increase of CGRP expression in motor endplates within fore and hind limb muscles of the degenerating muscle mouse (Scn8a(dmu)). AB - The distribution of calcitonin gene-related peptide (CGRP) was examined in skeletal muscles of fore and hind limb as well as in oral and cranio-facial regions of the degenerating muscle (dmu) mouse, which harbours a null mutation in the voltage-gated sodium channel gene Scn8a. In limb, oral and cranio-facial muscles of wild type mice, only a few motor endplates contained CGRP immunoreactivity. However, many CGRP-immunoreactive motor endplates appeared in the triceps brachii muscle, the biceps brachii muscle, the brachialis muscle, and the gastrocnemius muscle of dmu mice. CGRP-immunoreactive density of motor endplates in the skeletal muscles was also elevated by the mutation. In these muscles, the atrophy of muscle fibers could be detected and the density of cell nuclei in the musculature increased. In the flexor digitorum profundus muscle, the flexor digitorum superficialis muscle, and the soleus muscle as well as in oral and craniofacial muscles, however, the distribution of CGRP-immunoreactivity was barely affected by the mutation. The morphology of muscle fibers and the distribution of cell nuclei within them were also similar in wild type and dmu mice. In the lumbar spinal cord of dmu mice, CGRP-immunoreactive density of spinal motoneurons increased. These findings suggest that the atrophic degeneration in some fore and hind limb muscles of dmu mice may increase CGRP expression in their motoneurons. PMID- 21061157 TI - Brain pericytes: emerging concepts and functional roles in brain homeostasis. AB - Brain pericytes are an important constituent of neurovascular unit. They encircle endothelial cells and contribute to the maturation and stabilization of the capillaries in the brain. Recent studies have revealed that brain pericytes play pivotal roles in a variety of brain functions, such as regulation of capillary flow, angiogenesis, blood brain barrier, immune responses, and hemostasis. In addition, brain pericytes are pluripotent and can differentiate into different lineages similar to mesenchymal stem cells. The brain pericytes are revisited as a key player to maintain brain function and repair brain damage. PMID- 21061160 TI - Naturally occurring genetic variants in human chromogranin A (CHGA) associated with hypertension as well as hypertensive renal disease. AB - Chromogranin A (CHGA) plays a fundamental role in the biogenesis of catecholamine secretory granules. Changes in storage and release of CHGA in clinical and experimental hypertension prompted us to study whether genetic variation at the CHGA locus might contribute to alterations in autonomic function, and hence hypertension and its target organ consequences such as hypertensive renal disease (nephrosclerosis). Systematic polymorphism discovery across the human CHGA locus revealed both common and unusual variants in both the open reading frame and such regulatory regions as the proximal promoter and 30-UTR. In chromaffin cell transfected CHGA 30-UTR and promoter/luciferase reporter plasmids, the functional consequences of the regulatory/non-coding allelic variants were documented. Variants in both the proximal promoter and the 30-UTR displayed statistical associations with hypertension. Genetic variation in the proximal CHGA promoter predicted glomerular filtration rate in healthy twins. However, for hypertensive renal damage, both end-stage renal disease and rate of progression of earlier disease were best predicted by variants in the 30-UTR. Finally, mechanistic studies were undertaken initiated by the clue that CHGA promoter variation predicted circulating endothelin-1. In cultured endothelial cells, CHGA triggered co-release of not only the vasoconstrictor and pro-fibrotic endothelin-1, but also the pro-coagulant von Willebrand Factor and the pro-angiogenic angiopoietin 2. These findings, coupled with stimulation of endothelin-1 release from glomerular capillary endothelial cells by CHGA, suggest a plausible mechanism whereby genetic variation at the CHGA locus eventuates in alterations in human renal function. These results document the consequences of genetic variation at the CHGA locus for cardiorenal disease and suggest mechanisms whereby such variation achieves functional effects. PMID- 21061161 TI - Inhibition of Ca2+ channels and adrenal catecholamine release by G protein coupled receptors. AB - Catecholamines and other transmitters released from adrenal chromaffin cells play central roles in the "fight-or-flight" response and exert profound effects on cardiovascular, endocrine, immune, and nervous system function. As such, precise regulation of chromaffin cell exocytosis is key to maintaining normal physiological function and appropriate responsiveness to acute stress. Chromaffin cells express a number of different G protein coupled receptors (GPCRs) that sense the local environment and orchestrate this precise control of transmitter release. The primary trigger for catecholamine release is Ca2+ entry through voltage-gated Ca2+ channels, so it makes sense that these channels are subject to complex regulation by GPCRs. In particular G protein betagamma heterodimers (Gbc) bind to and inhibit Ca2+ channels. Here I review the mechanisms by which GPCRs inhibit Ca2+ channels in chromaffin cells and how this might be altered by cellular context. This is related to the potent autocrine inhibition of Ca2+ entry and transmitter release seen in chromaffin cells. Recent data that implicate an additional inhibitory target of Gbetagamma on the exocytotic machinery and how this might fine tune neuroendocrine secretion are also discussed. PMID- 21061162 TI - Carboxypeptidase E: elevated expression correlated with tumor growth and metastasis in pheochromocytomas and other cancers. AB - Expression of carboxypeptidase E (CPE), a prohormone processing enzyme in different cancer types, was analyzed from data in the GEO profile database (http://www.ncbi.nlm.nih.gov/geo/) and experimentally in pheochromocytomas. Analysis of microarray data demonstrated that significantly elevated levels of CPE mRNA was found in many metastatic non-endocrine cancers: cervical, colon rectal, renal cancers, Ewing sarcomas (bone cancer), and various types of astrocytomas and oligodendrogliomas, whereas expression of CPE mRNA was virtually absent in their respective counterpart normal tissues. Moreover, there was higher CPE mRNA expression in cells from the metastatic tumor compared to those from the primary tumor in colorectal cancer. Elevated CPE mRNA expression was found in neuroendocrine tumors in lung and pituitary adenomas, although the significance is unclear since endocrine and neuroendocrine cells normally express CPE. However, studies of neuroendocrine tumors, pheochromocytomas, revealed expression of not only wild-type CPE, but a variant which was correlated with tumor behavior. Extremely high CPE mRNA copy numbers of the variant were found in very large or invasive tumors, both of which usually indicate poor prognosis. Thus, collectively the data suggest that CPE may play a role in promoting tumor growth and invasion. CPE could potentially serve as a diagnostic and prognostic biomarker for metastasis in different cancer types. PMID- 21061163 TI - Dynamin and myosin regulate differential exocytosis from mouse adrenal chromaffin cells. AB - Neuroendocrine chromaffin cells of the adrenal medulla represent a primary output for the sympathetic nervous system. Chromaffin cells release catecholamine as well as vaso- and neuro-active peptide transmitters into the circulation through exocytic fusion of large dense-core secretory granules. Under basal sympathetic activity, chromaffin cells selectively release modest levels of catecholamines, helping to set the "rest and digest" status of energy storage. Under stress activation, elevated sympathetic firing leads to increased catecholamine as well as peptide transmitter release to set the "fight or flight" status of energy expenditure. While the mechanism for catecholamine release has been widely investigated, relatively little is known of how peptide transmitter release is regulated to occur selectively under elevated stimulation. Recent studies have shown selective catecholamine release under basal stimulation is accomplished through a transient, restricted exocytic fusion pore between granule and plasma membrane, releasing a soluble fraction of the small, diffusible molecules. Elevated cell firing leads to the active dilation of the fusion pore, leading to the release of both catecholamine and the less diffusible peptide transmitters. Here we propose a molecular mechanism regulating the activity-dependent dilation of the fusion pore. We review the immediate literature and provide new data to formulate a working mechanistic hypothesis whereby calcium-mediated dephosphorylation of dynamin I at Ser-774 leads to the recruitment of the molecular motor myosin II to actively dilate the fusion pore to facilitate release of peptide transmitters. Thus, activity-dependent dephosphorylation of dynamin is hypothesized to represent a key molecular step in the sympatho-adrenal stress response. PMID- 21061164 TI - Polarized TIRFM reveals changes in plasma membrane topology before and during granule fusion. AB - We have recently developed a combination of polarization and total internal reflection fluorescence microscopy (pTIRFM) to monitor changes in plasma membrane topology occurring after fusion of chromaffin granules. In this report, pTIRFM is further exploited to reveal two major findings in regards to the secretory pathway in bovine chromaffin cells. First, we show that changes in membrane topology are sometimes detected even prior to fusion. This occurs with high probability in a small subset of granules that appear in the evanescent field during the experiment. On these occasions, the plasma membrane invaginates with the movement just preceding the appearance of a granule in the evanescent field. Such events may represent a direct interaction of the granule with the plasma membrane. Second, we show that the topological fate of the post-fusion, granule/plasma membrane intermediate is regulated by divalent cation. When Sr2+ is used instead of Ca2+ to trigger exocytosis, membrane topology in the exocytotic region is stabilized with significant curvature and indentation. PMID- 21061165 TI - Developmental and stress-induced remodeling of cell-cell communication in the adrenal medullary tissue. AB - The adrenal medullary tissue contributes to maintain body homeostasis in reaction to stressful environmental changes via the release of catecholamines into the blood circulation in response to splanchnic nerve activation. Accordingly, chromaffin cell stimulus-secretion coupling undergoes temporally restricted periods of anatomo- functional remodeling in response to prevailing hormonal requirements of the organism. The postnatal development of the adrenal medulla and response to stress are remarkable physiological situations in which the stimulus- secretion coupling is critically affected. Catecholamine secretion from rat chromaffin cells is under a dual control involving an incoming initial command arising from the sympathetic nervous system that releases acetylcholine at the splanchnic nerve terminal-chromaffin cell synapses and a local gap junction-mediated intercellular communication. Interestingly, these two communication pathways are functionally interconnected within the gland and exhibit coordinated plasticity mechanisms. This article reviews the physiological and molecular evidence that the adrenal medullary tissue displays anatomical and functional adaptative remodeling of cell-cell communications upon physiological (postnatal development) and/or physiopathological (stress) situations associated with specific needs in circulating catecholamine levels. PMID- 21061166 TI - The Coffin-Lowry syndrome-associated protein RSK2 and neurosecretion. AB - Coffin-Lowry syndrome (CLS) is a syndromic form of X-linked mental retardation, characterized in male patients by psychomotor and growth retardation and various skeletal anomalies. CLS is caused by mutations in the RPS6KA3 gene, which encodes RSK2, a growth factor-regulated protein kinase. Cognitive deficiencies in CLS patients are prominent, but markedly variable in severity, even between siblings. However, the vast majority of patients are severely affected, with mental retardation ranging from moderate to profound. We used a RSK2-KO mouse model that shows no obvious brain abnormalities at the anatomical and histological levels to study the function of RSK2 in neurosecretion. Behavioral studies revealed normal motor coordination, but a profound retardation in spatial learning and a deficit in long-term spatial memory, providing evidence that RSK2 plays similar roles in mental functioning both in mice and human. We found that associative LTP at cortical inputs to the lateral amygdala was blocked in Rsk2 KO mice. Using an RNA interference rescue strategy in PC12 cells, we were able to demonstrate that RSK2 regulates catecholamine release through the phosphorylation of PLD. These results provide the first molecular evidence that RSK2 could regulate neurotransmitter release by activating PLD production of lipids required for exocytosis. PMID- 21061167 TI - Comparison of Ca2+ currents of chromaffin cells from normotensive Wistar Kyoto and spontaneously hypertensive rats. AB - Spontaneously hypertensive rats (SHR) are widely used as model to investigate the pathophysiological mechanisms of essential hypertension. Catecholamine plasma levels are elevated in SHR, suggesting alterations of the sympathoadrenal axis. The residual hypertension in sympathectomized SHR is reduced after demedullation, suggesting a dysfunction of the adrenal medulla. Intact adrenal glands exposed to acetylcholine or high K+ release more catecholamine in SHR than in normotensive Wistar Kyoto (WKY) rats, and adrenal chromaffin cells (CCs) from SHR secrete more catecholamines than CCs from WKY rats. Since Ca2+ entry through voltage-gated Ca2+ channels (VGCC) triggers exocytosis, alterations in the functional properties of these channels might underlie the enhanced catecholamine release in SHR. This study compares the electrophysiological properties of VGCC from CCs in acute adrenal slices from WKY rats and SHR at an early stage of hypertension. No significant differences were found in the macroscopic Ca2+ currents (current density, I-V curve, voltage dependence of activation and inactivation, kinetics) between CCs of SHR and WKY rats, suggesting that Ca2+ entry through VGCC is not significantly different between these strains, at least at early stages of hypertension. Ca2+ buffering, sequestration and extrusion mechanisms, as well as Ca2+ release from intracellular stores, must now be evaluated to determine if alterations in their function can explain the enhanced catecholamine secretion reported in CCs from SHR. PMID- 21061168 TI - Transient expression of iron transport proteins in the capillary of the developing rat brain. AB - Iron is essential for normal brain function and its uptake in the developing rat brain peaks during the first two weeks after birth, prior to the formation of the blood-brain barrier (BBB). The first step of iron transport from the blood to the brain is transferrin receptor (TfR)-mediated endocytosis in the capillary endothelial cells. However, the subsequent step from the endothelium into interstitium has not been fully described. The goal of this study was to examine the expression of iron transport proteins by immunodetection and RT-PCR in the developing rat brain. Tf and TfR are transiently expressed in perivascular NG2+ cells of the capillary wall during the early postnatal weeks in the rat brain. However, MTP-1 and hephaestin were expressed in endothelial cells, but not in the NG2+ perivascular cells. Immunoblot analysis for these iron transfer proteins in the developing brain generally confirmed the immunochemical findings. Furthermore, the expression of Tf and TfR in the blood vessels precedes its expression in oligodendrocytes, the main iron-storing cells in the vertebrate brain. RT-PCR analysis for the primary culture of endothelial cells and pericytes revealed that Tf and TfR were highly expressed in the pericytes while MTP-1 and hephaestin were expressed in the endothelial cells. The specific expression of Tf and TfR in brain perivascular cells and MTP-1 and hephaestin in endothelial cells suggest the possibility that trafficking of elemental iron through perivascular cells may be instrumental in the distribution of iron in the developing central nervous system. PMID- 21061170 TI - How does the residential care system change? A longitudinal survey in a large region of Italy. AB - To describe 5-year changes in the provision of Residential Facilities (RFs) in a large Italian Region and in the characteristics of their staffing and patients. 2000 census data of all RFs with >4 residential beds in the Emilia-Romagna Region were compared with 2005 census data. The number of residential beds increased from 3.1 per 10,000 inhabitants in 2000 to 4.1 per 10,000 inhabitants in 2005. The RFs operated by private non-profit associations increased at a greater rate than the number of NHS-operated facilities, and the percentage of non-qualified staff has also risen at a greater rate than that observed for qualified staff. The number of individuals with comorbid substance abuse increased from 2.1% in 2000 to 5.7% in 2005. Patient turnover rates were low in both 5-year periods. A process of new institutionalization might be taking place. Mental health care policy-makers should take these findings into account to enhance the planning of effective services, including RFs granting a satisfactory quality of life to patients with severe disorders requiring long-term, eventually unlimited care. PMID- 21061171 TI - CMR-determined scar volume: predictive for ventricular tachycardias? PMID- 21061172 TI - Strictly defined familial male breast cancer. AB - The term "familial male breast cancer" is often misleading, because in the breast cancer families reported in the literature, the vast majority of the patients were women and only a few were men. In this report, we present the rare case of a strictly defined familial male breast cancer (MBC) in which exclusively men were diagnosed with breast cancer. Three of four brothers developed the disease between the age of 46 and 64 years within a period of 21 years whereas all female relatives remained unaffected. The three affected men did not show the typical known clinical and genetic risk factors for MBC. An X-linked recessive inheritance may be possible in these cases. One way to potentially improve the identification of the causes of MBC could be a through a strictly studying families in which the male members were exclusively diagnosed with this malignancy. This approach emphasizes familial MBC as a distinct entity and not only as a variant of female breast cancer. PMID- 21061173 TI - Association between monoallelic MUTYH mutation and colorectal cancer risk: a meta regression analysis. AB - Whether people who inherit a mutation in MUTYH from only one parent (monoallelic mutation) are at increased risk of colorectal cancer (CRC) remains controversial. Most previous studies and meta-analyses have not found statistically significant associations but, given carriers are relatively rare, may be underpowered to detect small increased risks. We have conducted a systematic review and meta regression analysis of previously published case-control studies to estimate the strength of association for monoallelic MUTYH mutation and CRC risk. Potential sources of heterogeneity were evaluated. We have compared the carrier frequency in cases with a family history of CRC to that of controls, as a novel and powerful design, to measure statistical evidence of an association but not the strength of association. The magnitude of the genotype-disease association, estimated from a pooled odds ratio comparing cases unselected for family history with controls, was 1.15 (95% CI = 0.98-1.36) and not substantially altered by adjustment for potential sources of heterogeneity. Monoallelic mutation carrier frequency was greater for cases ascertained due to a family history (3.3%; SE 0.9%) than for controls (1.4%; SE 0.3%) (P = 0.02). Monoallelic MUTYH mutation carriers are at increased risk of CRC but the average increase is small. PMID- 21061174 TI - Care for patients with multiple endocrine neoplasia type 1: the current evidence base. AB - Multiple endocrine neoplasia type 1 (MEN1) is a rare disease caused by mutations in the MEN1 gene on chromosome 11. It is characterized by the occurrence of primary hyperparathyroidism (pHPT), duodenopancreatic neuroendocrine tumours (pNET), pituitary tumours (PIT), adrenal adenomas (ADR) and neuroendocrine tumours (NET) of the stomach, bronchus and thymus. MEN1 is a syndrome with high penetrance and high morbidity. Malignant NETs are the most important cause of MEN1-related death. Since 1997 the diagnosis can be made by genetic screening. MEN1 is a complex syndrome and the endocrine manifestations cannot be viewed upon as coinciding sporadic tumours. Differences in epidemiology and pathology between MEN1-related tumours and their sporadic counterparts show that a unique approach is needed. Therefore the care for MEN1 patients should be provided by a centre of expertise. Early genetic diagnosis and periodic screening are important pillars of care. For primary hyperparathyroidism surgery is the most important treatment modality, with a subtotal parathyroid gland resection as the procedure of choice. In neuroendocrine tumours surgery also is the most important treatment modality. Selective tumour enucleation has no place in the surgical treatment of MEN1 related pNETs; the exact procedure depends on the functionality of the tumour. In MEN1-associated pituitary and adrenal adenomas, watchful waiting and medical therapy play more important roles. In the twenty-first century new developments will impact the care for MEN1 patients. These developments should be critically evaluated in clinical research with the ultimate goal of optimizing the care for MEN1 patients on an evidence base. PMID- 21061176 TI - Extraction of input function from rat [18F]FDG PET images. AB - PURPOSE: Small animal positron emission tomography (PET) with 2-deoxy-2 [18F]fluoro-D-glucose ([18F]FDG) facilitates the visualization and quantification of glucose uptake in rats and mice. The quantification of glucose uptake requires an input function, which is generally obtained by measuring radioactivity in arterial plasma withdrawn during PET imaging; however, this approach is not always feasible because abundant blood sampling may affect the physiological process being measured. The purpose of the present study was to develop a new model-based technique (K-Model) and compare it to the previous F-Model. MATERIALS AND METHODS: The study material consisted of two separate groups of rats having different physiological conditions. Each group was scanned by different PET cameras, i.e., HRRT and Inveon-PET/CT, and blood samples were drawn during imaging. Two kinds of model functions, i.e., F-Model and K-Model, were used for estimating input functions by an optimization procedure, applying restrictions on boundary conditions. To validate the method, glucose influx rate, Ki, was computed from the estimated and measured input functions for comparison. RESULTS: The input functions were well reproduced when single-point blood count data were used for both models. The difference in Ki values between the model-based and blood sampling methods was 1.1+/-15.1% by K-Model which showed the most feasible in the study. The regression analysis showed a tight correlation between the image-based and blood sampling methods, and the slope was close to unity and the intercept close to zero. CONCLUSION: It is possible to estimate the input function from rat [18F]FDG PET images, thus facilitating the assessment of glucose metabolism without affecting the physiological conditions of the animal as a result of abundant blood sampling. PMID- 21061175 TI - Gene expression in response to ionizing radiation and family history of gastric cancer. AB - Genes and molecular pathways involved in familial clustering of gastric cancer have not yet been identified. The purpose of the present study was to investigate gene expression changes in response to a cellular stress, and its link with a positive family history for this neoplasia. To this aim leukocytes of healthy first-degree relatives of gastric cancer patients and controls were challenged in vitro with ionizing radiation and gene expression evaluated 4 h later on microarrays with 1,800 cancer-related genes. Eight genes, mainly involved in signal transduction and cell cycle regulation, were differentially expressed in healthy relatives of gastric cancer cases. Functional class scoring by Gene Ontology classification highlighted two G-protein related pathways, implicated in the proliferation of neoplastic tissue, which were differentially expressed in healthy subjects with positive family history of gastric cancer. The relative expression of 84 genes related to these pathways was examined using the SYBR green-based quantitative real-time PCR. The results confirmed the indication of an involvement of G-protein coupled receptor pathways in GC familiarity provided by microarray analysis. This study indicates a possible association between familiarity for gastric cancer and altered transcriptional response to ionizing radiation. PMID- 21061177 TI - Laparoscopic resectional gastric bypass in patients with morbid obesity: experience on 112 consecutive patients. AB - INTRODUCTION: Gastric bypass, without gastric resection of the distal excluded stomach, is the surgical treatment more frequently performed for morbid obesity. Several postoperative complications related to the "in situ" distal stomach have been described, and few cases of undetected gastric carcinoma located in this segment of stomach have been published. In this paper, we present our early postoperative results in patients submitted to laparoscopic gastric bypass with resection of distal stomach in patients with morbid obesity. METHODS: One hundred twelve consecutive patients were included in this study. The mean body weight was 112.15+/-5.1 (range 78-145), and BMI was 40.5+/-6.9 kg/m2 (32.9-50.3). Patients were submitted to resectional gastric bypass by laparoscopic approach. The operative time was 133.7+/-29.1 min (range 120-240). RESULTS: Postoperative complications occurred in 12 patients (10.7%) without any mortality. Early complications were observed in 11 patients while one patient presented a late complication, four patients were re-hospitalized, three of them without operation and other four of them were re-operated due to early (three patients) or late complication (one patient). One hundred patients (89.2%) were discharged at fourth postoperative day, seven patients remained in hospital between 5 and 10 days, and four patients after the tenth day due to complications. Leaks were observed in three patients. The histological study of the resected specimen was normal in only 8.9%. CONCLUSIONS: Laparoscopic resectional gastric bypass presents very similar results compared to classic gastric bypass, without significant increase of morbidity, mortality, early and late postoperative results, and therefore, it is an option for the surgical treatment of morbid obesity in countries with high risk of gastric carcinoma. PMID- 21061178 TI - Antegrade en bloc distal pancreatectomy with plexus hanging maneuver. AB - INTRODUCTION: Although antegrade en bloc distal pancreatectomy is appropriate for invasive distal pancreatic malignancies, this technique is not easy to perform because the end-point of deep vertical resections cannot be controlled. This report describes the usefulness of the application of hanging maneuver in performing the radical surgery. METHODS: A tape for guidance is passed in a space behind the bundles of the left celiac and mesenteric plexus, followed by sagittal resection of the distal pancreas exposing the root of the celiac artery and superior mesenteric artery. After dividing the pancreas down to the level of the roots of the celiac and superior arteries, the distal pancreas is dissected from the retroperitoneum in medial to lateral fashion. RESULTS: This technique was applied in six patients with distal pancreas malignancies, without any positive cancer cells at the resected margin. The mean tumor size was 3.0+/-0.9 cm. The mean duration of surgery and intraoperative blood loss were 258+/-71 min and 226+/-240 ml, respectively. CONCLUSION: Antegrade en bloc distal pancreatectomy with plexus hanging maneuver is an appropriate technique for treating distal pancreatic malignancies. PMID- 21061179 TI - Radiofrequency ablation versus resection for liver tumours: an evidence-based approach to retrospective comparative studies. AB - BACKGROUND: Recently randomized controlled trials have been advocated to compare radiofrequency ablation (RFA) and hepatic resection (HR) in resectable tumours and determine whether differences in observed survivals result from the heterogeneity in previous studies between RFA (treating unresectable lesions) and HR (treating lesions deemed resectable). We reviewed the literature that directly compares the treatments and employed an evidence-based approach to examine the data. MATERIALS AND METHODS: All studies comparing RFA and HR were included. Primary outcomes were the overall survival (OS) and disease-free survival (DFS) at 3 and 5 years. A subgroup analysis was conducted for solitary or small tumors (<4 cm for colorectal metastases (CRM) or <5 cm for hepatocellular carcinoma (HCC)). RESULTS: Most studies were retrospective. For CRM, HR was markedly superior to RFA in respect of 3- and 5-year OS as well as 5-year DFS including tumours smaller than 4 cm and solitary lesions. For HCC, HR was markedly superior to RFA for 3- and 5-year OS as well as 3-year DFS, and produced a better OS at 3 years for solitary lesions and DFS at 3 years for small tumours. CONCLUSIONS: Multiple factors determine outcomes following treatment of liver tumours. Small or solitary lesions seem the most appropriate ones to study as this reduces the number of confounding variables, but even in these cases HR confers a better OS and DFS than RFA for both CRM and HCC. If our data are confirmed it will be important to examine other factors influencing the response. PMID- 21061180 TI - Meranzin hydrate induces similar effect to Fructus Aurantii on intestinal motility through activation of H1 histamine receptors. AB - This experiment studied the potential effect of meranzin hydrate (MH) and decoction of herb Fructus Aurantii (FA) on rat gut motility. It also investigated the prokinetic mechanism of MH. Experiments were performed on male Sprague-Dawley rats (200-220 g). The study included: (1) qualitation of MH and four other known compounds in FA and jejunum after oral administration of FA decoction to rats; (2) in vitro experiment of MH on rat jejunum contractions; (3) in vivo experiment of FA and MH in rats. Dose-dependently, MH (1-100 MUM) increased amplitude in longitudinal and circular jejunum muscles. Pretreatment of jejunum longitudinal strips with benzhydramine (1 MUM) remarkably inhibited the contractions induced by histamine (1 MUM) and MH (10 or 30 MUM). Pretreatment of jejunum longitudinal strips with atropine (1 MUM) reduced the contractions induced by acetylcholine (1 MUM) but did not influence the contractions induced by MH (10 or 30 MUM). Interestingly, the antagonism of benzhydramine to MH was also verified in vivo. MH can be absorbed into the jejunum following oral administration of FA decoction. In healthy rats, MH (7, 14, and 28 mg/kg) and FA (3.3, 10, and 20 g/kg) both promoted intestinal transit and gastric emptying in a dose-dependent manner when gavaged acutely. In cisplatin model rats, MH (14 and 28 mg/kg) significantly reversed cisplatin-induced delay in gastric emptying. Meranzin hydrate can induce similar effect to Fructus Aurantii on intestinal motility and it was, at least in part, mediated by stimulation of H1 histamine receptors. PMID- 21061181 TI - Role of p53 and beta-catenin mutations in conjunction with CK19 expression on early tumor recurrence and prognosis of hepatocellular carcinoma. AB - BACKGROUND: Cytokeratin 19 (CK19), a molecular marker of hepatic progenitor cells and cholangiocytes, is expressed in hepatocellular carcinomas (HCC), but not in normal hepatocytes. However, role of CK19 in HCC progression, especially when interacted with p53 and beta-catenin mutations, remained largely unknown. MATERIALS AND METHODS: From January 1983 to December 1997, 210 surgically resected, unifocal, primary HCCs were studied retrospectively. CK19 protein expression was detected by immunohistochemistry while mutations of p53 and beta catenin genes were detected by direct DNA sequencing. RESULTS: CK19 protein expression was detected in 35.7% (75/210), p53 mutation in 47.2% (83/176) and beta-catenin mutation in 14.5% (27/186). The tumor size (p=0.0023), grade (p = 0.00093), tumor stage (p = 4 x 10-7), high alpha-fetoprotein (p=0.0004), p53 mutation (p = 0.024), absence of beta-catenin mutation (p = 0.0013), and CK19 expression (p = 3 x 10-5) were markers predictive of early tumor recurrence (ETR). CK19 expression, stage, and ETR were strong indicators of poor prognosis (all p < 0.0001). Importantly, combination analysis showed an additive unfavorable prognostic interaction of CK19 expression and p53 mutation. On the contrary, concurrent CK19 expression and beta-catenin mutation was rare and CK19 expression abolished the suppression effect of beta-catenin mutation on HCC progression. CONCLUSIONS: CK19 expression is associated with more aggressive HCC. CK19 cooperates with p53 mutation towards advanced disease. In contrast, CK19 expression and beta-catenin mutation play dramatic opposite roles in vascular invasion, ETR and the prognosis of HCC. PMID- 21061182 TI - Endoscopic intraoperative anastomotic testing may avoid early gastrointestinal anastomotic complications. A prospective study. AB - BACKGROUND: Gastrointestinal anastomotic complications represent serious events; methods to evaluate anastomotic integrity seem to be suboptimal. Since endoscopic intraoperative anastomotic testing allows direct visualization of anastomosis, complication rates may be theoretically reduced by the use of this technique. METHODS: A prospective study involving 118 consecutive oncologic patients undergoing endoscopically tested gastrointestinal stapled anastomoses was carried out. As controls, 148 historical patients without anastomotic testing were used for comparisons. RESULTS: In the study group, anastomotic testing revealed 16 defects: 11 (9.3%) air leaks and five (4.3%) bleeding anastomoses. All leaks were oversewn and secured. Bleeding anastomoses were managed under direct visualization, and one non-patent anastomosis was redone. Forty-one (15.4%) postoperative anastomotic complications were observed: eight (3%) bleeding anastomoses, seven (2.6%) stenoses, and 26 (9.8%) clinical leaks. No early dehiscence or bleeding occurred if anastomoses were intraoperatively checked, while these complications were significantly more frequent in non-checked anastomoses (6.1% and 5.4%, respectively). Conversely, late leak and stenosis rates were similar between the two groups. CONCLUSION: Endoscopic anastomotic testing was a safe and reliable method to assess integrity of gastrointestinal anastomoses, to correct any defect under direct visualization, and to avoid early complications. However, this method seemed inadequate to predict late anastomotic complications. PMID- 21061183 TI - Chemotherapy, liver injury, and postoperative complications in colorectal liver metastases. AB - BACKGROUND: Systemic chemotherapy (CTx) is increasingly used before surgery for colorectal liver metastases (CRC-LM). However, CTx may cause liver injury like steatosis, steatohepatitis, and sinusoidal injury which may be associated with postoperative morbidity. Some recent data have even shown an increased mortality in patients with CTx-associated steatohepatitis. We, therefore, analyzed our recent experience with potential hepatic injury and its association with CTx and morbidity in patients undergoing surgery for CRC-LM. METHODS: From 2001 to 2007, 179 patients underwent primary liver resection for CRC-LM. Sufficient non tumorous liver parenchyma could be re-evaluated for this study in 102 patients. In these 102 patients (66% male, median age 62 years, median BMI 26, 8% diabetics (IDDM)), liver injury was classified using established criteria for steatosis and sinusoidal dilatation (SD) and then compared with preoperative CTx and postoperative outcome. Fifty-eight percent of the operations were (extended) hemihepatectomies (ExtRes), 42% segmental or wedge resections (LimRes). Before resection, 66% had received CTx (33% FU-based (FU), 19% oxaliplatin-based (Oxa), 12% irinotecan-based (Iri), and 3% Oxa+Iri). The interval between CTx and surgery was always >=4 weeks. RESULTS: Mortality was 3/102 (2.9%). Any complication occurred in 48%, hepatic insufficiency in 5.9%, and liver-related complications in 24%. Hepatic steatosis >20% was found in 37% (half of them with steatosis >50%). BMI correlated with the frequency of steatosis. Steatosis >20% was more frequent in patients with preoperative chemotherapy but did not depend on the chemotherapy regimen. No relevant risk factor for grades 2 and 3 SD was found. The specific use of Oxa or Iri did not significantly correlate with hepatic injury. Neither a CTx per se nor the different CTx regimens nor the extent of hepatic injury showed any negative influence on mortality, complication rates, or hepatic insufficiency. Patients with IDDM had a higher mortality (25% vs 1% without IDDM; p<0.02), increased complication rate (75% vs 46%; p=0.11), a higher rate of hepatic insufficiency (25% vs 4%; p<0.02), and more liver related complications (50% vs 21%; p=0.06). Patients undergoing ExtRes had a higher overall (p<0.01) and liver-related (p=0.05) complication rate compared to LimRes. None of the 34 patients with preoperative Oxa or Iri died or developed hepatic insufficiency. CONCLUSIONS: In our experience, hepatic injury (steatosis) was influenced by BMI and by preoperative CTx. Neither preoperative CTx nor liver injury increased perioperative morbidity. Patients with IDDM were at a rather high perioperative risk. PMID- 21061184 TI - Perioperative mortality after non-hepatic general surgery in patients with liver cirrhosis: an analysis of 138 operations in the 2000s using Child and MELD scores. AB - INTRODUCTION: Despite of advances in modern surgical and intensive care treatment, perioperative mortality remains high in patients with liver cirrhosis undergoing nonhepatic general surgery. In the few existing articles, mortality was reported to be as high as 70% in patients with poor liver function (high Child or model for end-stage liver disease (MELD) score). Since data are limited, we analyzed our recent experience with cirrhotic patients undergoing emergent or elective nonhepatic general surgery at a German university hospital. METHODS: Since 2000, 138 nonhepatic general surgical procedures (99 intra-abdominal, 39 abdominal wall) were performed in patients with liver cirrhosis. Liver cirrhosis was preoperatively classified according to the Child (41 Child A, 59 B, 38 C) and the MELD score (MELD median 13). Sixty-eight (49%) of the patients underwent emergent operations. Most abdominal wall operations were for hernias. Intra abdominal operations consisted of GI tract procedures (n=53), cholecystectomies (n=15), and various others (n=31). Perioperative data were gained by retrospective analysis. RESULTS: Overall perioperative mortality in all 138 cases was 28% (9% in elective surgery, 47% in emergent surgery; p<0.001). Perioperative mortality was higher after intra-abdominal than after abdominal wall operations (35% vs. 8%; p=0.001) or in patients requiring transfusions (43% vs. 5% without transfusions; p<0.001). Perioperative mortality increased with the Child score (10% Child A, 17% Child B, 63% Child C; p<0.01) and the MELD score (9% MELD <10, 19% MELD 10-15, 54% MELD >15; p<0.001). Univariately, further factors like American Society of Anesthesiologists (ASA) score and various preoperative laboratory values were also associated with perioperative mortality. By multivariate analysis of all 138 operations, the Child and ASA classifications, intraoperative transfusions, and a preoperative sodium <130 mmol/l, but not the MELD score, were independent prognostic factors. Analysis of elective operations revealed only a preoperatively increased creatinine as risk factor for perioperative mortality. In emergent operations again, Child class, blood transfusions, and low sodium level, but not the MELD score, predicted postoperative mortality. CONCLUSIONS: Our results demonstrate that perioperative mortality remains high in patients with liver cirrhosisundergoing general surgery, especially in emergent situations. Patients with poor liver function and/or need for blood transfusions even had a very high mortality. In our experience, the Child score (together with other variables) independently correlates with perioperative mortality in emergent operations whereas the MELD score was inferior in predicting the outcome. PMID- 21061185 TI - Biliary tubes in liver transplantation. PMID- 21061186 TI - Complex pancreatic surgery: safety and feasibility in the community setting. AB - INTRODUCTION: Advances in technology, innovative surgical procedures, and enhanced perioperative care have allowed more patients to be considered for complex pancreatic surgery. Published reports on the outcomes of pancreatic surgery performed at high volume tertiary referral centers have yielded excellent results. However, similar outcome and safety data from community hospitals is limited. MATERIAL AND METHODS: Consecutive complex pancreatic surgery performed by a single surgeon from December 2004 to December 2009 formed the study group. Factors analyzed included patient demographics, operative procedure, operative time, length of hospital stay, pathology, and 30-day morbidity and mortality. RESULTS: One hundred and nine consecutive patients underwent pancreatic surgery, with a mean patient age of 62.4+/-15.2 years. Eighty-three patients (76.1%) underwent definitive surgical procedure and 26 patients (23.9%) had palliative bypass after failed palliative biliary stenting. The mean operative time was 229+/-109 min, the mean length of stay was 8.6+/-6.5 days and 24 (22.0%) patients had surgical complications. CONCLUSION: Complex pancreatic surgery can be performed safely at high-volume tertiary community hospitals with excellent outcomes comparable to tertiary academic centers. In the ongoing debate about the need for mandatory referral of complex surgical procedures, tertiary community hospitals with well-determined outcomes should be included. PMID- 21061187 TI - The effect of decompressive hemicraniectomy on brain temperature after severe brain injury. AB - BACKGROUND: Animal studies have shown that even a small temperature elevation of 1 degrees C can cause detrimental effects after brain injury. Since the skull acts as a potential thermal insulator, we hypothesized that decompressive hemicraniectomy facilitates surface cooling and lowers brain temperature. METHODS: Forty-eight patients with severe brain injury (TBI = 38, ICH = 10) with continuous brain temperature monitoring were retrospectively studied and grouped into "hemicraniectomy" (n = 20) or "no hemicraniectomy" (n = 28) group. The paired measurements of core body (T Core) and brain (T Br) temperature were recorded at 1-min intervals over 12 +/- 7 days. As a surrogate measure for the extent of surface heat loss from the brain, ?T Core-Br was calculated as the difference between T Core and T Br with each recording. In order to accommodate within-patient temperature correlations, mixed-model regression was used to assess the differences in ?T Core-Br between those with and without hemicraniectomy, adjusted for core body temperature and diagnosis. RESULTS: A total of 295,883 temperature data pairs were collected (median [IQR] per patient: 5047 [3125-8457]). Baseline characteristics were similar for age, sex, diagnosis, incidence of sepsis, Glasgow Coma Scale score, ICU mortality, and ICU length of stay between the two groups. The mean difference in ?T Core-Br was 1.29 +/- 0.87 degrees C for patients with and 0.80 +/- 0.86 degrees C for patients without hemicraniectomy (P < 0.0001). In mixed-model regression, accounting for temperature correlations within patients, hemicraniectomy and higher T Core were associated with greater ?T Core-Br (hemicraniectomy: estimated effect = 0.60, P = 0.003; T Core: estimated effect = 0.21, P < 0.0001). CONCLUSIONS: Hemicraniectomy is associated with modestly but significantly lower brain temperature relative to core body temperature. PMID- 21061188 TI - Pollen-specific expression of Oryza sativa indica pollen allergen gene (OSIPA) promoter in rice and Arabidopsis transgenic systems. AB - Earlier, a pollen-specific Oryza sativa indica pollen allergen gene (OSIPA), coding for expansins/pollen allergens, was isolated from rice, and its promoter- upon expression in tobacco and Arabidopsis--was found active during the late stages of pollen development. In this investigation, to analyze the effects of different putative regulatory motifs of OSIPA promoter, a series of 5' deletions were fused to beta-glucuronidase gene (GUS) which were stably introduced into rice and Arabidopsis. Histochemical GUS analysis of the transgenic plants revealed that a 1631 bp promoter fragment mediates maximum GUS expression at different stages of anther/pollen development. Promoter deletions to -1272, -966, -617, and -199 bp did not change the expression profile of the pollen specificity. However, the activity of promoter was reduced as the length of promoter decreased. The region between -1567 and -199 bp was found adequate to confer pollen-specific expression in both rice and Arabidopsis systems. An approximate 4-fold increase in the GUS activity was observed in the pollen of rice when compared to that of Arabidopsis. As such, the OSIPA promoter seems promising for generation of stable male-sterile lines required for the production of hybrids in rice and other crop plants. PMID- 21061189 TI - Small lesions detectability with the Biograph 16 Hi-Rez PET/CT scanner and fast imaging protocols: performance evaluation using an anthropomorphic thoracic phantom and ROC analyses. AB - OBJECTIVES: The purpose of this study was to evaluate the impact on lesion detectability of fast imaging protocols using 18F-FDG and a 3-dimensional LSO based PET/CT scanner. METHODS: An anthropomorphic thoracic phantom was used simulating the anatomical structures of radioactivity distribution for the upper torso of an underweight patient. Irregularly shaped targets of small dimensions, the zeolites, were located inside the phantom in an unpredictable position for the observers. Target-to background ratios and target dimensions were selected in order to sample the range of detectability. Repeated imaging was performed to acquire PET images with varying emission scan duration (ESD) of 1, 2, 3 and 4 min/bed and background activity concentrations of 10, 5 and 3 kBq/mL in the torso cavity. Three observers ranked the targets and a receiver operating characteristic analysis was performed for each acquisition protocol. RESULTS: Detection performances improved when passing from a short (ESD = 1 min) protocol to longer (ESD C 2 min) protocols. This improvement was established with adequate statistical significance. CONCLUSIONS: Short image acquisition times of 1 min/bed using 18F-FDG and the specific scanner model considered in the study lead to reduced lesion detectability and should be avoided also in underweight patients. PMID- 21061190 TI - Comparison of (99m)Tc-labeled PR81 and its F(ab')2 fragments as radioimmunoscintigraphy agents for breast cancer imaging. AB - OBJECTIVE: We digested anti-MUC1 monoclonal antibody PR81 to produce F(ab')2 fragments. A comparison was performed between the two radiolabeled PR81 and F(ab')2 fragments for breast tumor imaging in a mouse model. METHODS: The optimum conditions for pepsin digestion of PR81 were investigated in terms of enzymes: antibody ratio, digestion time duration and preserved immunoreactivity of the produced fragments. The F(ab')2 fragments were labeled with Technetium-99m using HYNIC as a chelator and tricine as a co-ligand. The immunoreactivity of the complexes was assessed by radioimmunoassay using MCF7 cells. Biodistribution and imaging studies were performed in female BALB/c mice with breast tumor xenograft at 4, 8 and 24 h post-administration. The PR81 was labeled with technetium-99m in the same way for comparison. RESULTS: The optimum time duration for PR81 digestion was found to be 28 h at an enzyme:antibody weight ratio of 1:20 that resulted in 95.2 +/- 4.7% purity. The labeling of intact PR81 and its F(ab')2 fragments were 87.6 +/- 4.2 and 76.1 +/- 3.3% after 1 h, respectively (p value <0.05). The percentage of immunoreactivity of F(ab')2 fragments and intact PR81 were 75.4 +/- 2.1% and 85.7 +/- 2.9%, respectively (p value <0.05). The biodistribution and imaging studies demonstrated localization of the fragments at 4 h post-administration with high sensitivity and specificity. CONCLUSION: The results showed that F(ab')2 fragment of PR81 is more suitable than intact PR81 for safer and more rapid detection of human breast cancer. PMID- 21061191 TI - Predictive value of Tc-99m galactosyl human serum albumin liver SPECT on the assessment of functional recovery after partial hepatectomy: a comparison with CT volumetry. AB - OBJECTIVE: Predicting liver functional reserve is important before partial hepatectomy. However, it is difficult to predict using morphologic imaging modalities, such as CT and MRI. In this study, we assess the usefulness of galactosyl human serum albumin (GSA) scintigraphy in predicting liver function recovery. METHODS: We performed 99mTc-GSA scintigraphy before operation in 56 patients. Each patient was administered 185 MBq of 99mTc-GSA by intravenous injection. Serial images were taken immediately after the administration for 40 min. SPECT images were obtained to make a functional map. We calculated the functioning parameter residual GSA-Rmax (GSA-RL) using analysis software developed by Dr.N. Shuke. In addition, we compared GSA-RL with the morphological parameter residual liver volume (RLV-CT) calculated by conventional CT and serum albumin (Alb) or cholinesterase (ChE). We analyzed the correlation between imaging parameters and the postoperative recovery periods of serum albumin (r Alb) and cholinesterase (r-ChE) and the values at 1 and 3 months for serum albumin (1M-Alb, 3M-Alb) and cholinesterase (1M-ChE, 3M-ChE). RESULTS: We found significant correlations between GSARL and r-Alb, r-ChE, 1M-Alb, 3M-Alb, 1M-ChE and 3M-ChE, but not between RLV-CT and the same parameters. CONCLUSION: The GSA RL calculated by 99mTc GSASPECT was a useful parameter for predicting postoperative liver function recovery that should be implemented before partial hepatectomy. PMID- 21061192 TI - Breast cancer prevention: an update of the STAR trial. PMID- 21061193 TI - Duration of chemotherapy for early stage breast cancer: have we reached the limit? What is the survival impact of amenorrhea? PMID- 21061195 TI - Knockdown of ASIC2a subunit aggravates injury of rat C6 glioma cells in acidosis. AB - Acid-sensing ion channel 1a (ASIC1a) and 2a (ASIC2a) subunits are widely expressed throughout mammalian central nervous system. Activation of Ca2+ permeable ASIC1a homomultimers is largely responsible for acidosis-mediated, glutamate receptorindependent, ischemic neuronal injury. The function of ASIC2a in brain ischemia is less known except that transient global ischemia induces ASIC2a protein expression up-regulation in neurons that survived ischemia. Acidosis is assumed to play a critical role in brain ischemia injury. In the present experiment, rat C6 neuroglioma cells were used to explore the function of ASIC2a. MTT and relative LDH release assay revealed that knockdown of ASIC2a could aggravate the acidosis-induced injury of C6 cells. Through changing extracellular Ca2+ concentration and measuring intracellular calcium fluorescence intensity, it was found that aggravated damage was due to toxic Ca2+ overload via ASICs mechanisms. The current results indicated that, different from ASIC1a, ASIC2a probably played a protective role against the injury induced by extracellular acidosis in C6 cells. PMID- 21061196 TI - Nuclear protein extraction from frozen porcine myocardium. AB - Protocols for the extraction of nuclear proteins have been developed for cultured cells and fresh tissue, but sometimes only frozen tissue is available. We have optimized the homogenization procedure and subsequent fractionation protocol for the preparation of nuclear protein extracts from frozen porcine left ventricular (LV) tissue. This method gave a highly reproducible protein yield (6.5+/-0.7% of total protein; mean+/-SE, n=9) and a 6-fold enrichment of the nuclear marker protein B23. The nuclear protein extracts were essentially devoid of cytosolic, myofilament, and histone proteins. Compared to nuclear extracts from fresh LV tissue, some loss of nuclear proteins to the cytosolic fraction was observed. Using this method, we studied the distribution of tyrosine phosphorylated signal transducer and activator of transcription 3 (PY-STAT3) in LV tissue of animals treated with the beta-agonist dobutamine. Upon treatment, PY-STAT3 increased 30.2+/-8.5-fold in total homogenates, but only 6.9+/-2.1-fold (n=4, P=0.03) in nuclear protein extracts. Of all PY-STAT3 formed, only a minor fraction appeared in the nuclear fraction. This simple and reproducible protocol yielded nuclear protein extracts that were highly enriched in nuclear proteins with almost complete removal of cytosolic and myofilament proteins. This nuclear protein extraction protocol is therefore well-suited for nuclear proteome analysis of frozen heart tissue collected in biobanks. PMID- 21061197 TI - Role of PD-1 in regulating T-cell immunity. AB - Programmed cell death-1 (PD-1) is a member of the CD28 superfamily that delivers negative signals upon interaction with its two ligands, PD-L1 or PD-L2. PD-1 and its ligands are broadly expressed and exert a wider range of immunoregulatory roles in T cells activation and tolerance compared with other CD28 members. Subsequent studies show that PD-1-PD-L interaction regulates the induction and maintenance of peripheral tolerance and protect tissues from autoimmune attack. PD-1 and its ligands are also involved in attenuating infectious immunity and tumor immunity, and facilitating chronic infection and tumor progression. The biological significance of PD-1 and its ligand suggests the therapeutic potential of manipulation of PD-1 pathway against various human diseases. In this review, we summarize our current understanding of PD-1 and its ligands ranging from discovery to clinical significance. PMID- 21061199 TI - Dedicated issue on rural health: inspiration, celebration, and a challenge for the future. PMID- 21061200 TI - New medical school engages rural communities to conduct regional health assessment. AB - BACKGROUND AND OBJECTIVES: Engaging communities in authentic partnerships is increasingly accepted as best practice in both medicine and public health, despite the many barriers to doing so. New medical schools have an opportunity to incorporate community engagement into their very foundation. In rural northeast Pennsylvania, a new medical school used a regional health assessment to engage community partners across the 16 counties it serves. METHODS: A community health advisory board guided the development and implementation of a key informant focus group methodology. Twenty-three focus groups were held. Themes were generated using content analysis involving 21 observers along with the principal investigators. RESULTS: A total of 221 representatives of 195 agencies from across the region participated. Twelve themes relating to needs were discussed in more than 75% of focus groups. The findings revealed barriers to improving health in the region, including lack of access to preventive services, to primary care and specialty providers, and to basic mental health services. Consistent themes related to strengths and expectations for the new medical school also emerged. CONCLUSIONS: Holding focus groups across the region allowed community service providers to connect to a new medical school, despite distances in the rural region. Partnerships with community agencies and providers are evolving. Findings from the study regarding needs and strengths in rural communities have been incorporated into the school's curriculum and research agenda. Dissemination efforts have focused on communicating findings to community partners in formats and venues that are useful for them. PMID- 21061201 TI - Is there equivalency between students in a longitudinal, rural clerkship and a traditional urban-based program? AB - BACKGROUND: Demonstrating the equivalency between the traditional metro-based clerkships within close proximity to the academic health center and the nontraditional rural preceptorships is important. The University of Minnesota has had a 9-month longitudinal rural elective for third-year medical students for 40 years, the Rural Physician Associate Program (RPAP). In the metro area, traditional students rotate through clerkships of 4 to 8 weeks in length. Both cohorts of students are evaluated in similar ways. METHODS: We analyzed the test scores and demographic data for two cohorts of students: RPAP (n=201) and traditional (n=1,129) who graduated between 2004 and 2009. Tests included pre medical school data (Medical College Admission Tests, college grade point averages) as well as National Board of Medical Examiners subject examinations (shelf), US Medical Licensing Examination Step One and Two (Clinical Knowledge and Clinical Skills), and an Objective Structured Clinical Examination (OSCE). Scores were analyzed using descriptive/comparative statistics for the two groups of students. RESULTS: For the most part, RPAP students performed similarly to students in the traditional metro-based curriculum on the standard educational outcome metrics. On the obstetrics shelf, while a similar proportion of the RPAP students passed, they scored statistically significantly lower (traditional: median 72 (range 50-98) versus RPAP: 71 (51-89). DISCUSSION: This study is the largest cohort demonstrating equivalency between students taking a rural longitudinal clerkship and their metro-trained colleagues. PMID- 21061202 TI - Qualitative differences between traditional and rural-longitudinal medical student OSCE performance. AB - BACKGROUND AND OBJECTIVES: To ensure adequate observation, supervision, and mentoring of trainees, long-term preceptorships or apprenticeships are being reestablished in medical education. Equivalence in academic performance has been demonstrated between longitudinal students in the Rural Physician Associate Program (RPAP), who spend 9 months in a rural community during their third year of medical school, and their peers who complete their clerkships at different hospitals and clinics (traditional). We qualitatively reviewed the end of session Objective Structured Clinical Examination (OSCE) for both groups and compared their performances. METHODS: The high and low performers on four OSCE scenarios (cough, dysuria in a teen, preventive care in an older male, medication reconciliation) for two cohorts of students: longitudinal (n=47) and traditional primary care clerkship students (n=60) were selected for review. These 16 videotapes were reviewed independently by three researchers. The themes and subthemes were discussed over four meetings. RESULTS: Both high and low scoring longitudinal students demonstrated more consistent use of rapport building skills. Longitudinal students appeared to have an effective pattern in their patient interactions and were more rehearsed at explaining preventive care recommendations such as the pros and cons of the prostate-specific antigen (PSA) test. Traditional students displayed a more complete mastery of the adolescent interview and followed a mnemonic taught during lecture. CONCLUSIONS: Qualitative assessment of OSCE data reveals information not captured in the quantitative scores. In this study, longitudinal students demonstrated better mastery of rapport building and content knowledge and had an effective routine to their patient encounters not evident in the traditional students' scenarios. PMID- 21061203 TI - OB fellowship outcomes 1992-2010: where do they go, who stops delivering, and why? AB - BACKGROUND AND OBJECTIVES: This study describes characteristics and the evolution of the careers of graduates from a 1-year post-residency fellowship program whose primary objectives included clinical skills in Cesarean section. Besides obstetrical practice, rural service and attainment of faculty appointment were used as surrogate measures of fulfilling an underserved need for family medicine obstetrics. METHODS: For 18 years, the authors maintained contact with all 80 physicians completing 1-year fellowships in family medicine obstetrics in Memphis and Nashville. The founding chair of these programs surveyed each physician and maintained a network of contacts to study outcomes such as graduation, service location, hospital privileges, retention, and career changes. RESULTS: The study tracked 100% of the sample and documented high rates of fellowship completion (74/80 [93%]), Cesarean privileges (71/74 [96%]), and service in a rural community for at least 2 years (47/74 [64%]). The fellowship was also associated with participation as faculty (36/74 [46%]). CONCLUSIONS: This paper produces the first and longest-term data describing attrition over time and examines the reasons why fellowship-trained family physicians stop doing maternity care. It is the only series with a 100% response rate and provides longitudinal data on the outcomes of these fellowship programs. Attrition was highest at rural sites. Workforce planners and fellowship designers might benefit from these considerations. PMID- 21061204 TI - Outcomes of a preclinical rural medicine elective at an urban medical school. AB - BACKGROUND AND OBJECTIVES: The University of Louisville School of Medicine Trover Campus (ULTC) was established in rural west Kentucky in 1998 with the purpose of increasing the number of rural physicians. Utilizing the affinity model, a primary goal of the ULTC is to encourage rural students to pursue a medical education and return to rural Kentucky for practice. One aspect of this geographically separate clinical campus includes a Rural Medicine Elective (RME) offered during the basic science years. We report here the effect of the RME on student opinions and knowledge concerning rural practice, as well as initial effects on specialty and rural practice choice. METHODS: Opinion responses and knowledge on a written exam using a pre-RME and post-RME survey for the 2004-2009 classes were analyzed. Pre-RME opinion items were examined descriptively (n=36). Pre-and post-opinion responses (n=23) and summation scores of 11 domains on exam questions (n=50) were compared using the Wilcoxon Signed Rank test. The proportion of students choosing family medicine and subsequent practice site choice were also measured. RESULTS: RME student opinions about rural practice indicated improved agreement with information as presented in the course material. Similarly, on 11 knowledge examination summation scores, pre- and post exam results showed significant increases in 10 domains. The pre-test answers provided an interesting baseline of beliefs. RME students were far more likely to choose family medicine than their classmates, and initial results show an increased likelihood of subsequent rural practice. CONCLUSIONS: The initial outcomes of the RME are encouraging and indicate such an elective can maintain positive opinions about rural practice among rural students attending an urban medical school. The RME is also successful in increasing students' knowledge about rural practice and may maximize the likelihood that they will choose rural practice. PMID- 21061205 TI - Family Medicine Spokane Rural Training Track: 24 years of rural-based graduate medical education. AB - Twenty percent of the US population lives in rural communities, but only about 9% of the nation's physicians practice in those communities. There is little doubt that the more highly specialized physicians are, the less likely they are to practice or settle in rural areas. There is clearly a population threshold below which it is not feasible for specialist (in contrast to generalist) physicians to pursue the specialty in which they have trained. Much of rural America falls below that threshold. This leaves large geographic areas of America to the primary care physician. The proportional supply of family physicians to specialists increases as urbanization decreases. Family physicians are the largest single source of physicians in rural areas. Family medicine residency programs based in rural locations provide a critical mechanism for addressing rural primary care needs. Graduates from rural residency programs are three times more likely to practice in rural areas than urban residency program graduates. There are two primary goals of training residents in rural areas: producing more physicians who will practice in rural areas and producing physicians who are better prepared for the personal and professional demands of rural practice. Rural Training Tracks, where the first year of residency is completed in an urban setting and the second and third years at a rural site (1-2 model), initially proposed by Family Medicine Spokane in 1985, have been highly successful in placing and maintaining more than 70% of their graduates in rural communities. Similar and modifications of the "Spokane RTT model" have been established around the country. Now, more than 24 years of educational experience has been accumulated and can be applied to further development of these successful family medicine residency programs. PMID- 21061206 TI - Scholars in Rural Health: outcomes from an assured admissions program. AB - BACKGROUND: The Scholars in Rural Health program is designed to attract and retain young rural Kansans with a high probability of successful careers in rural communities. This program shapes the students' experiences toward rural health in preparation for entry into medical school. Scholars accepted into and satisfactorily completing this program are admitted automatically to the School of Medicine. METHODS: A retrospective review of all Scholars program data was completed to evaluate if intended outcomes were achieved. All candidates who were admitted into the program since its inception in 1997 were included. Program data included information from application through residency graduation and establishing a practice. RESULTS: Since 1997, 104 candidates have been selected to participate in the Scholars in Primary Care or Scholars in Rural Health program. Of the 40 who completed medical school, 30 (72%) matched to a primary care residency program; 21 (50%) matched to family medicine. Of the 19 residency graduates, 16 (84%) practice in rural or urban medically underserved communities. Eighteen practice in Kansas. DISCUSSION: As one component of the effort to provide physicians for Kansas, the Scholars in Rural Health program showed beneficial outcomes for attracting applicants who want to practice in rural or other medically underserved communities and who maintain that interest over the long process of medical education. The designation of medical school positions for 14 Scholars in Rural Health enhances the likelihood of success for maintaining a pipeline of physicians for rural Kansas. PMID- 21061207 TI - Toward a common framework for rural background. AB - BACKGROUND AND OBJECTIVES: To develop a standardized framework to discuss rural background, a review of the literature to identify studies regarding the association of rural background with primary care and/or rural health practice was conducted. The various definitions of rural background were collated and from this data a framework for the conceptualization of rural background is proposed. METHODS: The Medline database from 1966-2009 was searched to find citations that included a rural background definition as a variable for rural intent to practice, rural career choice, or rural practice. Studies that included medical school admission outcomes of US medical schools were included if there was (1) a definition of rural background noted, (2) a research-based question, and (3) a defined outcome of rural practice intent or practice in rural area. RESULTS: A full text review was completed for all 45 articles identified. After applying the inclusion and exclusion criteria to the full length articles, there were 15 articles included in the review. The five most common definitions of rural background were (1) a positive answer to the question "Did you grow up in a rural area"? (2) a rural county of birth, (3) grew up in a town of less than 10,000 persons, (4) graduation from a high school located in a town of less than 10,000 persons, and (5) self-declared rural county of residence. CONCLUSIONS: There are multiple terms used in research to elucidate the conceptualization of rural background. Based on a definition of rural as the "anchor," we propose the use of the five most common definitions of rural background as "rural connectors" that can be used to buttress the definition of rural background. The framework of rural connectors can then be used to more closely define rural background. PMID- 21061208 TI - Chronic disease management: teaching medical students to incorporate community. AB - BACKGROUND AND OBJECTIVES: As a response to the growing prevalence of chronic disease, models of chronic care have emerged as salient approaches to address dynamic health care changes and to manage the burden of suffering of these diseases. Concurrently, there has been a growing call to address chronic disease management within medical school curricula. This article describes the development and evaluation of a curricular intervention designed to prepare students to integrate patient-centered care with an understanding of the patients' community, provide care within rural settings, and experience clinical education specific to chronic disease management. METHODS: Second-year medical students completed a chronic disease management project as part of a 4-week community visit in rural and/or medically underserved sites. Paired pre- and post survey data were collected using the Community Oriented Health Care Competency Scale to assess the student's knowledge, intent to practice, and attitudes toward incorporating community-oriented primary care into future practice. RESULTS: Matched pre- and post-project surveys were identified for 170 respondents out of 219 students (77.6% response rate). Post-assessment items were found to be statistically different from measures collected prior to the students' entrance into the community: all knowledge questions indicated significant advancements toward community responsiveness, as did one question related to attitude and three of the intent to practice community-oriented health care questions. CONCLUSIONS: Community-based rotations can play a positive role in developing the competencies needed for future practice. The development of curricular opportunities designed to train future physicians on the value of incorporating models of chronic care within rural and underserved communities should remain at the forefront of medical education. PMID- 21061209 TI - How can medical schools meet the expectations of community partners over time? PMID- 21061210 TI - Community physician leadership: collaboration is key. PMID- 21061211 TI - Response to "Let's break down the barriers". PMID- 21061212 TI - Pharmaceutical advertisements, citations, and trust. PMID- 21061213 TI - Effect of sophoridine on dextran sulfate sodium-induced colitis in C57BL/6 mice. AB - Sophoridine (SRI), one of the quinolizidine alkaloids, is a new anticancer drug with noticeable antitumor action and lower toxicity. To our knowledge, there is no report about its effect on colitis. Repeated colitis was induced by administration of four cycles of 4% DSS. The severity of colitis was assessed on the basis of clinical signs, colon length and histology scores. Moreover, cecum secretory immunoglobulin A (sIgA) and plasma haptoglobin (HP) were analyzed by enzyme-linked immunosorbent assay and ICAM-1, and macrophage migration inhibitory factor (MIF) gene expression was analyzed by quantitative reverse transcriptase real-time polymerase chain reaction using SYBR Green I. SRI administration significantly attenuated the damage and caused substantial reduction of the rise in plasma HP, and maintained the level of cecum sIgA. SRI inhibited the ICAM-1 gene expression and had no effect on MIF gene expression. In conclusion, for the first time, the activity of SRI on DSS-induced colitis mice was investigated, which suggests that SRI could be an attractive therapeutic option in the treatment of inflammatory bowel disease. PMID- 21061214 TI - Two new lignan glycosides from the seeds of Cuscuta chinensis. AB - Two new lignan glycosides, 2'-hydroxyl asarinin 2'-O-beta-D-glucopyranoside (cuscutoside C, 1) and 2'-hydroxyl asarinin 2'-O-beta-D-apiofuranosyl-(1 -> 2) [beta-D-glucopyranosyl-(1 -> 6)]-beta-D-glucopyranoside (cuscutoside D, 2), were isolated from the seeds of Cuscuta chinensis Lam., along with six known compounds, 2'-hydroxyl asarinin 2'-O-beta-D-glucopyranosyl-(1 -> 6)-beta-D glucopyranoside (3), 2'-hydroxyl asarinin 2'-O-beta-D-apiofuranosyl-(1 -> 2)-beta D-glucopyranoside (cuscutoside A, 4), kaempferol 3,7-di-O-beta-D-glucopyranoside (5), 5-caffeoyl quinic acid (6), 4-caffeoyl quinic acid (7), and cinnamic acid (8). Their structures were elucidated on the basis of spectroscopic analyses including HR-ESI-MS, ESI-MS/MS, (1)H and (13)C NMR, HSQC, HMBC, and TOCSY. PMID- 21061215 TI - Concise total synthesis of largazole. AB - The concise total synthesis of largazole was accomplished. The key step included the use of the Nagao thiazolidinethione auxiliary for the diastereoselective acetate aldol reaction and it acts as an acylating agent for the peptide formation. PMID- 21061216 TI - Two new flavonoid glycosides from Artemisia frigida Willd. AB - An investigation of the n-BuOH-soluble fraction from the aerial parts of Artemisia frigida has led to the isolation of two new flavonoid glycosides, named friginoside A and friginoside B. Their structures were characterized as 5,7 dihydroxy-3',4',5'-trimethoxy flavone 7-O-beta-d-glucuronide (1) and 5,7 dihydroxy-3',4',5'-trimethoxyflavone 7-O-beta-d-glucuronyl-(1 -> 2)O-beta-d glucuronide (2) on the basis of 1D and 2D NMR spectral analysis. PMID- 21061217 TI - Hydrolysis of timosaponin BII by the crude enzyme from Aspergillus niger AS 3.0739. AB - Timosaponin BII (1), a steroidal saponin showing potential anti-dementia activity, was regioselectively hydrolyzed into its deglycosyl derivatives by the crude enzyme from Aspergillus niger AS 3.0739. Three biotransformation products, timosaponin BII-a (2), timosaponin BII-b (3), and timosaponin BII-c (4), were purified and their structures were elucidated on the basis of 1D NMR, 2D NMR, FAB MS, and HR-ESI-MS spectral data. Compounds 2 and 3 are new compounds. PMID- 21061218 TI - Two new compounds from Urena lobata L. AB - Two new compounds 1 and 2 have been isolated from the aerial parts of Urena lobata L. The structures of the two new compounds were established as ceplignan-4 O-beta-d-glucoside (1) and 2,5-dihydroxy benzoic acid-7-(2,6-dimethyl-6-hydroxy 2,7-octadienoic acid) anhydride-5-O-beta-d-apiofuranosyl(1 -> 2)-beta-d-glucoside (urenoside A) (2), on the basis of chemical and spectral evidence, including 1D and 2D NMR spectroscopic data as well as mass spectrometry (HR-ESI-MS). PMID- 21061219 TI - Conversional studies towards taxoids from C(19)-diterpenoid alkaloids by the BAC sequence. AB - The conversional synthesis of taxoids by the BAC sequence from the C(19) diterpenoid alkaloids, 14-acetyltalatisamine (1), yunaconitine (12), and 14 acetylchasmanine (19), was designed and explored. Two aconane-type diterpenes 17 and 28, the advanced intermediates for our conversional synthesis, were synthesized. The key steps include the rupture of the C(7)-C(17) bond, the formation of imine, and the denitrogenation. PMID- 21061220 TI - Synthesis and biological evaluation of novel benzyl-substituted flavones as free radical (DPPH) scavengers and alpha-glucosidase inhibitors. AB - Pharmacologically motivated natural product investigations have yielded a large variety of structurally unique lead compounds with interesting biomedical properties, but the natural roles of these molecules often remain unknown. In the present investigation, a series of benzyl substituted-flavone derivatives have been synthesized from the lead compounds and were screened against 1,1-diphenyl-2 picrylhydrazyl (DPPH) free radical scavenging and alpha-glucosidase inhibitory properties. The resulting activity profiles of these flavone derivatives were compared for degree of similarity to the profile of 1-3. Most of the synthesized derivatives displayed potent activities when compared to the parent compounds. Maximum potencies for DPPH free radical scavenging activity were observed only in compounds containing the 4-hydroxyl substitution and 3-methoxyl group on the phenyl ring. While the 2- and 4-hydroxyl group substitutions on the phenyl ring seem to be crucial for the intestinal alpha-glucosidase inhibitory activity. PMID- 21061221 TI - Isolation and characterization of cytotoxic compounds from Euphorbia cornigera Boiss. AB - Methanolic extract of Euphorbia cornigera shoots was separated using HPLC, affording compounds 1-4. Their structures and relative stereochemistry were established after obtaining their spectroscopic (IR, (1)H, (13)C NMR COSY-45 degrees , HOHAHA, HSQC, HMBC, NOESY, and mass measurement) data. On the basis of these data, the compounds were characterized as 3-O-(2,3-dimethylbutanoyl)-13-O dodecanoyl-20-O-tetradecanoylingenol (1), 3-O-decanoyl-20-O-hexanoylingenol (2), 3-O-(2,3-dimethylbutanoyl)-13-O-dodecanoyl-20-O-hexadecanoylingenol (3), and 13-O dodecanoyl-20-O-hexanoylingenol (4); among these compounds, two (1 and 2) were new metabolites while the rest (3 and 4) were known. The MTT cytotoxicity assay was carried out using amrubicin hydrochloride as a positive control. Compound 1 displayed IC(50) as 5.0 and 2.9 MUM against RAW and HT-29 cell lines, respectively, which is 5- and 1.5-folds stronger than the control with IC(50) values of 25 and 4.36 MUM, respectively. PMID- 21061222 TI - Tephrosin-induced autophagic cell death in A549 non-small cell lung cancer cells. AB - Anticancer effect of tephrosin (1) has been documented; however, the molecular mechanisms underlying the cytotoxicity of tephrosin in cancer cells remain unclear. In the present paper, the proliferation inhibition rate of several cancer cells was tested using the MTT assay; cell cycle, reactive oxygen species (ROS), and mitochondrial membrane potential (MMP) were determined by flow cytometry; poly(ADP-ribose) polymerase (PARP) cleavage and heat shock protein 90 (Hsp90) expression were evaluated by Western blotting; autophagy was examined by confocal microscopy and light chain 3 (LC3) conversion assay. The results showed that exposure of the cells to tephrosin induced significant proliferation inhibition in a dose-dependent manner, especially on A549 with G(2)/M being arrested. Tephrosin was not found to induce cell apoptosis as PARP cleavage was not detected after 24 h treatment, but the formation of acidic vesicular organelle of autophagy character was found, and autophagy was further confirmed by the increase in the ratio of LC3-II to LC3-I. It was observed that tephrosin induced ROS generation and Hsp90 expression inhibition. These results indicate that tephrosin induces A549 cancer cell death via the autophagy pathway, and the roles of ROS generation and Hsp90 expression inhibition in this process need further study in the future. PMID- 21061223 TI - Two new resorcinols from Sargassum thunbergii. AB - Two new resorcinols, 1-(5-acetyl-2,4-dihydroxyphenyl)-3-methylbutan-1-one (1) and 1-(5-acetyl-2-hydroxy-4-methoxyphenyl)-3-methylbutan-1-one (2), have been isolated from the brown algae Sargassum thunbergii (Mert.) O'Kuntze. Their structures were elucidated on the basis of spectroscopic methods. PMID- 21061224 TI - Lycopodium alkaloids from Huperzia serrata. AB - A new lycopodane-type Lycopodium alkaloid, 6alpha-hydroxy-5,15-oxide-lycopodane (1), and seven known alkaloids were isolated from the whole plants of Huperzia serrata. Their structures were elucidated by means of spectroscopic methods. 12 Deoxyhuperzine O (2) was reported as a naturally occurring alkaloid for the first time, and showed an antagonist effect on the N-methyl-d-aspartate receptor with an IC(50) value of 0.92 MUM. PMID- 21061225 TI - Scyphiphin D, a new iridoid glucoside dimer from Scyphiphora hydrophyllacea. AB - From the aerial parts of Scyphiphora hydrophyllacea Gaertn. F., a new iridoid glucoside dimer scyphiphin D (1) and a known iridoid glucoside geniposidic acid (2) were isolated. The structure of this new compound was determined on the basis of HR-FAB-MS, IR, (1)H and (13)C NMR (DEPT), and 2D NMR (HMQC, HMBC, COSY, ROESY) spectral data. PMID- 21061226 TI - Conflict-dependent dynamic of subthalamic nucleus oscillations during moral decisions. AB - Although lesional, neuroimaging, and brain stimulation studies have provided an insight into the neural mechanisms of judgement and decision-making, all these works focused on the cerebral cortex, without investigating the role of subcortical structures such as the basal ganglia. Besides being an effective therapeutic tool, deep brain stimulation (DBS) allows local field potential (LFP) recordings through the stimulation electrodes thus providing a physiological "window" on human subcortical structures. In this study we assessed whether subthalamic nucleus LFP oscillations are modulated by processing of moral conflictual, moral nonconflictual, and neutral statements. To do so, in 16 patients with Parkinson's disease (8 men) bilaterally implanted with subthalamic nucleus (STN) electrodes for DBS, we recorded STN LFPs 4 days after surgery during a moral decision task. During the task, recordings from the STN showed changes in LFP oscillations. Whereas the 14--30 Hz band (beta) changed during the movement executed to perform the task, the 5--13 Hz band (low-frequency) changed when subjects evaluated the content of statements. Low-frequency band power increased significantly more during conflictual than during nonconflictual or neutral sentences. We conclude that STN responds specifically to conflictual moral stimuli, and could be involved in conflictual decisions of all kinds, not only those for moral judgment. LFP oscillations provide novel direct evidence that the neural processing of conflictual decision-making spreads beyond the cortex to the basal ganglia and encompasses a specific subcortical conflict dependent component. PMID- 21061227 TI - A genetic contribution to cooperation: dopamine-relevant genes are associated with social facilitation. AB - Social loafing and social facilitation are stable behavioral effects that describe increased or decreased motivation, as well as effort and cooperation in teamwork as opposed to individual working situations. Recent twin studies demonstrate the heritability of cooperative behavior. Brain imaging studies have shown that reciprocity, cooperativeness, and social rewards activate reward processing areas with strong dopaminergic input, such as the ventral striatum. Thus, candidate genes for social behavior are hypothesized to affect dopaminergic neurotransmission. In the present study, we investigated the dopaminergic genetic contribution to social cooperation, especially to social loafing and social facilitation. N = 106 healthy, Caucasian subjects participated in the study and were genotyped for three polymorphisms relevant to the dopaminergic system (COMTval158met, DRD2 c957t, DRD2 rs#2283265). In addition to a main effect indicating an increased performance in teamwork situations, we found a significant interaction between a haplotype block covering both DRD2 single nucleotide polymorphisms (SNPs) (rs#6277 and rs#2283265), henceforth referred to as the DRD2-haplotype block, and the COMT val158met polymorphism (rs#4680) with social facilitation. Carriers of the DRD2 CT-haplotype block and at least one Val allele showed a greater increase in performance in teamwork settings when compared with carriers of the CT-haplotype block and the Met/Met-genotype. Our results suggest that epistasis between COMTval158met and the two DRD2 SNPs contributes to individual differences in cooperativeness in teamwork settings. PMID- 21061228 TI - Cycloaddition chemistry of allenamides. AB - Allenamides are electron-deficient equivalents of allenamines, which can participate in a range of cycloaddition reactions giving rise to novel heterocycles and diverse molecular architectures similar to those contained in natural products. This review summarizes some of the research conducted in this field, with particular reference to predicting the stereochemical outcomes of such transformations. The applications of allenamides in cycloaddition transformations are highlighted, providing insight into the potential utility of this under-used synthon. PMID- 21061229 TI - Using more environmentally friendly solvents and benign catalysts in performing conventional organic reactions. AB - The protection of the environment from toxic materials is an area of increasing concern. This review describes selected chemical processes that use more environmentally friendly solvents and less toxic catalysts. For example, water, ionic liquids and supercritical fluids have demonstrated promising activity as alternative benign reaction media for various reactions. The advantages of neat reactions in the absence of any solvent and the use of fluorous compounds as phase-separable catalysts are also described. PMID- 21061230 TI - Pictet-Spengler reactions for the synthesis of pharmaceutically relevant heterocycles. AB - The synthesis of biologically active heterocyclic scaffolds is one of the significant challenges of modern synthetic chemistry. The Pictet-Spengler (PS) reaction, known for approximately a century, remains a particularly popular cyclization method. This review describes recent applications of the PS reaction in the total synthesis of alkaloids and biologically active analogs of tetrahydroisoquinoline and tetrahydro-beta-carboline. The utility of PS cyclization in the synthesis of a range of heterocyclic scaffolds is also described. PMID- 21061231 TI - Highly reactive 4-membered ring nitrogen-containing heterocycles: Synthesis and properties. AB - 4-Membered nitrogen-containing heterocycles, such as beta-lactams (ie, 2 azetidinones) and azetidines, are useful substrates in organic chemistry for the design and preparation of biologically active compounds by functionalization of the different positions of the ring. In addition, these compounds are versatile building blocks for the synthesis of other types of nitrogen-containing compounds with potential biological properties. This review summarizes recent data regarding the preparation and properties of 4-membered nitrogen-containing heterocyclic rings, as well as their biological activities. PMID- 21061232 TI - Homogenous asymmetric hydrogenation: Recent trends and industrial applications. AB - Recent advances in the field of homogeneous asymmetric hydrogenation are presented in this review. An analysis of academic literature published in the past 2 years highlights significant advances in the asymmetric hydrogenation of functional groups that previously were considered difficult to hydrogenate, as well as the emergence of novel concepts in catalysis, such as the use of non traditional metals, phosphine-free catalysts and chiral counterions. An analysis of industry publications from 2009 and 2010 highlights more established applications of asymmetric hydrogenation reactions; these are discussed with a particular focus on practical aspects, such as catalyst selection, experimental conditions and the removal of metal residues. PMID- 21061233 TI - Catalysis in aqueous media for the synthesis of drug-like molecules. AB - The design of straightforward and practical chemical syntheses that satisfy economic and ecological constraints is a major challenge in R&D. Ideally, a sustainable chemical synthesis should be safe, environmentally benign, reasonable in terms of cost, and careful in the use of resources and energy. The capability to develop synthetic strategies that meet these criteria is a priority in the manufacture of fine chemicals and pharmaceuticals, considering that the health and welfare of society increasingly depend on such industries. Among the various objectives to be pursued in sustainable manufacturing, this review focuses on two main goals: (i) the minimization of waste; and (ii) the replacement of toxic and volatile organic solvents with alternative, safer solvents - specifically water. In this context, the development of methods for catalysis in alternative reaction media is recognized as one of the most important approaches in modern chemical production. PMID- 21061234 TI - Stereoselective heterocycle synthesis through oxidative carbon-hydrogen bond activation. AB - Heterocycles are ubiquitous structures in both drugs and natural products, and efficient methods for their construction are being pursued constantly. Carbon hydrogen bond activation offers numerous advantages for the synthesis of heterocycles with respect to minimizing the length of synthetic routes and reducing waste. As interest in chiral medicinal leads increases, stereoselective methods for heterocycle synthesis must be developed. The use of carbon-hydrogen bond activation reactions for stereoselective heterocycle synthesis has produced a range of creative transformations that provide a wide array of structural motifs, selected examples of which are described in this review. PMID- 21061235 TI - Eliminating pharmaceutical impurities: Recent advances in detection techniques. AB - The elimination of organic impurities to produce highly pure drug substances is an important goal of process chemistry. For the detection of general impurities, hyphenated techniques (eg, liquid chromatography-mass spectrometry [LC-MS]) play a critical role in rapid structural identification (qualitative detection) and in understanding the mechanisms of formation of the impurities, enabling informed decisions to control and eliminate the impurities resulting from the chemical process where possible. Concern regarding genotoxic impurities (GTIs), which must typically be controlled at low parts-per-million limits, continues to increase, and significant advances have been achieved in recent years for the selective and sensitive quantitation (quantitative detection) of such impurities. Conventional detection techniques, such as ultraviolet (UV) detection, are often inadequate for the detection of potentially minute quantities of GTIs; therefore, various advanced MS-based detection strategies, either stand-alone or in conjunction with chemical approaches, are playing an increasing role in this field. The primary aim of this review is to highlight recent advances in qualitative and quantitative detection of impurities at trace levels, with a particular focus on GTIs. PMID- 21061236 TI - Enantioselective synthesis of substituted oxindoles and spirooxindoles with applications in drug discovery. AB - This review describes recent methods for the enantioselective synthesis of oxindoles and spirooxindoles, with a particular focus on scaffolds with applications in drug discovery. The synthetic challenge of the spiro-motif and the important biological activity of spirooxindoles continue to encourage the development of creative methods to access these important structures. Unique spirocycles often result from creative synthetic methods that would not typically be identified using classical synthetic disconnections. To establish the importance of asymmetric synthesis in the context of oxindole structures, recent examples are highlighted in which stereospecific binding and differential biological activity have been demonstrated based on the configuration at the 3 position. This review is organized by type of catalyst and synthetic strategy in order to compare traditional organometallic and Lewis acid methods with more recent organocatalytic methods. A section describing multicomponent and cascade reaction strategies is also included. PMID- 21061237 TI - Synthesis of polyketide natural products and analogs as promising anticancer agents. AB - Recent highlights in the synthesis of polyketide natural products and structural analogs as promising anticancer agents are described, focusing on the halichondrins and eribulin (Eisai), together with recently published research on bryostatin, dictyostatin, spongistatin, peloruside, spirastrellolide, palmerolide, reidispongiolide, spirangien and saliniketals. These examples demonstrate the centrality of bioactive polyketides in current and future anticancer drug discovery, and the increasingly key role of efficient total synthesis in providing a sustainable supply of such compounds for drug development. PMID- 21061238 TI - [Impact of systematic lymph node dissection in the resection of pulmonary metastases of solid extrapulmonary tumours]. AB - BACKGROUND: The systematic mediastinal and hilar lymph node dissection for the treatment of pulmonary metastases has, until now, not attracted much attention. A possible advantage of systematic lymph node dissection is an improved staging and a better locoregional tumour control due to resection of tumour micrometastases and the disintegration of lymphatic vessels. Aim of the study was to investigate the impact of mediastinal and hilar lymphnode metastases on the prognosis of the patient. METHODS AND RESULTS: Between 1978 and 2006, 249 patients underwent resection of pulmonary metastases from renal cell (91), colorectal (89), breast (45) and head and neck cancer (24), in combination with a systematic mediastinal and hilar lymphnode dissection. Survival was analysed by the Kaplan-Meier method. Multivariate analysis was performed using Cox regression analysis. Lymph node metastases were histologically demonstrated in 25.3 % of all patients. They did not correlate with the tumour grading and lymph node status of the primary tumour or the number of pulmonary metastases. Patients with lymph node metastases had a significantly shorter median survival than patients without (18 vs. 53 months, p < 0.001). Patients who underwent a systematic mediastinal and hilar lymph node dissection showed a trend to a prolonged survival (39.1 vs. 31.9 months, p = 0.089). CONCLUSION: Mediastinal and hilar lymph node metastases are significantly correlated with decreased survival. Systematic mediastinal and hilar lymphadenectomy provides valuable information for the staging and prognosis of patients with pulmonary metastases of kidney, head and neck, breast and colorectal cancers and may help to distinguish those patients who might profit from a more aggressive surgical therapy or an adjuvant therapy. PMID- 21061239 TI - The influence of nanoscale topographical cues on initial osteoblast morphology and migration. AB - The natural environment of a living cell is not only organized on a micrometer, but also on a nanometer scale. Mimicking such a nanoscale topography in implantable biomaterials is critical to guide cellular behavior. Also, a correct positioning of cells on biomaterials is supposed to be very important for promoting wound healing and tissue regeneration. The exact mechanism by which nanotextures can control cellular behavior are thus far not well understood and it is thus far unknown how cells recognize and respond to certain surface patterns, whereas a directed response appears to be absent on other pattern types. Focal adhesions (FAs) are known to be involved in the process of specific pattern recognition and subsequent response by cells. In this study, we used a high throughput screening "Biochip" containing 40 different nanopatterns to evaluate the influence of several nanotopographical cues like depth, width, (an)isotropy and spacing (ridge-groove ratio) on osteoblast behavior. Microscopical analysis and time lapse imaging revealed that an isotropic topography did not alter cell morphology, but it highly induced cell motility. Cells cultured on anisotropic topographies on the other hand, were highly elongated and aligned. Time-lapse imaging revealed that cell motility is highly dependent on the ridge-groove ratio of anisotropic patterns. The highest motility was observed on grooves with a ratio of 1:3, whereas the lowest motility was observed on ratios of 1:1 and 3:1. FA measurements demonstrated that FA-length decreased with increasing motility. From the study it can be concluded that osteoblast behavior is tightly controlled by nanometer surface features. PMID- 21061240 TI - Separating early sensory neuron and blood vessel patterning. AB - The anatomical association between sensory nerves and blood vessels is well recognised in the adult, and interactions between the two are important during development. Here we have examined the relationship between developing blood vessels and sensory neuronal cell bodies, which is less well understood. We show in the chick that the nascent dorsal root ganglia (DRG) lie dorsal to the longitudinal anastomosis, adjacent to the developing neural tube at the level of the sulcus limitans. Furthermore, the blood vessel is present prior to the neurons suggesting that it may play a role in positioning the DRG. We use the zebrafish cloche mutation to analyse DRG formation in the absence of blood vessels and show that the DRG are positioned normally. Thus, despite their close anatomical relationship, the patterning of the blood vessel and DRG alongside the neural tube is separable rather than interdependent. PMID- 21061241 TI - More forearm fractures among urban than rural women: the NOREPOS study based on the Tromso study and the HUNT study. AB - Higher rates of hip fracture and all fractures combined have been observed in urban compared with rural areas, but whether there are urban-rural differences in distal forearm fracture rates is less studied. The aim of this longitudinal study was to compare the incidence of forearm fracture in postmenopausal women in urban and rural areas in Norway and to investigate risk factors that could explain potential fracture differences. The study included data from 11,209 women aged 65 years or more who participated in two large health studies, the Tromso Health Study in 1994-1995 and the Nord-Trondelag Health Study in 1995-1997. Forearm bone mineral density (BMD) was measured by single-energy X-ray absorptiometry in a subsample of women (n = 7333) at baseline. All women were followed with respect to hospital-verified forearm fractures (median follow-up 6.3 years). A total of 9249 and 1960 women lived in areas classified as rural and urban, respectively. Urban women had an increased forearm fracture risk [relative risk (RR) = 1.29, 95% confidence interval (CI) 1.09-1.52] compared with women in rural areas. Rural women had higher body mass index (BMI) than urban women, and the RR was moderately reduced to 1.21 (95% CI 1.02-1.43) after BMI adjustments. Rural women had the highest BMD. In the subgroup with measured BMD, adjustments for BMD changed the urban versus rural RR from 1.21 (95% CI 0.96-1.52) to 1.05 (95% CI 0.83-1.32), suggesting that BMD is an important explanatory factor. In conclusion, higher rates of forearm fractures was found in urban compared with rural women. PMID- 21061243 TI - "Ping-pong" guide catheter technique for retrograde intervention of a chronic total occlusion through an ipsilateral collateral. AB - We report a novel technique for performing retrograde interventions on a coronary chronic total occlusion through an ipsilateral collateral. Two guiding catheters are used to engage the target coronary artery, one to advance to the retrograde guidewire and the other to externalize the retrograde guidewire and antegrade wiring. Engagement of the target coronary artery is alternating between the antegrade and the retrograde guide catheter in a "ping-pong" fashion, enabling lesion crossing and equipment delivery. PMID- 21061244 TI - Frequency, determinants, and prognostic effects of acute kidney injury and red blood cell transfusion in patients undergoing transcatheter aortic valve implantation. AB - OBJECTIVES: To determine the frequency and independent predictors of acute kidney injury (AKI) in addition to the prognostic implications of both AKI and periprocedural red blood cell (RBC) transfusions on 30 day and cumulative late mortality in patients undergoing transcatheter aortic valve implantation (TAVI). BACKGROUND: RBC transfusions have been reported to predict AKI following TAVI. Data on the prognostic implications of both factors, however, are lacking. METHODS: 126 consecutive patients underwent TAVI with the Medtronic CoreValve Revalving System. AKI was defined according to the valve academic research consortium definitions as an absolute increase in serum creatinine >=0.3 mg dL-1 (>=26.4 MUmol L-1) or a percentage increase >= 50% within 72 hr following TAVI. RESULTS: Five patients on chronic haemodialysis and three intraprocedural deaths were excluded, leading to a final study population of 118 patients. AKI occurred in 19% of the patients necessitating temporary haemodialysis in 2%. Independent predictors of AKI included: previous myocardial infarction (OR: 5.72; 95% CI: 1.64-19.94), periprocedural (<24 hr) RBC transfusions (OR: 1.29; 95% CI: 1.01 1.70), postprocedural (<72 hr) leucocyte count (OR: 1.18; 95% CI: 1.02-1.37), and logistic EuroSCORE (OR: 1.08; 95% CI: 1.01-1.14). In patients with AKI, 30-day mortality was 23% and cumulative late mortality (median: 13 months) was 55%. AKI (OR: 5.47; 95% CI: 1.23-24.21) and postprocedural leucocyte count (OR: 1.20; 95% CI: 1.03-1.38) were independent predictors of 30-day mortality while AKI (HR: 2.79; 95% CI: 1.36-5.71) was the only independent predictor of late mortality. CONCLUSIONS: AKI following TAVI occurred in 19% of the patients. RBC transfusion was found to be an independent predictor of AKI, which in turn predicted both 30 day and cumulative late mortality. PMID- 21061245 TI - Pharmacoinvasive therapy for acute myocardial infarction. AB - Thrombus remains the bane of interventional cardiology. The use of thrombus extraction devices and distal protection devices has been controversial. Pharmacological modulation using intracoronary (IC) thrombolytic therapy during percutaneous coronary intervention (PCI) is also not an established choice although intravenous thrombolytic therapy is widely accepted and applied treatment of choice for acute ST-elevation myocardial infarction (STEMI). This case report shows successful management of a patient of STEMI using a combination of IC thrombolytic therapy, thrombectomy device, and PCI. PMID- 21061246 TI - Single center experience with percutaneous endovascular repair of superior vena cava syndrome. AB - OBJECTIVES: To demonstrate short-term effectiveness and long-term efficacy of percutaneous transluminal angioplasty (PTA) with or without adjunctive therapy in treatment of superior vena cava syndrome (SVCS). BACKGROUND: Recently, PTA with or without adjunctive therapy has evolved as first-line therapy for SVCS. Despite growing evidence for PTA with or without adjunctive therapy, there are little data reflecting its short- and long-term outcomes. METHODS: We retrospectively reviewed 14 consecutive patients undergoing PTA with or without adjunctive therapy for SVCS, between July 2001 and September 2009. RESULTS: A total of 14 patients (nine women; mean age, 49 +/- 15 years) with SVCS underwent attempted PTA with or without adjunctive therapy. Causes of SVCS were indwelling catheters or pacemaker wires (n = 5), idiopathic (n = 5), thoracic outlet syndrome (n = 2), and cancer-related thrombosis (n = 2). Obstruction of the SVC involved inflow branches in 86% of patients (n = 12). PTA with or without adjunctive therapy was attempted in all 14 patients and was angiographically successful in 93% (n = 13). PTA and stenting was performed in eight (57%) patients; three (21%) patients had PTA with thrombectomy/thrombolysis; one (7%) patient had PTA alone; and one (7%) patient had thrombectomy/thrombolysis alone. Symptom relief was seen in 86% (n = 12), and initial patency was 90%. There were no procedural complications. Mean follow-up was 12 months, and no deaths were reported. In the 11 (79%) patients with follow-up imaging, nine (82%) patients showed patency and two (18%) had residual symptoms, with one patient undergoing surgery. CONCLUSIONS: PTA with adjunctive endovascular stent therapy for SVCS is safe and effective at giving both rapid and sustained symptom relief. PMID- 21061247 TI - Outcomes of patients with acute myocardial infarction from a saphenous vein graft culprit undergoing percutaneous coronary intervention. AB - OBJECTIVES: We sought to describe characteristics of patients presenting with an acute MI from a SVG culprit, compared with a native culprit. BACKGROUND: Percutaneous coronary intervention (PCI) of saphenous vein grafts (SVG) results in worse outcomes than native vessel PCI, but outcomes of such patients with acute myocardial infarction (MI) setting are not well-described. METHODS: Patients presenting with ST-elevation or non-ST-elevation MI undergoing PCI from 2000 to 2010 were retrospectively analyzed to identify the culprit as a SVG vs. native vessel. Multiple linear regression was used to identify predictors of peak troponin-I level. Cox proportional hazards regression was used to identify predictors of 30-day mortality and 1-year major adverse cardiac events (MACE). RESULTS: 192 patients underwent PCI for a SVG culprit, compared with 4,001 with a native culprit. After multivariable adjustment, SVG culprit remained significantly associated with lower levels of the logarithm of the peak troponin (beta = -0.17, SE = 0.07, P = 0.02). The likelihood of MACE was higher in SVG vs. native culprits in patients with small to modest troponin elevations. Patients with a SVG culprit also suffered higher unadjusted rates of mortality at 30 days (14.3% vs. 8.4%, P = 0.03) and MACE at 1 year (36.8% vs. 24.5%, P = 0.005); a modest effect upon mortality and MACE remained after multivariable adjustment. CONCLUSION: Even minimal elevations of troponin in patients with a SVG culprit may portend a poorer prognosis than in patients with a native culprit. The risk of PCI in this setting is driven by mortality and arises from both substantial comorbidities and the SVG itself. PMID- 21061249 TI - SCAI consensus document on occupational radiation exposure to the pregnant cardiologist and technical personnel. AB - Concerns regarding radiation exposure and its effects during pregnancy are often quoted as an important barrier preventing many women from pursuing a career in Interventional Cardiology. Finding the true risk of radiation exposure from performing cardiac catheterization procedures can be challenging and guidelines for pregnancy exposure have been inadequate. The Women in Innovations group of Cardiologists with endorsement of the Society for Cardiovascular Angiography and Interventions aim to provide guidance in this publication by describing the risk of radiation exposure to pregnant physicians and cardiac catheterization personnel, to educate on appropriate radiation monitoring and to encourage mechanisms to reduce radiation exposure. Current data do not suggest a significant increased risk to the fetus of pregnant women in the cardiac catheterization laboratory and thus do not justify precluding pregnant physicians from performing procedures in the cardiac catheterization laboratory. However, radiation exposure among pregnant physicians should be properly monitored and adequate radiation safety measures are still warranted. PMID- 21061250 TI - Computational fluid dynamic simulations of aortic coarctation comparing the effects of surgical- and stent-based treatments on aortic compliance and ventricular workload. AB - OBJECTIVES: In this work, we examine the effects of stent-induced aortic stiffness on cardiac workload and blood pressure using computational fluid dynamic simulations. BACKGROUND: Treatment of aortic coarctation (CoA) consists of either open, surgical repair or angioplasty with or without stenting. Although stenting is a minimally invasive alternative to surgery, aortic stiffness increases in the stented section. Concern over this increased stiffness has long been argued to be detrimental to the overall vascular health of the patient. METHODS: MR imaging was performed on a 15-year-old female with CoA. A 3D model of the large thoracic arteries was created, and the heart and downstream vasculature were represented by lumped parameter models at the model inlet and outlets, respectively. A deformable wall assumption was used in conjunction with variable wall properties and tissue support, and 3D velocity, pressure, and wall dynamics were computed. The lumped parameter values and wall properties were tuned to match the mean flow and aortic deformation as measured by MRI. The CoA was then virtually removed from the model representing an end-to-end surgical correction. In a second model, the repaired section was prescribed to be nearly rigid, representing stenting. All other variables remained the same. RESULTS: When compared to surgery, stenting resulted in clinically negligible increases in cardiac work (0.4%) and no change in mean blood pressure. CONCLUSIONS: This pilot study suggests CoA stenting may not affect cardiac work to any significant degree as is commonly believed in the clinical community. PMID- 21061251 TI - Aortic valve fibroelastoma presenting with myocardial infarction. AB - Fibroelastoma is a rare, benign cardiac tumor. It is the second most common primary cardiac tumor and the most common primary cardiac valvular tumor. Nonvalvular endocardial location is rare and may be difficult to differentiate from thrombus and myxoma. Fibroelastoma consists of a small, highly papillary, pedunculated, and avascular tumor which is covered by a single layer of endothelium. It contains fine elastic fibrils arranged in whorls in a hyaline stroma. Fibroelastoma is mostly found incidentally at the time of echocardiography, cardiac catheterization, surgery, or autopsy. Symptomatic patients present with various clinical presentations, mainly cardiovascular in nature. PMID- 21061252 TI - Accepted TCT challenging case: common femoral artery perforation after primary percutaneous coronary intervention successfully treated with a novel transcatheter "thrombin-blood patch" injection technique. AB - Severe vascular access complications are infrequent, yet potentially life threatening, conditions related to percutaneous procedures approached via the femoral artery. Surgical vascular repair of such complications are associated with high rates of morbimortality due to advanced cardiovascular disease. Endovascular repair of the injured vessel appears to be the treatment of choice for patients who cannot tolerate vascular reconstruction and bleeding due to severe cardiovascular disease. We report a case that illustrates the feasibility of a novel technique: transcatheter "thrombin-blood patch" injection to access perforated arteries. PMID- 21061253 TI - Ex vivo hemodynamic assessment of mechanical aortic valve gradients using a high fidelity pressure wire. AB - OBJECTIVES: Accurate assessment of prosthetic mechanical valve malfunction is challenging for non-invasive and invasive techniques. We evaluated a 0.014-inch pressure-sensing coronary guidewire to assess mechanical valve dysfunction. BACKGROUND: Several case reports have shown that transaortic pressure gradients can be recorded using a 0.014-inch guidewire during cardiac catheterization. METHODS: We performed an ex vivo study measuring the effects of sequentially crossing the center of each valve with a 6 French coronary angiographic catheter, a 0.035-inch guidewire, and a 0.014-inch pressure-sensing guidewire on valve dysfunction using the following 23 mm bileaflet and tilting-disc aortic valves: St. Jude RegentTM, CarboMedics, Medtronic HallTM, and Bjork-Shiley Monostut. A left heart model pulse duplicator recorded 10 consecutive cycles. RESULTS: For all valves, the greatest increase in valve regurgitation occurred with the 6 French catheter, causing a reduction in aortic valve back pressure and cardiac output, with an increase in leakage rate, regurgitant fraction, and energy loss. In comparison to the 0.035-inch guidewire, the 0.014-inch guidewire had greater regurgitation for St. Jude, lower for Medtronic Hall, and equivalent for CarboMedics and Bjork-Shiley valves. For the CarboMedics valve, the 0.035-inch guidewire caused a significant increase in regurgitant fraction and energy loss, while the pressure wire had no change compared to baseline. CONCLUSIONS: The degree of regurgitation caused by the 0.014-inch guidewire varies with the type of mechanical aortic valve. While prior case reports have shown that valve hemodynamics may be measured using a pressure-sensing guidewire, valve regurgitation occurs when crossing a St. Jude, Medtronic Hall, or Bjork-Shiley aortic valve. PMID- 21061254 TI - Current and evolving stent evaluation by coronary computed tomographic angiography. AB - OBJECTIVES: To demonstrate the variety of stent abnormalities that may be evaluated by coronary computed tomographic angiography (CTA). BACKGROUND: The application of CTA to the evaluation of coronary stents has focused almost entirely on the detection of in-stent restenosis. METHODS: All CTA performed for stent evaluation at a single institution were reviewed. RESULTS: In addition to in-stent restenosis, stent fracture, and overlap failure, a multiplicity of stent related problems not previously addressed by CTA was categorized and illustrated: late stent thrombosis, jailed branches, edge stenosis, bifurcation stents, inadequate stent expansion, stent aneurysms, peri-stent plaque, and stenting into bridged myocardium. CONCLUSIONS: CTA may be used to evaluate the full range of stent-related problems. This work provides the framework for future studies validating these applications. PMID- 21061264 TI - Prediction of endometrial malignancy in women with postmenopausal bleeding and sonographic endometrial thickness >= 4.5 mm. AB - OBJECTIVE: To build mathematical models for evaluating the individual risk of endometrial malignancy in women with postmenopausal bleeding and sonographic endometrial thickness >= 4.5 mm using clinical data, sonographic endometrial thickness and power Doppler ultrasound findings. METHODS: Of 729 consecutive patients with postmenopausal bleeding, 261 with sonographic endometrial thickness >= 4.5 mm and no fluid in the uterine cavity were included. They underwent transvaginal two-dimensional gray-scale and power Doppler ultrasound examination of the endometrium. The ultrasound image showing the most vascularized section through the endometrium as assessed by power Doppler was frozen, the endometrium was outlined and the percentage vascularized area (vascularity index) was calculated using computer software. The ultrasound examiner also estimated the color content of the endometrial scan on a visual analog scale (VAS) graded from 0 to 100 (VAS score). A structured history was taken to collect clinical information. Multivariate logistic regression analysis was used to create mathematical models to predict endometrial malignancy. RESULTS: There were 63 (24%) malignant and 198 (76%) benign endometria. Women with a malignant endometrium were older (median age 74 vs. 65 years; P = 0.0005) and fewer used hormone replacement therapy and warfarin. Women with a malignant endometrium had a thicker endometrium (median thickness 20.8 vs. 10.2 mm; P = 0.0005) and higher values for vascularity index and VAS score. When using only clinical data to build a model for estimating the risk of endometrial malignancy, a model including the variables age, use of warfarin and use of hormone replacement therapy had the largest area under the receiver-operating characteristics curve (AUC), with a value of 0.74 (95% confidence interval (CI), 0.67-0.81). A model including age, use of warfarin and endometrial thickness had an AUC of 0.82 (95% CI, 0.76-0.87), and one including age, use of hormone replacement therapy, endometrial thickness and vascularity index had an AUC of 0.91 (95% CI, 0.87 0.95). Using a risk cut-off of 11%, the latter model had sensitivity 90%, specificity 71%, positive likelihood ratio 3.14 and negative likelihood ratio 0.13. CONCLUSIONS: The diagnostic performance of models predicting endometrial cancer increases substantially when sonographic endometrial thickness and power Doppler information are added to clinical variables. The models are likely to be clinically useful but need to be prospectively validated. PMID- 21061259 TI - Genome-wide association study of genetic predictors of anti-tumor necrosis factor treatment efficacy in rheumatoid arthritis identifies associations with polymorphisms at seven loci. AB - OBJECTIVE: Anti-tumor necrosis factor (anti-TNF) agents are successful therapies in rheumatoid arthritis (RA); however, inadequate response occurs in 30-40% of patients treated. Knowledge of the genetic factors that influence response may facilitate personalized therapy. The purpose of this study was to identify genetic predictors of response to anti-TNF therapy in RA and to validate our findings in independent cohorts. METHODS: Data from genome-wide association (GWA) studies were available from the Wellcome Trust Case Control Consortium for 566 anti-TNF-treated RA patients. Multivariate linear regression analysis of changes in the Disease Activity Score in 28 joints at 6 months was conducted at each single-nucleotide polymorphism (SNP) using an additive model. Associated markers (P < 10(-3) ) were genotyped in 2 independent replication cohorts (n = 379 and n = 341), and a combined analysis was performed. RESULTS: Of 171 successfully genotyped markers demonstrating association with treatment response in the GWA data, 7 were corroborated in the combined analysis. The strongest effect was at rs17301249, mapping to the EYA4 gene on chromosome 6: the minor allele conferred improved response to treatment (coefficient -0.27, P = 5.67(-05) ). The minor allele of rs1532269, mapping to the PDZD2 gene, was associated with a reduced treatment response (coefficient 0.20, P = 7.37(-04) ). The remaining associated SNPs mapped to intergenic regions on chromosomes 1, 4, 11, and 12. CONCLUSION: Using a genome-wide strategy, we have identified and validated the association of 7 genetic loci with response to anti-TNF treatment in RA. Additional confirmation of these findings in further cohorts will be required. PMID- 21061265 TI - Hodgkin disease risk: role of genetic polymorphisms and gene-gene interactions in inflammation pathway genes. AB - Inflammation is a critical component of cancer development. The clinical and pathological features of Hodgkin disease (HD) reflect an abnormal immunity that results from cytokines secreted by Reed-Sternberg cells and the surrounding tumor. Numerous studies have reported the association between genetic polymorphisms in cytokine genes and the susceptibility to different hematologic cancers. However, the effects of such SNPs on modulating HD risk have not yet been investigated. We hypothesized that gene-gene interactions between candidate genes in the anti- and pro-inflammatory pathways carrying suspicious polymorphisms may contribute to susceptibility to HD. To test this hypothesis, we conducted a study on 200 HD cases and 220 controls to assess associations between HD risk and 38 functional SNPs in inflammatory genes. We evaluated potential gene gene interactions using a multi-analytic strategy combining logistic regression, multi-factor dimensionality reduction, and classification and regression tree (CART) approaches. We observed that, in combination, allelic variants in the COX2, IL18, ILR4, and IL10 genes modify the risk for developing HD. Moreover, the cumulative genetic risk score (CGRS) revealed a significant trend where the risk for developing HD increases as the number of adverse alleles in the cytokine genes increase. These findings support the notion that epigenetic-interactions between these cytokines may influence pathogenesis of HD modulating the proliferation of regulatory T cells. In this way, the innate and adaptative immune responses may be altered and defy their usual functions in the host anti tumor response. Our study is the first to report the association between polymorphisms in inflammation genes and HD susceptibility risk. PMID- 21061266 TI - Dietary administration of berberine or Phellodendron amurense extract inhibits cell cycle progression and lung tumorigenesis. AB - Phellodendron amurense extract is a Chinese herbal remedy that has recently been studied for its antitumor, antimicrobial and other biological activities. It is previously unknown if these agents are bioavailable and effective against tumors when delivered as a dietary component. It is also unknown if the anti-tumorigenic properties of berberine, an isoquinoline alkaloid component of P. amurense, is equally effective when administered alone. There are contrasting reports on the cellular processes involved in anti-tumorigenesis by P. amurense and berberine. Here we find that berberine, when administered orally through the diet, inhibits in vivo tumorigenesis of both p53 expressing and p53 null lung tumor xenografts equally whether administered in its pure form or as a part of P. amurense extract. We also show that berberine induces G1 cell cycle arrest, inhibits proliferative kinase signaling and arrests the growth of lung tumor cells in culture. Berberine administered in the diet was detectable by HPLC in the lungs of mice fed P. amurense or equivalent doses of berberine at concentrations of 455 and 518 ng/ml respectively and inhibited the growth of xenografted A549 cell tumors, which grew to 9.4 and 6.4 mm3 respectively, compared to 58.9 mm3 in control mice (P < 0.001). Phosphorylation of Akt, CREB and MAPK was inhibited in A549 cells by P. amurense. Demonstration of oral bioavailability and anti tumorigenic efficacy of dietary berberine, as well as further demonstration of signaling pathway modulation and cell-cycle arrest, implicate this relatively safe, natural compound as a potentially important therapeutic and chemopreventive agent for lung cancer. PMID- 21061267 TI - Expression analysis of proline rich 15 (Prr15) in mouse and human gastrointestinal tumors. AB - Proline rich 15 (Prr15), which encodes a protein of unknown function, is expressed almost exclusively in postmitotic cells both during fetal development and in adult tissues, such as the intestinal epithelium and the testis. To determine if this specific expression is lost in intestinal neoplasias, we examined Prr15 expression by in situ hybridization (ISH) on mouse intestinal tumors caused by different gene mutations, and on human colorectal cancer (CRC) samples. Prr15/PRR15 expression was consistently observed in mouse gastrointestinal (GI) tumors caused by mutations in the Apc gene, as well as in several advanced stage human CRCs. In contrast, no Prr15 expression was detected in intestinal tumors derived from mice carrying mutations in the Smad3, Smad4, or Cdkn1b genes. These findings, combined with the fact that a majority of sporadic human CRCs carry APC mutations, strongly suggest that the expression of Prr15/PRR15 in mouse and human GI tumors is linked, directly or indirectly, to the absence of the APC protein or, more generally, to the disruption of the Wnt signaling pathway. PMID- 21061268 TI - Curcumin suppresses human papillomavirus oncoproteins, restores p53, Rb, and PTPN13 proteins and inhibits benzo[a]pyrene-induced upregulation of HPV E7. AB - Curcumin has great potential as a chemopreventive and chemotherapeutic agent; however, its effects on human papillomavirus (HPV)-associated molecular events are inadequately explored. This study examined the effects of curcumin on HPV associated pathways involved in developing cervical cancer. We demonstrate for the first time that curcumin treatment suppresses cervical cancer cell growth in a three-dimensional raft culture system. Curcumin also inhibits tumorigenic characteristics as shown by decreases in both clonogenic potential and cell motility. Additionally, our findings show that curcumin treatment inhibits the transcription of HPV16 E6/E7 as early as 6 h posttreatment and restores the expression of tumor suppressor proteins p53, retinoblastoma protein, and PTPN13. While smoking is a recognized risk factor for cervical cancer, the molecular effects of smoke carcinogens on the expression of HPV E6/E7 oncogenes are not well known. We show for the first time that exposure to benzo[a]pyrene (BaP), a tobacco carcinogen, increases the expression of HPV E7 oncoprotein suggesting a molecular link between smoking and cervical cancer. Importantly, curcumin decreases the BaP induced increase in the expression of HPV E7 oncoprotein. The results of this study clearly demonstrate that curcumin alters HPV-associated molecular pathways in cervical cancer cells. These novel findings imply that curcumin may be an effective chemopreventive and therapeutic agent for cervical cancer prevention and treatment. PMID- 21061269 TI - UVB-irradiation regulates VLA-4-mediated melanoma cell adhesion to endothelial VCAM-1 under flow conditions. AB - The major aspect contributing to the mortality of melanoma is its ability to spread, or metastasize. Ultraviolet B light (UVB) is considered an indirect cause of melanoma formation. However, little is known about the potential effects of UVB to melanoma metastasis. Integrins, a large family of cell adhesion molecules (CAMs) expressed on the melanoma cell surface, are important for cell signaling, growth, and migration during metastasis. Most critically, tumor cell tissue invasion is dependent on the initial interaction of tumor cells with vascular endothelium at the target organ, and there is increasing evidence for a prominent role of melanoma very late antigen-4 (VLA-4) integrin binding to its endothelial ligand vascular cell adhesion molecule-1 (VCAM-1) in this process. This research focuses on the quantitative modulation of VLA-4 integrin expression and function on melanoma cells after UVB irradiation. The present data show that at 3, 12, and 18 h post-UVB irradiation, VLA-4 expression was unchanged relative to untreated cells, but adhesion to VCAM-1 decreased significantly. Immunofluorescence studies implied that the spatial organization of VLA-4 on the melanoma cell surface contributed to the changes in avidity for VCAM-1 upon UVB irradiation. With increased understanding of the molecular mechanisms underlying melanoma endothelial interactions upon UVB irradiation, clinical advances for melanoma may be developed. PMID- 21061270 TI - Ingested RNA interference for managing the populations of the Colorado potato beetle, Leptinotarsa decemlineata. AB - BACKGROUND: RNA interference (RNAi) is a breakthrough technology for conducting functional genomics studies and also as a potential tool for crop protection against insect pests. The major challenge for efficient pest control using RNAi in the field is the development of efficient and reliable methods for production and delivery of double-stranded RNA (dsRNA). In this paper, the potential of feeding dsRNA expressed in bacteria or synthesized in vitro to manage populations of Colorado potato beetle, Leptinotarsa decemlineata (Say) (CPB), was investigated. RESULTS: Feeding RNAi successfully triggered the silencing of all five target genes tested and caused significant mortality and reduced body weight gain in the treated beetles. This study provides the first example of an effective RNAi response in insects after feeding dsRNA produced in bacteria. CONCLUSION: These results suggest that the efficient induction of RNAi using bacteria to deliver dsRNA is a possible method for management of CPB. This could be also a promising bioassay approach for genome-wide screens to identify effective target genes for use as novel RNAi-based insecticides. PMID- 21061275 TI - Morphology and ultrastructure of antler velvet hair and body hair from red deer (Cervus elaphus). AB - We provide a detailed description of the ultrastructure of deer hair fibers. Guard hairs and underhairs from the winter coat of red deer (Cervus elaphus), and antler velvet hairs from the same species were examined. All fibers displayed the typical keratin fiber morphology of overlapping cuticle cells surrounding a core of cortex cells, and often a centrally-located medulla, but there were considerable differences in the diameter, cuticle thickness, and scale pattern, and in the relative amounts of cortex and medulla along individual fibers, and between the different types of fiber. In addition, closer examination of cortex cells using transmission electron microscopy revealed considerable differences in the arrangement of intermediate filaments in the different fiber types. Fine underhairs appeared similar to fine wool fibers from sheep because intermediate filament arrangements were very similar to those found in wool orthocortex cells and paracortex cells. In addition, a similar bilateral distribution of these cell types was evident. However, in the antler velvet hairs and the guard hairs, intermediate filament arrangements were more variable and complex, and showed similarities to those in heterotype cortex cells described for human hair. PMID- 21061276 TI - RNA interference as a resistance mechanism against crop parasites in Africa: a 'Trojan horse' approach. AB - Biological crop pests cause serious economic losses. In Africa, the most prevalent parasites are insect pests, plant pathogenic root-knot nematodes, viruses and parasitic plants. African smallholder farmers struggle to overcome these parasitic constraints to agricultural production. Crop losses and the host range of these parasites have continued to increase in spite of the use of widely advocated control methods. A sustainable method to overcome biological pests in Africa would be to develop crop germplasm resistant to parasites. This is achievable using either genetic modification (GM) or a non-GM approach. However, there is a paucity of resistant genes available for introduction. Additionally, the biological processes underpinning host parasite resistance are not sufficiently well understood. The authors review a technology platform for using RNA-mediated interference (RNAi) as bioengineered resistance to important crop parasites in Africa. To achieve acquired resistance, a host crop is stably transformed with a transgene that encodes a hairpin RNA targeting essential parasitic genes. The RNAi sequence is chosen in such a way that it shares no homology with the host's genes, so it remains 'inactive' until parasitism. Upon parasitism, the RNAi sequence enters the parasite and post-transcriptional gene silencing (PTGS) mechanisms are activated, leading to the death of the parasite. PMID- 21061277 TI - Genomics-based approaches to screening carboxylesterase-like genes potentially involved in malathion resistance in oriental migratory locust (Locusta migratoria manilensis). AB - BACKGROUND: Previous studies have indicated that increased carboxylesterase (CarE) activity is a major mechanism of malathion resistance in field populations of the oriental migratory locust, Locusta migratoria manilensis (Meyen), in China. The aim of the present study was to screen CarE-like genes from a large locust expressed sequence tag (EST) database and to assess their potential roles in malathion resistance. RESULTS: Twenty-five ESTs derived from different CarE like genes in the locust EST database were identified, and 12 candidate genes with significantly increased expressions, ranging from 2.6- to 11.6-fold in a field-derived resistant (FR) colony of the locust, were found. These candidate genes were constitutively expressed in all nymph and adult stages, and most of them were predominantly expressed in the gastric caeca and the midgut. Among the 12 genes, two representative genes (LmCarE9 and LmCarE25) were chosen for RNAi followed by malathion bioassay. The nymph mortalities increased from 34.3 to 65.2 and 54.2% respectively after LmCarE9 and LmcarE25 were silenced. These results indicated significant roles of these CarE-like genes in conferring malathion resistance in the locust. CONCLUSION: Multiple CarE-like genes were involved in malathion resistance in the locust. As validated by RNAi followed by malathion bioassay, LmCarE9 and LmcarE25 played a significant role in conferring malathion resistance. PMID- 21061278 TI - Mortality and reproductive effects of ingested spinosad on adult bollworms. AB - BACKGROUND: Upon emergence from their pupal cells, bollworm, Helicoverpa zea (Boddie), adults actively seek and feed on plant exudates before they disperse and reproduce on suitable host plants. This nocturnal behavior of the bollworm may be exploited as a pest management strategy for suppression of the insect by using an attractant/stimulant mixed with an insecticide to induce feeding to cause adult mortality or reproductive reduction/inhibition. This study aimed to determine in the laboratory whether or not spinosad when mixed with sucrose solution as a feeding stimulant and ingested by bollworm could influence mortality and reproduction of the insect. RESULTS: Sublethal concentrations of spinosad fed to laboratory-reared females confined with males significantly reduced percentage hatch of eggs at 0.1 mg L(-1), and it was reduced to near zero at 2.5 mg L(-1) when compared with females fed 2.5 M sucrose solutions only. The lethal concentration (LC(99)) for males captured from the field in sex-pheromone baited traps was 73 mg L(-1) for 24 h response. Proboscis extension response was not inhibited significantly even at 10 g L(-1). In spite of a 137-fold increase in lethal dose concentration, spinosad did not inhibit feeding. CONCLUSION: A detailed study of laboratory-reared and field-collected bollworm adults relative to mortality and reproduction after ingestion of spinosad indicates that spinosad would be useful in an attract-and-kill strategy to control the insect when mixed with a feeding attractant/stimulant. Field validation of the data is warranted. PMID- 21061280 TI - Corallite wall and septal microstructure in scleractinian reef corals: comparison of molecular clades within the family Faviidae. AB - Recent molecular phylogenies conflict with traditional scleractinian classification at ranks ranging from suborder to genus, challenging morphologists to discover new characters that better agree with molecular data. Such characters are essential for including fossils in analyses and tracing evolutionary patterns through geologic time. We examine the skeletal morphology of 36 species belonging to the traditional families Faviidae, Merulinidae, Pectiniidae, and Trachyphylliidae (3 Atlantic, 14 Indo-Pacific, 2 cosmopolitan genera) at the macromorphological, micromorphological, and microstructural levels. Molecular analyses indicate that the families are not monophyletic groups, but consist of six family-level clades, four of which are examined [clade XV = Diploastrea heliopora; clade XVI = Montastraea cavernosa; clade XVII ("Pacific faviids") = Pacific faviids (part) + merulinids (part) + pectiniids (part) + M. annularis complex; clade XXI ("Atlantic faviids") = Atlantic faviids (part) + Atlantic mussids]. Comparisons among molecular clades indicate that micromorphological and microstructural characters (singly and in combination) are clade diagnostic, but with two exceptions, macromorphologic characters are not. The septal teeth of "Atlantic faviids" are paddle-shaped (strong secondary calcification axes) or blocky, whereas the septal teeth of "Pacific faviids" are spine-shaped or multidirectional. Corallite walls in "Atlantic faviids" are usually septothecal, with occasional trabeculothecal elements; whereas corallite walls in "Pacific faviids" are usually trabeculothecal or parathecal or they contain abortive septa. Exceptions include subclades of "Pacific faviids" consisting of a) Caulastraea and Oulophyllia (strong secondary axes) and b) Cyphastrea (septothecal walls). Diploastrea has a diagnostic synapticulothecal wall and thick triangular teeth; Montastraea cavernosa is also distinct, possessing both "Pacific faviid" (abortive septa) and "Atlantic faviid" (paddle-shaped teeth) attributes. The development of secondary axes is similar in traditional Atlantic faviids and mussids, supporting molecular results placing them in the same clade. Subclades of "Pacific faviids" reveal differences in wall structure and the arrangement and distinctiveness of centers of rapid accretion. PMID- 21061281 TI - Gross morphology, histology, and ultrastructure of the alimentary system of Ricinulei (Arachnida) with emphasis on functional and phylogenetic implications. AB - Ricinuleid functional mouthparts are the cucullus, the chelicerae, the pedipalps, and the labrum. These structures are movably jointed to the rest of the prosoma, most likely protruded upon hydrostatic hemolymph pressure and retracted by prosomal muscles. Seta-like protrusions from the labrum and the pedipalpal coxae form a sieve-like filter inside the preoral cavity and the mouth. Although the tip of the labrum can be elevated upon muscle constriction, ingestion of large, solid food particles is unlikely. The mouth has a crescent-shaped cross section. The cuticle-lined, also crescent-shaped pharynx is equipped with a large dilator muscle but lacks antagonistic constrictor muscles. It represents a precerebral sucking pump. The triangular to Y-shaped, cuticle-lined esophagus is equipped with constrictor and dilator muscles. Its posterior part represents a postcerebral sucking pump. Four blind ending diverticula ramify from the anterior prosomal part of the entodermal midgut tube. Two of these diverticula remain inside the prosoma and form few short branches. The other two extend through the pedicel into the opisthosoma and ramify and coil there. A stercoral pocket protrudes ventrally out of the midgut tube. The most distal part of the midgut tube is modified into a contractile rectal gland. Its secretions may have defensive or physiological functions. A short anal atrium is formed by the cuticle-lined ectodermal hindgut which opens at the end of the three-segmented metasoma. The telescoping segments of the metasoma are protruded by hemolymph pressure and retracted by muscles. PMID- 21061283 TI - In remembrance: Denis Alan Trevor New, M.A., Ph.D., D.Sc. PMID- 21061289 TI - Retinoic acid inhibits osteogenic differentiation of mouse embryonic palate mesenchymal cells. AB - BACKGROUND: All-trans-retinoic acid (ATRA), a known teratogenic factor affecting the development of cleft palate, has been shown to adversely affect craniofacial development. In the present study, we evaluated the effects of ATRA on the osteo /adipogenic differentiation of mouse embryonic palate mesenchymal (MEPM) cells, which served as a valid model system for investigating the mechanisms regulating osteogenesis during palatogenesis. METHODS: MEPM cells were derived from gestational day 13 C57BL/6N mouse embryos and induced to differentiate in the presence or absence of ATRA in either osteogenic medium (OM) or control medium (CM). RESULTS: Alkaline phosphatase (ALP) activity assays, von Kossa staining, and RT-PCR assays confirmed that MEPM cells underwent osteogenic differentiation when cultured in OM. Although ATRA induced ALP activity and lipid accumulation in MEPM cells, it failed to induce matrix mineralization and osteoblastic gene expression. BMPR-IB and Smad5 mRNA levels increased significantly in cells cultured in OM and declined following treatment with ATRA, whereas the expression of the BMPR-IA mRNA was up-regulated by ATRA. CONCLUSIONS: In conclusion, our results suggested that ATRA and the BMP signaling pathway cooperate to inhibit osteogenesis and promote adipogenesis of MEPM cells. PMID- 21061294 TI - Human-animal bonds between zoo professionals and the animals in their care. AB - Some human-animal relationships can be so positive that they confer emotional well-being to both partners and can thus be viewed as bonds. In this study, 130 delegates at zoo research and training events completed questionnaires in which they were asked about their professional work in the zoo and whether they believed they had established bonds with any animals. They were also asked to indicate agreement or disagreement with several statements about human-animal bonds. Results showed that many zoo professionals consider that they have established bonds with some of their animals; 103 respondents believed that they had a bond with at least one animal, and 78 of these identified that the bond was with a zoo animal. The most frequent bonds reported were with primates (n = 24) and carnivores (n = 28). Perceived benefits of these bonds to the respondents included both operational (animal easier to handle, easier to administer treatments to) and affective (sense of well-being, enjoyment at being with the animal). Identifying benefits to the animals was more difficult. Most respondents identified similar benefits for their animals as for themselves, i.e. operational (animal responded more calmly, appeared less stressed) and affective (animal appeared to enjoy contact with respondent, seemed more content). This suggests that bonding between zoo professionals and their animals could have profound consequences for the management and welfare of the animals, not to mention the job satisfaction of the people involved. PMID- 21061295 TI - Proximate composition of milk from free-ranging mountain gorillas (Gorilla beringei beringei). AB - Published data on milk composition for nonhuman primates, especially great apes, are lacking. Milk composition data are important for understanding the physiology and evolution of mammalian milk production, as well as the nutritional requirements of infants. For many primate species these data have added relevance because of the need to hand raise infants orphaned by poaching or separated from their mothers in captivity. The proximate composition (dry matter (DM), protein, fat, sugar) of free-ranging mountain gorilla (MG) (Gorilla beringei beringei) milk was characterized from samples (N = 10) collected opportunistically during field procedures. The mean values for mid-lactation (1-50 months) milk samples from healthy MGs (N = 7) were: 10.7% DM, 1.9% fat, 1.4% crude protein, 6.8% sugar, and 0.53 kcal/g. These results are lower in fat and total energy than most other Hominidae, including humans. One early-lactation sample was high in protein content while the composition of two samples from gorillas with poor health and suspected poor milk quality both deviated from the normal, mid-lactation pattern. This survey adds to the data available for primate milk composition and suggests that wild MG infants normally consume milk that is lower in fat and total energy than human milk. PMID- 21061306 TI - Calcium dynamics and signaling in vascular regulation: computational models. AB - Calcium is a universal signaling molecule with a central role in a number of vascular functions including in the regulation of tone and blood flow. Experimentation has provided insights into signaling pathways that lead to or affected by Ca(2+) mobilization in the vasculature. Mathematical modeling offers a systematic approach to the analysis of these mechanisms and can serve as a tool for data interpretation and for guiding new experimental studies. Comprehensive models of calcium dynamics are well advanced for some systems such as the heart. This review summarizes the progress that has been made in modeling Ca(2+) dynamics and signaling in vascular cells. Model simulations show how Ca(2+) signaling emerges as a result of complex, nonlinear interactions that cannot be properly analyzed using only a reductionist's approach. A strategy of integrative modeling in the vasculature is outlined that will allow linking macroscale pathophysiological responses to the underlying cellular mechanisms. PMID- 21061307 TI - Maintenance and differentiation of neural stem cells. AB - The adult mammalian brain contains self-renewable, multipotent neural stem cells (NSCs) that are responsible for neurogenesis and plasticity in specific regions of the adult brain. Extracellular matrix, vasculature, glial cells, and other neurons are components of the niche where NSCs are located. This surrounding environment is the source of extrinsic signals that instruct NSCs to either self renew or differentiate. Additionally, factors such as the intracellular epigenetics state and retrotransposition events can influence the decision of NSC's fate into neurons or glia. Extrinsic and intrinsic factors form an intricate signaling network, which is not completely understood. These factors altogether reflect a few of the key players characterized so far in the new field of NSC research and are covered in this review. PMID- 21061308 TI - Transition from pediatric to adult care in sickle cell disease: establishing evidence-based practice and directions for research. AB - Transition of young adults with sickle cell disease (SCD) from pediatric to adult medical care is an important priority, given medical advances that have transformed SCD into a lifelong chronic condition, rather than a disease of childhood. Successful transfer from pediatric to adult care has its foundation in collaboration among the young adult, the family, and the health care system to support building skills in positive disease management and independent living. Systemic issues in transition from pediatric to adult care for individuals with SCD include limited access to adult providers with the skills and/or interest in caring for people with SCD; poor communication and follow-up between pediatric and adult providers; and insurance coverage and reimbursement for care coordination. Family and patient issues in transition include lack of skill development for successful transition into adulthood; absence of financial independence; fear of the unknown; and increasing morbidity with age. The design and evaluation of successful transition programming in SCD requires clarity in conceptual frameworks and consistent measurement, both before and after transfer to adult care. Strategies used by three SCD transition programs and future directions for research and program development are presented. PMID- 21061309 TI - Quality of life in thalassemia: a comparison of SF-36 results from the thalassemia longitudinal cohort to reported literature and the US norms. AB - Thalassemia is a chronic, inherited blood disorder, which, in its most severe form, causes life-threatening anemia. Advances in treatment have led to increased life expectancy however the need for chronic blood transfusions and chelation therapy remains a significant burden for patients. Our study compared health related quality of life (HRQOL) from the Thalassemia Clinical Research Network's (TCRNs) Thalassemia Longitudinal Cohort (TLC) study to US norms and assessed association with clinical variables. There were 264 patients over age 14 who completed the Medical Outcomes Study 36-Item Short Form Health Survey version 2 (SF36v2) baseline assessment. When compared to US norms, TLC patients had statistically significant (P < 0.05) worse HRQOL on five of the eight subscales (physical functioning, role-physical, general health, social functioning, and role-emotional) and on both summary scales (physical component summary and mental component summary). Women, older patients, and those with more disease complications and side effects from chelation reported lower HRQOL. In general, adolescents and adults with thalassemia report worse HRQOL than the US population, despite contemporary therapy. The SF-36 should become a standard instrument for assessing HRQOL in thalassemia to determine predictors of low HRQOL which may be better addressed by a multidisciplinary team. PMID- 21061310 TI - An energy systems approach to Parkinson's disease. AB - The cause of Parkinson's disease (PD) remains unknown despite it being the second most prevalent neurodegenerative condition. Indeed, there is a growing consensus that there is no single cause, and that PD is a multifactorial systemic condition, in which a number of factors may determine its etiopathogenesis. We describe a systems approach that addresses the multifactorial aspects of PD and overcomes constraints on conventional experimentation imposed by PD's causal complexity, its long temporal duration, and its uniqueness to human brains. Specifically, a mathematical model of brain energy metabolism is used as a core module to which other modules describing cellular processes thought to be associated with PD can be attached and studied in an integrative environment. Employing brain energy usage as the core of a systems approach also enables the potential role that compromised energy metabolism may have in the etiology of PD. Although developed for PD, it has not escaped our attention that the energy systems approach outlined here could also be applied to other neurodegenerative disorders-most notably Alzheimer's disease. PMID- 21061314 TI - Postoperative bladder and rectal function in children with sacrococcygeal teratoma. AB - BACKGROUND: In patients with sacrococcygeal teratoma, the location of the tumor and surgical intervention affects bladder and rectal function. However, there are no clear guidelines for postoperative assessment of bladder function, and the literature on this subject is scarce especially for children requiring multiple surgical interventions. The aim of this study is to evaluate postoperative bladder and rectal function in children with sacrococcygeal teratoma. METHODS: Retrospective analysis was performed of 25 patients (6 male, 19 female) with sacrococcygeal teratoma operated at our institution from 1998 to 2009. Functional assessment of the bladder and rectum was carried out by clinical follow-up, rectomanometry, and urodynamic studies. Data of 24 children were available for follow-up. RESULTS: Of the 24 children, twelve had bladder dysfunction (50%). Ten children (42%) required more than one surgical intervention. Of the 14 children operated on only once, three developed bladder dysfunction (21%), compared to nine of the 10 children who underwent multiple surgical interventions (90%). All four Altman stages were represented, and bladder dysfunction was found for all tumor types. All children with clinical bladder dysfunction showed abnormalities on urodynamic studies, with seven cases of neurogenic bladder. In contrast, no rectal dysfunction was found. CONCLUSIONS: Bladder dysfunction is a common risk of sacrococcygeal tumors. The risk increases particularly if multiple surgical interventions are needed in order to excise the tumor. Therefore, the need for meticulous and complete excision upon first intent is crucial in order to avoid bladder dysfunction. PMID- 21061358 TI - In vitro study on Zr-based bulk metallic glasses as potential biomaterials. AB - With pure Ti and pure Zr as controls, the corrosion resistance, ion release behavior, and in vitro biocompatibility of Be-containing Zr41Ti14Cu12Ni10Be23 bulk metallic glass (BMG) (LM1), Zr44Ti11Cu10Ni10Be25 BMG (LM1b), and Be-free Zr57Nb5Cu15.4Ni12.6Al10 BMG (LM106) were investigated in terms of electrochemical measurements in simulated body fluid (SBF) with pH value 7.4 and artificial saliva (AS) with pH value 6.3, and 3-[4,4-dimethylthiazol-2-yl]-2,5 diphenyltetrazolium bromide (MTT) assay using L929 and NIH3T3 cells, aiming to assess the feasibility of Zr-based BMGs as potential biomaterial. It was found that LM1b showed superior corrosion resistance to LM106 and LM1 in both SBF and AS, and comparable with pure Ti and pure Zr. After 7200 s immersion, a two-layer structure oxide film was formed on LM1, LM1b, and pure Zr surfaces, while one layer structure oxide film was formed on LM106 and pure Ti surfaces. The pitting corrosion potentials of LM1b were much higher than that of LM1, LM106, and pure Zr, resulting in very few ions releasing into the electrolytes. No Be ion could be detected but a little amount of Cu ion was detected for LM106, LM1, and LM1b after immersion in Dulbecco's modified Eagle's medium for 72 h at 37 degrees C. The indirect cytotoxicity results show that LM106, LM1, and LM1b extracts had no cytotoxicity to L929 and NIH3T3 cells. The direct cytotoxicity results show that cells could adhere well on the Zr-based BMG surface as in pure Ti and Zr. Lower cell proliferation rate of LM106 and LM1 is observed when compared with LM1b, which was found to be caused by Cu ion releasing rather than by Be ion. PMID- 21061360 TI - Antibacterial and swelling properties of N-isopropyl acrylamide grafted and collagen/chitosan-immobilized polypropylene nonwoven fabrics. AB - The different molar ratios of collagen/chitosan were used to be immobilized on polypropylene nonwoven fabrics grafted with N-isopropyl acrylamide (abbr. PP-g NIPAAm-i-Col/Chi). For a controlled immobilizing time and NIPAAm concentration, the collagen/chitosan immobilized values and the antibacterial properties of PP-g NIPAAm-i-Col/Chi increased with increasing amount of chitosan in the mixture of collagen/chitosan. The crosslinking reaction between the grafted polyNIPAAm and collagen/chitosan molecules was clearly confirmed by the examination of the spectra of the surface reflection infrared spectroscopy (IR). The values of water absorption and water diffusion coefficient of PP-g-NIPAAm-i-Col/Chi decreased with increase of the chitosan in the mixture of collagen/chitosan and the value of immobilized collagen/chitosan at the same pH value of buffering water. The PP g-NIPAAm-i-Col/Chi have excellent water absorption, water permeability, and antibacterial properties and would be suitable for the healing of wounded skin area. PMID- 21061361 TI - Femtosecond laser microstructuring of zirconia dental implants. AB - This study evaluated the suitability of femtosecond laser for microtexturizing cylindrical zirconia dental implants surface. Sixty-six cylindrical zirconia implants were used and divided into three groups: Control group (with no laser modification), Group A (microgropored texture), and Group B (microgrooved texture). Scanning electron microscopy observation of microgeometries revealed minimal collateral damage of the original surface surrounding the treated areas. Optical interferometric profilometry showed that ultrafast laser ablation increased surface roughness (R(a), R(q), R(z), and R(t)) significantly for both textured patterns from 1.2 x to 6 x-fold when compared with the control group (p < 0.005). With regard to chemical composition, microanalysis revealed a significant decrease of the relative content of contaminants like carbon (Control 19.7% +/- 0.8% > Group B 8.4% +/- 0.42% > Group A 1.6% +/- 0.35%) and aluminum (Control 4.3% +/- 0.9% > Group B 2.3% +/- 0.3% > Group A 1.16% +/- 0.2%) in the laser-treated surfaces (p < 0.005). X-ray diffraction and Raman spectra analysis were carried out to investigate any change in the crystalline structure induced by laser processing. The original predominant tetragonal phase of zirconia was preserved, whereas the traces of monoclinic phase present in the treated surfaces were reduced (Control 4.32% > Group A 1.94% > Group B 1.72%) as the surfaces were processed with ultrashort laser pulses. We concluded that femtosecond laser microstructuring offers an interesting alternative to conventional surface treatments of zirconia implants as a result of its precision and minimal damage of the surrounding areas. PMID- 21061362 TI - Electrospray deposited fibronectin retains the ability to promote cell adhesion. AB - Scaffolds for tissue engineering require the correct biochemical cues if the seeded cells are to migrate into the scaffold and proliferate. For complex tissues this would require precise patterning of the scaffold structure with the particular biochemical cue required at each location on the scaffold. Electrospray enables the deposition of a wide number of biomolecules onto surfaces and can be used for precise patterning. We assessed the functionality of a key cell-adhesion molecule, fibronectin, after depositing it onto a surface using the electrospray technique. The addition of polypropylene glycol allowed a stable spray to be obtained from solutions with a range of fibronectin concentrations. Immunoassay tests showed that the amount of fibronectin retained on the surface was proportional to that sprayed from the solution. Increasing the surface density of fibronectin deposited onto silicon surfaces enhanced fibroblast attachment. The fibronectin thus appears to have retained its cell attachment functionality after undergoing the electrospray process. Since recent advances allow electrospray to pattern material from solution with micrometre accuracy this may allow materials to be biologically functionalized on a similar scale. PMID- 21061363 TI - An efficient growth of silver and copper nanoparticles on multiwalled carbon nanotube with enhanced antimicrobial activity. AB - Transition metal nanoparticles (NPs) such as silver (Ag) and copper (Cu) have been grafted onto carbon nanotube surface through wet chemical approach leading to the development of densely packed NP decorated carbon nanotubes. Chemically active surface and high-temperature stability are the basic attributes to use carbon nanotubes as the template for the growth of NPs. Ag NP-grafted carbon nanotubes (Ag-MWCNT) are prepared by complexing Ag ion with acid functionalized carbon nanotubes followed by the reduction method. Alternatively, Cu-grafted carbon nanotubes (Cu-MWCNT) are prepared by simple chemical reduction method. X ray diffraction results reveal that the Ag or Cu NPs formed on the surface of carbon nanotubes are determined to be face centered cubic crystals. The morphology and chemical structure of NP-grafted carbon nanotubes are investigated using transmission electron spectroscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. The antimicrobial properties of acid-treated MWCNT (MWCNT COOH), Ag-MWCNT, and Cu-MWCNT are investigated against gram negative Escherichia coli bacteria. Ag-MWCNT and Cu-MWCNT (97% kill vs. 75% kill), whereas MWCNT-COOH only killed 20% of this bacteria. Possible mechanisms are proposed to explain the higher antimicrobial activity by NP-coated MWCNT. These findings suggest that Ag MWCNT and Cu-MWCNT may be used as effective antimicrobial materials that find applications in biomedical devices and antibacterial controlling system. PMID- 21061364 TI - Nanosized hydroxyapatite and other calcium phosphates: chemistry of formation and application as drug and gene delivery agents. AB - The first part of this review looks at the fundamental properties of hydroxyapatite (HAP), the basic mineral constituent of mammalian hard tissues, including the physicochemical features that govern its formation by precipitation. A special emphasis is placed on the analysis of qualities of different methods of synthesis and of the phase transformations intrinsic to the formation of HAP following precipitation from aqueous solutions. This serves as an introduction to the second part and the main subject of this review, which relates to the discourse regarding the prospects of fabrication of ultrafine, nanosized particles based on calcium phosphate carriers with various therapeutic and/or diagnostic agents coated on and/or encapsulated within the particles. It is said that the particles could be either surface-functionalized with amphiphiles, peptides, proteins, or nucleic acids or injected with therapeutic agents, magnetic ions, or fluorescent molecules. Depending on the additive, they could be subsequently used for a variety of applications, including the controlled delivery and release of therapeutic agents (extracellularly or intracellularly), magnetic resonance imaging and hyperthermia therapy, cell separation, blood detoxification, peptide or oligonucleotide chromatography and ultrasensitive detection of biomolecules, and in vivo and in vitro gene transfection. Calcium phosphate nanoparticles as carriers of therapeutic agents that would enable a controlled drug release to treat a given bone infection and at the same be resorbed in the body so as to regenerate hard tissue lost to disease are emphasized hereby as one of the potentially attractive smart materials for the modern medicine. PMID- 21061380 TI - Expression of ventral diencephalon-enriched genes in zebrafish. AB - Dopaminergic (DA) neurons in the vertebrate di- and mesencephalon play essential roles in movement control, endocrine modulation and many other important physiological activities. To identify genes that may regulate the specification and differentiation of diencephalic DA neurons in zebrafish, the spatial and temporal expression pattern of a set of genes was investigated. In situ hybridization analysis revealed that expression of DNA binding inhibitor 3 (Id3), early B cell factor 2 (Ebf2), Ebf3, Iroquois related homeobox 1 (Irx1), Kruppel like factor 7 (Klf7), mab-21-like 1 (Mab21l1), fatty acid binding protein 7 (Fabp7) and stathmin-like 4 (Stmn4), were enriched in the diencephalon of zebrafish. Among these genes, Id3 was expressed specifically in a subset of DA neurons in the ventral diencephalon, with co-expression of neurogenin1 (Ngn1). Alteration of expression levels of Id3 inhibited maturation of developing DA neurons. Taken together, our study provides genetic characteristics of DA neurons in the diencephalon of zebrafish. PMID- 21061388 TI - Adherence to adalimumab therapy in Crohn's disease: a French multicenter experience. AB - BACKGROUND: We evaluated adherence to adalimumab therapy in Crohn's disease (CD). METHODS: This was an observational multicenter study conducted in four French university hospitals between April 4, 2008 and January 1, 2010. Patients were systematically asked, at each clinical visit, whether or not they delayed or missed an injection of adalimumab over the past 3 months. Patients were also asked about the reasons for their nonadherence. RESULTS: Of the 108 patients analyzed, 33 (30.6%) delayed the administration of at least one injection and 16 (14.8%) missed at least one injection over the past 3 months. The main reasons for overall nonadherence were: forgetfulness (24.6%), infection (24.6%), and travel (20%). Other reasons for nonadherence were intentional nonadherence (10.8%), pharmaceutical supply issues (9.2%), side effects (7.7%), pregnancy (1.5%), and CD-related hospitalization (1.5%). Adalimumab regimen of 40 mg every other week was a positive predictor for injection delays (P = 0.02, odds ratio [OR] = 3.76, 95% confidence interval [CI], 1.28-11.05), whereas having at least one relapse in the past 12 months was associated with fewer delays (P = 0.02, OR = 0.37, 95% CI, 0.15-0.87). [correction made here after initial online publication]. Disease duration over 90 months negatively predicted failure to inject adalimumab (P = 0.009, OR = 0.17, 95% CI, 0.05-0.64). CONCLUSIONS: The overall nonadherence rate for adalimumab use was 45.4%. Most of the reasons for nonadherent behaviors could be avoided. An adalimumab regimen of 40 mg every other week was negatively related to adalimumab adherence; both the occurrence of at least one relapse in the past 12 months and disease duration over 90 months were positively related to adherence. PMID- 21061390 TI - Regarding "no cerebrocervical venous congestion in patients with multiple sclerosis. Intraluminal jugular septation". PMID- 21061392 TI - Modeling and predicting competitive sorption of organic compounds in soil. AB - Binary systems consisting of 1,2-dichlorobenzene (12DCB) + competitor were investigated over a range of concentrations of competitor in three natural sorbents with distinct characteristics. Two models, the ideal adsorbed solution theory (IAST) and the potential theory (Polanyi-based multisolute model), widely used in the prediction of multisolute sorption equilibrium from single-solute data, were used to simulate competitive sorption in our systems. The goal was to determine which multisolute model best represented the experimentally obtained multisolute data in natural sorbents of varied properties. Results suggested that for the sorbents and sorbates studied, the IAST model provided much better results. On average, the IAST model provided lower errors (23%) than the potential model (45%). The effect of competitor structure on the degree of competition was also investigated to identify any relationships between competition and structure using molecular descriptors. The competitors chlorobenzene, naphthalene, 1,4-dichlorobenzene, 1,2,4-trichlorobenzene all showed very similar degrees of competition, while benzene, phenanthrene, and pyrene were the least effective competitors toward 12DCB across all sorbents. Different sorption sites or sorption mechanisms might be involved in the sorption of these molecules leading to a lack of competitive behavior. A significant relationship between competitor structure and the degree of competition was observed at environmentally relevant sorbed competitor concentrations for the soil containing the highest fraction of hard carbon (Forbes soil). PMID- 21061393 TI - Capsaicin induces degeneration of cutaneous autonomic nerve fibers. AB - OBJECTIVE: To determine the effects of topical application of capsaicin on cutaneous autonomic nerves. METHODS: Thirty-two healthy subjects underwent occlusive application of 0.1% capsaicin cream (or placebo) for 48 hours. Subjects were followed for 6 months with serial assessments of sudomotor, vasomotor, pilomotor, and sensory function with simultaneous assessment of innervation through skin biopsies. RESULTS: There were reductions in sudomotor, vasomotor, pilomotor, and sensory function in capsaicin-treated subjects (p < 0.01 vs. placebo). Sensory function declined more rapidly than autonomic function, reaching a nadir by Day 6, whereas autonomic function reached a nadir by Day 16. There were reductions in sudomotor, vasomotor, pilomotor, and sensory nerve fiber densities in capsaicin-treated subjects (p < 0.01 vs. placebo). Intraepidermal nerve fiber density declined maximally by 6 days, whereas autonomic nerve fiber densities reached maximal degeneration by Day 16. Conversely, autonomic nerves generally regenerated more rapidly than sensory nerves, requiring 40-50 days to return to baseline levels, whereas sensory fibers required 140-150 days to return to baseline. INTERPRETATION: Topical capsaicin leads to degeneration of sudomotor, vasomotor, and pilomotor nerves accompanied by impairment of sudomotor, vasomotor, and pilomotor function. These results suggest the susceptibility and/or pathophysiologic mechanisms of nerve damage may differ between autonomic and sensory nerve fibers treated with capsaicin and enhances the capsaicin model for the study of disease-modifying agents. The data suggest caution should be taken when topical capsaicin is applied to skin surfaces at risk for ulceration, particularly in neuropathic conditions characterized by sensory and autonomic impairment. PMID- 21061395 TI - Comparative analysis of therapeutic options used for myasthenia gravis. AB - OBJECTIVE: To compare clinical and economic outcomes following plasma exchange (PLEX) and intravenous immunoglobulin (IVIG) in U.S. patients with primary diagnoses of myasthenia gravis (MG). METHODS: Our cohort was identified from the Nationwide Inpatient Sample database for years 2000-2005 using codes from the International Classification of Diseases, 9th edition. Multivariate regression analyses were used to identify predictors of mortality, complications, length of stay, and total inpatient cost. RESULTS: Among 1,606 hospitalized patients, the unadjusted mortality rate of MG crisis remained higher than those without crisis (0.44% vs 4.44%, p < 0.001), as well as the unadjusted complication rate (26.36% vs 11.23%, p < 0.001). MG crisis patients receiving PLEX had significantly more complications than those receiving IVIG (30.06% vs 14.79%, p < 0.001). Among the whole cohort, adjusted mortality and complication rates were not significantly different between the treatment groups (p > 0.05). Acute respiratory failure, major cardiac complications, and acute renal failure were associated with an increased mortality rate (p < 0.001). Age and respiratory failure were associated with an increased complication rate (p < 0.001). Length of stay was significantly longer for MG (6 vs 4 days, p < 0.001) and MG crisis (10 vs 5 days, p < 0.001) patients receiving PLEX. Inpatient costs were higher for MG ($26,662 vs $21,124, p < 0.01) and MG crisis ($53,801 vs $33,924, p < 0.001) patients receiving PLEX. INTERPRETATION: Compared to PLEX, IVIG appears of similar clinical (mortality and complications) and perhaps of superior economic (length of stay and total inpatient charges) outcomes in the treatment of MG. Elderly and those with complex comorbid diseases including acute respiratory failure may be better treated with IVIG. PMID- 21061396 TI - Interferon-beta inhibits toll-like receptor 9 processing in multiple sclerosis. AB - OBJECTIVE: Viral infections have been implicated in the pathogenesis of multiple sclerosis (MS). Plasmacytoid dendritic cells (pDCs) are present in peripheral blood, cerebrospinal fluid, and brain lesions of MS patients. pDCs sense viral DNA via Toll-like receptor 9 (TLR9), which has to be cleaved from the N-terminal to become functional (TLR9 processing). pDCs activated with TLR9 agonists promote T-helper type 1 (Th1)/T-helper type 17 (Th17) responses. In the animal model of MS, TLR9 agonists can induce disease. We hypothesized that pDCs are inhibited by disease-modifying therapy such as interferon (IFN)-beta, consequently decreasing the frequency of MS attacks. METHODS: We separated pDCs from healthy subjects and patients diagnosed with relapsing-remitting MS and clinically isolated syndrome. Cytokine secretion by pDCs activated with TLR9 agonists was measured by enzyme linked immunosorbent assay and multianalyte profiling. TLR9 gene and protein expression was studied by DNA microarrays and western blot. RESULTS: In untreated patients, pDCs activated with TLR9 agonists produced increased levels of IFN alpha, a Th1-promoting cytokine, as compared to healthy subjects. In IFN-beta treated patients, activated pDCs had decreased ability to produce both IFN-alpha and the proinflammatory cytokines interleukin (IL)-6 and tumor necrosis factor alpha as compared to untreated patients. pDCs separated from IFN-beta-treated patients had significantly reduced levels of the processed TLR9 protein but normal levels of the full-length TLR9 protein and TLR9 gene expression as compared to untreated patients. INTERPRETATION: This finding represents a novel immunomodulatory mechanism of IFN-beta: inhibition of TLR9 processing. This results in decreased activation of pDCs by viral pathogens and, thus, may affect the frequency of MS exacerbations. PMID- 21061399 TI - Mucopolysaccharidosis type IIIA: clinical spectrum and genotype-phenotype correlations. AB - OBJECTIVE: Mucopolysaccharidosis (MPS) IIIA (Sanfilippo syndrome type A) is a lysosomal storage disorder caused by deficiency of the enzyme sulfamidase. Information on the natural course of MPS IIIA is scarce, but is much needed in view of emerging therapies. METHODS: Clinical history and molecular defects of all 110 MPS IIIA patients identified by enzymatic studies in the Netherlands were collected and included in this study. RESULTS: First clinical signs, mainly consisting of delayed speech development and behavioral problems, were noted between the ages of 1 and 6 years. Other symptoms included sleeping and hearing problems, recurrent upper airway infections, diarrhea, and epilepsy. The clinical course varied remarkably and could be correlated with the molecular defects. The frequent pathogenic mutations p.R245H, p.Q380R, p.S66W, and c.1080delC were associated with the classical severe phenotype. Patients compound heterozygous for the p.S298P mutation in combination with 1 of the mutations associated with the classical severe phenotype had a significantly longer preservation of psychomotor functions and a longer survival. Two patients homozygous for the p.S298P mutation, and 4 patients from 3 families heterozygous for 3 missense variants not reported previously (p.T421R, p.P180L, and p.L12Q), showed a remarkably attenuated phenotype. INTERPRETATION: We report the natural history and mutational analysis in a large unbiased cohort of MPS IIIA patients. We demonstrate that the clinical spectrum of MPS IIIA is much broader than previously reported. A significant genotype-phenotype correlation was established in this cohort. PMID- 21061401 TI - Predictors of neurologic outcome in hypothermia after cardiac arrest. AB - OBJECTIVE: To evaluate the predictive value of neurologic prognostic indicators for patients treated with hypothermia after surviving cardiopulmonary arrest. METHODS: Patients who survived cardiopulmonary arrest were prospectively collected from June 2006 to October 2009. Detailed neurologic examinations were performed. Serum neuron specific enolase (NSE) measurements, brain imaging findings, somatosensory evoked potentials, and electroencephalogram (EEG) results were recorded. EEG patterns were blindly dichotomized with malignant patterns consisting of burst-suppression, generalized suppression, status epilepticus, and nonreactivity. Outcome measure of in-hospital mortality was assessed. RESULTS: A total of 192 patients (103 hypothermic, 89 nonhypothermic) were studied. The absence of pupillary light responses, corneal reflexes, and an extensor or absent motor response at Day 3 after cardiac arrest remained accurate predictors of poor outcome after therapeutic hypothermia (p < 0.0001 for all). Myoclonic status epilepticus was invariably associated with death (p = 0.0002). Malignant EEG patterns and global cerebral edema on head computed tomography (CT) were associated with death in both populations (p < 0.001). NSE > 33 ng/ml levels measured 1-3 days after cardiac arrest remained associated with poor outcome (p = 0.017), but had a false-positive rate of 29.3% (95% confidence interval [CI] 0.164-0.361). INTERPRETATION: Clinical examination (brainstem reflexes, motor response, and presence of myoclonus) at Day 3 after cardiac arrest remains an accurate predictor of outcome after therapeutic hypothermia. Sedative medications in both hypothermic and nonhypothermic patients may confound the clinical exam. NSE > 33 ng/ml has a high false-positive rate in patients treated with hypothermia and should be interpreted with caution. PMID- 21061404 TI - Fibronectin-carbon-nanotube hybrid nanostructures for controlled cell growth. PMID- 21061402 TI - Variants at APOE influence risk of deep and lobar intracerebral hemorrhage. AB - OBJECTIVE: Prior studies investigating the association between APOE alleles epsilon2/epsilon4 and risk of intracerebral hemorrhage (ICH) have been inconsistent and limited to small sample sizes, and did not account for confounding by population stratification or determine which genetic risk model was best applied. METHODS: We performed a large-scale genetic association study of 2189 ICH cases and 4041 controls from 7 cohorts, which were analyzed using additive models for epsilon2 and epsilon4. Results were subsequently meta analyzed using a random effects model. A proportion of the individuals (322 cases, 357 controls) had available genome-wide data to adjust for population stratification. RESULTS: Alleles epsilon2 and epsilon4 were associated with lobar ICH at genome-wide significance levels (odds ratio [OR] = 1.82, 95% confidence interval [CI] = 1.50-2.23, p = 6.6 * 10(-10); and OR = 2.20, 95%CI = 1.85-2.63, p = 2.4 * 10(-11), respectively). Restriction of analysis to definite/probable cerebral amyloid angiopathy ICH uncovered a stronger effect. Allele epsilon4 was also associated with increased risk for deep ICH (OR = 1.21, 95% CI = 1.08-1.36, p = 2.6 * 10(-4)). Risk prediction evaluation identified the additive model as best for describing the effect of APOE genotypes. INTERPRETATION: APOE epsilon2 and epsilon4 are independent risk factors for lobar ICH, consistent with their known associations with amyloid biology. In addition, we present preliminary findings on a novel association between APOE epsilon4 and deep ICH. Finally, we demonstrate that an additive model for these APOE variants is superior to other forms of genetic risk modeling previously applied. PMID- 21061407 TI - Is advanced cancer associated with demoralization and lower global meaning? The role of tumor stage and physical problems in explaining existential distress in cancer patients. AB - OBJECTIVE: This longitudinal study examines the extent to which global meaning, existential distress in terms of demoralization, and depression are predicted by tumor stage (UICC stages 0-II vs III/IV), palliative treatment, and physical problems in cancer patients. METHODS: N = 270 cancer patients were studied at baseline (T1, response rate: 41%) and after 3 months (T2) (N = 178, 72%). The following standardized self-report measures were used: Demoralization Scale, Life Attitude Profile--Revised, Hospital Anxiety and Depression Scale, NCCN DT (physical problems list). RESULTS: Multivariate regression analyses controlling for sociodemographic variables showed the number of physical problems significantly predicting higher demoralization (beta = 0.42, p<0.001) and depression (beta = 0.34, p<0.001), but not global meaning. While palliative treatment intention was related to higher depression (beta = 0.21, p = 0.03) and higher demoralization (ns), there was no effect of tumor stage on dependent variables. The hypothesized moderating effect of global meaning on the relation between physical problems and depression or demoralization, respectively, was not found. CONCLUSIONS: Results indicate a risk of existential distress in cancer patients across all disease stages, possibly due to confrontation with, albeit different, existential stressors throughout the illness. The general protective effect of global meaning against distress, independent of the number of physical problems, underscores the notion of existential concerns being relevant to cancer patients more generally, rather than just to a subgroup. However, physical problems might play a central role in the process of becoming demoralized through impairing the sense of mastery and competence. Findings finally strengthen the difference between the concepts of demoralization and global meaning. PMID- 21061408 TI - Extant health behaviors and uptake of standardized vs tailored health messages among cancer survivors enrolled in the FRESH START trial: a comparison of fighting-spirits vs fatalists. AB - OBJECTIVE: Cancer coping styles have been associated with several cancer-related outcomes. We examined whether baseline lifestyle behaviors differed between cancer survivors with fatalistic vs fighting-spirit coping styles, and whether there was differential response to two diet-exercise mailed-print interventions, one standardized and another individually tailored. METHODS: Baseline differences by coping style are presented for 628 breast and prostate cancer survivors who participated in the FRESH START trial, along with multivariable analyses on rates of uptake by coping style and arm assignment for those completing the 2-year trial. RESULTS: At baseline, several differences were observed between fighting spirits and fatalists, with the former significantly more likely to be white, younger, leaner, more-educated and at risk for depression, and less likely to consume 5+fruits and vegetables (F&V)/day (p-values<0.05). Improvements in physical activity were observed, with fighting-spirits exhibiting the greatest gains from baseline to Year-1, regardless of intervention type; but by Year-2, these differences diminished as fatalists gained ground. Moreover, fatalists who received standardized intervention material also charted steady improvements in F&V intake over the study period; by Year-2, 58.1% of fatalists achieved the 5-a day goal vs 44.6% of fighting-spirits (p-value<0.05). CONCLUSIONS: Lifestyle behaviors and health message uptake differs by cancer coping style. Although tailored interventions appear most effective and minimize differential uptake, standardized interventions also can improve behaviors, though fighting-spirits may require additional boosters to maintain change. PMID- 21061411 TI - Mesenchymal stem cells protect islets from hypoxia/reoxygenation-induced injury. AB - Hypoxia/reoxygenation (H/R)-induced injury is the key factor associated with islet graft dysfunction. This study aims to examine the effect of mesenchymal stem cells (MSCs) on islet survival and insulin secretion under H/R conditions. Islets from rats were isolated, purified, cultured with or without MSCs, and exposed to hypoxia (O(2) <= 1%) for 8 h and reoxygenation for 24 and 48 h, respectively. Islet function was evaluated by measuring basal and glucose stimulated insulin secretion (GSIS). Apoptotic islet cells were quantified using Annexin V-FITC. Anti-apoptotic effects were confirmed by mRNA expression analysis of hypoxia-resistant molecules, HIF-1alpha, HO-1, and COX-2, using semi quantitative retrieval polymerase chain reaction (RT-PCR). Insulin expression in the implanted islets was detected by immunohistological analysis. The main results show that the stimulation index (SI) of GSIS was maintained at higher levels in islets co-cultured with MSCs. The MSCs protected the islets from H/R induced injury by decreasing the apoptotic cell ratio and increasing HIF-1alpha, HO-1, and COX-2 mRNA expression. Seven days after islet transplantation, insulin expression in the MSC-islets group significantly differed from that of the islets alone group. We proposed that MSCs could promote anti-apoptotic gene expression by enhancing their resistance to H/R-induced apoptosis and dysfunction. This study provides an experimental basis for therapeutic strategies based on enhancing islet function. PMID- 21061414 TI - Mechanisms of action of a psychological intervention for dementia caregivers: effects of behavioral activation and modification of dysfunctional thoughts. AB - OBJECTIVE: Different studies show the negative effects on caregivers' health of maladaptive thoughts and the positive effects of engaging in pleasurable activities on distress. The aim of this study is to (a) test the efficacy of a cognitive-behavioral intervention aimed at training caregivers to flexibilize maladaptive thoughts regarding caregiving and increasing their leisure activities and to (b) analyse the mediator role in the effects of the intervention of caregivers' change in dysfunctional thoughts and behavioral activation. METHODS: A total of 170 caregivers were contacted and randomly distributed to the intervention condition or to the control group. Depression, behavioral activation, and dysfunctional thoughts were assessed at baseline and post intervention by 'blinded' interviewers. The intervention consisted in twelve group based sessions in which in addition to cognitive-behavioral techniques, caregivers' were trained in basic principles for caring for a relative with dementia. RESULTS: Significant intervention by time effects were found for depression, dysfunctional thoughts and frequency of leisure activities. Only significant positive effects were found for the intervention group. The regression analysis for testing mediational effects of dysfunctional thoughts and leisure activities on the relationship between participating on the intervention and changes in depressive symptomatology show that mediation is established in both cases. CONCLUSIONS: The results of our study contribute to the literature on interventions for dementia caregivers highlighting the positive mediator effect that modifying caregivers' dysfunctional thoughts and increasing their behavioral activation has on caregivers' depressive symptomatology. PMID- 21061415 TI - Mixed models for data from thorough QT studies: part 2. One-step assessment of conditional QT prolongation. AB - We investigate mixed analysis of covariance models for the 'one-step' assessment of conditional QT prolongation. Initially, we consider three different covariance structures for the data, where between-treatment covariance of repeated measures is modelled respectively through random effects, random coefficients, and through a combination of random effects and random coefficients. In all three of those models, an unstructured covariance pattern is used to model within-treatment covariance. In a fourth model, proposed earlier in the literature, between treatment covariance is modelled through random coefficients but the residuals are assumed to be independent identically distributed (i.i.d.). Finally, we consider a mixed model with saturated covariance structure. We investigate the precision and robustness of those models by fitting them to a large group of real data sets from thorough QT studies. Our findings suggest: (i) Point estimates of treatment contrasts from all five models are similar. (ii) The random coefficients model with i.i.d. residuals is not robust; the model potentially leads to both under- and overestimation of standard errors of treatment contrasts and therefore cannot be recommended for the analysis of conditional QT prolongation. (iii) The combined random effects/random coefficients model does not always converge; in the cases where it converges, its precision is generally inferior to the other models considered. (iv) Both the random effects and the random coefficients model are robust. (v) The random effects, the random coefficients, and the saturated model have similar precision and all three models are suitable for the one-step assessment of conditional QT prolongation. PMID- 21061417 TI - Assessing non-inferiority to an aggregate response with an application to development of pneumococcal conjugate vaccines. AB - The development of a new pneumococcal conjugate vaccine involves assessing the responses of the new serotypes included in the vaccine. The World Health Organization guidance states that the response from each new serotype in the new vaccine should be compared with the aggregate response from the existing vaccine to evaluate non-inferiority. However, no details are provided on how to define and estimate the aggregate response and what methods to use for non-inferiority comparisons. We investigate several methods to estimate the aggregate response based on binary data including simple average, model-based, and lowest response methods. The response of each new serotype is then compared with the estimated aggregate response for non-inferiority. The non-inferiority test p-value and confidence interval are obtained from Miettinen and Nurminen's method, using an effective sample size. The methods are evaluated using simulations and demonstrated with a real clinical trial example. PMID- 21061424 TI - Proteomics and systems biology to tackle biological complexity: Yeast as a case study. AB - In this note we discuss how, by using budding yeast as model organism (as has been done in the past for biochemical, genetics and genomic studies), the integration of "omics" sciences and more specifically of proteomics with systems biology offers a very profitable approach to elucidating regulatory circuits of complex biological functions. PMID- 21061422 TI - The critical protein interactions and structures that elicit growth deregulation in cancer and viral replication. AB - One of the greatest challenges in biomedicine is to define the critical targets and network interactions that are subverted to elicit growth deregulation in human cells. Understanding and developing rational treatments for cancer requires a definition of the key molecular targets and how they interact to elicit the complex growth deregulation phenotype. Viral proteins provide discerning and powerful probes to understand both how cells work and how they can be manipulated using a minimal number of components. The small DNA viruses have evolved to target inherent weaknesses in cellular protein interaction networks to hijack the cellular DNA and protein replication machinery. In the battle to escape the inevitability of senescence and programmed cell death, cancers have converged on similar mechanisms, through the acquisition and selection of somatic mutations that drive unchecked cellular replication in tumors. Understanding the dynamic mechanisms through which a minimal number of viral proteins promote host cells to undergo unscheduled and pathological replication is a powerful strategy to identify critical targets that are also disrupted in cancer. Viruses can therefore be used as tools to probe the system-wide protein-protein interactions and structures that drive growth deregulation in human cells. Ultimately this can provide a path for developing system context-dependent therapeutics. This review will describe ongoing experimental approaches using viruses to study pathways deregulated in cancer, with a particular focus on viral cellular protein-protein interactions and structures. PMID- 21061430 TI - The biology of myeloid-derived suppressor cells: the blessing and the curse of morphological and functional heterogeneity. AB - Myeloid-derived suppressor cells (MDSC) play an important role in the cellular network regulating immune responses in cancer, chronic infectious diseases, autoimmunity, and in other pathological conditions. Morphological, phenotypic and functional heterogeneity is a hallmark of MDSC. This heterogeneity demonstrates the plasticity of this immune suppressive myeloid compartment, and shows how various tumors and infectious agents can have similar biological effects on myeloid cells despite the differences in the factors that they produce to influence the immune system; however, such a heterogeneity creates ambiguity in the definition of MDSC as well as confusion regarding the origin and fate of these cells. In this review, we will discuss recent findings that help to better clarify these issues and to determine the place of MDSC within the myeloid cell lineage. PMID- 21061431 TI - Myeloid-derived suppressor cells in parasitic infections. AB - Myeloid-derived suppressor cells (MDSC) are a heterogeneous population of immature myeloid cells that share a common property of suppressing immune responses. Several helminth and protozoan parasite species have developed efficient strategies to increase the rate of medullary or extramedullary myelopoiesis and to induce the expansion and accumulation of immature myeloid cells such as MDSC. In this review, we examine current knowledge on the factors mediating enhanced myelopoiesis and MDSC induction and recruitment during parasitic infections and how the MDSC phenotype and mechanism of immune modulation and suppression depends on the factors they encounter within the host. Finally, we place MDSC expansion in the context of the critical balance between parasite elimination and pathogenicity to the host and suggest attractive avenues for future research. PMID- 21061432 TI - Persistence of effector memory Th1 cells is regulated by Hopx. AB - Th1 cells are prominent in inflamed tissue, survive conventional immunosuppression, and are believed to play a pivotal role in driving chronic inflammation. Here, we identify homeobox only protein (Hopx) as a critical and selective regulator of the survival of Th1 effector/memory cells, both in vitro and in vivo. Expression of Hopx is induced by T-bet and increases upon repeated antigenic restimulation of Th1 cells. Accordingly, the expression of Hopx is low in peripheral, naive Th cells, but highly up-regulated in terminally differentiated effector/memory Th1 cells of healthy human donors. In murine Th1 cells, Hopx regulates the expression of genes involved in regulation of apoptosis and survival and makes them refractory to Fas-induced apoptosis. In vivo, adoptively transferred Hopx-deficient murine Th1 cells do not persist. Consequently, they cannot induce chronic inflammation in murine models of transfer-induced colitis and arthritis, demonstrating a key role of Hopx for Th1 mediated immunopathology. PMID- 21061433 TI - Immunoglobulin secretion by B1 cells: differential intensity and IRF4-dependence of spontaneous IgM secretion by peritoneal and splenic B1 cells. AB - Peritoneal B1 cells are typified by spontaneous, constitutive secretion of IgM natural antibody, detected by ELISPOT assay, among other means. Recently, this key characteristic has been called into question, a reason for which we evaluated the integrity of IgM(+) ELISPOT spots. We found that fixed B1 cells fail to produce ELISPOT spots, that interference with Golgi function inhibits ELISPOT spot formation, and that B1 cell-derived immunoglobulin in supernatant samples is EndoH-resistant. These findings indicate that spots produced by B1 cells on ELISPOT assay reflect secretory IgM actively exported by viable B1 cells. Current paradigms propose that interferon response factor 4 (IRF4) is required for plasma cell differentiation and immunoglobulin secretion. However, we found that IgM secretion by peritoneal B1 cells is not altered in IRF4-null mice. In contrast, spontaneous IgM secretion by splenic B1 cells, which amounts to much more IgM secreted per cell, is dramatically reduced in the absence of IRF4. These results indicate that peritoneal B1 cells spontaneously secrete low levels of IgM via an IRF4-independent non-classical pathway, and, considering the low level of serum IgM in IRF-null mice, further suggest that accumulation of serum immunoglobulin depends on IRF4-dependent secretion by splenic B1 cells. PMID- 21061434 TI - IFN-gamma and IL-12 synergize to convert in vivo generated Th17 into Th1/Th17 cells. AB - Th1 and Th17 cells are distinct lineages of effector/memory cells, imprinted for re-expression of IFN-gamma and IL-17, by upregulated expression of T-bet and retinoic acid-related orphan receptor gammat (RORgammat), respectively. Apparently, Th1 and Th17 cells share tasks in the control of inflammatory immune responses. Th cells coexpressing IFN-gamma and IL-17 have been observed in vivo, but it remained elusive, how these cells had been generated and whether they represent a distinct lineage of Th differentiation. It has been shown that ex vivo isolated Th1 and Th17 cells are not interconvertable by TGF-beta/IL-6 and IL 12, respectively. Here, we show that ex vivo isolated Th17 cells can be converted into Th1/Th17 cells by combined IFN-gamma and IL-12 signaling. IFN-gamma is required to upregulate expression of the IL-12Rbeta2 chain, and IL-12 for Th1 polarization. These Th1/Th17 cells stably coexpress RORgammat and T-bet at the single-cell level. Our results suggest a molecular pathway for the generation of Th1/Th17 cells in vivo, which combine the pro-inflammatory potential of Th1 and Th17 cells. PMID- 21061435 TI - T-cell-specific deletion of STIM1 and STIM2 protects mice from EAE by impairing the effector functions of Th1 and Th17 cells. AB - T-cell function is dependent on store-operated Ca(2+) influx that is activated by the stromal interaction molecules (STIM) 1 and 2. We show that mice with T-cell specific deletion of STIM1 or STIM2 are protected from EAE, a mouse model of multiple sclerosis (MS). While STIM1- and STIM2-deficient T cells could be successfully primed by autoantigen, they failed to produce the proinflammatory cytokines IL-17 and IFN-gamma. STIM1-deficient T cells showed reduced expression of IL-23R, required for Th17 cell homeostasis, and had impaired chemokine dependent T-cell migration caused by a lack of chemokine-induced Ca(2+) influx. Autoantigen-specific STIM1- or STIM2-deficient T cells failed to expand and accumulate in the CNS and lymph nodes following adoptive transfer to passively induce EAE, suggesting that autoantigen-specific restimulation or homeostasis of STIM1- and STIM2-deficient T cells are impaired. Combined deletion of both STIM1 and STIM2, previously shown to impair Treg development and function, completely protected mice from EAE. This indicates that, in the absence of Ca(2+) influx, autoreactive T cells are severely dysfunctional rendering Treg dispensable for the prevention of CNS inflammation. Our findings demonstrate that both STIM1 and STIM2 are critical for T-cell function and autoimmunity in vivo. PMID- 21061436 TI - Apoptosis regulators Fas and Bim synergistically control T-lymphocyte homeostatic proliferation. AB - The size of the peripheral T-lymphocyte compartment is governed by complex homeostatic mechanisms that balance T-cell proliferation and death. Proliferation and survival signals are mediated in part by recurrent self-peptide/MHC-TCR interactions and signaling by the common gamma chain-containing cytokine receptors, including those for IL-7 and IL-15. We have previously shown that the death receptor Fas (CD95/APO-1) regulates apoptosis in response to repeated TCR stimulation, whereas the Bcl-2 homology domain 3-only protein Bim mediates cytokine withdrawal-induced apoptosis. We therefore reasoned that these two molecules might cooperate in the regulation of homeostatic proliferation. In this study, we observe that the combined loss of Fas and Bim synergistically enhances the accumulation of T cells in lymphopenic host mice, and this is particularly pronounced for the unusual CD4(-) CD8(-) TCRalphabeta(+) T cells that are characteristic of Fas-deficient (Fas(lpr/lpr) ) mice. Our findings demonstrate that these CD4(-) CD8(-) TCRalphabeta(+) T cells arise from homeostatic proliferation of CD8(+) T cells. These studies also underscore the profound rate of baseline T-cell proliferation that likely occurs in wild-type mice even in the absence of foreign antigen, and the consequent need for its coordinated regulation by multiple death-signaling pathways. PMID- 21061437 TI - Dendritic cells adhere to and transmigrate across lymphatic endothelium in response to IFN-alpha. AB - Migration of DC into lymphatic vessels ferries antigenic cargo and pro inflammatory stimuli into the draining LN. Given that tissues under the influence of viral infections produce type I IFN, it is conceivable that these cytokines enhance DC migration in order to facilitate an antiviral immune response. Cultured lymphatic endothelium monolayers pretreated with TNF-alpha were used to model this phenomenon under inflammatory conditions. DC differentiated in the presence of either IFN-alpha2b or IFN-alpha5 showed enhanced adhesion to cultured lymphatic endothelial cells. These pro-adhesive effects were mediated by DC, not the lymphatic endothelium, and correlated with increased DC transmigration across lymphatic endothelial cell monolayers. Transmigration was guided by chemokines acting on DC, and blocking experiments with mAb indicated a role for LFA-1. Furthermore, incubation of DC with IFN-alpha led to the appearance of active conformation epitopes on the CD11a integrin chains expressed by DC. Differentiation of mouse DC in the presence of IFN-alpha also increased DC migration from inflammed footpads toward popliteal LN. Collectively, these results indicate a role for type I IFN in directing DC toward LN under inflammatory conditions. PMID- 21061438 TI - The L1 major capsid protein of HPV16 differentially modulates APC trafficking according to the vaccination or natural infection context. AB - Human papillomavirus (HPV) infection, particularly type 16, is causally associated with cancer of the uterine cervix. The progression of cervical lesions suggests that viral antigens are not adequately presented to the immune system. The aim of this study was to determine whether HPV16 viral particles can influence the trafficking of human DC/Langerhans cells (LC), either by direct interactions with DC or following incubation with human normal keratinocytes that are in close contact with LC in the squamous epithelium. We first demonstrated that HPV16 L1 major capsid protein, when self-assembled into virus-like particles (VLP), is able to induce in DC an over-expression of CXC receptor 4 (CXCR4) via the activation of the NF-kappaB signaling pathway and to enhance DC motility in the presence of CXCL12, suggesting an ability to migrate towards lymph nodes. We also showed that conditioned media of HPV16 VLP-treated keratinocytes induce a lower LC migration than those from untreated keratinocytes and that prostaglandin E2 (PGE(2)), detected in HPV16 VLP-treated keratinocyte supernatants, may reduce LC recruitment into the squamous epithelium. Taken together, our data demonstrate that HPV16 VLP may differentially regulate the immune protective response according to their target cells. PMID- 21061439 TI - Critical role for IL-21 in both primary and memory anti-viral CD8+ T-cell responses. AB - While it is well established that CD8(+) T cells generated in the absence of CD4(+) T cells mediate defective recall responses, the mechanism by which CD4(+) T cells confer help in the generation of CD8(+) T-cell responses remains poorly understood. To determine whether CD4(+) T-cell-derived IL-21 is an important regulator of CD8(+) T-cell responses in help-dependent and -independent viral infections, we examined these responses in the IL-21Ralpha(-/-) mouse model. We show that IL-21 has a role in primary CD8(+) T-cell responses and in recall CD8(+) T-cell responses in help-dependent viral infections. This effect is due to a direct action of IL-21 in enhancing the proliferation of virus-specific CD8(+) T cells and reducing their TRAIL expression. These findings indicate that IL-21 is an important mediator of CD4(+) T-cell help to CD8(+) T cells. PMID- 21061441 TI - Developmental progression of fetal HEB(-/-) precursors to the pre-T-cell stage is restored by HEBAlt. AB - Gene knockout studies have shown that the E-protein transcription factor HEB is required for normal thymocyte development. We have identified a unique form of HEB, called HEBAlt, which is expressed only during the early stages of T-cell development, whereas HEBCan is expressed throughout T-cell development. Here, we show that HEB(-/-) precursors are inhibited at the beta-selection checkpoint of T cell development due to impaired expression of pTalpha and function of CD3epsilon, both of which are necessary for pre-TCR signaling. Transgenic expression of HEBAlt in HEB(-/-) precursors, however, upregulated pTalpha and allowed development to CD4(+) CD8(+) stage in fetal thymocytes. Moreover, HEBAlt did overcome the CD3epsilon signaling defect in HEB(-/-) Rag-1(-/-) thymocytes. The HEBAlt transgene did not permit Rag-1(-/-) precursors to bypass beta selection, indicating that it was not acting as a dominant negative inhibitor of other E-proteins. Therefore, our results provide the first mechanistic evidence that HEBAlt plays a critical role in early T-cell development and show that it can collaborate with fetal thymic stromal elements to create a regulatory environment that supports T-cell development past the beta-selection checkpoint. PMID- 21061440 TI - B7-H1 expression on non-B and non-T cells promotes distinct effects on T- and B cell responses in autoimmune arthritis. AB - The immune system has developed several regulatory mechanisms to maintain homeostasis of adaptive immune responses. T-cell programmed death (PD)-1 recognition of B7-H1 (PD-L1) expressed on APC and non-lymphoid tissue regulates T cell activation. We show that B7-H1(-/-) mice exhibit exacerbated proteoglycan (PG)-induced arthritis and increased Th-1 CD4(+) T-cell responses. Unexpectedly, the PG-specific antibody response in B7-H1(-/-) mice was diminished. A reduction in the number of peanut agglutinin(+) GC coincided with a decrease in CD19(+) GL 7(+) CD95(+) GC B cells that was a result of increased caspase-induced apoptosis. The percent of CD38(+) CD138(+) emerging plasma cells was decreased. B7-H1(-/-) mice exhibited an increased frequency of CD4(+) PD-1(hi) CXCR5(hi) ICOS(hi) CD62L(lo) T follicular helper cells that displayed a hyperactive phenotype with increased expression of mRNA transcripts for Bcl6, IL-21, and the apoptosis inducer molecule FasL. In cell transfer of B7-H1(-/-) cells into SCID mice, non-B and non-T cells were sufficient to normalize the antibody response, T-cell hyperactivity, and the development of PG-induced arthritis. These findings indicate that B7-H1 on non-B and non-T cells signals through PD-1 on T effector cells to prevent excessive activation and reduce autoimmune arthritis. Furthermore, these findings demonstrate a novel role for B7-H1 expression in promoting B-cell survival by regulating the activation of T follicular helper cell. PMID- 21061442 TI - Interferon regulatory factor 4 regulates thymocyte differentiation by repressing Runx3 expression. AB - The transcription factor interferon regulatory factor 4 (IRF4) was originally found to be preferentially expressed in lymphoid cells and to be required for the function, differentiation, and homeostasis of both mature T and B lymphocytes. Recent studies have indicated that IRF4 is also involved in early B-cell development. However, the role of IRF4 in intrathymic T-cell development remains unknown. In this study, we show that IRF4 is upregulated in TCR-signaled thymocytes and is predominantly expressed in CD4 single-positive (SP), but not in CD8 SP, cells. T-cell-specific overexpression of IRF4 impaired the generation and maturation of CD8 SP thymocytes. Further analysis revealed that IRF4 selectively bound to the distal promoter region of Runx3 and repressed its transcription, probably through the deacetylation of histones H3 and H4 in intermediate CD4(+) CD8(low) cells and CD4 SP thymocytes. Similar to the effect of Runx3 deficiency, transgenic expression of IRF4 led not only to an aberrantly high expression of CD4 surface molecules on intermediate CD4(+) CD8(low) cells and CD8 SP thymocytes, but also impaired CD8(+) T-cell function. Taken together, our data suggest that IRF4 plays an important role in the regulation of Runx3 expression and CD4(+) /CD8(+) thymocyte differentiation. PMID- 21061444 TI - The transmembrane adaptor protein NTAL signals to mast cell cytoskeleton via the small GTPase Rho. AB - The transmembrane adaptor protein NTAL (non-T-cell activation linker) participates in signalosome assembly in hematopoietic cells, but its exact role in cell physiology remains enigmatic. We report here that BM-derived mast cells from NTAL-deficient mice, responding to Ag alone or in combination with SCF, exhibit reduced spreading on fibronectin, enhanced filamentous actin depolymerization and enhanced migration towards Ag relative to WT cells. No such differences between WT and NTAL(-/-) BM-derived mast cells were observed when SCF alone was used as activator. We have examined the activities of two small GTPases, Rac and Rho, which are important regulators of actin polymerization. Stimulation with Ag and/or SCF enhanced activity of Rac(1,2,3) in both NTAL(-/-) and WT cells. In contrast, RhoA activity decreased and this trend was much faster and more extensive in NTAL(-/-) cells, indicating a positive regulatory role of NTAL in the recovery of RhoA activity. After restoring NTAL into NTAL(-/-) cells, both spreading and actin responses were rescued. This is the first report of a crucial role of NTAL in signaling, via RhoA, to mast cell cytoskeleton. PMID- 21061443 TI - Lck regulates IL-10 expression in memory-like Th1 cells. AB - The Src family kinase Lck is thought to facilitate Th2 differentiation; however, its role in Th1 cells has not been well explored. Using mice that lack Lck in mature T cells, we find that lck(-/-) Th1 skewed cells have normal expression of T-bet and produce IFN-gamma at WT levels. However, there is a 3-fold increase in IL-10 producing cells in the mutant cultures. These cells do not have elevated levels of IL-4, GATA3, IL-17 or Foxp3, indicating that they are not Th2, Th17, or Foxp3(+) T regulatory cells (Treg). Nor do these cells behave in a similar manner as the type 1 Treg. Most of the IL-10 in the lck(-/-) Th1 cultures is derived from the memory/activated subset, as the cytokine profile from Th1 cultures established from purified CD62L(+) (naive) cells are similar to WT cells. Furthermore, this IL-10 expression appears to be dependent on IL-12 and correlates with elevated c-Maf. These data highlight a previously unappreciated role for Lck in regulating IL-10 in Th1 cells. PMID- 21061445 TI - IL-2-activated haploidentical NK cells restore NKG2D-mediated NK-cell cytotoxicity in neuroblastoma patients by scavenging of plasma MICA. AB - NK group 2D (NKG2D)-expressing NK cells exhibit cytolytic activity against various tumors after recognition of the cellular ligand MHC class I chain-related gene A (MICA). However, release of soluble MICA (sMICA) compromises NKG2D dependent NK-cell cytotoxicity leading to tumor escape from immunosurveillance. Although some molecular details of the NKG2D-MICA interaction have been elucidated, its impact for donor NK (dNK) cell-based therapy of solid tumors has not been studied. Within an ongoing phase I/II trial, we used allogeneic IL-2 activated dNK cells after haploidentical stem cell transplantation for immunotherapy of patients with high-risk stage IV neuroblastoma. NKG2D levels on activated dNK cells increased strongly when compared with freshly isolated dNK cells and correlated with enhanced NK-cell cytotoxicity. Most importantly, elevated sMICA levels in patients plasma correlated significantly with impaired dNK-cell-mediated cytotoxicity. This effect could be reversed by high-dose infusion of activated dNK cells, which display high levels of surface NKG2D. Our data suggest that the provided excess of NKG2D leads to clearance of sMICA and preserves cytotoxicity of dNK cells via non-occupied NKG2D. In conclusion, our results identify this tumor immune escape mechanism as a target to improve immunotherapy of neuroblastoma and presumably other tumors. PMID- 21061446 TI - Invariant natural killer T cells: linking inflammation and neovascularization in human atherosclerosis. AB - Atherosclerosis, a chronic inflammatory lipid storage disease of large arteries, is complicated by cardiovascular events usually precipitated by plaque rupture or erosion. Inflammation participates in lesion progression and plaque rupture. Identification of leukocyte populations involved in plaque destabilization is important for effective prevention of cardiovascular events. This study investigates CD1d-expressing cells and invariant NKT cells (iNKT) in human arterial tissue, their correlation with disease severity and symptoms, and potential mechanisms for their involvement in plaque formation and/or destabilization. CD1d-expressing cells were present in advanced plaques in patients who suffered from cardiovascular events in the past and were most abundant in plaques with ectopic neovascularization. Confocal microscopy detected iNKT cells in plaques, and plaque-derived iNKT cell lines promptly produced proinflammatory cytokines when stimulated by CD1d-expressing APC-presenting alpha galactosylceramide lipid antigen. Furthermore, iNKT cells were diminished in the circulating blood of patients with symptomatic atherosclerosis. Activated iNKT cell-derived culture supernatants showed angiogenic activity in a human microvascular endothelial cell line HMEC-1-spheroid model of in vitro angiogenesis and strongly activated human microvascular endothelial cell line HMEC-1 migration. This functional activity was ascribed to IL-8 released by iNKT cells upon lipid recognition. These findings introduce iNKT cells as novel cellular candidates promoting plaque neovascularization and destabilization in human atherosclerosis. PMID- 21061447 TI - Analysis of the peripheral T-cell repertoire in kidney transplant patients. AB - The long-term stability of renal grafts depends on the absence of chronic rejection. As T cells play a key role in rejection processes, analyzing the T cell repertoire may be useful for understanding graft function outcomes. We have therefore investigated the power of a new statistical tool, used to analyze the peripheral blood TCR repertoire, for determining immunological differences in a group of 229 stable renal transplant patients undergoing immunosuppression. Despite selecting the patients according to stringent criteria, the patients displayed heterogeneous T-cell repertoire usage, ranging from unbiased to highly selected TCR repertoires; a skewed TCR repertoire correlating with an increase in the CD8(+) /CD4(+) T-cell ratio. T-cell repertoire patterns were compared in patients with clinically opposing outcomes i.e. stable drug-free operationally tolerant recipients and patients with the "suspicious" form of humoral chronic rejection and were found significantly different, from polyclonal to highly selected TCR repertoires, respectively. Moreover, a selected TCR repertoire was found to positively correlate with the Banff score grade. Collectively, these data suggest that TCR repertoire categorization might be included in the calculation of a composite score for the follow-up of patients after kidney transplantation. PMID- 21061448 TI - Inhibitory effect of pisosterol on human glioblastoma cell lines with C-MYC amplification. AB - Despite the remarkable progress in the characterization of the molecular pathogenesis of glioblastoma multiforme (GBM), these tumors remain incurable and, in most cases, refractory to aggressive cytotoxic treatments. We conducted a morphological and cytogenetic study in two GBM cell lines (U343 and AHOL1), before and after treatment with pisosterol (at 0.5, 1.0 and 1.8 ug ml-1), a triterpene isolated from the fungus Pisolithus tinctorius. No significant alteration was observed in the morphology and frequency of chromosomal abnormalities in the cell lines analyzed after treatment with pisosterol. Using fluorescence in situ hybridization analysis with a locus-specific probe for C-MYC showed that 72% of U343 and 65% of AHOL1 cells contained more than two alleles of C-MYC before treatment. After treatment, no effects were detected at lower concentrations of pisosterol (0.5 and 1.0 ug ml-1). However, at 1.8 ug ml-1 of pisosterol, only 33% of U343 cells and 15% of AHOL1 cells presented more than two fluorescent signals, suggesting that pisosterol blocks the cells with gene amplification. Cells that do not show a high degree of C-MYC gene amplification have a less aggressive and invasive behavior and are easy targets for chemotherapy. Therefore, further studies are needed to examine the use of pisosterol in combination with conventional anti-cancer therapy. PMID- 21061449 TI - Protective actions of des-aspartate-angiotensin I in mice model of CEES-induced lung intoxication. AB - The present study investigated the protective actions of des-aspartate angiotensin I (DAA-I) in mice that were intranasally administered 2-chloroethyl ethyl sulfide (CEES), a half sulfur mustard. The protection was dose-dependent, and an oral dose of 75 mg kg-1 per day administered 18 h post exposure and for the following 13 days, offered maximum protection that increased survival by a third. DAA-I attenuated the early processes of inflammation seen in the CEES inoculated mice. DAA-I attenuated (i) elevated pulmonary ROS, and gp91-phox protein of NADPH oxidase, a non phagocytic enzyme that generates superoxide and subsequent ROS; (ii) intercellular adhesion molecule-1 (ICAM-1) that is involved in the extravasation of circulating leucocytes; and (iii) myeloperoxidase activity, which is a surrogate enzymatic measurement of neutrophil infiltration. These actions led to improved histological lung structures, and survival of type 1 pneumocytes. The action of DAA-I on animal survival was blocked by losartan, a selective angiotensin AT1 receptor blocker, indicting that the AT1 receptor mediates the protection. The presence of elevated PGE2 and PGI2 in lung supernatants of DAA-I treated CEES-inoculated mice indicates that the two prostaglandins are involved in signaling the protective actions of DAA-I. This finding complements earlier studies showing that DAA-I acts on an indomethacin sensitive angiotensin AT1 receptor. The findings of the present study are the first demonstration of an angiotensin peptide as an effective antidote for CEES intoxication. DAA-I is also an effective therapeutic intervention against CEES that was instituted at 18 h post exposure, and challenges conventional assumptions of limited efficacy with delayed action against alkylating agents. PMID- 21061450 TI - Finding maximal transcriptome differences between reprotoxic and non-reprotoxic phthalate responses in rat testis. AB - The chemical legislation of the EU, Registration, Evaluation, and Authorization of Chemicals (REACH), stipulates that about 30 000 chemical substances are to be assessed on their possible risks. Toxicological evaluation of these compounds will at least partly be based on animal testing. In particular, the assessment of reproductive toxicity is a very complicated, time-consuming and animal-demanding process. Introducing microarray-based technologies can potentially refine in vivo toxicity testing. If compounds of a distinct chemical class induce reproducible gene-expression responses with a recognizable overlap, these gene-expression signatures may indicate intrinsic features of certain compounds, including specific toxicity. In the present study, we have set out the first steps towards this approach for the reproductive toxicity of phthalates. Male rats were treated with a single dose of either reprotoxic or non-reprotoxic phthalates, and were analyzed 24 h afterwards. Subsequently, histopathological and gene-expression profiling analyses were performed. Despite ambiguous histopathological observations, we were able to identify genes with differential expression profiles between the reprotoxic phthalates and the non-reprotoxic counterparts. This shows that differences in gene-expression profiles, indicative of the type of exposure, may be detected earlier, or at lower doses, than classical pathological endpoints. These findings are promising for 'early warning' biomarker analyses and for using toxicogenomics in a category approach. Ultimately, this could lead to a more cost-effective approach for prioritizing the toxicity testing of large numbers of chemicals in a short period of time in hazard assessment of chemicals, which is one of the objectives of the REACH chemical legislation. PMID- 21061451 TI - The prenylflavonoid phytoestrogens 8-prenylnaringenin and isoxanthohumol diferentially suppress steroidogenesis in rat Leydig cells in ontogenesis. AB - 8-Prenylnaringenin and isoxanthohumol are prenylflavonoids found in the hop plant, Humulus lupulus (Cannabaceae), which is traditionally used to add bitterness and flavor to beer. Flavonoids have previously been reported to exert endocrine disrupting actions. Therefore, we investigated the effects of 8 prenylnaringenin and isoxanthohumol on steroidogenesis activated by human chorionic gonadotropin (hCG) in primary cultures of rat Leydig cells at different stages of their development. The present study is the first to demonstrate that the prenylflavonoids 8-prenylnaringenin and isoxanthohumol exert complex maturation-dependent effects on Leydig cell steroidogenesis. Those compounds inhibited hCG-stimulated androgen production by Leydig cells at all stages of their development, a process that was associated with the reduced ability of the cells to produce cAMP. However, these same compounds up-regulated hCG-activated StAR expression in progenitor (PLC) and immature (ILC) but not adult types of Leydig cells (ALC). Further, 8-prenylnaringenin and isoxanthohumol were not able to suppress androgen production activated by an exogenous analog of cAMP, (Bu)2 cAMP, in ALC and ILC but synergistically stimulated steroidogenesis in PLC. Our data suggest that 8-prenylnaringenin and isoxanthohumol affect cAMP-dependent cellular processes up-stream transport of cholesterol into mitochondria. PMID- 21061452 TI - The hard and soft sides of cancer programming. PMID- 21061453 TI - The 'factless' examination. Facts are there to be used, not regurgitated: when will we learn? PMID- 21061454 TI - An overview of various validated HPLC and LC-MS/MS methods for quantitation of drugs in bile: challenges and considerations. AB - Although plasma/serum is the preferred matrix for the characterization of pharmacokinetic parameters, recent years have witnessed the emergence of bile matrix as another tool for refining the pharmacokinetic disposition of drug(s) and the associated metabolite(s). The biliary excretion mechanism represents an important path for drug elimination through feces. Also, there are numerous examples in which bile samples have been shown to concentrate both drug and its metabolite(s) in a much higher proportion as compared with the circulating blood levels and may act as a reservoir for the re-entry of the drug and its metabolite(s) to the systemic circulation once the bile gets drained into the small intestine. Firstly, the review provides a comprehensive overview of various analytical methods that have been adopted for bile sample analysis with a description of extraction steps, chromatography and validation protocol. Secondly, it provides a discussion on bioanalytical related strategies including bile sample collection requirements. Thirdly, a brief discussion on fit-for-use method strategy is also presented to enable an optimum allotment of resources for bile related analysis; and finally, the use of bile matrix in several mechanistic studies to probe efflux mechanisms and/or drug-drug interaction potential has been presented with relevant case studies. PMID- 21061455 TI - Trends in bioanalytical methods for the determination and quantification of club drugs: 2000-2010. AB - The term 'club drug' can be loosely defined as any substance used to enhance social settings. Such drugs are commonly found at raves or similar all-night dance parties and include methamphetamine, 3,4-methylenedioxymethamphetamine, gamma-hydroxybutyrate (GHB), ketamine (KET), and flunitrazepam (FLU). These drugs have potentially dangerous side effects including hallucinations, paranoia, amnesia and hyperthermia. In addition, GHB, KET and FLU are considered predatory drugs due to their roles in drug-facilitated sexual assault. Forensic and regulatory agencies routinely have the need for determination and accurate quantification of these drugs in biological fluids, especially in cases of mortality or criminal investigations. This review presents the chromatographic and spectroscopic methods published for such analyses over the last decade, including sample preparation techniques and validation data. PMID- 21061456 TI - Physical activity as viewed by adults with severe obesity, awaiting gastric bypass surgery. AB - BACKGROUND AND PURPOSE: Today, it is known that adults suffering from obesity benefit from physical activity. There is however lack of research with regard to how patients with severe obesity experience physical activity. It is important to explore this topic in order to be able to improve communication with and to tailor information and exercise programmes for patients suffering with obesity. The aim of the present qualitative study was to describe how adults with severe obesity, awaiting gastric bypass surgery experience physical activity. METHODS: A qualitative method inspired by a phenomenographic approach was used to analyze the data. Data collection was performed by in-depth semi-structured interviews with 18 patients. All patients were aged between 18 and 65 years, suffered from severe obesity and were scheduled for laparoscopic Roux-en Y gastric bypass surgery at Sahlgrenska University Hospital in Sweden. RESULTS: The analysis resulted in nine qualitatively different categories that were then divided into four aspects: 'the obese body', 'the mind', 'knowledge' and 'the environment'. Many patients experienced well-being after physical activity, but most patients were uncomfortable with appearing in public wearing exercise clothing. The excess weight itself was considered an obstacle, and weight loss was assumed to facilitate physical activity. Exercising together with someone at the same level of fitness increased motivation. A white lie about training was sometimes used to satisfy the need to be seen as capable. CONCLUSION: Physical activity is experienced positively among adults with severe obesity, but many obstacles exist that influence their capacity and their will. Support is necessary in different ways, not only to initiate physical activity, but also to maintain it. PMID- 21061457 TI - Clinical effect of additional electroacupuncture on thoracolumbar intervertebral disc herniation in 80 paraplegic dogs. AB - The clinical efficacy of electroacupuncture and acupuncture in combination with medication for the treatment of thoracolumbar intervertebral disc herniation was investigated in paraplegic dogs with intact deep pain perception. To evaluate the additional effect of electroacupuncture, dogs treated with conventional medicines alone were compared to dogs treated with electroacupuncture and acupuncture and conventional medicine. Medical records of 80 dogs were reviewed for this investigation and classified into two groups undergoing different treatment methods: (1) treatment with conventional medicine alone (Group C, n = 37) and (2) treatment with conventional medicine combined with electroacupuncture and acupuncture (Group CE, n = 43). Prednisone was the conventional medicine and electroacupuncture was applied at GV07 and GV02-1 at 0.5-2.5 mV, mixed Hz of 2 and 15 Hz for 25-30 min. Acupuncture was performed locally at urinary bladder meridian points near the lesion, and bilaterally distantly at GB30, GB34, and ST36. Treatment efficacy was evaluated by post-operative neurologic function, ambulation, relapse, complication, and urinary function. Ambulation recovery was more prevalent in Group CE than Group C (p = 0.01) and recovery of ambulation and back pain relief time was shorter in Group CE compared to Group C (p = 0.011 and 0.001, respectively). Relapse rate was significantly lower in Group CE (p = 0.031). The results suggest that a combination of electroacupuncture and acupuncture with conventional medicine is more effective than conventional medicine alone in recovering ambulation, relieving back pain, and decreasing relapse. Electroacupuncture and acupuncture is thus a reasonable option for the treatment of intervertebral disc herniation in paraplegic dogs with intact deep pain perception. PMID- 21061458 TI - Radix astragali (huangqi) as a treatment for defective hypoglycemia counterregulation in diabetes. AB - Radix astragali is a herbal remedy used in China to treat patients with diabetes exposed to repeated episodes of hypoglycemia. The physiological basis or validity of this approach is not clear. In the present study, we examine the effect of pre treatment with Radix astragali on hormonal counterregulatory responses to hypoglycemia in normal male Sprague-Dawley rats. Four groups of rodents were studied. In two of these groups, rodents were pre-treated for 3 days with either intravenous Radix astragali or control solution and, subsequently, while awake and unrestrained, underwent an in vivo hyperinsulinemic hypoglycemic (50 mg/dl) clamp study. The rodents in other two groups were pre-treated for 7 days with either intravenous Radix astragali or control solution. In addition, for the last 3-days of their treatment, the rats were subjected to a once-daily episode of insulin-induced hypoglycemia. Upon completion of this protocol, each rat underwent a controlled in vivo hyperinsulinemic hypoglycemic (50 mg/dl) clamp study. Radix astragali was shown to amplify the glucose counterregulatory response to hypoglycemia in both untreated and recurrently hypoglycemic rats. Immunocytochemistry studies suggested this might reflect increased neural activation in two key central glucose-sensing regions, the paraventricular hypothalamus and the nucleus tractus solitarius. Based on these rodent studies, we conclude that Radix astragali pre-treatment can amplify the counterregulatory response to hypoglycemia through a mechanism that may involve the central glucose sensing regions. Future studies to examine the potential therapeutic benefit of Radix astragali in rodent models of type 1 diabetes are warranted. PMID- 21061459 TI - The anti-arthritic effects of synthetic melittin on the complete Freund's adjuvant-induced rheumatoid arthritis model in rats. AB - Bee venom (BV) has been used for millennia in Chinese traditional medicine to treat rheumatoid arthritis (RA). However, its components and mechanism remain unclear, which has hampered its development and application for the treatment of RA. In this study, we examined the anti-arthritis effects of melittin, which composes nearly 50% of the dry weight of whole BV, on the complete Freund's adjuvant-induced (CFA-induced) RA model in rats. The RA animal models were treated with solutions of BV, melittin, and saline by injection into a specific acupoint (Zusanli). The BV and melittin treatments statistically diminished the thickness of the arthroses in the injected side of the paw, compared to the saline treatment. Melittin therapy also significantly reduced arthritis-induced nociceptive behaviors, as assessed by the thermal hyperalgesia test. In addition, CFA-induced Fos expression in the superficial layer of the lumbar spinal cord was significantly suppressed by the BV and melittin treatments, compared to the saline treatment. These results indicate that melittin is an effective anti arthritis component of whole bee venom, making it a promising candidate as an anti-arthritis drug. PMID- 21061460 TI - The effectiveness of ethanolic extract of Amaranthus tricolor L.: A natural hepatoprotective agent. AB - The ethanolic extract of Amaranthus tricolor L. (ATE) leaves was tested for its efficacy against CCl4-induced liver toxicity in rats. The hepatoprotective activity of ATE was evaluated via measuring various liver toxicity parameters, the lipid profile, and a histopathological evaluation. A sleeping time determination study and an acute toxicity test were performed in mice. The results clearly showed that oral administration of ATE for three weeks significantly reduced the elevated levels of serum GOT, GPT, GGT, ALP, bilirubin, cholesterol, LDL, VLDL, TG, and MDA induced by CCl4. Moreover, ATE treatment was also found to significantly increase the activities of NP-SH and TP in liver tissue. These biochemical findings have been supported by the evaluation of the liver histopathology in rats. The prolongation of narcolepsy induced by pentobarbital was shortened significantly by the extract. The acute toxicity test showed that no morbidity or mortality was caused by the extract. The observed hepatoprotective effect appears to be due to the antioxidant properties of A. tricolor, which may pave the way to finding a new drug to be used for fighting liver diseases. PMID- 21061461 TI - Improvement on lipid metabolic disorder by 3'-deoxyadenosine in high-fat-diet induced fatty mice. AB - This study explores the effects of 3'-deoxyadenosine, a compound from Cordyceps militaris, on lipid metabolic disorder induced by a high-fat-diet in C57BL/6 mice. These mice had an obese body, lipid metabolic disorder and insulin resistance and were treated orally with 100 mg/kg/day 3'-deoxyadenosine (DA), 15 mg/kg/day rosiglitazone and 150 mg/kg/day fenofibrate, respectively. Compared to the model mice, the body weight gain in DA-treated mice were decreased by 66.5%, serum triglyceride and total cholesterol levels were decreased by 20.7% and 16.7%, respectively, and the triglyceride content in the skeletal muscle was reduced by 41.2%. This treatment also had a significant effect on insulin resistance. In DA-treated mice, the serum insulin levels and homeostasis model assessment of the insulin resistance index were decreased by 30% and 46%, respectively, and the areas under the glucose-time curve were depressed by 18% in the insulin tolerance test and by 21.5% in the oral glucose tolerance test. Finally, the value of glucose infusion rates and insulin induced-glucose uptake into the skeletal muscle in the hyperinsulinemic-euglycemic clamp test were increased by 18% and 41%, respectively, compared to those in the model mice. This data suggests that the effects of DA on lipid metabolic disorder induced by a high-fat-diet may be linked to its improvement on insulin resistance, especially concerning the increase of insulin sensitivity in the skeletal muscle. PMID- 21061462 TI - Bee venom reduces atherosclerotic lesion formation via anti-inflammatory mechanism. AB - The components of bee venom (BV) utilized in the current study were carefully scrutinized with chromatography. Despite its well documented anti-inflammatory property, there are no reports regarding the influence of BV on the expression of cellular adhesion molecules in the vascular endothelium. A great amount of information exists concerning the effects of an atherogenic diet on atherosclerotic changes in the aorta, but little is known about the molecular mechanisms and the levels of gene regulation involved in the anti-inflammatory process induced by BV. The experimental atherosclerosis was induced in mice by a lipopolysaccharide (LPS) injection and an atherogenic diet. The animals were divided into three groups, the NC groups of animals that were fed with a normal diet, the LPS/fat group was fed with the atherogenic diet and received intraperitoneal injections of LPS, and the LPS/fat + BV group was given LPS, an atherogenic diet and intraperitoneal BV injections. At the end of each treatment period, the LPS/fat + BV group had decreased levels of total cholesterol (TC) and triglyceride (TG) in their serum, compared to the LPS/fat group. The LPS/fat group had significant expression of tumor necrosis factor (TNF)-alpha and interleukin (IL)-1beta in the serum, compared with the NC group (p < 0.05). The amount of cytokines reduced consistently in the BV treatment groups compared with those in LPS/fat group. BV significantly reduced the amount of intercellular adhesion molecule-1 (ICAM-1), vascular cell adhesion molecule-1 (VCAM-1), transforming growth factor-beta1 (TGF-beta1) and fibronectin in the aorta, compared with the LPS/fat group (p < 0.05). A similar pattern was also observed in the heart. In conclusion, BV has anti-atherogenic properties via its lipid lowering and anti-inflammatory mechanisms. PMID- 21061463 TI - Protective effects on mitochondria and anti-aging activity of polysaccharides from cultivated fruiting bodies of Cordyceps militaris. AB - Cordyceps militaris (L.) Link is an entomopathogenic fungus parasitic to Lepidoptera larvae, and is widely used as a folk tonic or invigorant for longevity in China. Although C. militaris has been used in traditional Chinese medicine for millennia, there is still a lack convincing evidence for its anti aging activities. This study was performed to investigate the effects of polysaccharides from cultivated fruiting bodies of C. militaris (CMP) on mitochondrial injury, antioxidation and anti-aging activity. Fruiting bodies of C. militaris were cultivated artificially under optimized conditions. The spectrophotometric method was used to measure thiobarbituric acid reactive substances (TBARS), mitochondrial swelling, and activities of scavenging superoxide anions in vitro. D-galactose (100 mg/kg/day) was injected subcutaneously into back of the neck of mice for 7 weeks to induce an aging model. The effects of CMP on the activities of catalase (CAT), surperoxide dismutase (SOD), glutathione peroxidase (GPx) and anti-hydroxyl radicals were assayed in vivo using commercial monitoring kits. The results showed that CMP could inhibit mitochondrial injury and swelling induced by Fe2(+)-L-Cysteine in a concentration- dependent manner and it also had a significant superoxide anion scavenging effect. Moreover, the activities of CAT, SOD, GPx and anti-hydroxyl radicals in mice liver were increased significantly by CMP. These results indicate that CMP protects mitochondria by scavenging reactive oxygen species (ROS), inhibiting mitochondrial swelling, and increasing the activities of antioxidases. Therefore, CMP may have pharmaceutical values for mitochondrial protection and anti-aging. CMP was the major bioactive component in C. militaris. PMID- 21061464 TI - Antitumor activities of extracts and compounds from water decoctions of Taxus cuspidata. AB - Water decoctions from the leaves of Taxus cuspidata are used in traditional Chinese medicine to treat cancer, suggesting that water soluble constituents from these leaves may possess anticancer properties. Interestingly, hydrophilic paclitaxel derivatives, as opposed to paclitaxel itself, can be detected by high pressure liquid chromatography in water decoctions from these leaves. The remainder extracts, which are free of paclitaxel and hydrophilic paclitaxel derivatives, from the T. cuspidata leaves were investigated for antitumor activity in vivo and in vitro for the first time in this study. EE80B, 7-xylosyl 10-deacetylpaclitaxel and 7-xylosyl-10-deacetylpaclitaxel C displayed the most antitumor activity in vivo. However, in vitro studies with tumor cell lines showed that EE80B had a significantly smaller antitumor effect than paclitaxel. We hypothesize that water decoctions from T. cuspidata leaves exhibit antitumor effects in vivo, which may be aided by the activation of specific host mechanisms (e.g. stimulation of antitumor immunity) which are not present in vitro. PMID- 21061465 TI - Therapeutic effects of matrine on primary and metastatic breast cancer. AB - Matrine, one of the main components extracted from a traditional Chinese herb, Sophora flavescens Ait, has displayed anti-cancer activity in several types of cancer cells. This study aims to evaluate the therapeutic benefits of matrine on primary and metastatic breast cancer. Matrine inhibited the viability of and induced apoptosis in human MCF-7 and mouse 4T1 breast cancer cells in a dose dependent manner in vitro as shown by MTT assay, flow cytometry and laser scanning confocal microscopy. Administration of matrine inhibited the growth of primary tumors and their metastases to lungs and livers, in a dose-dependent manner, in a highly metastatic model of 4T1 breast cancer established in syngeneic Balb/c mice. Tumors from matrine-treated mice had a smaller proliferation index, shown by immunostaining with an anti-Ki-67 antibody, a greater apoptosis index, shown by TUNEL-staining, and a less microvessel density, shown by immunostaining with an anti-CD31 A antibody, compared to the controls. Western blot analysis of tumoral homogenates indicated that matrine therapy reduced the ratio of Bcl-2/Bax, downregulated the expressions of VEGF and VEGFR 2, and increased the activation of caspase-3 and caspase-9. This study suggests matrine may be a potent agent, from a natural resource, for treating metastatic breast cancer because of its anti-apoptotic, anti-proliferative and anti angiogenic activities. PMID- 21061466 TI - Arsenic trioxide induces apoptosis in uveal melanoma cells through the mitochondrial pathway. AB - Uveal melanoma, the most common primary intraocular malignancy in adults, is highly resistant to most chemotherapeutic drugs. Arsenic trioxide (ATO) is known to inhibit ocular melanoma cell growth. However, the effects of ATO on human uveal melanoma cells are poorly understood. Therefore, this study evaluated the mechanisms of ATO and its inhibiting effects on a human uveal melanoma cell line (SP6.5). An MTT assay indicated that, compared to human fibroblasts, ATO had a stronger inhibiting effect on SP6.5 cell proliferation in a dose- and time dependent manner. The apoptosis ratio in SP6.5 cells, which was indicated by cell DNA fragmentation, was 4.1- to 7.7-fold higher after ATO-treatment. The ATO treatment substantially increased the activities of caspase-3 and caspase-9, but not of caspase-8. These findings were consistent with the protein expression observed by Western blots. ATO also significantly enhanced expression of Bax and cytochrome c proteins but suppressed those of Bcl-2. Therefore, ATO-induced apoptosis in uveal melanoma cells occurs mainly through the mitochondrial pathway rather than through the death receptor pathway. This report is the first to evaluate the complete mitochondria-dependent apoptotic pathway of ATO in uveal melanoma cells. These results can be used to improve the clinical effectiveness of ATO treatment for uveal melanoma. PMID- 21061467 TI - G1 arrest and caspase-mediated apoptosis in HL-60 cells by dichloromethane extract of Centrosema pubescens. AB - Cell division and apoptosis are two crucial components of tumor biology and the importance of increased cell proliferation and reduced cell death have made them valid therapeutic targets. The plant kingdom is a relatively underexploited cache of novel drugs, and crude extracts of plants are known for their synergistic activity. The present study assessed the anti-proliferative activity of the medicinal plant Centrosema pubescens Benth. Centrosema pubescens dichloromethane extract (CPDE) inhibited the proliferation of HL-60 (promyelocytic acute leukaemia) cells with an IC50 value of 5 MUg/ml. Further studies also showed that CPDE induces growth arrest at the G1 phase and specifically down-regulates the expressions of cyclin E and CDK2 and up-regulates p27(CKI) levels. These events apparently lead to the induction of apoptosis, which was demonstrated qualitatively by a DNA fragmentation assay and propidium iodide staining. Quantitative assessment of the effective arrest of the cell cycle and of apoptosis was confirmed by flow cytometry. CPDE exhibited negligible cytotoxicity even at the highest dose tested (100 MUg/ml) in both normal peripheral blood mononuclear cells and in an in vitro model (HL-60). Our results strongly suggest that CPDE arrests the cell cycle at the G1 phase and triggers apoptosis by caspase activation. PMID- 21061468 TI - Effects of berberine on expression of LOX-1 and SR-BI in human macrophage-derived foam cells induced by ox-LDL. AB - This study investigates the effects of beriberine on the expression of lectin like ox-LDL receptor-1 (LOX-1), scavenger receptor A (SR-A), SR class B type I (SR-BI) and ATP-binding cassette transporter A1 (ABCA1) in human macrophage derived foam cells induced by ox-LDL. Different concentrations of Berberine were co-cultured with THP-1 derived foam cells. The mRNA and protein expressions of LOX-1, SR-A, SR-BI and ABCA1 were determined by RT-PCR and Western blot analysis, respectively. Ox-LDL significantly increased the expression of LOX-1 and inhibited the expression of SR-BI in a dose- and time-dependent manner. Berberine significantly inhibited the effects of ox-LDL in a dose- and time-dependent manner. Moreover, ox-LDL significantly promoted ABCA1 expression. However, berberine had no effect on SR-A or ABCA1 expression. Berberine can inhibit the expression of LOX-1 and promote the expression of SR-BI in macrophage-derived foam cells. Therefore, berberine could be used to treat atherosclerotic diseases. PMID- 21061469 TI - Paeonol attenuates H2O2-induced NF-kappaB-associated amyloid precursor protein expression. AB - Hydrogen peroxide (H2O2) has been shown to promote neurodegeneration by inducing the activation of nuclear factor-kappaB (NF-kappaB). In this study, NF-kappaB activation was induced by H2O2 in human neuroblastoma SH-SY5Y cells. Whether paeonol, one of the phenolic phytochemicals isolated from the Chinese herb Paeonia suffruticosa Andrews (MC), would attenuate the H2O2-induced NF-kappaB activity was investigated. Western blot results showed that paeonol inhibited the phosphorylation of IkappaB and the translocation of NF-kappaB into the nucleus. The ability of paeonol to reduce DNA binding ability and suppress the H2O2 induced NF-kappaB activation was confirmed by an electrophoretic mobility shift assay and a luciferase reporter assay. Using a microarray combined with gene set analysis, we found that the suppression of NF-kappaB was associated with mature T cell up-regulated genes, the c-jun N-terminal kinase pathway, and two hypoxia related gene sets, including the hypoxia up-regulated gene set and hypoxia inducible factor 1 targets. Moreover, using network analysis to investigate genes that were altered by H2O2 and reversely regulated by paeonol, we found that NF kappaB was the primary center of the network and amyloid precursor protein (APP) was the secondary center. Western blotting showed that paeonol inhibited APP at the protein level. In conclusion, our work suggests that paeonol down-regulates H2O2-induced NF-kappaB activity, as well as NF-kappaB-associated APP expression. Furthermore, the gene expression profile accompanying the suppression of NF kappaB by paeonol was identified. The new gene set that can be targeted by paeonol provided a potential use for this drug and a possible pharmacological mechanism for other phenolic compounds that protect against oxidative-related injury. PMID- 21061470 TI - Protective effects of water extract of clam on normal and CCl4-induced damage in primary cultured rat hepatocytes. AB - The objective of this study was to investigate the effects of various concentrations and incubation times of water extract of clam (WEC) on glutathione, its antioxidant and the detoxification defense systems in normal and CCl4-induced oxidative damaged primary rat hepatocytes. This study showed that when the hepatocytes were treated with WEC (0.14 ~ 1.68 mg/ml), the intracellular glutathione (GSH) levels, GSH/GSSG ratio, and the activities of GSH-related enzymes (GPx, GRd, and GST) were higher than those in the control at 24 or 48 hour treatments. However, the lactate dehydrogenase (LDH) leakage and microscopic observations did not differ from those of the control. Yet, when the hepatocytes were pretreated with various concentrations of WEC for 24 hours and then exposed to 5 mM carbon tetrachloride (CCl4) for 1 hour, at concentrations of WEC between 0.42 ~ 1.68 mg/ml, the viabilities, intracellular GSH level, and activities of GST and GPx were significantly increased compared to those of the CCl4-treated control group (p < 0.05). In conclusion, WEC could improve the viability and the capabilities of detoxification and antioxidation in hepatocytes by increasing the GSH level and the activities of GSH-related enzymes. PMID- 21061471 TI - Diarylheptanoid hirsutenoxime inhibits toll-like receptor 4-mediated NF-kappaB activation regulated by Akt pathway in keratinocytes. AB - Microbial products, including lipopolysaccharides, may be involved in the pathogenesis of inflammatory skin diseases. We examined the effect of hirsutenoxime on the Toll-like receptor 4-mediated activation of Akt and nuclear factor (NF)-kappaB in lipopolysaccharide-stimulated keratinocytes. Hirsutenoxime, a cell signaling Akt inhibitor, and Bay 11-7085, an inhibitor of NF-kappaB activation, attenuated the lipopolysaccharide-induced expression of Toll-like receptor 4, activation of NF-kappaB and Akt, and the production of chemokines and reactive oxygen/nitrogen species. Hirsutenoxime may reduce the Toll-like receptor 4 expression-mediated NF-kappaB activation, which is regulated by the Akt pathway in keratinocytes exposed to lipopolysaccharides. This effect may reduce the skin inflammatory response. PMID- 21061472 TI - Protease purified from Schizophyllum commune culture broth digests fibrins without activating plasminogen. AB - Schizophyllum commune is a widely distributed mushroom used as an herbal medicine and an ingredient in healthy food. In this study, a protease from a fermented culture broth of S. commune demonstrated strong fibrinolytic and fibrinogenolytic activities. This fibrinolytic protease showed a suppression effect in blood coagulation in co-incubation with rat citrated blood through thromboelastographic analysis. The protease suppressed aggregation of fibrin (ogen), but not the platelets, in clotting formation and significantly decreased the clot strength. We also found very little potency in this protease to activate plasminogen, thus it exhibits the potential for an ideal fibrinolytic candidate for therapeutic applications in the future. PMID- 21061473 TI - Letter to the editor: Panaxadiol's anticancer activity is enhanced by epicatechin. AB - We previously reported the antiproliferative effect of panaxadiol (PD), an active compound in steamed ginseng, on human HCT-116 colorectal cancer cells, and that antioxidants might play a role in this effect. In this study, we observed that PD's antiproliferative effect was significantly enhanced by epicatechin (EC), a strong natural antioxidant in grape seed. Evidence for the synergistic antiproliferative effect was supported by the remarkable increase in the number of apoptotic cells. PMID- 21061474 TI - Mutual interaction of Faraday rotation and Cotton-Mouton phase shift in JET polarimetric measurements. AB - The paper presents a study of Faraday rotation (FR) angle and Cotton-Mouton (CM) phase shift measurements to determine their mutual interaction and the validity of the linear models presently used in equilibrium codes. Comparison between time traces of measurements and model calculations leads to the result that only an exact numerical solution of Stokes equations can reproduce in all the experimental data. As a consequence, approximated linear models can be applied only in a limited range of plasma parameters. In general, the nonlinear coupling between FR and CM is important for the evaluation of polarimetry parameters. PMID- 21061475 TI - Analysis and improvements of fringe jump corrections by electronics on the JET tokamak far infrared interferometer. AB - For the Tore Supra interferometer phase measurements, an electronics had been developed electronics using field programmable gate array processors. The embedded algorithm can correct the fringe jumps. For comparison, the electronics ran at JET during the 2009 campaign. The first analysis concluded that the electronics was not correcting all the fringe jumps. An analysis of the failures led to improvements in the algorithm, which was tested during the rest of the campaign. In this article, we evaluate the increases in the performance. From the analysis of the remaining faults, further improvements are discussed for designing future boards that are foreseen for JET using the second wavelength and the Cotton-Mouton effect information. PMID- 21061476 TI - Recent developments of the JET far-infrared interferometer-polarimeter diagnostic. AB - The far-infrared diagnostic provides essential internal measurements of the plasma density and magnetic field topology (q-profile via Faraday rotation angle) in real-time. The diagnostic capabilities have recently been extended in a number of key areas. Fast interferometer data, with 10 MUs time resolution, and a new MATLAB code have allowed improved analysis of the evolution of density profiles during fast events such as vertical plasma displacements, edge localized mode, pellet fuelling, and disruptions. Using the polarimeter measurements in real time, a new calibration procedure has been developed based on a propagation code using the Mueller matrix formalism. A further major upgrade of the system is presently underway: adding a second color laser to the vertical channels and implementing a new phase counter based on analog zero crossing and field programmable gate array boards. PMID- 21061477 TI - Upgrade of the lithium beam diagnostic at JET. AB - A 60 kV neutral Li beam is injected into the edge plasma of JET to measure the electron density. The beam observation system has been improved by replacing a Czerny-Turner spectrometer with a high-resolution transmission-grating spectrometer and a fast back-illuminated frame-transfer camera. The larger throughput of the spectrometer, the increased sensitivity, and the faster readout of the new camera allow inter-ELM (edge localized mode) measurements (frame rate of 100 Hz). The calibration of the setup, as well as an improved spectral fitting technique in the presence of carbon background radiation, is discussed in detail. The density calculation is based on a statistical analysis method. Results are presented for different plasma scenarios. PMID- 21061478 TI - Characterization of the Li beam probe with a beam profile monitor on JET. AB - The lithium beam probe (LBP) is widely used for measurements of the electron density in the edge plasma of magnetically confined fusion experiments. The quality of LBP data strongly depends on the stability and profile shape of the beam. The main beam parameters are as follows: beam energy, beam intensity, beam profile, beam divergence, and the neutralization efficiency. For improved monitoring of the beam parameters, a beam profile monitor (BPM) from the National Electrostatics Corporation (NEC) has been installed in the Li beam line at JET. In the NEC BPM, a single grounded wire formed into a 45 degrees segment of a helix is rotated by a motor about the axis of the helix. During each full revolution, the wire sweeps twice across the beam to give X and Y profiles. In this paper, we will describe the properties of the JET Li beam as measured with the BPM and demonstrate that it facilitates rapid optimization of the gun performance. PMID- 21061479 TI - New frequency translation technique for FM-CW reflectometry. AB - In broadband microwave reflectometry, coherent detection is widely used to obtain the phase information and to improve the systems sensitivity, both in diagnostics measuring the electronic density profile and plasma fluctuations. Coherent detection uses a translated version of the probing signal to guarantee a stable intermediate frequency. Here, a novel technique to generate the frequency translation by double frequency conversion is presented and its advantages over the commonly used single frequency conversion techniques employing image rejection mixers are discussed. The results obtained with the new frequency translator modules developed for the three JET FM-CW reflectometers, operating successfully at JET since mid-2009, are presented. PMID- 21061480 TI - Improved time-frequency analysis of ASDEX Upgrade reflectometry data using the reassigned spectrogram technique. AB - The spectrogram is one of the best-known time-frequency distributions suitable to analyze signals whose energy varies both in time and frequency. In reflectometry, it has been used to obtain the frequency content of FM-CW signals for density profile inversion and also to study plasma density fluctuations from swept and fixed frequency data. Being implemented via the short-time Fourier transform, the spectrogram is limited in resolution, and for that reason several methods have been developed to overcome this problem. Among those, we focus on the reassigned spectrogram technique that is both easily automated and computationally efficient requiring only the calculation of two additional spectrograms. In each time frequency window, the technique reallocates the spectrogram coordinates to the region that most contributes to the signal energy. The application to ASDEX Upgrade reflectometry data results in better energy concentration and improved localization of the spectral content of the reflected signals. When combined with the automatic (data driven) window length spectrogram, this technique provides improved profile accuracy, in particular, in regions where frequency content varies most rapidly such as the edge pedestal shoulder. PMID- 21061483 TI - Measure of electron cyclotron emission at multiple angles in high T(e) plasmas of JET. AB - The oblique electron cyclotron emission (ECE) diagnostic installed at JET allows simultaneous analysis of the ECE spectra along three lines of sight (with toroidal angles of 0 degrees , ~ 10 degrees , and ~ 20 degrees ) and two linear polarizations for each oblique line of sight. The diagnostic is capable of measuring EC emission over the band of 75-800 GHz with 5 ms time resolution and 7.5 GHz spectral resolution, and it is designed to investigate the features of ECE spectra related to electron distribution in the thermal velocity range. Instrumental accuracy was assessed using sources at different temperatures (77 900 K) and with plasma emission. ECE from high temperature plasmas and in the presence of fast ions has been compared to simulations performed with the modeling code SPECE, setting an upper limit to possible discrepancies from thermal emission. PMID- 21061484 TI - Multiband reflectometry system for density profile measurement with high temporal resolution on JET tokamak. AB - A new system has been installed on the JET tokamak consisting of six independent fast-sweeping reflectometers covering four bands between 44 and 150 GHz and using orthogonal polarizations. It has been designed to measure density profiles from the plasma edge to the center, launching microwaves through 40 m of oversized corrugated waveguides. It has routinely produced density profiles with a maximum repetition rate of one profile every 15 MUs and up to 100,000 profiles per pulse. PMID- 21061485 TI - Support vector machine-based feature extractor for L/H transitions in JET. AB - Support vector machines (SVM) are machine learning tools originally developed in the field of artificial intelligence to perform both classification and regression. In this paper, we show how SVM can be used to determine the most relevant quantities to characterize the confinement transition from low to high confinement regimes in tokamak plasmas. A set of 27 signals is used as starting point. The signals are discarded one by one until an optimal number of relevant waveforms is reached, which is the best tradeoff between keeping a limited number of quantities and not loosing essential information. The method has been applied to a database of 749 JET discharges and an additional database of 150 JET discharges has been used to test the results obtained. PMID- 21061482 TI - Simulation of reflectometry Bragg backscattering spectral responses in the absence of a cutoff layer. AB - Experimental reflectometry signals obtained in the absence of a cutoff layer, with the possibility of interferometric operation excluded, show a coherent and recurrent frequency spectrum signature similar to an Alfven cascade signature. A possible explanation resides in the modulation of a resonant Bragg backscattering response by an Alfven mode structure located at the center of the plasma whose frequency of oscillation modulates the backscattered signal in a conformable way. This situation is modeled and simulated using an O-mode full-wave Maxwell finite difference time-domain code and the resulting signatures are discussed. PMID- 21061486 TI - Detection of dust on JET with the high resolution Thomson scattering system. AB - Dust particles have been observed with Thomson scattering systems on several tokamaks. We present here the first evidence of dust particles observed by the new high resolution Thomson scattering system on JET. The system consists of filter spectrometers that analyze the Thomson scattering spectrum from 670 to 1050 nm in four spectral channels. The laser source is a 5 J Q-switched Nd:YAG laser. Without a spectral channel at the laser wavelength, only dust particles that emit broadband light could be detected; these particles have been observed on JET after disruptions. The timing of their emission is clearly different from that expected for a Thomson scattering pulse. The light pulse from dust happens after the peak of the laser light and has a long tail. PMID- 21061481 TI - Real-time reflectometry measurement validation in H-mode regimes for plasma position control. AB - It has been shown that in H-mode regimes, reflectometry electron density profiles and an estimate for the density at the separatrix can be jointly used to track the separatrix within the precision required for plasma position control on ITER. We present a method to automatically remove, from the position estimation procedure, measurements performed during collapse and recovery phases of edge localized modes (ELMs). Based on the rejection mechanism, the method also produces an estimate confidence value to be fed to the position feedback controller. Preliminary results show that the method improves the real-time experimental separatrix tracking capabilities and has the potential to eliminate the need for an external online source of ELM event signaling during control feedback operation. PMID- 21061488 TI - New developments in the diagnostics for the fusion products on JET in preparation for ITER (invited). AB - Notwithstanding the advances of the past decades, significant developments are still needed to satisfactorily diagnose "burning plasmas." D-T plasmas indeed require a series of additional measurements for the optimization and control of the configuration: the 14 MeV neutrons, the isotopic composition of the main plasma, the helium ash, and the redistribution and losses of the alpha particles. Moreover a burning plasma environment is in general much more hostile for diagnostics than purely deuterium plasmas. Therefore, in addition to the development and refinement of new measuring techniques, technological advances are also indispensable for the proper characterization of the next generation of devices. On JET an integrated program of diagnostic developments, for JET future and in preparation for ITER, has been pursued and many new results are now available. In the field of neutron detection, the neutron spectra are now routinely measured in the energy range of 1-18 MeV by a time of flight spectrometer and they have allowed studying the effects of rf heating on the fast ions. A new analysis method for the interpretation of the neutron cameras measurements has been refined and applied to the data of the last trace tritium campaign (TTE). With regard to technological upgrades, chemical vapor deposition diamond detectors have been qualified both as neutron counters and as neutron spectrometers, with a potential energy resolution of about one percent. The in situ calibration of the neutron diagnostics, in preparation for the operation with the ITER-like wall, is also promoting important technological developments. With regard to the fast particles, for the first time the temperature of the fast particle tails has been obtained with a new high purity Germanium detector measuring the gamma emission spectrum from the plasma. The effects of toroidal Alfven eigenmodes modes and various MHD instabilities on the confinement of the fast particles have been determined with a combination of gamma ray cameras, neutral particle analyzers, scintillator probe, and Faraday cups. From a more technological perspective, various neutron filters have been tested to allow measurement of the gamma ray emission also at high level of neutron yield. PMID- 21061489 TI - Organizational innovation to improve the efficiency of health care markets. PMID- 21061490 TI - Is obesity rational? PMID- 21061491 TI - ITER-relevant calibration technique for soft x-ray spectrometer. AB - The ITER-oriented JET research program brings new requirements for the low-Z impurity monitoring, in particular for the Be-the future main wall component of JET and ITER. Monitoring based on Bragg spectroscopy requires an absolute sensitivity calibration, which is challenging for large tokamaks. This paper describes both "component-by-component" and "continua" calibration methods used for the Be IV channel (75.9 A) of the Bragg rotor spectrometer deployed on JET. The calibration techniques presented here rely on multiorder reflectivity calculations and measurements of continuum radiation emitted from helium plasmas. These offer excellent conditions for the absolute photon flux calibration due to their low level of impurities. It was found that the component-by-component method gives results that are four times higher than those obtained by means of the continua method. A better understanding of this discrepancy requires further investigations. PMID- 21061487 TI - Optimization of a bolometer detector for ITER based on Pt absorber on SiN membrane. AB - Any plasma diagnostic in ITER must be able to operate at temperatures in excess of 200 degrees C and neutron loads corresponding to 0.1 dpa over its lifetime. To achieve this aim for the bolometer diagnostic, a miniaturized metal resistor bolometer detector based on Pt absorbers galvanically deposited on SiN membranes is being developed. The first two generations of detectors featured up to 4.5 MUm thick absorbers. Results from laboratory tests are presented characterizing the dependence of their calibration constants under thermal loads up to 450 degrees C. Several detectors have been tested in ASDEX Upgrade providing reliable data but also pointing out the need for further optimization. A laser trimming procedure has been implemented to reduce the mismatch in meander resistances below 1% for one detector and the thermal drifts from this mismatch. PMID- 21061492 TI - Pegylated interferon alfa-2b pen device and ribavirin treatment for patients with chronic hepatitis C: an evaluation of patient satisfaction. AB - OBJECTIVE: The aim of this study was to evaluate the satisfaction of patients with chronic hepatitis C who used the pegylated interferon alpha-2b pen device. METHODS: Patients from multiple centers in Greece were recruited to participate in this noninterventional, observational study. Patients received pen device training for at least 6 weeks before treatment and used questionnaires to provide feedback (rating scale: 1-4, negative; 5-7, positive) on training, medication preparation and injection, and appreciation of the device. Results were analyzed with standard statistical analysis and multivariate logistic regression. RESULTS: In total, 507 patients (mean age, 43.5 years), 77.4% of whom were treatment naive, participated in the study. Overall, 84.2% of patients rated training positively, 67.4% of patients rated medication preparation positively, and 88.3% of patients rated medication injection positively. Appreciation of the pen device treatment method was rated positively by 82.2% of patients. Intravenous drug users were more likely to rate medication injection positively (P=0.0284) and to appreciate this method of drug delivery (P=0.0328) than other patients. Patients with lower levels of education were less likely to rate training positively (P=0.0202) and showed less appreciation for this route of drug delivery (P=0.0341) than other patients. Treatment-naive patients were more likely to provide positive responses about the overall procedure than were treatment experienced patients (odds ratio: 1.932; P=0.032). Adverse events were reported by 6.4% (29 of 453) of patients. CONCLUSION: Patients were satisfied with the pegylated interferon alpha-2b pen device; therefore, good treatment adherence is expected with its use. PMID- 21061500 TI - Hazard warnings and responses to evacuation orders: the case of Bangladesh's cyclone Sidr. AB - On 15 November 2007 Cyclone Sidr, a category 4 storm, struck the southwestern coast of Bangladesh. Despite early cyclone warnings and evacuation orders for coastal residents, thousands of individuals stayed in their homes. This study examines dissemination of the warning, assesses the warning responses, and explores the reasons why many residents did not evacuate. Field data collected from 257 Sidr survivors in four severely affected coastal districts revealed that more than three-fourths of all respondents were aware of the cyclone warnings and evacuation orders. Despite the sincere efforts of the Bangladesh government, however, lapses in cyclone warnings and evacuation procedures occurred. Field data also revealed several reasons why evacuation orders were not followed. The reasons fell into three broad groups: those involving shelter characteristics; the attributes of the warning message itself; and the respondents' characteristics. Based on our findings, we recommend improved cyclone warnings and utilization of public shelters for similar events in the future. PMID- 21061501 TI - [Wretched era? Exploring the social and economic dimensions of climatic change in the late 16th century]. PMID- 21061502 TI - [Effects of third-party perspective taking on social comparison processes]. AB - This study examined the effects of attention by a third party to a comparison target on self-evaluation in social comparison. University students (N=114; 42 males and 72 females) were randomly assigned to comparison-target (superior, inferior) and perspective-taking (perspective taking of a third party, nonperspective taking) conditions. First, participants completed a linguistic performance test and were given feedback on their results. Next, participants were asked to look at another's score (either high or low) from the viewpoint of a friend, or from their own viewpoint. Finally, participants rated their own test performance. In social comparison research, a contrast effect is said to occur when self-evaluation is displaced away from the evaluation of the comparison target. The results indicated that undergraduate females who saw the other's score from the viewpoint of a friend had a contrast effect in their self-ratings. Conversely, undergraduate males who saw the other's score from their own viewpoint showed a contrast effect in their self-ratings. The results suggest that social comparison depends on the attention of a third party and that there are gender differences in the direction of this influence. PMID- 21061503 TI - [Chang of cognitions and feelings during the process of procrastination]. AB - This study investigated change of cognitions and feelings before, during, and after the process of procrastination. A questionnaire was administered to 358 undergraduate students asking them to recall and rate their experience of procrastinating. The results revealed that negative feelings which take place during procrastination interfere with task performance. Planning before procrastination is associated with positive feelings after procrastination, and these positive feelings assist task performance. Optimistic thinking is positively related to both positive and negative feelings; the former take place during procrastination, and the latter take place after procrastination. PMID- 21061504 TI - [Recognition of dynamic facial expressions in peripheral and central vision]. AB - The present study investigated the effects of dynamic information on the recognition of emotional facial expressions across the visual field (i.e., central or peripheral vision). Facial stimuli with three pleasant expressions (excited, happy, and relaxed) and three unpleasant expressions (fearful, angry, and sad) were selected on the basis of valence and activation. The facial stimuli were presented dynamically or statically at either the central or peripheral visual field. Participants evaluated the emotional state of the target facial expression using a forced-choice task (N=34) and an Affect Grid (Russell, Weiss, & Mendelsohn, 1989) (N =39) requiring categorical and dimensional judgments about facial expressions. The results of the forced-choice task showed that only dynamic angry faces in peripheral vision had better recognition than the equivalent faces in the static condition. The results of the Affect Grid indicated that only the pleasant expressions presented in the peripheral field were significantly rated as more strongly pleasant. These findings suggest that an effect of dynamic information is more salient in peripheral vision than in central vision for recognizing certain facial expressions. PMID- 21061505 TI - [Effects of the disclosure of homosexuality on heterosexual undergraduates' behaviors with a same-sex close friend and their attitudes toward homosexuality]. AB - This study investigated heterosexual undergraduates' behavior with a same-sex close friend and their attitudes toward homosexuality after this friend disclosed his/her sexual orientation. The study also examined whether the heterosexual friend was regarded as a romantic love object or not. Participants were 77 male and 139 female undergraduates. Males decreased their behaviors with their close friend and adopted more positive attitudes toward gay men after they knew their friend's sexual orientation. Females decreased their behavior with their close friend more after learning that they were a romantic love object of their friend, compared to when tehy were not. Also females adopted more positive attitudes toward lesbians only after knowing they were not a romantic love object. These gender differences are discussed. PMID- 21061506 TI - [Effects of subliminal mere exposure to group members on intergroup evaluation: category evaluation measured in the Implicit Association Test (IAT)]. AB - This study investigated the effects of subliminal mere exposure to ingroup or outgroup members on intergroup evaluation as measured in the Implicit Association Test (IAT). Participants first memorized the members of two groups. Then, they were assigned to either group by lot, and completed the IAT for intergroup evaluation (Time 1). In the next phase, half the participants were subliminally exposed to ingroup members and half to outgroup members. Upon completion of the exposure, the same IAT was administered at Time 2. The results showed that participants who were exposed to ingroup members evaluated the ingroup more positively at Time 2 than at Time 1. Participants who were exposed to outgroup members did not show an effect toward the outgroup. The finding that the mere exposure effect occurred only for the ingroup exposure condition suggests that unconscious awareness of the ingroup enhances the mere exposure effect. PMID- 21061507 TI - ["Racket feelings" investigated from a lifespan perspective]. AB - "Racket feelings" is a term used in transactional analysis to describe familiar, private, negative feelings. This study focused on racket feelings that have persisted from early childhood and examined the relationship between racket feelings, life events, and personality traits among university students (N=73). Participants drew two curves: one showing the degree of racket feelings that they experienced at different ages, and the other showing life events at different ages. Participants also responded on the Egogram. We measured the lengths of each curve from the baseline of 0 to each 0.5 year of the Participant's age. We calculated the degree of racket feelings that they had experienced in their life, as well as the quality of life events. Participants who had strong racket feelings had more negative life events and tended to be self-restrained in terms of psychological disorders. These results suggest that racket feelings had a negative influence on their life. PMID- 21061508 TI - [How do the cost bias and probability bias influence social anxiety symptoms?]. AB - The cost and probability bias in social situations are considered to be a maintaining factor for social anxiety disorder (SAD) symptoms. However, the process by which the cost and probability bias influences other SAD symptoms, such as avoidance behavior, self-perception of autonomic responses, and anxiety in social situations has not been investigated. We developed a model of the cost and probability bias and investigated the process through which the cost and probability bias influences SAD symptoms. Undergraduate students (N=290) were administered self-report measures assessing each component of SAD symptoms. A path analysis was conducted using the cost and probability bias model, which indicated high validity for the model (goodness of fit index = .99, adjusted goodness of fit index = .92, root mean square error of approximation = .09). The results also indicated that the cost bias had a strong effect on each component of SAD symptoms, and that the probability bias mediated the relationship between fear of negative evaluation and the cost bias. These findings suggest that changing the cost and probability bias may improve SAD symptoms. PMID- 21061509 TI - [The properties reading scrolling text]. AB - Scrolling text presentation refers to a medium where multiple sentences can be presented in a limited space by drifting text from either right to left or bottom to top. In this study we explored the properties of reading scrolling text. First, looking at the actual scrolling devices in daily life, we surveyed the relationship between the scrolling speed and the maximum number of characters displayed on the devices (number of characters). Then, we experimentally investigated the scrolling speed that participants preferred (preferable speed) as a function of the number of characters (Experiment 1). Error detection performance (Experiments 2 and 3) and participants' impressions (Experiment 4) about the scrolling text presented under various conditions (i.e., speed and number of characters) were also investigated related to the preferable speed. The ideal scrolling speed in daily life and the properties of the preferable speed in terms of information processing in reading are discussed. PMID- 21061510 TI - [The effects of information about crime on mother's anxiety about crime]. AB - This study examined a causal model that the effect of information about crime on risk perception, anxiety about crime, and crime prevention is mediated by the informational content and source. We measured risk perception and anxiety about crime from a social and an individual perspective. A web-based survey was conducted with mothers (N=1040) who have children aged 3-12 years. The results of structural equation modeling indicated the following. (a) Information about crime given by the mass media, Internet, and hearsay increased the risk perception and anxiety about crime through the impact of informational content (i.e., "feeling that crime is close," "emotional fluctuations," "sympathy for the victims," and "remembering a similar crime"). (b) Hearsay information directly controlled optimistic cognitions. (c) Mass media and hearsay information directly promoted crime prevention. (d) Cognition about the deterioration of security advanced cooperative crime prevention in the neighborhood. PMID- 21061511 TI - [The gap between ideal and actual images about the elderly among staff of nursing care facilities is related to elder abuse]. AB - The present study examined the gap between ideal and actual images about the elderly with regard to the occurrence or repetition of elder abuse. Semantic differential (SD) data for ideal and actual images were collected from 267 staff members in nursing care facilities. A factor analysis yielded three factors: familiarity", "sadness", and "selfishness". A logistic regression analysis was conducted to examine the influence of the gap between ideal and actual images with regard to elder abuse. The results indicated that the gap score for "familiarity" had an effect on the occurrence and repetition of violent actions, abusive language, and use of imperative words. PMID- 21061512 TI - [Detection of implicit memory for hard-to-encode tone sequences using an indirect recognition procedure]. AB - Two experiments, using an indirect recognition procedure (Terasawa & Ohta, 1993) as an implicit memory task, were conducted to examine implicit memory for random tone sequences. The indirect recognition procedure involved two sessions. The second session was a general recognition experiment consisting of learning and a recognition test phase. The effects of the learning during the first session were examined based on the recognition performance in the second session. The interval between the sessions was 10 weeks for experiment 1 and 8 weeks for experiment 2. In each session, participants were required to rate their liking for each of the sequences presented. In the second session, participants were required to respond to an old-new recognition test about the items just presented. The targets and distractors in the test consisted of stimuli presented or not presented in the first session. Analyses of the hits and false alarms showed an effect of the number of presentations in the first session. This result indicates an effect of long lasting implicit memory for tone sequences. PMID- 21061513 TI - [Cognitive, affective and behavioral changes in crisis: preventing swine flu infection]. AB - Calling attention to potential risks does not always lead to preventative actions. To investigate changes in cognitive, emotional, and behavioral responses towards potential risks, longitudinal studies targeting nonclinical samples of undergraduate students were conducted at 4 time points (April, May, June, and July 2009) during the outbreak of swine flu in 2009, which eventually developed in to a global pandemic. During the course of the study, the risk of swine flu infection for the seventy-nine participants became more and more self-relevant as the situation developed in the news and as their university was temporarily closed off. The results indicate that despite increasing knowledge about the swine flu, the level of anxiety showed steady decrease as the time went by. Similarly, despite the expanding infection around the globe, the level of preventative behavior remained low. Moreover, participants reported perceiving their own risk to be significantly lower than that of average undergraduate students at all time points. These findings indicate that even when potential risks are clearly communicated, too much information, saturated emotions, and optimistic bias may obstruct people from taking appropriate preventative actions. PMID- 21061515 TI - [A review. 44. Ocular higher-order aberration]. PMID- 21061514 TI - [Guidelines for the clinical management of allergic conjunctival disease (2nd edition)]. PMID- 21061516 TI - [Pathogenic mechanism of aspirin-induced gastric ulceration and its characteristics]. PMID- 21061517 TI - [Gastric ulcer in the elderly: general concept and clinical features]. AB - The total number of elderly persons with gastric ulcers in Japan is increasing with an improvement in the average life expectancy. So far, gastric ulcer in elderly persons is considered proximal gastric ulcer due to corpus-predominant atrophic gastritis. However, the clinical features of the disease will change with a decrease in the number of persons with Helicobacter pylori infection. On the other hand, the numbers of persons with gastric ulcers associated with aging related diseases and those with gastric ulcers induced by drugs such as nonsteroidal anti-inflammatory drugs and aspirin are increasing. Even recently, there have been no changes in the mortality of patients with severe gastric ulcers. Management based on pathological conditions of gastric ulcers in the elderly persons is required. PMID- 21061518 TI - [Epidemiology of peptic ulcer in the elderly]. AB - The frequency of severe complications is higher in elderly patients than in young patients. NSAIDs and low-dose aspirin are often prescribed for elderly patients. Physiological functions of the stomach such as acid secretion in elderly patients are also different from those in young patients. Hemorrhage and perforation can suddenly occur in elderly patients even though abdominal symptoms are mild. There is a tendency for the condition of such patients to deteriorate and long-term hospitalization is often required. Effective prevention measures are necessary since it is expected that cases of gastrointestinal injury caused by NSAIDs will continue to increase. PMID- 21061519 TI - [Gastric ulcer and Helicobacter pylori in the elderly population]. AB - Recently, the incidence of gastric ulcer in Japanese elderly people has been increasing and the number of deaths associated with gastric ulcer has not decreased. Helicobacter pylori infection rates in elderly patients with gastric ulcer are lower than those in non-elderly patients. NSAIDs including aspirin and many other factors influence the development of gastric ulcer. Gastric ulcers occur in the upper part of the stomach and often bleed. In addition, elderly patients tend to have no abdominal symptoms other than bleeding. According to guidelines, endoscopic hemostasis is performed in cases with active bleeding. Eradication therapy is recommended for elderly patients as for as non-elderly patients, and antacids are administered to patients who are negative for H. pylori or have a relapse of ulcers. PMID- 21061520 TI - [The present state and problem of gastric ulcer caused by low-dose aspirin]. AB - Upper gastrointestinal (GI) injuries induced by non-steroidal anti-inflammatory drugs (NSAID) and low-dose aspirin (LDA) have been increasing, because the number of patients who need to use NSAID, LDA, other anti-platelet drugs and anti coagulants have been increasing. The aging is one of the most important risk factors of upper GI injuries induced by LDA, such as gastric ulcer. Since atypical symptoms often lead to a delay in diagnosis and treatment in the elderly patients, endoscopic examination should be considered especially in the elderly patients to detect upper GI lesions before using LDA. PMID- 21061521 TI - [New guideline for peptic ulcer treatment, attention to low-dose aspirin]. AB - The guideline for peptic ulcer treatment was reported in 2009. In the guideline, eight clinical questions were chosen for peptic ulcers associated with use of low dose aspirin. Five questions out of them were related to the clinical behavior, and three were the treatment of peptic ulcers in patients taking low-dose aspirin. The statements were made for these questions according to EBM respectively. In the statements, the grade of recommendation, the evidence level of literatures and the application to Japanese medical insurance were mentioned. PMID- 21061522 TI - [Pathologic characteristics of gastric ulcer in the aged]. AB - The use of aspirin continues to increase as a result of accumulation of evidence of benefits in treatment strategies for cardiovascular disease. These antiplatelet agents, however, have recognizable risks of gastrointestinal complications such as ulceration and related bleeding. Based on the published guidelines in Japan, the cause of gastric ulcer is divided roughly into Helicobacter pylori infection and nonsteroidal antiinflammatory drug (NSAID) including aspirin. With the decrease of H. pylori infection rate in a young and that of ulcer recurrence by H. pylori eradication therapy, the cases with peptic ulcer that is come from H. pylori infection have decreased in Japan. On the other hand, gastric ulcer based on the use of aspirin and NSAID have increased. The author reviewed pathologic characteristics of gastric ulcer in the aged in this report. PMID- 21061523 TI - [Change in function of gastric acid secretion by aging]. AB - Until recently, gastric acid secretion has been believed to decrease according to age. Previously the atrophy of gastric mucosa that was the main cause for the decrease in acid secretion was understood as the phenomenon following aging. However, H. pylori was discovered and the infection was indicated to be the main cause for the atrophic change of gastric mucosa. In recent studies, gastric acid secretion has been indicated not to decrease in old people who have no atrophic change of gastric mucosa and the infection. Furthermore, some studies indicated an increase in gastric acid secretion in the old people compared with young people. Consequently the decrease in acid secretion according to aging is now thought to be the result of H. pylori infection and not the result of physiological aging. PMID- 21061524 TI - [Pathogenesis of aspirin-induced gastric mucosal injury]. AB - It is necessary for some conditions to occur at the same time so that aspirin induced gastric mucosa injury occurs. The definite condition that is necessary for the development of gastric mucosa injury by aspirin is the direct injury with the aspirin and the presence of the gastric acid. The pathologic central mechanism is the inhibition of cyclooxygenase, the disturbance of microcirculation and pro-apoptotic signaling. At all events, it is a theme for gastroenterologists to establish a mean to prevent the gastric mucosa injury induced by aspirin. PMID- 21061525 TI - [The risk factors for aspirin induced peptic ulcer]. AB - Older age, prior GI events, chronic renal failure, use of other injurious medicine such as NSAIDs, antithrombotic medicine, especially thienopyridine, and corticosteroids seem to be factors associated with an increased risk for upper GI ulcer and bleeding among the patients taking low dose aspirin. We have previously shown that hypoacidity related with corpus atrophy as well as taking PPI and co treatment of angiotensin type 1 receptor blocker seem to reduce peptic ulcer among aspirin users. In addition, the polymorphisms of interleukin-1beta -511/-31 and angiotensinogen -20CC were significantly associated with ulcer or ulcer bleeding. The further prospective studies are needed to identify specific risk factors for upper GI ulcer and its complications in Japanese patients. PMID- 21061526 TI - [Influence of H. pylori infection on upper gastrointestinal damage]. AB - H. pylori infection and low-dose aspirin (LDA) are not only independent causal factors of peptic ulcer and gastrointestinal bleeding, they also have synergistic and additive effects. H. pylori infection rate has drastically decreased over the past decade to 34.3% amongst people in their 40's, 28.0% amongst those in their 30's, and 15.7% amongst those in their 20's. Therefore, LDA are expected to become more important factor of peptic ulcer in the near future. The incidence of peptic ulcer induced by LDA was 15.8% (16/101) in authors' hospital. Deep ulcers(more than proper muscularis layer) were only 4 cases, shallow ulcers(submucosal layer) were 12 cases. All deep ulcers were gastric ulcers (3 H. pylori positive, 1 negative), on the other hand shallow ulcers were 8 gastric ulcers (3 H. pylori positive, 5 negative), and 4 duodenal ulcers (1 H. pylori positive, 3 negative). Majority of peptic ulcers induced by LDA were shallow, and independent on H. pylori infection. PMID- 21061527 TI - [Diagnosis of gastric ulcer in the elderly]. AB - It is well known that gastric ulcers are most often found at anglus and upper corpus in the elderly. The number of gastric ulcer found at upper corpus hold half of all cases in the elderly patients with bleeding ulcer. Sixty percent of the elderly patients with bleeding ulcer took NSAIDs including low-dose aspirin in authors' hospital. Now it is easy to treat and cure bleeding ulcers due to development of endoscopic hemostasis and antiulcer drugs such as proton pump inhibitor(PPI). However, the elderly patients sometimes result in fatal outcome on bleeding from gastric ulcer. Therefore, it is important to prevent ulcer complications by PPI for the high-risk group such as elderly patients taking NSAIDs. PMID- 21061528 TI - [Endoscopic findings of low-dose aspirin associated ulcers]. AB - Low-dose aspirin (L-ASA) has been increasingly used for primary or secondary prevention of cardiovascular events, and has the advantages of both low cost and long duration of antiplatelet action. But, an increment of L-ASA prescription has become an object of public concern for gastrointestinal events through its antiplatelet action and cyclooxygenase inhibitory action. Although knowledge about endoscopic characteristics of L-ASA associated ulcers is limited, the ulcers have common distinctive feature that sudden gastrointestinal bleedings or latently advanced-anemia are often the earliest symptom because aspirin-induced ulcers often exist without symptoms of dyspepsia. Endoscopic characteristics of L ASA associated ulcers seem to be required further investigation, including the impact of the severity of gastritis and corpus atrophy by Helicobacter pylori infection on the endoscopic characteristics. PMID- 21061529 TI - [Differential diagnosis of gastric ulcer and gastric cancer in elderly patients]. AB - Along with the growing elderly population, patients with gastric ulcers caused by low-dose aspirin have increased. Gastric cancer is also common among the elderly population, but is sometimes difficult to distinguish from gastric ulcers, especially those stemming from aspirin use. To differentiate the diagnostic symptoms of gastric ulcers and gastric cancers in elderly patients, we compared the endoscopic findings of 198 subjects (92 benign ulcers and 106 cancers) aged 65 years and older. Despite their benign nature, aspirin-induced ulcers tended to have more irregularity of the ulcer edge and heterogeneous formation of regenerating epithelium than ulcer unrelated to aspirin. Asking about the use of low-dose aspirin is therefore important when confronted with such lesions in elderly patients. PMID- 21061530 TI - [Treatment and prevention of gastric ulcers in elderly persons]. AB - Treatment and prevention of gastric ulcers is not different between young and elderly patients. Eradication therapy for H. pylori infection should be made for elderly patients with H. pylori-gastric ulcers, because the incidence of adverse events is not higher than in young patients. NSAIDs are often given elderly persons, and aging is a risk factor of the development of NSAID-related ulcer. If elderly patients have some risk factors (high dose NSAID therapy, past history of uncomplicated ulcers or concurrent use of aspirin, corticosteroids or anticoagulants), prophylactic therapy for NSAID-ulcers should be made. PMID- 21061531 TI - [Drug therapy for the elderly patients with gastric ulcer]. AB - The number of patients with low dose aspirin or non-steroidal anti-inflammatory drug-related gastric ulcers is steadily increasing. The elderly patients have higher risk to develop gastric ulcers during these treatments. For the treatment and prophylactic therapy of the ulcers in the elderly, the following points should be recognized. (1) The drugs that are prescribed for ulcer diseases may interact with drugs that have been administered for the treatment of the pre existing diseases. (2) The decreased motor function of gastrointestinal tract frequently observed in the elderly may interfere with the normal absorption of the drugs administered for the treatment of ulcers. (3) The impaired renal function of the elderly may elevate plasma concentration of administered drugs that are expected to be excreted from kidneys. (4) Appropriate guidance for patients is necessary to keep the compliance of drug therapy for prophylactic treatment of low dose aspirin or non-steroidal anti-inflammatory drugs. PMID- 21061532 TI - [Helicobacter pylori eradication therapy for gastric ulcer in elderly]. AB - Sixty-five-years or older person accounts for 23% of the population in Japan. Hence, Helicobacter pylori (H. pylori) eradication therapy is performed in many elderly patients. Urea breath test and H. pylori stool antigen test for diagnosis of H. pylori infection before and after eradication therapy are recommended from the point of being a noninvasive test and providing accurate diagnosis. H. pylori eradication therapy in Japan consists of the PPI/AMPC/CAM as the first therapy, and PPI/AMPC/MNZ as the second therapy. Eradication therapy rate and adverse effect rate of H. pylori eradication therapy for elderly patients are the same as for young people. It is not necessary to avoid H. pylori eradication therapy merely because of high age in elderly patients. However, it is necessary to be careful regarding drug interactions in patients who are taking multiple drugs. PMID- 21061533 TI - [PPI treatment for gastric ulcer patients in the elderly]. AB - Proton pump inhibitor (PPI) is an effective and safe medication for the elderly people for the treatment of peptic ulcer disease. However, some PPIs have been reported that they have metabolic interactions with some drugs. Therefore, drug interactions should be considered when the PPI is prescribed to the elderly people. The number of NSAIDs ulcer patients is thought to increase along with the increase of those who take NSAIDs in the elderly. Although PPI is indispensable for the prevention of the NSAIDs ulcer, PPI has not obtained authorization for the purpose of prevention in Japan. PPIs are strongly expected to be approved for prevention of NSAIDs ulcer by the Japanese government in the near future. PMID- 21061534 TI - [Treatment and prevention of histamine-2 receptor antagonist for elderly gastric ulcer]. AB - H. pylori infection and non-steroidal anti-inflammatory drugs(NSAIDs) are considered the two major causes of gastric mucosal lesions. Chronic administration of NSAIDs is associated with an increased incidence of significant adverse events such as upper gastrointestinal hemorrhage or perforation. The inhibition of prostaglandin synthesis, the decrease of gastric mucosal blood flow and the involvement of gastric acid are believed to be the mechanisms of NSAIDs associated gastric mucosal lesions. In future, the significance of NSAIDs associated gastric mucosal lesions may increase in Japan. Many studies have reported that proton pump inhibitor, high dosages of histamine-2 receptor antagonist (H2RA), and prostaglandin analogs provide excellent prevention and therapeutic actions for NSAIDs-associated gastric ulcer. Additionally, recent studies have shown that regular dosages of H2RA provide excellent prevention and therapeutic actions for NSAIDs-associated gastric mucosal lesions in Japan. PMID- 21061535 TI - [The role of prostaglandin derivatives in a treatment and prevention for gastric ulcers in the aged patients]. AB - Prostaglandins play important roles in the gastric mucosal protection and gastric ulcer healing. Non-steroidal anti-inflammatory drugs (NSAIDs) including aspirin are widely used for the aged patients. Administration of the prostaglandin derivatives has been proven to be effective for both prevention and treatment of gastric ulcers associated with NSAIDs, and prostaglandin derivatives are recommended for NSAIDs-induced gastric ulcers by the Japanese guidelines. The important side effects include abdominal pain, flatulence, and diarrhea. Recent advances in diagnostic methods including video capsule endoscopy and balloon endoscopy have enabled us to examine the entire small intestine, and we recognize that prevalence of small intestinal damage in patients taking NSAIDs is high. Prostaglandin derivatives are also useful for these small intestinal damages. PMID- 21061536 TI - [Mucoprotective drugs and aspirin-induced gastric injury]. AB - Low-dose aspirin is widely used for anti-thrombotic strategy in the elderly. Despite the excellent benefits, GI bleeding due to gastric/duodenal ulcers or injury is a major complication in aspirin users. In animal model, low-dose aspirin induced gastric injury is prevented by the administration of proton-pump inhibitor(PPI), exogenous PGE2 and atropine. In clinical trials, PPI and famotidine (40 mg/d) are proven to have the preventive effect to gastric ulceration induced by low-dose aspirin use. Although gastric mucoprotective drugs including PG are speculated to show the preventive effect, the supportive clinical evidences are limited. Large-scaled, randomized clinical trials are required to confirm the clinical benefits of gastric mucoprotective drugs to low dose aspirin induced gastric injury. PMID- 21061537 TI - [Prophylaxis and treatment of gastric ulcer by low-dose aspirin in the aged]. AB - Low-dose aspirin, one of non-steroidal anti-inflammatory drugs(NSAIDs), has been increasingly used to prevent cardiovascular and cerebrovascular disease through its antiplatelet effect, mainly in the aged population, but aspirin treatment has been associated with gastrointestinal injures, especially peptic ulcer bleedings. However, as discontinuation of aspirin, unlike NSAIDs, may precipitate cardiovascular and cerebrovascular events, treatment of aspirin-associated ulcers should re-start aspirin as soon as possible, using proton pump inhibitor (PPI). Therefore, high risk patients for peptic ulcers should be prevented with antisecretory drugs, such as PPI or histamine H2-receptor antagonists, because ulcer bleedings in patients with treatment of low-dose aspirin can be serious. PMID- 21061538 TI - [Significance of H. pylori eradication in treatment and prevention for low-dose aspirin induced gastric ulcer of elderly]. AB - Although treatment and prevention for low-dose aspirin (LDA) induced gastrointestinal mucosal injury is important problem, significance of H. pylori eradication has not been clarified. NSAIDs including LDA and H. pylori infection are independent causal factors for gastroduodenal ulcer. However, the interaction between these factors is complicated. H. pylori eradication can reduce the risk of NSAIDs induced ulcer in NSAIDs naive patients. However, H. pylori eradication is not recommended in NSAIDs user because of no ulcer suppression and ulcer healing delay. In prevention of LDA induced ulcer recurrence, H. pylori eradication plus PPI treatment are necessary. PMID- 21061540 TI - [Perforated peptic ulcer disease in the elderly]. AB - There has been a marked decrease in elective surgery for peptic ulcer disease following introduction of medical therapies including H2-receptor antagonists (H2 RA) and proton pump inhibitors (PPI). By contrast, the incidence of emergency surgery for perforated peptic ulcer(PPU) has remained relatively unchanged, and potentially increased. Conservative treatment of PPU should be selected based on the physical condition of the patient. Open and laparoscopic repair of PPU are made with peritoneal lavage and omental patch closure of perforation. Laparoscopic repair of PPU seems better than open repair for low-risk patients. However, open repair for high-risk patients of PPU should not be delayed, and prognosis is affected primarily by concomitant medical comorbidity in the elderly. PMID- 21061539 TI - [Role of proton pump inhibitor in the management of low dose aspirin related ulcerations]. AB - Aspirin, as an anti-platelet medication, has been increasingly prescribed to elderly patients for primary and secondary prevention of cardio--and cerebro- vascular events. Nonetheless, aspirin's effectiveness in such disease prevention is limited by the risk of gastrointestinal (GI) complications such as ulceration, hemorrhage and perforation. Aspirin administration is associated with 2-fold increase in GI risk in middle-aged users without prior history of peptic ulcer and without concomitant medications. However, the GI risk increases dramatically in patients with a prior history of peptic ulcer disease, advanced age, and concomitant use of NSAIDs, corticosteroids, clopidogrel, or anticoagulants. Mechanisms of aspirin-induced GI injury are believed to be through local effects within the GI mucosa that cause topical injury and through systemic inhibition of cyclo-oxygenase (CO) resulting in depletion of mucosal protective prostaglandins. Herein, we focus on the role of proton pump inhibitor (PPI) in the strategy to prevent and to treat aspirin-induced peptic ulcerations and their complications, based on the scientific evidence. PMID- 21061541 TI - [Severe complications in hemorrhagic stomach ulcer in the elderly and the therapeutic measures]. AB - In recent years, the prevalence of peptic ulcer has been increasing among the elderly. The characteristics of gastric ulcers in elderly patients include an increased rate of complications such as bleeding and perforation and a tendency to increase in severity. In conjunction with increases in the elderly population, current challenges include therapeutic measures against bleeding ulcers. In hemorrhagic stomach ulcers, some cases present with critical complications such as shock, and a prompt response is thus required. After the stabilization of circulatory and respiratory conditions is attained, endoscopic hemostatic procedures should be extended. When performing endoscopy for an elderly patient, existing functional impairments may lead to unforeseeable events, and it is therefore necessary to pay close attention to ensure the safety of such patients. PMID- 21061542 TI - [A genetic background of ulcer diseases induced by NSAID/aspirin]. AB - The association between peptic ulcer diseases and polymorphisms in various genes, including HRH2, COX-1, IL-17A. IL-17F, MIF and Nrf2 genes, are seen. COX-1 has traditionally been regarded as a constitutively expressed enzyme that generates prostaglandins for gastrointestinal integrity. The effects of NSAID/aspirin on the gastric mucosal damage are caused by the inhibition of this enzyme. A T-1676C polymorphism (rs1330344) was significantly associated with the development of peptic ulcer, especially gastric ulcer. In addition, rs1330344 was also significantly associated with the development of NSAID/aspirin-induced ulcer diseases. In conclusions, the assessment for genotype of COX-1 gene promoter polymorphism, especially rs1330344, may be useful for detecting the high risk group of developing NSAID/aspirin-induced ulcer diseases. PMID- 21061543 TI - [Diagnosis and therapy of intestinal mucosal injury due to low-dose aspirin]. AB - NSAIDs users have a significantly higher incidence of colonic lesions than non NSAIDs-users. Low-dose aspirin is widely used because it reduces the risk of vascular events in patients with coronary and cerebrovascular disease. There has been a substantial increase in prescriptions for low-dose aspirin in recent years. Recent advances in diagnostic methods including video capsule endoscopy and balloon endoscopy have enabled to observe the entire small intestine, and we now recognize that prevalence of small intestinal lesions. Low-dose aspirin users also have a significantly higher incidence of small and large intestinal lesions than non-low-dose aspirin-users. It is necessary to be aware of not only gastro duodenal ulcers but also lower intestinal lesions with long-term use of low-dose aspirin. PMID- 21061544 TI - [Peppermint oil reduces gastric motility during the upper gastrointestinal endoscopy]. AB - Hyperperistalsis during upper gastrointestinal endoscopy may interfere with accurate diagnosis and lead to failure to detect microcarcinoma. Therefore it frequently necessitates the use of antispasmodic agents, but these drugs have side effects. In this review, the author notes note the effectiveness of peppermint oil administration to the gastric mucosa resulted in inhibiting the gastric peristalsis in Japanese individuals undergoing upper gastrointestinal endoscopy. PMID- 21061545 TI - [Regulatory science for drug evaluation]. AB - The regulatory science is a tool to utilize the fruit of academic science for the public. The regulatory science for drug evaluation is a tool to more effectively evaluate the risk/benefit balance of applied new drugs and enables to ensure faster accessibility to more effective and safer drugs for the public, and also a tool to accelerate the translational research, which leads the shaping of basic scientific discoveries into treatments, the process from scientific breakthrough to the availability of new, innovative medical therapies for patients. Finally, the author suggests that the collaboration of the industry, academia, and regulator is necessary and important to activate the regulatory science, which is the tool of drug evaluation and accelerates the translational research for new drug development. PMID- 21061546 TI - [Intravenous immunoglobulin (TVIG) therapy in pemphigus]. AB - Pemphigus is a rare, chronic, autoimmune mucocutaneous blistering disease. Treatment of pemphigus is often challenging and primarily consists of systemic corticosteroids and various immunosuppressants. When these therapies are used, we should always be careful for the side effects of long-term treatment. Intravenous immunoglobulin (IVIG) is one potential and promising therapy for patients with pemphigus, and evidence of its effectiveness and safety is increasing. A number of pemphigus patients in which IVIG treatment was beneficial have been reported. However, the mode of action of IVIG in autoimmune diseases, including pemphigus, is far from being completely understood. We here summarize the efficacy and safety profile of IVIG in pemphigus, as well as its proposed modes of action. PMID- 21061547 TI - [A rare complication of dysarthria in a patient with inclusion body myositis: a case report]. AB - We reported a 71-year-old man with inclusion body myositis with clinically overt dysarthria. He had been suffering from gradual progression of weakness in the hand muscles and lower extremities as well as dysarthria three years before admission. His neurological examination revealed muscle atrophy and weakness in the tongue, the forearm flexors, and the vastus medialis muscles. He had dysarthria to a moderate degree, while he denied any dysphasia. A biopsy from vastus lateralis muscle showed variation in fiber size, infiltration of mononucleated cells, and numerous fibers with rimmed vacuoles, leading to the diagnosis of definite inclusion body myositis. The EMG findings of the tongue demonstrated low amplitude motor unit potentials during voluntary contraction, abundant fibrillation potentials at rest, and preserved interference pattern at maximal contraction, implying myogenic changes. We surmised the dysarthria seen in this patient, an atypical clinical feature in IBM, presumably caused by muscle involvement in the tongue muscle. Dysphasia is common symptom in IBM patient and has been much reported previously. But dysarthria in IBM patient has not been aware, for this reason this report should be the rare case. PMID- 21061548 TI - [A case of recurrent hypersomnia with excellent response to lithium carbonate]. AB - We report a 38-year-old woman with recurrent hypersomnia, in whom lithium carbonate was effective in preventing hypersomnia attacks. After onset at 26 years, she complained of frequent and severe episodes of hypersomnia accompanied by anorexia and urinary incontinence. Electroencephalogram showed mild slowing during a hypersomnic period, but not during an asymptomatic period. The CSF orexin level was normal during a hypersomnic period. The effectiveness of lithium was confirmed because the symptoms recurred after its withdrawal and disappeared after its reintroduction. The treatment of recurrent hypersomnia has not been established although the effectiveness of lithium has been described in some cases. This report supports the importance of maintaining effective serum lithium levels in the treatment of recurrent hypersomnia. PMID- 21061549 TI - [A case of autoimmune polyglandular syndrome-related Parkinsonian syndrome that required differentiation from multiple system atrophy]. AB - A 76-year-old woman experienced unsteadiness in walking in 1996. On the basis of clinical and imaging findings, the patient was diagnosed multiple system atrophy. During follow-up, her gait disturbance became aggravated leaving her unable to walk unaided. She was referred to our department in 2003. T2-weighted images on brain magnetic resonance imaging (MRI) revealed low signal intensity in both putamina and a linear high-signal-intensity area on their outsides. Single photon emission computed tomography (SPECT) disclosed a reduced blood flow in both corpora striata. These findings were consistent with the diagnosis of Parkinsonian-type multiple system atrophy. The patient had anti-glutamic acid decarboxylase (GAD) antibody-positive type 1 diabetes mellitus and a normal thyroid function, and was positive for antithyroid antibodies. She was not found to have anemia on blood tests, but was positive for intrinsic factor antibodies. Vitamin B12 was markedly reduced to below the detection limit. The findings suggested that the patient's condition was autoimmune polyglandular syndrome type 3. In 2004, treatment with intramuscular injection of vitamin B12 was initiated, after which the patient's gait disturbance was improved and she was able to walk unaided. In 2009, her unsteady gait returned and was again unable to walk unaided. Autoimmune encephalopathy was suspected, and thus high-dose intravenous immunoglobulin therapy was performed. Following treatment she was able to walk steadily. This case suggests the importance of detailed tests for autoantibodies, including endocrine autoantibodies, and the measurement of vitamin B12 and total homocysteine levels in view of the possibility of autoimmune polyglandular syndrome-related neurological disorders in diabetic patients with intractable neurological disorders that are difficult to diagnose. PMID- 21061550 TI - [A case of Guillain-Barre syndrome accompanied by syndrome of inappropriate secretion of antidiuretic hormone]. AB - A 73-year-old Japanese male was admitted because of difficulty in standing up after acute upper respiratory inflammation with mild fever followed by watery diarrhea. Neurological examination revealed moderate proximal muscle weakness and loss of tendon reflexes in all extremities. The blood sodium level was 106 mEq/l on admission. The blood level of antidiuretic hormone (ADH), renin and aldsterone was 11.3 pg/ml (normal value 0.3-4.2), 0.2 ng/ml/h (0.2-2.7) and less than 10.0 pg/ml (38.9-307.0), respectively. The plasma osmolarity was 221 mOsm/kg (270 295), and the urine osmolarity was 416 mOsm/kg (50-1400). EMG and nerve conduction studies suggested acute demyelination in the motor and sensory nerves. CSF revealed 10 cells/mm3 and elevated protein to 98 mg/dl. The clinical course, laboratory data and electrophysiological findings suggested coexistence of the syndrome of inappropriate secretion of ADH (SIADH) and Guillain-Barre syndrome (GBS) from the very early clinical stage of the diseases. The clinical and laboratory findings improved after intravenous administration of saline over three weeks. When GBS is associated with SIADH, hyponatremia is commonly seen at the peak of motor paralysis, often accompanied by autonomic or respiratory failure requiring mechanical ventilation. This was not the case in the present patient. It is postulated that SIADH, like GBS, might be caused by an autoimmune mechanism. PMID- 21061551 TI - [A case of carotidynia with carotid sinus hypersensitivity]. AB - A 71-year-old man presented with acute, right-sided neck pain and marked falls in blood pressure in response to cervical extension/rotation. Enhanced CT of the right carotid artery showed wall thickening and soft tissue enhancement surrounding the vessel. Ultrasonography demonstrated wall thickening and marked acceleration of the blood flow velocity. [18F] fluorodeoxyglucose (18F-FDG) positron-emission tomography (PET)-CT revealed increased FDG activity in the area of the right carotid bulb. The patient's symptoms resolved in 2 weeks with nonsteroidal anti-inflammatory drug; regression of wall thickening and decreased velocity were observed on follow-up ultrasonography. A carotid inflammatory process due to carotidynia in addition to atherosclerosis may increase carotid sinus baroreceptor stimulation, resulting in the onset of carotid sinus hypersensitivity. PMID- 21061552 TI - [A case of dural arteriovenous fistula associated with bilateral thalamic lesions]. AB - We report a 51-year-old man with a dural arteriovenous fistula (DAVF) associated with bilateral thalamic lesions. He was admitted to our hospital because of cognitive disorder. T2-weighted MRI and fluid-attenuated inversion recovery (FLAIR) sequence of the brain revealed symmetric hyperintense lesions of bilateral thalamus and abnormal flow void that represents the enlarged veins. Cerebral angiography demonstrated DAVF in the superior petrosal sinus (SPS). It was mainly supplied by the internal carotid arteries. The strait sinus was not revealed, and the venous drainage was retrograde into the internal cerebral vein. Therefore the mechanism of cognitive disorder in this case was considered to be vasogenic edema of the bilateral thalamus due to DAVF of SPS. We decided to treat the DAVF by embolization via the feeding arteries approach, because strait sinus was not revealed and venous approach was difficult. After embolization, the size of DAVF was remarkably reduced. His cognitive disorder was markedly improved and the hyperintense area on T2-weighted MRI and FLAIR sequence had disappeared. Cognitive disorder due to DAVF of SPS is very rare. It is also difficult to diagnose bilateral thalamic lesions as DAVF, but it may be reversible by DAVF treatment. Thus, early diagnosis and treatment is important. Like this case, abnormal flow void that represents the enlarged veins could help to diagnose bilateral thalamic lesions due to DAVF. PMID- 21061553 TI - [Intramedullary spinal cord metastasis presenting with acute onset longitudinally extensive spinal cord lesion]. AB - A 34-year-old previously healthy man presented with acute transverse lumbar myelopathy and MRI evidence of a longitudinally extensive spinal cord lesion (LESCL) from the upper thoracic cord extending down to the conus medullaris. Gadolinium-DTPA enhancement revealed a clearly demarcated enhanced nodule confined to the level of the 11th thoracic vertebral body (T11), which might have caused longitudinally extensive edema in the spinal cord. Histopathological appearance of adenocarcinoma of the nodule led to the ultimate diagnosis of lung cancer. Intramedullary spinal cord metastasis in a young patient without previously-diagnosed malignancy is a rare disorder, but should be listed up as a cause of LESCL. PMID- 21061554 TI - [A case of subacute necrotizing lymphadenitis with recurrent aseptic meningitis associated with persistent high titer of anti-nuclear antibody occurring over a short period of time]. AB - A 35-year-old woman developed recurrent aseptic meningitis three times over a period of 16 months. Each episode followed swelling of her cervical lymph nodes. During the third episode, microscopic findings of biopsied specimens from a cervical lymph node indicated subacute necrotizing lymphadenitis (SNL). While she responded poorly to NSAIDs, steroids rapidly improved her fever, headache and swollen lymph nodes. Since the first episode, anti-nuclear antibody (ANA) and anti-SS-A antibody was positive and the titer of ANA increased with each episode. SNL is a benign and self-limited disease, and the appearance of autoantibodies is usually transient. It is possible that a persistent immune abnormality is related to recurrences of aseptic meningitis with SNL. PMID- 21061555 TI - [Dropped head sign and tongue atrophy in systemic sclerosis-associated myopathy: a case report]. AB - We report a 70-year-old man with a 5-year history of Raynaud's phenomenon and slow progression of weight loss, easy fatigability, muscle weakness, skin sclerosis, and dropped head sign. The patient was assumed to have motor neuron disease by his attending physician, and was referred to our hospital. Physical examination showed skin sclerosis on the fingers, hands, forearms, face, and neck. Neurological examination showed advanced systemic muscle atrophy and weakness, especially in the neck, tongue, and proximal limb muscles. Fasciculations were not observed in these involved muscles. Deep tendon reflexes were hypoactive and pathological reflexes were negative. Sensory disturbance was absent. Laboratory tests showed moderately elevated serum creatine kinase level, and increased serum antinuclear antibody titer (1:5120 with a nucleolar pattern). Needle electromyography showed a typical myogenic pattern in proximal muscles. The patient was diagnosed as having systemic sclerosis (SSc) with SSc-associated myopathy. Severe systemic muscle involvements, including dropped head sign and tongue atrophy, are rare manifestations in patients with SSc-associated myopathy. Our observations suggest that it is essential to pay attention to the dermatological findings of SSc in differential diagnosis of patients with muscular atrophy. PMID- 21061556 TI - [Nation-wide research on current status and related factors for collaboration with occupational health services by public health nurses working for municipalities: comparison between major cities and other communities]. AB - PURPOSE: The purpose of the this study was to clarify the nation-wide current status for collaboration between occupational and municipal health services, taking into account related factors and comparing Major Cities (with health centers) and Other communities (other cities and towns). METHOD: A nation-wide questionnaire research was conducted by mail among 350 randomly selected public health nurses (PHNs) taking part in adult health services at municipal health centers (Tokyo was excluded). The valid-response rate was 61.7%. Characteristics of the samples, promoting factors, hindrance factors, and status of implementation of collaboration were analyzed separately for Major Cities and Others using the X2 test and the Mann-Whitney U test. Promoting and hindrance factors related to collaboration were assessed with Spearman's rank correlation coefficients and multiple logistic analysis. RESULTS: While the percentage of PHNs who felt the necessity of the collaboration was 96.8%0, those who actually collaborated with occupational health services was currently only 34.9% in Major Cities and 22.9% in Others. There were two types of collaboration. The first involved conferences of stakeholders, including PHNs, on common health problems in the community and participation rates were 24.5% for Major Cities and 25.8% for Others. The respective figures for the second type, in which health services were delivered to workplaces, were 56.3%0 and 52.2%0. The corresponding rates for promoting factors such as "Attend educational seminars concerning collaboration" (Major Cities 22.2%, Others 17.1% and "Work with existing PHNs who are motivated for collaboration" (Major Cities 9.7%, Others 13.9%) were low. On the other hand, "Discuss with superiors and colleagues about collaboration" was much more common (Major Cities 83.9%, Others 74.0%). The promoting factors significantly related to practice of collaboration were "Read the Collaboration Guidelines" and "Inclusion of collaboration with occupational health services into the municipal health plan" in Others. The significant hindrance factors were "Insufficient manpower" and "Non-residential workers are not recognized as being entitled to support." CONCLUSION: This study demonstrated the nation-wide current status concerning factors for collaboration for the first time. Based on these results separate for Major Cities and Others, countermeasures should now be considered. PMID- 21061557 TI - [Prevalence and characteristics of social isolation in the elderly in a dormitory suburb according to household composition]. AB - OBJECTIVE: The purpose of this paper is to clarify the prevalence and characteristics of social isolation in elderly individuals living alone or with others in a dormitory suburb, and to examine the characteristics of non responders to questions concerning social isolation. METHODS: Data were obtained from a survey of 978 elderly (aged 65 and over) individuals living alone and 1,529 elderly individuals living together in Wako-shi, Saitama. The frequencies of contacts with non-co-resident children, relatives, friends, and neighbors were used to operationally define social isolation. Based on these responses, those who had contact with any of the above groups less than 2 or 3 times a month were termed "isolated elderly", those who had contact with these more than once a week were termed "non-isolated elderly", and those who did not answer these questions were termed "nonresponders". In addition, a logistic regression analysis was conducted to examine differences among the characteristics of isolated elderly between these households. Also, a multiple comparison analysis was performed to clarify the characteristics of non-responders. RESULTS: The major findings were as follows: 1) rates of prevalence of the isolated elderly were 24.01% for elderly living alone, and 28.7% for elderly living with others, respectively; 2) the elderly who were male, childless or living distant from children, and of lower income tended to be more socially isolated whether they were living alone or not; 3) a difference in isolation was noted between living alone and together in that divorced and unmarried elderly tended to be isolated when living alone while older and frail elderly tended to be isolated even when living together; 4) the characteristics of "nonresponders" were similar to those of the isolated elderly for both those living alone and living together. CONCLUSIONS: These findings suggest that social support for the socially isolated elderly should be adequately planned considering differences in the characteristics of isolation between those living alone and living together. It is also suggested that the non responders in some questions relating to social isolation should be considered as likely to belong to the isolation groups when screening for the isolated elderly. PMID- 21061558 TI - [Cognitive factors relating to mammographic breast cancer screening]. AB - OBJECTIVE: The purpose of this study was to identify cognitive factors relating to participation in mammographic breast cancer screening (MBCS). METHODS: A total of 2,345 women aged 40-69 years in two cities in A Prefecture were asked to participate in this self-administered questionnaire survey. Data were analyzed using logistic regression analysis. The dependent variable was participation in MBCS and the independent variables were 5 cognitive factors based on the Protection Motivation Theory. The control variables were personal characteristics related to both participation in MBCS and cognitive factors. RESULTS: A total of 788 questionnaires (33.6%) were returned by mail. Excluded from the analysis were incomplete questionnaires and responses from women who had received a diagnosis of breast cancer. The resultant total of 497 responses were analyzed. Some 218 women had participated regularly in MBCS over the past two years, while 279 were irregularly or never screened. The personal characteristics related to both participation in MBCS and cognitive factors were experience of non-MBCS, recommendation of health professionals, and close-relative participants. Analysis revealed that self-efficacy and response costs were significant factors influencing regular participation in MBCS, partially supporting the Protection Motivation Theory. The results suggest that recommendations of physicians and public health nurses, and experience of non-MBCS are effective in promoting participation in MBCS. CONCLUSION: Self-efficacy and response costs were notable cognitive factors influencing participation in MBCS. The findings indicate importance for strong recommendations of physicians and public health nurses in increasing future participation in MBCS. PMID- 21061559 TI - [Suicides in Tochigi Prefecture in 2007-2008: epidemiologic features based on police data]. AB - PURPOSE: To reveal the epidemiologic features of suicides in Tochigi Prefecture using police data, and to discuss critical points to improve suicide prevention and advantages and disadvantages of police data. METHODS: Individual data for suicides during a 2 year period of 2007 and 2008 provided by the Tochigi Police were analyzed. RESULTS: In the observed 2 years, there were 1166 cases of suicide (865 males and 301 females), a higher rate per population in Tochigi than that for the whole of Japan. The age-specific number was highest in the 50's among males, whereas the numbers were similar between the 30's and 70's among females. The age-specific number per population was higher than that for the whole of Japan for individuals in their 20's and 30's. The number was highest in early morning at around 10 o'clock in the weekdays. Of all cases, 58.1% committed suicides at home, and 58.0% were by hanging. As causes of suicides, selected as the 3 most common by the police, health problems were top (61.3%), followed by economic (22.7%) and familial (17.3%) difficulties. With health problems, physical and mental diseases each accounted for approximately half. Those committing suicides because of the economic problems were dominantly males aged 20-69 years, many of whom had multiple debts. One third of the deceased cases left testamentary letters, and 15.9% had experiences of attempted suicide in the past. According to these results, we consider that the following 6 points are important to prevent suicides in Tochigi (1) improvement of school and occupational health targeting males aged 20 to 39 years; (2) persons at high risk should be kept always under close observation by someone such as a family member; (3) those having experience of attempted suicides should be formally treated as high risk persons; (4) consultation systems for various problems, especially for multiple debts, should be prepared and appropriately advertised; (5) mental health care should be provided for patients with physical disorders; and (6) treatment and management for patients with mental illness should be comprehensive. In addition, we noted that the causes of suicides in the police data were based on inferences of police officers investigating the suicide cases so that the validity was poorer than that of psychological autopsy. However, the advantage of the police data was that there was less selection bias because police data covered all the suicides in the area. CONCLUSIONS: Using police data, the epidemiologic features of suicide in Tochigi Prefecture could here be demonstrated, and guidelines for prevention are indicated. Utility of police data for revealing the epidemiologic features of suicides to provide information for suicide prevention was confirmed. PMID- 21061560 TI - [Factors affecting burden of caregivers for the elderly of Han Chinese and the Korean minority living in a community in northeast China]. AB - Objective In the People's Republic of China (China), caregivers carry a large burden because of the rapid aging of the population, the one-child policy and the uncertainty of the social security system. The situation is further complicated by the fact of 56 ethnic groups in the country. Few studies on caregiver burden in different ethnic groups have been reported, although different customs, cultures and methods of caring for the elderly do certainly exist. The aim of the present study was to compare the caregiver burden for the elderly among Han Chinese and the Korean Minority living in a community and to examine the factors affecting this burden. METHODS: An investigation was conducted using a questionnaire for 76 pairs of elderly people and their caregivers in Yanji City, China (Korean Minority pairs 52, Han pairs 24). The questionnaires for the elderly included their characteristics, economic conditions, ADL, behavioral disturbances associated with dementia, etc. For the caregivers, their characteristics, the state of their health, daily length of care time, social support, intent to continue home care, and Zarit Caregiver Burden Interview (ZBI) score were investigated. RESULTS: The rate (70.8%) of caregivers with a "high caregiver burden," i.e., those with a median ZBI total score of 33 or more, and the personal strain scores of the Han Chinese were significantly higher than in the Korean Minority. As for who was a suitable caregiver, a high percentage of Han caregivers answered the "children" of the elderly, while Korean Minority caregivers answered the "spouses". When the caregiver was a child of the elderly receiving care, the Hans' ZBI score was higher than that for the Korean Minority. Factors most affecting caregiver burden in the Korean Minority were behavioral disturbances associated with dementia of the elderly, ADL, and degree of life independence of the elderly, along with disorders, sex and health state of the caregivers, relations, length of daily care time, number of vice-caregivers, and social support. Factors affecting caregiver burden in the Han group were the presence of private rooms for the elderly, their life satisfaction and family economic conditions. About 80% of caregivers of both groups had the intention to continue home care, and about 50% of the elderly of both groups answered that entering an institution was not acceptable. CONCLUSION: Factors affecting caregiver burden differ between these two ethnic groups, although in both cases about 80% of caregivers intend to continue home care. Therefore, it is necessary to support the elderly and caregivers in ways that suit their ethnic characteristics. PMID- 21061561 TI - [Indoor air pollution by volatile organic compounds in large buildings: pollution levels and remaining issues after revision of the Act on Maintenance of Sanitation in Buildings in 2002]. AB - PURPOSE: This study aimed to clarify indoor air pollution levels of volatile organic compounds (VOCs), especially 2-ethyl-1-hexanol (2E1H) in large buildings after revising of the Act on Maintenance of Sanitation in Buildings in 2002. METHODS: We measured indoor air VOC concentrations in 57 (97%) out of a total of 61 large buildings completed within one year in half of the area of Nagoya, Japan, from 2003 through 2007. Airborne concentrations of 13 carbonyl compounds were determined with diffusion samplers and high-performance liquid chromatography, and of the other 32 VOCs with diffusion samplers and gas chromatography with a mass spectrometer. RESULTS: Formaldehyde was detected in all samples of indoor air but the concentrations were lower than the indoor air quality standard value set in Japan (100 microg/m3). Geometric mean concentrations of the other major VOCs, namely toluene, xylene, ethylbenzene, styrene, p-dichlorobenzene and acetaldehyde were also low. 2E1H was found to be one of the predominating VOCs in indoor air of large buildings. A few rooms in a small number of buildings surveyed showed high concentrations of 2E1H, while low concentrations were observed in most rooms of those buildings as well as in other buildings. It was estimated that about 310 buildings had high indoor air pollution levels of 2E1H, with increase during the 5 years from 2003 in Japan. CONCLUSIONS: Indoor air pollution levels of VOCs in new large buildings are generally good, although a few rooms in a small number of buildings showed high concentrations in 2E1H, a possible causative chemical in sick building symptoms. Therefore, 2E1H needs particular attention as an important indoor air pollutant. PMID- 21061562 TI - [Effects of weight-loss tools and a group-based weight-loss support program: rationale and study design of a randomized controlled trial]. AB - Purpose We have focused on providing weight-loss tools and implementing group based weight-loss support programs and here document the first steps in implementation of a randomized controlled trial for determining the effectiveness of program components for weight change. METHODS: The present study covered 6 month weight-loss and 2-year follow-up periods. Participants were randomly assigned to the following 3 intervention groups: control (CON), weak intervention (WI), and strong intervention (SI). The CON group attended a single motivational lecture on weight loss. Participants in the WI group attended this lecture and were also provided with textbooks, notebooks, and a pedometer as weight-loss tools. Participants in the SI group attended the lecture, were given the aforementioned tools, and underwent a 6-month group-based support program for weight loss (8 sessions). The recruitment target was 180 participants--60 in each group. Individuals who were 40-64 years old, had a body mass index (BMI) of 25-40 kg/m2, and satisfied at least one of the following criteria were eligible: (i) waist circumference > or = 85 cm in males and 90 cm in females; (ii) systolic blood pressure > or = 130 mmHg; (iii) diastolic blood pressure > or = 85 mmHg; (iv) triglyceride level > or = 150 mg/dL; (v) high-density lipoprotein (HDL) cholesterol level < 40 mg/dL; and (vi) fasting plasma glucose level > or = 110 mg/dL. The primary outcome measure was body weight, and the secondary outcome measures were parameters associated with the metabolic syndrome, such as waist circumference, systolic blood pressure, diastolic blood pressure, serum levels of triglycerides and HDL cholesterol, and fasting plasma glucose. The protocol was registered with the UMIN Clinical Trials Registry (UMIN000001259). RESULTS: Participants were recruited through advertisements placed in local newspapers until March 2009 and were screened for eligibility through baseline measurements. In total, 188 adults (145 females, 43 males) were eligible and randomly assigned to the CON (n = 63), WI (n = 62), or SI (n = 63) groups. The weight-loss period was from April to October 2009 (for all 3 groups), and the follow-up duration extends from October 2009 to October 2011 (for the WI and SI groups). CONCLUSION: The present study should reveal the short-term (6 months) effectiveness of weight loss tools and a group-based weight-loss support program as well as the long-term (30 months) effectiveness of the group-based weight-loss support program. PMID- 21061563 TI - [Clinical analysis of extensively-drug resistant tuberculosis (XDR-TB) in our hospital]. AB - OBJECTIVE: We analyzed the clinical characteristics of extensively-drug resistant tuberculosis (XDR-TB). MATERIALS AND METHODS: Thirteen cases diagnosed with XDR TB encountered in our hospital over the last ten years were enrolled in our study. RESULTS: The patients included 9 males and 4 females. The mean age was 49.1 years old in males and 42.0 years old in females. Eight patients were Japanese and 5 were foreigners (Chinese, 3; Korean, 1; Nepali, 1). Nine cases had a smoking history and 6 had underlying diseases, including 1 with bacterial pneumonia, 3 with diabetes mellitus, 1 with chronic renal failure, and 1 with collagen vascular disease receiving immunosuppressive treatment. All 13 cases had been diagnosed at other hospitals. The mean period from TB diagnosis to XDR-TB diagnosis was 56.8 months, and the mean period from TB diagnosis to referral to our hospital was 81.6 months. Among the 13 cases, 3 had no drug sensitivity, 1 was sensitive to only 1 drug, 2 were sensitive to 2 drugs, 6 were sensitive to 3 drugs, and 1 was sensitive to 4 drugs. Nine of the 13 cases had surgical treatment. Six cases, all of whom had surgical treatment, showed negative conversion in sputum examinations. Three patients died, including two who had surgical treatment. Among the 3 cases with no drug sensitivity, 1 was cured after surgical treatment. Another case had been working in the same hospital with two other MDR-TB cases. Two of the three had the same RFLP pattern. CONCLUSION: XDR TB and MDR-TB are man-made diseases. We need to take measures not to create more XDR strains and induce more MDR-TB cases. PMID- 21061564 TI - [A new anti-mycobacterial agent, rifabutin]. AB - This is a review of non-clinical and clinical study results of rifabutin (Mycobutin, RBT) which was approved as a new anti-mycobacterial agent 38 years after rifampicin (RFP) was approved in Japan. The anti-bacterial actions of RBT were similar to those of RFP, but its potency was stronger (4 to 32 times in MIC90). RBT showed excellent penetration in cells (9 times in neutrophil, 15 times in monocyte, against plasma concentration) and in tissues (5 to 10 times in pulmonary tissue). Clinical efficacy of RBT (150 mg, as well as 300 mg daily) was comparable to that of RFP 600 mg daily, in the treatment of newly diagnosed tuberculosis, drug-resistant tuberculosis, and the NTM diseases. In addition, RBT 300 mg showed significant prophylactic effect on the development of disseminated MAC infection in HIV positive subjects. Most of the adverse events of RBT were the same as those of RFP, including drug-drug interactions related to the induction of CYP3A4. The concomitant use of RBT (over 450 mg) with clarithromycin induces uveitis, which warrants special attention. It is expected that the efficacy and safety of RBT in Japanese subjects will be evaluated in Japan through the accumulation of clinical experience. PMID- 21061565 TI - [The current status of fluoroquinolones and other off-label drug use for tuberculosis in Japan]. AB - OBJECTIVES: To clarify the present state and problems of off-label drug use in tuberculosis treatment in Japan. MATERIALS AND METHODS: Questionnaire survey by mail to 252 hospitals with tuberculosis wards. RESULT: It was found that 146 out of 160 hospitals returning the questionnaire had active tuberculosis ward(s). Fluoroquinolones (FQs) were being used in 119 (81.5%) hospitals, of which 115 used levofloxacin. The reasons for using FQs were: i) adverse reactions to other antituberculosis drug(s) in 97 hospitals, and ii) drug-resistance in 80 hospitals. The perceived problems in using FQs were: i) its use for tuberculosis is not approved (often not reimbursed by medical insurance), cited by 73 hospitals; ii) increased out-of-pocket medical fees for patients (not covered by public service), cited by 48 hospitals; iii) official compensation for severe adverse reactions cannot be guaranteed for off-label use, cited by 19 hospitals. Other off-label drugs such as linezolid are also used in 37 hospitals. DISCUSSION: Fluoroquinolones, especially levofloxacin, are widely used in tuberculosis treatment in Japan for patients with adverse reactions and/or drug resistance to other antituberculosis drugs. As these drugs have not yet been approved for tuberculosis treatment and therefore are not included in "the Standards of Tuberculosis Treatment" established by the government, the costs for FQs and other off-label drugs are not covered by public subsidies for medical treatment, thus increasing the economic burden for patients, which may in turn cause drop-out, especially in cases of MDR-TB. Further, FQs are not under control of the Tuberculosis Advisory Committee of the Health Center, which has played an important role in ensuring the standard tuberculosis treatment in Japan. CONCLUSION: FQs should be included in the Standards of Tuberculosis Treatment to secure adequate chemotherapy for tuberculosis. PMID- 21061566 TI - [Congenital ossicular malformation: a study of 27 ears]. AB - Despite otological surgical progress improving clinical congenital ossicular malformation management, some cases remain inadequately treated. We report 27 cases of congenital ossicular malformation, focusing on reasons for remaining or delayed postoperative hearing loss evaluated in 27 congenital ossicular malformation cases in Kyoto Prefecture from 2002 to 2008. Overall success was 93% (25/27) 6 months postoperatively. Two ears had no hearing improvement and three delayed hearing loss 8 to 48 months postoperatively. The first two ears underwent small fenestration stapedotomy with malleus attachment piston, and the other three tympanoplasty type III using an autologous ossicle or total ossicular replacement prosthesis (TORP) as a columella. We discuss problems and solutions using a malleus attachment piston or prosthesis, preoperative audio-and radiological findings, and operative findings including facial nerve anomaly and congenital cholesteatoma. PMID- 21061567 TI - [IgG4-related Mikulicz's disease: a report of 3 cases]. AB - INTRODUCTION: We report three cases of hyper-IgG4 disease with synchronous or asynchronous lymphocytic infiltration onset, IgG4 positive plasma cell infiltration, and fibril formation in multiple exocrine glands and extranodal organs. IgG4-related sialadenitis attracting recent attention has yet to be clarified as a clinical entity. CASE REPORT: Case 1, a 61-year-old man, had a submandibular gland sample showing IgG4-positive plasma cell infiltration. Case 2, a 61-year-old man, was diagnosed with IgG4-related Mikulicz's disease confirmed by a sublingual gland sample. Case 3, a 57-year-old woman, had a diagnosis of IgG4-related Mikulicz's disease confirmed based on labial and sublingual gland samples. All reported oral dryness and bilateral submandibular swelling. Cases 1 and 2 recovered following Predonine administration tapered from 30 or 20 mg. DISCUSSION: IgG4-related Mikulicz's disease must be recognized as a clinical entity, together with its diagnostic criteria and treatment. Sublingual gland biopsy should be done to confirm its diagnosis following sublingual gland swelling. PMID- 21061568 TI - [Dysphagia and dysarthria surgery for advanced-age myasthenia gravis]. AB - Myasthenia gravis is often difficult to diagnose and treat in older subjects due to complications, previous history and reciprocal interaction with drugs used to treat complications. An 84-year-old woman with slowly progressive 2-year dysphagia and dysarthria had reached critical condition with aspiration pneumonia. She was diagnosed with thymoma-free myasthenia gravis and her respirator removed after being administrated an anticholinesterase drug. Her dysphagia and dysarthria did not improve. Because of severe osteoporosis with two previous lumbar compression fractures and excessive thinness, she could not be given prednisolone or immunosuppressive drugs. Following cricopharyngeal myotomy and bilateral lateral palatopharyngeal wall narrowing, she could eat without misdeglutition and speak clearly. PMID- 21061569 TI - [Classification of domestic probiotic cultures of Lactobacillus genus]. AB - AIM: To study strains of bacteria from Lactobacillus genus using combination of microbiological and molecular biological methods in order to define more accurately their systematic position and biochemical characteristics. MATERIALS AND METHODS: Thirteen cultures of Lactobacillus bacteria isolated from stool of healthy persons were studied: L. plantarum CS 396, L. plantarum 8-PA-3, L. plantarum 421-2, L. fermentum 90-TC-4, L. delbrueckii gKNM 101, L. delbrueckii gKNM 526, L. acidophilus Er 317/402 NARINE, L. acidophilus 100 ash, L. acidophilus NK-1, L. acidophilus NNIE, L. acidophilus K3sh24, L. brevis gKNM 23 11, L. casei gKNM 577. Their enzymatic activity relative to 50 sugars was studied using API-50 system. Structure of proximal region of 16S rRNA gene was studied also. RESULTS: According to results of 16S rRNA gene sequence analysis strains were divided on 2 groups: 1) L. casei gKNM 577, L. plantarum 8-PA-3, L. plantarum CS 396, which species belonging corresponded to stated description. Comparison of nucleotide sequence of 16S rRNA gene of group 2 strains with nucleotide sequences database revealed that cultures NK-1, Er315/402 NARINE, 100 ash, NNIE identified early as L. acidophilus belong to species L. helveticus; L. brevis gKNM 23 and L. acidophilus K3sh24--to group L. casei/paracasei, L. delbrueckii gKNM 101 and L. fermentum 90-TC-4--to L. plantarum, L. delbrueckii gKNM 526--to L. fermentum, and L. plantarum 421-2--to L. rhamnosus. CONCLUSION: Obtained data allowed to perform taxonomic reclassification of species belonging of studied probiotic cultures of lactobacilli according to modem level of systematic of bacteria. PMID- 21061570 TI - [Molecular genetic features of structure of gene coding tracheal colonization factor in Bordetella pertussis strains]. AB - AIM: Comparative analysis of structure of tcfA gene coding tracheal colonization factor of Bordetella pertussis strans isolated in Moscow from patients with pertussis. MATERIALS AND METHODS: Ninety-seven strains of B. pertussis isolated in different periods of pertussis infection epidemic process (1948 - 1989--from collection of Gabrichevsky Moscow Research Institute of Epidemiology and Microbiology; 1990 - 2007--isolated in Moscow from patients with pertussis) were studied. Primers for amplification of tcfA gene region with size 945 n.p. were used. Amplicons obtained in PCR were used for sequencing. Nucleotide sequences of tcfA gene types of B. pertussis strains were matched to EMBL/GenBank database. RESULTS: Sequencing of tcfA gene fragments revealed two sequence variants. Ninety six of 97 studied B. pertussis strains had the same sequence variant--variant 1. The one strain was characterized by other nucleotide sequence--variant 2, which differed from variant 1 by presence of insertion g in position 396 that led to reading frame shift. CONCLUSION: The structure of tcfA gene circulating population of B. pertussis strains is homogenous and is characterized by presence of "vaccine" allele dominating in majority of countries in the world. PMID- 21061571 TI - [Seroepidemiology of hepatitis A in Saint-Petersburg in 2009]. AB - AIM: To determine immune structure of different population groups in Saint Petersburg to hepatitis A virus in 2009 in order to study trends of epidemic process dynamics and planning of prophylactic measures. MATERIALS AND METHODS: Nine hundred and three citizens of Saint-Petersburg aged 3 months to 60 years were tested for anti-HAV by ELISA in 2009. Results were compared with data of previous study conducted in Saint-Petersburg in 1999. Two hundred injection drug users (IDUs) aged 14 - 29 years were tested additionally. RESULTS: In total in 2009, anti-HAV were detected in 32.5 +/- 1.6% citizens of Saint-Petersburg that is 2-fold lower than in 1999 (60.2 +/- 1.5%). Especially sharp decrease of anti HAV prevalence in 2009 compared to 1999 was observed in age groups 15 - 19 years (by 2.6-fold), 20 - 29 years (by 3.1-fold), and 30 - 39 years (2.8-fold). Anti HAV were detected in 58.5 +/- 3.5% of tested IDUs that 2.8-fold higher of that value observed in persons 14 - 29 years old from population sample (21.7 +/- 2.4%). CONCLUSION: Decrease of immunity to HA in population of Saint-Petersburg is very unfavorable prognostic factor pointing to strong possibility of HA outbreaks onset during worsening of epidemic situation. In such circumstances, active immunization against HA of wide strata of population should become important prophylactic measure. PMID- 21061572 TI - [Typical procedure of actions during emergency situations caused by agents of unknown infectious diseases]. PMID- 21061573 TI - [Epidemic strain of methicillin-resistant Staphylococcus aureus in hospitals of Saint-Petersburg]. AB - AIM: To study genetic characteristics of methicillin-resistant Staphylococcus aureus (MRSA) causing nosocomial infections in specialized inpatient clinics of Saint-Petersburg. MATERIALS AND METHODS: Nine cultures of S. aureus, which caused nosocomial infections in patients of 3 clinics in Saint-Petersburg, were studied by pulse-electrophoresis and spa-sequence typing. Identification of superantigens' genes pvl, sea, seb, sec, tst was performed by PCR. RESULTS: Circulation of epidemic clone BT2007 attributed to spa-type t008 was revealed. According to pulse-electrotype, this epidemic clone was related with European epidemic clones of widespread cluster A. CONCLUSION: Epidemiologic surveillance for MRSA should incorporate monitoring of clonal structure of the agent on both local (intra-clinic) and regional level. PMID- 21061574 TI - [Increase of immunogenicity of cold-adapted influenza vaccine by using adjuvant]. AB - AIM: To assess increase of protective efficacy of live cold-adapted (ca) influenza vaccine after addition of adjuvant chitozan. MATERIALS AND METHODS: Used viruses: ca donor of attenuation A/Krasnodar/101/35/59 (H2N2) and epidemic strain A/Krasnodar/101/59 (H2N2); as an adjuvant--derivative of chitozan and microparticles of chitozan. Experiments were performed in outbred mice. Protective effect of immunization was measured by intranasal challenge by virulent strain of virus. Immune response was assessed by ELISA and indirect hemagglutination inhibition assay. RESULTS: During intranasal immunization of mice with intact CA donor of attenuation A/Krasnodar/101/35/59 (H2N2) addition of 1% solution of chitozan glutamate to vaccine material resulted in increased serum IgG in immunized mice and protective effect of immunization. Addition of adjuvant to ca donor strain did not influence on its ts-characteristic. It was shown that inactivated with ultraviolet radiation ca donor strain in combination with chitozan did not protect against infection caused by virulent strain A/Krasnodar/101/59, whereas the same doses of intact ca donor strain with chitozan were protective. Chitozan did not enhance replication of donor strain in upper respiratory tract of mice. CONCLUSION: Obtained data demonstrate that chitozan as a mucous-adhesive adjuvant could increase efficacy of live ca influenza vaccine. PMID- 21061575 TI - [Production of cytokines by murine bone marrow dendritic cells in vitro mediated by sulfated polysaccharides obtained from sea brown algae]. AB - AIM: To assess in vitro cytokine production by murine bone marrow dendritic cells (DC) matured under the effect of sulfated polysaccharides--fucoidanes from sea brown algae Laminaria cichorioides and Laminaria japonica. MATERIALS AND METHODS: CBA line mice were used to obtain bone marrow origin precursors of DC. Isolation and study of chemical composition and structure of fucoidanes were performed using modern research methods. Expression of surface markers was determined by flow cytometry (FACS-analysis) using monoclonal antibodies to respective antigens. Levels of cytokine production were measured by t-ELISA using kits manufactured by Biosource (Belgium). RESULTS: I was determined that fucoidans induce maturation of DC that was evident by expression of terminal differentiation marker CD83, activation marker CD38, enhanced expression of costimulating CD86, antigen-presenting MHC II and adhesive CD11c molecules. Fucoidanes stimulate DC to produce proinflammatory (TNF-alpha, IL-6, IL-1beta) and regulatory (IL-12) cytokines. Fucoidanes enhance expression of TLR-2 and TLR 4 but do not influence on expression of TLR-9. CONCLUSION: It was shown that fucoidanes from sea brown algae L. cichorioides and L. japonica activate innate immunity system that is evident by enhanced expression of surface molecules associated with DC maturation and increased production of proinflammatory and regulatory cytokines by DC. Enhanced expression of TLR-2 and TLR-4 allows to suppose that studied fucoidanes could have anti-infective effect in vivo. PMID- 21061576 TI - [Antibacterial antibodies in human immunoglobulins and sera: past and present]. AB - AIM: To measure levels of several types of antibacterial antibodies in preparations of normal human immunoglobulin as well as in samples of donor sera obtained in 1965 and 2009. MATERIALS AND METHODS: Five batches of human normal immunoglobulin manufactured in 1965 and five batches manufactured in 2009 as well as 77 and 28 blood serum samples respectively were tested by agglutination assay for the presence of antibodies to enterobacteria, Brucella species, tularemia agent, Rickettsia burnetii, Rickettsia prowazekii, and several species of opportunistic bacteria. RESULTS: Higher antibody titers to Salmonella typhi, Salmonella paratyphi A and B, Salmonella enteritidis, Salmonella typhimurium, Shigella flexneri and Shigella sonnei were revealed in immunoglobulin preparations and donor sera obtained in 1965 compared to that obtained in 2009. There was no difference in antibody titers to Shigella boydii, Salmonella choleraesuis, Escherichia coli O-55, Pseudomonas aeruginosa, Proteus vulgaris, Serratia marcescens and E. coli. Antibodies to Brucella species, tularemia agent, R. burnetii, R. prowazekii were not detected in normal human immunoglobulin. CONCLUSION: Decrease of antibody levels to several pathogenic enterobacteria in human immunoglobulin preparations as well as in sera of donors for 40 years could be linked with decrease of number of immunized persons, changes in circulation of pathogenic bacteria, decrease of rate of asymptomatic infections. Stability of antibody titers to opportunistic bacteria is a rationale to use them for assessment of humoral immunity function. PMID- 21061577 TI - [Use of enterocin S760 for prevention and treatment of experimental Salmonella infection in mice]. AB - AIM: To demonstrate treatment efficacy of bacteriocin S760 synthesized by Enterococcus faecium 760 for septic Salmonella infection in mice. MATERIALS AND METHODS: One hundred mice, which were intraperitoneally inoculated with 100 LD50 of Salmonella enteritidis strain 92 Rif(r), received bacteriocin 1 hour (prevention) or 48 hours (treatment) after inoculation in doses 25, 50, or 100 mg/kg every 6 hours during 5 or 10 days. RESULTS: Use of peptide S760 for prophylaxis in dose 50 mg/kg during 10 days prevented lethal infection in 100% of animals, whereas its use for treatment cured 70% of animals with generalized salmonellosis. Shortening of treatment course from 10 to 5 days and reducing dose of bacteriocin led to less pronounced treatment effect but in all animals it was expressed by increase of mean length of life compared to control (not treated). CONCLUSION: Obtained results demonstrated high treatment efficacy of bacteriocin S760 during septic salmonellosis and perspectives of its use in medicine and animal health. PMID- 21061578 TI - [Growth characteristics, biofilm formation, and antilysozyme activity of Bifidobacterium bifidum after application of chemical analogues of alkyloxybenzenes]. AB - AIM: To study the effects of alkyloxybenzenes chemical analogues C7-AOB and C12 AOB on growth properties, biofilm formation and antilysozyme activity of bifidobacteria. MATERIALS AND METHODS: Using manufacture strain B. bifidum No. 791 ("Bifidumbacterin", Ecopolis, Kovrov), effects of C7-AOB and C12-AOB were studied. Alkyloxybenzenes were introduced in nutrient medium containing microorganisms, which were cultivated until stationary phase. Clones isolation was performed by seeding of 24-h broth culture on solid nutrient medium. Antilysozyme activity, biofilm formation and growth characteristics were assessed by photometric method (Bukharin O.V., 1999; O'Tool, 2002). Results were statistically treated using Simpson's and Shannon's indexes. RESULTS: It was established that, unlike methyresorcin, hexylresorcin stimulated growth of B. bifidum and promoted considerable growth of clones with high antilysozyme activity and biofilm formation ability. Dose-response relationship was noted for both compounds: increase of alkyloxybenzene concentration led to more profound changes in B. bifidum population structure. CONCLUSION: Obtained data explain possible mechanisms of gut colonization by bifidobacteria including enhanced growth, antilysozyme activity and biofilm formation by normal flora that promotes enhancement of host defense. PMID- 21061579 TI - [Measures of local non-specific resistance during of colon dysbiosis]. AB - AIM: To study several parameters of local non-specific resistance during development of experimental dysbiosis caused by administration of wide spectrum antibiotic. MATERIALS AND METHODS: Objects of the study were colonocytes and coprofiltrates from 120 outbred mice developing experimental dysbiosis, in which activity of antioxidant enzymes (superoxid dismutase [SOD] and catalase) and level of one of the final product of lipid peroxidation--malondialdehyde (MDA). RESULTS: Decrease of SOD and catalase activity and significant increase of MDA level during development of experimental dysbiosis were established, which were associated with decreased activity of oxygen-dependent bactericidal systems of colonocytes and changes in composition of colon microbiocenosis. CONCLUSION: It was suggested that changes in parameters of local non-specific resistance could be one of mechanisms, which cause alteration of microbiocenosis composition during colon dysbiosis. PMID- 21061580 TI - [Detection of parvovirus B19 markers in blood samples of donors]. AB - AIM: To assess detection rate of parvovirus B19 markers in population of donors in one of the regions of Russian Federation. MATERIALS AND METHODS: Screening of blood samples from 1000 donors for parvovirus B19 DNA was performed by real-time polymerase chain reaction. Levels of specific antibodies to parvovirus B19 were measured by quantitative enzyme immunoassay (R-Biopharm AG, Germany). RESULTS: Parvovirus B19 DNA was detected in 10 samples (1%) with viral load ranging from 1.0 x 10(3) to 1.0 x 10(6) genome equivalents per 1 ml. All DNA-positive samples contained IgG, and one sample contained IgM also. IgG were detected in 29.7% of DNA-negative samples. In these samples specific IgM were not detected. Mean level of IgG in DNA-negative and DNA-positive groups was 27.52 IU/ml [95% CI (19.6 35.4) IU/ml] and 107.30 IU/ml [95% CI (55.7 - 158.9) IU/ml] respectively. Level of IgG in DNA-negative group was significantly lower than in the DNA-positive (p < 0.0001). CONCLUSION: Results of the study provide new and important information for making rational decision about screening of donors' blood for parvovirus B19 markers. PMID- 21061581 TI - [Characteristic of gram-positive microorganisms isolated during chronic bacterial prostatitis]. AB - AIM: To study microbial spectrum of urethra and prostate secretions (PS) in patients with chronic bacterial prostatitis (CBP) as well biological characteristics and pathogenicity factors of isolated staphylococci. MATERIALS AND METHODS: Seventy-five patients with CBP were examined. Fourty-six samples of PS, 28 samples of urethra secretions, and 153 strains of microorganisms were studied. Bacteriologic tests were performed using unified methods according to Ministry of Health order no. 535 (1985). Susceptibility to antibiotics was assessed according to methodic guidelines 4.2.1890-04 (2004). RESULTS: It was revealed that Gram-positive microorganisms, mostly Staphylococcus spp. and Enterococcus spp., were most frequently isolated from PS and urethra. Isolated microorganisms had secreting pathogenicity factors and were resistance to multiple antibiotics that could promote their persistence in prostate tissues and urethra. CONCLUSION: Gram-positive microflora possessing the range of pathogenicity factors, probably, maintain chronic inflammation in genitourinary system. PMID- 21061582 TI - [Microbiological study of sanitary feature of Perinatal Center of Makhachkala City]. AB - AIM: Evaluation of bacterial contamination of six hospital environment of Perinatal Center of Makhachkala as part of epidemiologic surveillance for nosocomial infections. MATERIALS AND METHODS: One hundred twenty-eight air samples from different hospital units and 344 swabs from hospital equipment, instruments, and inventory were tested. Dry nutrient media manufactured by Scientific Manufacturing Organization "Pitatelnye Sredy" were used for isolation and identification of microorganisms. Species of microorganisms was determined on the basis of complex of tinctorial, morphological, biochemical, and serologic tests. RESULTS: Significant species diversity of opportunistic microorganisms was established. Cultures of Staphylococcus epidermidis (46; 18.5%) and Staphylococcus saprophyticus (44; 17.7%) were significantly more frequently isolated from swabs from environment. CONCLUSION: Microbiological monitoring of sanitary conditions of perinatal center assists sanitary-epidemiologic control for circulation of microorganisms--potential agents of nosocomial infections. PMID- 21061583 TI - [Combined effect of synbiotic "Bifistim" and balneologic procedures in patients with osteoarthrosis]. AB - AIM: To study colon microbiota in patients with osteoarthrosis (OA) and its changes after complex treatment with balneologic procedures and inclusion of symbiotic "Bifistim". MATERIALS AND METHODS: Objects of clinico-laboratory study were 689 patients admitted for healthresort therapy in "Yangan-Tau" sanatorium with OA of different severity. Control group consists of 517 patients cured by standard balneologic procedures, 172 patients from comparison group additionally received symbiotic "Bifistim". Microbiological study of stool samples of patients with OA was performed in sanatorium's laboratory before and after treatment course. Feces microbiota was determined with routine methods. RESULTS: Microbiological study of stool samples demonstrated that in 94.6% of patients with OA colon dysbiosis, mostly level I or II, was registered. Severity of colon dysbiotic disturbances and intensity of clinical signs of OA were interrelated. CONCLUSION: Marked dysbiotic changes in colon microbiota were noted in patients with OA and it is recommended to include probiotic preparations in basic course of treatment. PMID- 21061584 TI - [Synthesis and degradation of hyaluronic acid by bacteria of Streptococcus genus]. AB - Modern data on metabolism of hyaluronic acid by bacteria from Streptococcus genus are presented. Several species of bacteria forming capsule from hyaluronic acid, which is analogous to glycosaminoglycan of vertebrates, are considered. Different aspects of hyaluronic acid synthesis are described: biochemical synthesis pathway, genetic basis, regulation of expression of genes belonging to hyaluronic acid synthesis operon. Biological role and physiologic importance of hyaluronic acid for bacteria, including its role in overcoming immune barrier by pathogenic species, are discussed. Process of depolymerization of hyaluronic acid in presence of hyaluronatlyases secreted by certain streptococci is considered. Characteristic of streptococcal enzyme hyaluronatlyase, its mechanism of catalytic effect, and biological function are presented. PMID- 21061585 TI - [Perspectives of development of immunoprophylaxis in Russia]. AB - Analytic materials on prevention of controlled infections in Russia and perspectives for extension of immunoprophylaxis field are presented. It was determined that immunization against pertussis should be expanded. Necessity to include vaccines against hepatitis A, Hib, pneumococcal infection, varicella as well as rotavirus and human papillomavirus infection in National immunization schedule is substantiated. It was noted that introduction of new vaccines will require both increase of funding for immunization and switch on use of combination vaccines containing 4 - 6 components. PMID- 21061586 TI - [Prevention and immunotherapy of staphylococcal infections with bacterial vaccines]. AB - Pathogenesis of staphylococcal infection both local and systemic is associated with many pathogenicity factors, which in foreign literature are called virulence factors of Staphylococcus aureus, which were studied as potential candidates for vaccine development. Much difficulties are related to use of known experimental models, which virtually do not allow to determine direct appropriate effect by survival of animals, as well as to data about absence of correlation between increase of antibody titers in animals and protective effect of studied preparations. Despite the importance of the problem of prevalence and severity of staphylococcal infection and intensive research in order to determine protective components able to protect from infection caused by S. aureus, there are no licensed prophylactic preparations with proven efficacy. PMID- 21061587 TI - [Social and economic significance of enterovirus infection and its role in etiologic structure of infectious diseases in the world]. AB - Human enteroviruses comprised by more than 100 serotypes, they spread everywhere and can cause wide spectrum of diseases as well as significant social and economic loss. Influenza-like illness and mild forms of enterovirus infection (herpangina, exanthema) are widespread and causes of significant number of visits in clinics. Economic cost of mild form of enterovirus infection is not high although great number of cases (10 - 15 mln cases yearly in USA) determines its important economic significance. Single cases and outbreaks of enterovirus aseptic meningitis occur less frequently but lead to significant economic burden due to hospitalization costs. Enteroviruses are also cause up to 30% of sepsis like disease in newborns and play important role in infant morbidity and mortality. Potential of enteroviruses as a source of new diseases in humans has a special significance for practical healthcare. In XX century enteroviruses became a cause of pandemics of paralytic poliomyelitis, hemorrhagic conjunctivitis, and foot-and-mouth-like disease, which caused vast social and economic loss, and emergence of new forms of enterovirus infection is quite possible in XXI century. PMID- 21061588 TI - [Estimation of the biological age in taiga tick females (Ixodes persulcatus:Ixodidae) by the fat reserves in organism]. AB - The method of estimation of the biological age in non-feeding tick females by the level of adipose inclusions in the cells of the midgut and fat body is developed. In order to estimate the fat reserves in non-feeding females, alive ticks were dissected and fragments of their internal were vitally stained with the pregnant solution of sudan III in 70 % ethanol. Three age-specific groups were established: I, young females whose intestines and fat body were filled with fat inclusions; II, mature females whose fat reserves were partially expended; III, old females having isolated fat inclusions in their midgut and fat body. PMID- 21061589 TI - [Characteristics of zonal distribution of the gamasid mites connected with small mammals and their nests in Western Siberia]. AB - Analysis of long-term data on the fauna, landscape distribution, and structure of communities of the gamasid mites (Acari:Parasitiformes:Mesostigmata) connected with small mammals and their nests in the plain part of Western Siberia is carried out. By now, presence of 249 gamasid mite species is established in the territory under study, including 193 free-living and 56 parasitic species. Gamasid mites are represented by the maximal number of species on small mammals and in thers nests in northern forest-steppe (102 and 105 species respectively). Nine parasitic species from two ecological groups (epizoic and nidicolous) were found in all landscape zones of the West Siberian Plain, namely: 1) epizoic species Laelaps clethrionomydis Lange, 1955, Laelaps hilaris C. L. Koch, 1836, and Hyperlaelaps arvalis Zachvatkin, 1948 (obligatory non-exclusive hematophagous); 2) nidicolous species Androlaelaps casalis Berlese, 1887 (obligatory non-exclusive hematophagous), Eulaelaps stabularis C. L. Koch, 1836, Haemogamasus nidiformnes Bregetova, 1955 (facultative hematophagous), Haemnogamnasus ambulans Thorell, 1872 (obligatory non-exclusive hematophagous), Hirstionyssus isabellinus Oudemans, 1913, and Hirstionyssus eusoricis Bregetova, 1956 (obligatory exclusive hematophagous). Last three species demonstrate the mixed type of parasitism. The population of gamasid mites on small mammals is most specific in tundra, southern forest-steppe and steppe; the fauna of gamasid mites in nests is most specific in southern forest-steppe and steppe. PMID- 21061590 TI - [Expression of genes encoding defense factors in the snail Planorbarius corneus (Gastropoda, Pulmonata) infested with trematodes]. AB - Because many species of gastropods are intermediate hosts for trematodes, these molluscs are often used as model-organisms in the studies of invertebrate immune system. Revealing of the ways in which the defense factors functioning became possible due to the use of the methods of molecular biology. Contemporary molecular methods allow analyzing the defense factors allocations and levels of their expression. We investigated the expression of genes encoding defense factors in gastropods by the example of the snail Planorbarius corneus from water bodies of the Leningrad Oblast under infestation with trematods. The snails naturally infested with the parthenites of trematode species belonging to the families Strigeidae, Notocotylidae, Plagiorchiidae, and Schistosomatida were used as the experimental sample. Uninfested snails were used as a control sample. Several genes encoding the factors, which have been recently found involved in the anti-trematode defense reactions in pulmonates, were chosen, namely fibrinogen-related protein, C-lectin, calcium-binding protein, and cystatin-like protein. The genes' expression was analyzed on total mRNA samples by the reverse transcription with the polymerase chain reaction. It was shown than expression levels of the genes under consideration are different in uninfested snails and in the snails infested with different trematode species. Thus, in the mollusks infested with the parthenites of Cotylurus sp. and Bilharziella polonica, the expression levels of the genes of all factors under study were increased, while in the infested Notocotylus sp. n Plagiorchis sp., only expression levels of C lectin and cystatin-like protein were increased. Results of the expression analysis confirm the role of hemocytes and cells of hepatopancreas in the production of humoral defense factors. In the snails infested with trematodes, the expression levels of C-lectin and calcium-binding protein genes are increased in haemocytes, while the genes of fibrinogen-related and cystatin-like proteins are activated in the hepatopancreas. Our data also confirm the role of the factors examined in the anti-trematode defense reactions in pulmonates. PMID- 21061591 TI - [Seasonal dynamics of the trematodes fauna in herring gull (Larus argentatus Pontopp.) of Kola Bay]. AB - Trematode fauna of the herring gulls from Kola Bay (Barents Sea) was investigated in March, May, June, and September 2005. The data on the trematode species composition and indices of the invasion of gulls with trematodes are given for each season. It was established, that trematode species composition is increased from spring to summer, and intensity of the gulls' invasion with some trematode species is increased from summer to autumn. Ecological factors causing seasonal differences of the trematode fauna in gulls are discussed. PMID- 21061592 TI - [Parasites of underyearling kamchatka mykiss Parasalmo mykiss mykiss (Osteichithyes:Salmonidae) in the Utkholok River (North-Western Kamchatka)]. AB - Eight species of parasites, Apiosoma piscicolum piscicolum, Apatemon sp., Diplostomum sp., Bunoderidae gen. sp., Crepidostomum metoecus, Hysterothylacium gadi aduncum, Salvelinema salmonicola, and Cucullanus truttae had been found in underyearling Kamchatka mykiss (with fork length 28-41 mm) from the Utkholok River, North-Western Kamchatka. Infestation rate of the fishes with each parasite species was rather low. Presence of C. truttae in underyearling Kamchatka mykiss could not be explained by the conceptual model of its life cycle proposed by Moravec (1979). Spatial and temporal isolation of underyearling Kamchatka mykiss and ammocoetes, as the elements of a local food web, suggest that the lamprey larvae do not participate in the transmission of C. truttae to underyearling Kamchatka mykiss. PMID- 21061594 TI - [A new microsporidian species Glugea mesocotti sp. n. (Microsporidia:Glugeidae) from Mesocottus haitej (Scorpaeniformes:Cottidae)]. AB - A new microsporidian parasite of a freshwater fish Mesocottus haitej from the Amur River basin of Russia is described using light microscopy. The numerous whitish xenomas, round or oval, up to 3.0 mm large were found to be located in subcutaneous tissue of the body and mouth cavity, in the intestine and other internal organs. The formol fixed spores are elongate oval, measuring 4.8 (4.5 5.0) x 2.3 (2.2-2.5) microm in a wet smears. Posterior vacuole occupies about half of the spores. Sporophorous vesicles measuring up to 13 microm contain a great number of spores. PMID- 21061593 TI - [Data on intestinal parasites of lower monkeys in the Adler apery]. AB - Under captive conditions, a parasite fauna connected with the changes in ecological conditions, feeding, and mode of life is usually formed in monkeys. Species composition of the intestinal parasites has been investigated in six species of the monkeys (Macaca mulatta, M. fascicularis, M. nemestrina, Ceropithecus aethiops, Papio hamadryas, and P. anubis), which were born in the Adler apery and live there for a long time. A comparison with similar investigations carried out in the Sukhumi apery, where the climatic and keeping conditions are practically identical with those in the Adler apery, was conducted. Parasite fauna of monkeys in the Adler apery was found to include three species of Nematoda (Ascaris sp., Trichocephalus sp., and Strongyloides sp.) and two species of Protozoa (Balantidium coli and Lamblia intestinalis). In our material, Trichocephalus sp. is the dominant parasite species among helminthes, and Balantidium coli is the most frequent species of Protozoa. The commonness in the transmission of these parasites and similarity in their life cycles contribute to the forming of polyinvasions in monkeys. PMID- 21061595 TI - [An example of anthropogeneous succession in the ecosystem of the Verkhnetulomsky Water Reservoir (the Tuloma River Basin, the Kola region)]. AB - The destruction of initial biocenoses in the ecosystem of the Verkhnetulomsky Water Reservoir caused deep succession processes. As a result of the loss of the amphipod group in the benthos, replacement of the trophic links in fishes took place in the biocenosis of the reservoir. Benthos feeders changed over to the feeding on zooplankton and, as a result, became the constituent of the predators'diet. The structure of parasitic systems has changed. The parasites using amphipods as intermediate hosts have been and, on the contrary, the abundance of parasites infesting fishes through feeding of the latter on zooplankton has increased. Among the parasites, Triaenophorus crassus Forel became the cause of the appearance of stable triaenophorosis focus. PMID- 21061596 TI - [Effect of some pharmacological substances on the motility of the Cryptocotyle lingua cercaria (Heterophyidae)]. AB - The effect of some biologically active substances (acetylcholine, serotonin, octopamine, sodium nitroprussid and FMRF-amide) on the motility of the Cryptocotyle lingua cercariae was studied. Solutions of FMRF-amide, octopamine, and sodium nitroprussid have no statistically significant influence on the motility of C. lingua. Acetylcholine and serotonin in solutions affected the motility through the prolongation of the active phase of swimming. Further research is required to elucidate the mechanisms underlying the cercarial motility. PMID- 21061597 TI - [Structural and functional characteristics of plant NADPH oxidase: a review]. AB - Data on structural and functional characteristics of plant NADPH oxidase (Rboh) are generalized. The enzyme homologs identical to the subunit gp91(phox) of the enzymatic complex of animal cells were found in plants. The activation of Rboh depends on the influx of Ca2+ into the cytoplasm and phosphorylation of the N terminal region of the enzyme by Ca(2+)-dependent protein kinase. The possibility of the involvement of Rop GTPase, a cytosolic component of Rboh, in the activation of Rboh is discussed. It is postulated that Rboh localizes on the plasma membrane of plant cells. Rboh is activated under the influence of both biotic and abiotic factors, which is apparently associated with Ca2+ fluxes, reactive oxygen and nitrogen species, and transduction of information to the nuclear genome. PMID- 21061598 TI - [Hydrogen release by recombinant strains of Rhodobacter sphaeroides using a modified photosynthetic apparatus]. AB - Hydrogen release by recombinant strains of Rhodobacter sphaeroides pRK puf DD13 without a peripheral light-harvesting antenna complex and pRK puf deltaLM1 which is able to synthesize both antenna complexes, both of which were grown in conditions of nitrogen limitation, has been studied. The velocity of hydrogen release depended on light intensity. At high cell concentration (0.91 g l(-1)) of pRK puf DD 13, velocity was maximal at 2270 W m(-2) and was equal to 144.7 ml l( 1) h(-1) that evidences to an opportunity to increase the volume velocity of hydrogen release by application of the strains with low content of pigments. PMID- 21061599 TI - [Growth peculiarities of hydrocarbon-oxidizing rhodococcus and pseudomonads dissociates in mono- and mixed cultures]. AB - Growth of R-, S-, and M-dissociates of Rhodococcus rubropertinctus in mixed culture with R-, S-, and M-dissociates of Rhodococcus aeruginosa in comparison with rhodococcus monoculture cultivated on mineral nutrient medium with hexadecane has been studied. The amount of cells in the stationary growth phase has increased 10-15 times in comparison with the monoculture, and pseudomonads which dominated in population, in associations of M-dissociate of R. rubropertinctus with any dissociate of R. aeruginosa or two S-dissociates in the studied bacterial species. The emulsifying ability of the cells (maximal in R dissociates), the synthesis of surface active compounds in pseudomonads, which is maximal in M-dissociate had the main influence on the growth of rhodococci on the nutrient medium with hydrocarbons. PMID- 21061600 TI - [Species and strain composition of microbial associations oxidizing different types of gold-bearing concentrates]. AB - Quantitative abundance of microbial species within an association was found to depend on the energy substrate and the oxidation temperature of sulfide minerals. The number of microbial cells varied depending on the position of reactor in the chain, i.e., the stage of the energy substrate oxidation. Microbial associations oxidized the energy substrate more efficiently than any of their individual components. The increase in pulp density up to the solid : liquid ratio of 1 : 2.5 had an unfavorable effect on microorganisms comprising microbial associations. PMID- 21061601 TI - [New biosensors for assessment of environmental toxicity based on marine luminescent bacteria]. AB - Sixteen strains of luminescent bacteria of Vibrio and Photobacterium genera were isolated from water of the Azov and Black seas. Two strains prospective for biotesting were genetically identified as Vibrio fisher Ve-9579 and Vibrio fisheri Ve-9580 according to Russian Industrial Microorganism Collection (VKMP) classification and accepted for depositing. The isolated luminescent strains exhibited high individual sensitivity to oil derived products, heavy metal salts, sodium dodecyl sulfate (SDS) and phenol (up to the maximum concentration limit for fishery impoundments). According to EC50, they are ten times more sensitive to heavy metal salts and potassium dichromate and 2-6 times more sensitive to SDSand phenol compared to P. phosphoreum (Cohn) Ford and Escherichia coli C600 (pPLS-5) strains. Using Vibrio fisheri VKMP Ve-9579 and Vibrio fisheri VKMP Ve 9580 as biosensors, we have shown their high sensitivity and efficacy to marine ecosystem toxicity assessment. PMID- 21061602 TI - [The influence of medium composition on alkaloid biosynthesis by Penicillium citrinum]. AB - The fungus P. citrinum produces secondary metabolites, clavine ergot alkaloids (EA), and quinoline alkaloids quinocitrinines (QA) in medium with various carbon and nitrogen sources and in the presence of iron, copper, and zinc additives. Mannitol and sucrose are most favorable for EA biosynthesis and mannitol is most favorable for QA. Maximum alkaloid production is observed on urea. Iron and copper additives in the medium containing zinc ions stimulated fungal growth but inhibited alkaloid biosynthesis. The production of these secondary metabolites does not depend on the physiological state of culture, probably due to the constitutive nature of the enzymes involved in biosynthesis of these substances. PMID- 21061603 TI - [Influence of Ca2+ ions on metabolism of active oxygen species in combined cultures of wheat calluses with the fungus Tilletia caries]. AB - The effect of Ca2+ on morphophysiological parameters of calluses of wheat Triticum aestovum L., the level of active oxygen species, and the activity of oxalate oxidase, peroxidase, and catalase is investigated in the case of infestation with the fungus Triticum aestivum causing ball smut. The concentration of O2-, H2O2, and activity of oxidoreductases (oxalate oxidase, peroxidase, and catalase) depends on the content of Ca2+ ions in the culture medium of calluses. The increase in the concentration of Ca2+ ions in the culture medium led to higher structuring of calluses, induction of activity of oxalate oxidase and of some forms of peroxidase, and to accumulation of active oxygen species. These changes contributed to inhibition of development of the fungus. Discovery of such dependence agrees with the role of calcium as the intermediary in biochemical reactions related to the formation of the protective response of plant cells in case of infestation. PMID- 21061604 TI - [Conditions of cultivation, composition, and biological activity of mycelium of Flammulina velutipes (Fr.) P. Karst]. AB - A study is made on a strain of higher basydiomycete Flammulia velutipes (Fr.) P. Karat. The conditions of maximum biomass production by Flammulia velutipes were studied. Soluble and insoluble fractions were isolated from mycelium. The composition of cultured mycelium and aqueous extracts from mycelium were investigated. These objects mainly contained carbohydrates (65.3 and 84.0% in insoluble and soluble fractions, respectively, and 56% mycelium), proteins (7.5 10.0% in fractions and 17.5% in mycelium), as well as an insignificant amount of mineral substances. The main carbohydrate component of fractions was glucose (53.6-78.8%); galactose and mannose were also present, as well as fucose and xylose in insignificant amounts. The aqueous extracts from mycelium demonstrated immunomodulating activity. They rendered a stimulating effect on the functional activity of macrophages--central cells of the reticluoendothelial system. The soluble fraction had a more pronounced effect than the insoluble fraction. PMID- 21061605 TI - [Galactomannan from the seeds of Ural licorice (Glycyrrhiza uralensis Fisch.)]. AB - Galactomannans from the seeds of Ural licorice (Glycyrrhiza uralensis Fisch.) obtained by hot water extraction of freshly ripened (GGu-1) and overwintered (GGu 2) seeds were studied. GGu-1 and GGu-2 (yield, 1.98 and 1.99% of the seed weight) had molecular weights of 1379 and 877 kDa, respectively; their solutions were characterized by high viscosity ([eta] 1193.1 and 765.8 mg/g, respectively) and optical activity ([alpha]D +64.8 and +65.6 deg, respectively). Their galactose-to mannose ratio was 1 : 1.52 and 1 : 1.50, respectively. According to IR and 13C NMR spectroscopic data and methylation analysis, the polymeric chains of GGu-1 and GGu-2 are comprised of 1,4-beta-D-mannopyranose residues substituted at C-6 with single alpha-D-galactopyranose residues. The content of mannobiose units Man Man, (Gal)Man-Man / Man-Man(Gal), and (Gal)Man-Man(Gal) differentially substituted with galactose in macromolecules GGu-1 and GGu-2 was 25.2, 18.4 and 55.9% for GGu-1 and 26.5, 32.5, and 41.0% for GGu-2. PMID- 21061606 TI - [Producers of mycophenolic acid in ensiled and grain feeds]. AB - Using the reaction of activated N-hydrooxisuccinimide ester of mycophenolic acid, a series of immunoreactive conjugated antigens with albumins, gelatin, and glucosoxidase is obtained. On the basis of polyclonal rabbit antibodies, a test system for indirect competitive immunoenzyme analysis is elaborated, which has the sensitivity 0.4 ng/ml. By immunoanalysis, the ability for active biosynthesis of mycophenolic acid in strains of Byssochlamys nivea (44/44, 4100-68400 ng/ml) and Penicillium roqueforti (7/16, 204-25120 ng/ml) from the mycobiota of ensiled feeds is confirmed. The correspondence between weakly expressed producing capacity of most species of fungi of the genera Penicillium and Aspergillus prevailing in grain feeds and the data on low occurrence of this metabolite in grain (8.0%) and combined feeds (11.9%) is confirmed. A potential relationship between particular cases of a significant accumulation of mycophenolic acid (from 500 to 1500 microg/kg) in grains of wheat, corn, and combined feeds and a high biosynthetic activity in rare species P. puberulum, P. stoloniferum, and P. gladioli is discussed. PMID- 21061607 TI - [Autooxidation of a mixture of lemon essential oils, methyl linolenoate, and methyl oleinate]. AB - Stability of components of a mixture of methyl linolenoate and methyl oleinate with two lemon (Citrus limon L.) essential oils in hexane during their autooxidation in light was studied by gas chromatography. The essential oils differed by their quantitative ratio of components: the single-fold (1x) oil contained approximately 90% monoterpene hydrocarbons and 1.47% citral, whereas the proportions of hydrocarbons and citral in the tenfold (10x) oil were approximately 60 and 18.32%, respectively. The concentration and composition of essential oils influence the rates of fatty-acid oxidation and fatty-acid peroxide cleavage. The 1x lemon oil inhibited the oxidation of methyl linolenoate and methyl oleinate, whereas the 10x oil accelerated these processes. The distinctions in the resistance of the major components of lemon essential oil to oxidation, which are determined by their composition and antioxidant properties of unsaturated fatty acids, were revealed. PMID- 21061608 TI - [Expansion of the functional domain of chicken alpha-globin genes]. AB - The transcriptional domain of chicken alpha-globin genes was shown to contain the non-globin coding erythroid-specific TMEM8 gene inducible upon terminal differentiation of erythroblasts. Acquirement by the chicken TMEM8 gene of the erythroid-specific expression correlates with its approachment to the cluster of alpha-globin genes as a result of inversion of a 170-kb chromosomal segment. The human TMEM8 gene is located far from the globin genes and is not expressed in erythroblasts. Transcription of the TMEM8 gene and adult globins in differentiated chicken erythroid cells is controlled by alternative activatory hubs sharing two regulatory elements (including the erythroid enhancer). A conclusion is made that in mature erythroblasts these regulatory elements shuttle between two different activatory hubs. PMID- 21061609 TI - [Alternative splicing landscape of the Drosophila melanogaster genome]. AB - Alternative splicing (AS) intensity (isoform number per gene) was studied as dependent on the gene size for various regions of the Drosophila melanogaster genome. The AS intensity of long transcripts from regions with a low gene density proved to be significantly higher than for regions with a high gene density. An opposite pattern was observed for small genes. The intron density distribution was approximated using the y distributions for regions with a high or low gene density. Statistical comparisons of the gamma distributions confirmed a lower coefficient lambda for regions with a low gene density (i.e., the average intron density was higher). Based on these data, relaxed evolution of the exon-intron structure was assumed for regions with a low gene density. PMID- 21061610 TI - [Molecular cytogenetic methods for studying interphase chromosomes in human brain cells]. AB - One of the main genetic factors determining the functional activity of the genome in somatic cells, including brain nerve cells, is the spatial organization of chromosomes in the interphase nucleus. For a long time, no studies of human brain cells were carried out until high-resolution methods of molecular cytogenetics were developed to analyze interphase chromosomes in nondividing somatic cells. The purpose of the present work was to assess the potential of high-resolution methods of interphase molecular cytogenetics for studying chromosomes and the nuclear organization in postmitotic brain cells. A high efficiency was shown by such methods as multiprobe and quantitative fluorescence in situ hybridization (Multiprobe FISH and QFISH), ImmunoMFISH (analysis of the chromosome organization in different types of brain cells), and interphase chromosome-specific multicolor banding (ICS-MCB). These approaches allowed studying the nuclear organization depending on the gene composition and types of repetitive DNA of specific chromosome regions in certain types of brain cells (in neurons and glial cells, in particular). The present work demonstrates a high potential of interphase molecular cytogenetics for studying the structural and functional organizations of the cell nucleus in highly differentiated nerve cells. Analysis of interphase chromosomes of brain cells in the normal and pathological states can be considered as a promising line of research in modern molecular cytogenetics and cell neurobiology, i. e., molecular neurocytogenetics. PMID- 21061611 TI - [The role of chromosomal regions anchored to the nuclear envelope in the functional organization of chromosomes]. AB - The functional characteristics of the DNA fragments responsible for chromosome attachment to the nuclear envelope during the interphase (neDNAs) have been studied. The neDNAs flanking the transgene have been found to promote a steadily high rate of its expression, irrespective of the site of its insertion into the host chromosomes. At the same time, neDNAs themselves have no transcription regulatory functions. PMID- 21061612 TI - [Intranuclear dynamics of chromosome 6 in nurse cells of Calliphora erythrocephala Mg. (Diptera: Calliphoridae)]. AB - Intranuclear dynamics of chromosome 6 in nurse cell nuclei of Calliphora erythrocephala Mg. (Diptera: Calliphoridae) was studied. The 3D FISH method was used for the first time to study chromosome territories in highly polyploid nuclei whose chromosomes undergo morphological changes. A considerable change in the intranuclear location of chromosome 6 and a morphological alteration of the chromosome territory in the course of chromatin polytenization were revealed. PMID- 21061613 TI - [Analysis of the spatial organization of the XL chromosome attachment site in nurse cell nuclei of the malaria mosquito Anopheles atroparvus]. AB - The spatial position of the site of XL chromosome attachment to the nuclear envelope of ovarian nurse cells relative to the oocyte has been analyzed in the malaria mosquito Anopheles atroparvus. The XL chromosome attachment sites in the oocyte-nurse cell system of this species have been demonstrated to be orderly arranged, with the attachment sites in two out of three nurse cells in the same layer identically oriented relative to the oocyte. PMID- 21061614 TI - [Small nucleolar RNAs and their genes in vertebrates]. AB - Genes of box C/D small nucleolar RNAs (snoRNAs) were searched for in the genomes of members of all classes of vertebrates that do not belong to placental mammals. A tendency for an increase in the number of copies of snoRNA genes was observed in such vertebrates. This trend was most pronounced in anamnia (amphibians and fish). Box C mutations were found in 14 snoRNAs in all gene copies among all species studied. The role of the described events is discussed. PMID- 21061615 TI - [Chromosome control of apomixis in maize-gamagrass hybrids]. AB - The results of long-term studies on the transmission of the mode of asexual reproduction through seeds to maize from gamagrass, a closely related wild plant, performed in the Laboratory of Plant Cytology and Apomixis are summarized. The first apomictic hybrids between Zea mays and Tripsacum dactyloides were obtained in this laboratory more than 40 years ago and have been maintained until the present time. Cytogenetic studies on the hybrids have shown that at least nine chromosomes of the wild parent are necessary for the expression of asexual reproduction through seeds. In addition, the genes controlling two elements of apomixis (apomeiosis and parthenogenesis) have been found to be inherited independently from each other. PMID- 21061616 TI - [Molecular genome organization in ciliates]. AB - The review summarizes modern views on to the structure and differentiation of the nuclear apparatus in ciliates. The genetic system of ciliates (type Ciliophora) includes two types of nuclei: germinal micronucleus (MIC) and somatic macronucleus (MAC). The MAC development is associated with the rearrangement of the MIC genome, which includes chromosome fragmentation and chromatin diminution. The loss of DNA constitutes from 10-15% (Tetrahymena termophila) to 95-98% of the genome in spirotrichs (Stylonychia, Oxytricha, and Euplotes). Analysis of molecular mechanisms underlying nuclear dualism in ciliates promoted radical revision of the concept on the interactions and roles of MAC and MIC. The micronucleus, as an inactive element, is an ideal field for the invasion and further expansion of mobile genetic elements. Chromatin diminution plays the purifying role, restoring the native genome structure. The process of recognition of "genetic garbage" to be eliminated has many features in common with the siRNA mediated heterochromatization. The presence of this mechanism in very early radiated eukaryotic lineages (Opistokonta and Chromalveolata), indicates that it arose at the earliest stages of the eukaryotic evolution, probably, as a mechanism promoting genome integrity and stability. PMID- 21061617 TI - [Changes in the energy status of Drosophila as a result of genetic mutation]. AB - Conditional dominant lethals (CDL) represent a special class of genetic mutations observed in Drosophila. Mutation manifests as a dominant allele in one genotype, but lethality is not expressed in another genotype. CDL mutants exhibit a set of traits discriminating them from classic mutations. We observed unusually high mobility of flies and high sexual activity of males carrying these mutations. We used special tests for evaluation of energy metabolism of CDL mutants. Indirect calorimetry (CO2 excretion measurement) has been used for estimation of energy exchange in four mutant and two control fly lines. A Special device has been used for evaluation of locomotor activity of these fly lines. Energy exchange and locomotor activity in CDL mutants were significantly higher than in control lines. We conclude that some genetic mutations are capable of increasing energy dissipation in their carriers. PMID- 21061618 TI - [LTR retrotransposons as a source of promoters in the Drosophila genome]. AB - Mobile genetic elements affect structure and function in various ways. Significant number of genes in human and mouse are transcribed from alternative promoters located in LTR retrotransposons. As a rule, these retrotransposons are located upstream of annotated genes, and their promoters initiate transcription of alternative first exon. We investigated role of LTR retrotransposons in expression of genes in Drosophila. Using database of spliced ESTs we identified only 13 potential cases of transcription initiation from long terminal repeats of LTR within euchromatic part of the Drosophila genome. Our results indicate on insignificant role of promoters of LTR retrotransposons in expression of genes in Drosophila. PMID- 21061619 TI - [Ribosomal genes in the human genome: identification of four fractions, their organization in the nucleolus and metaphase chromosomes]. AB - Completion of human genome reading stimulated intense studies in the field of functional genomics and characterization of individual genomes. Of considerable importance is the study of the complex of multicopy ribosomal genes (RGs), but its thorough analysis was not a task of the "Human Genome" program. In this short review we present our data on the copy number of rRNA genes in individual human genomes and on their heterogeneity in the functional respect. Fractions of active and potentially active RGs as well as fractions of inactive and silent RGs intensively methylated in the transcribed region are characterized. Their location in the nucleolus structures and in metaphase chromosomes is discussed. PMID- 21061620 TI - [Heterogeneous organization of a tandem repeat family in subtelomeric heterochromatin of rye]. AB - The presence of tandem repeat multicopy families in subtelomeric regions of all chromosomes is a characteristic feature of the rye karyotype, in contrast to the organization of these regions in chromosomes of extensively studied species, such as human, rice, and arabidopsis. To study the molecular structure of these regions, we analyzed BAC clones from a library constructed from the genetic material of rye chromosome 1 short arm (1RS). Screening of the library detected numerous clones that contained copies of multicopy tandem families of DNA sequences pSc200, pSc250, and pSc119.2. An examination of the molecular organization of tandem stretches of the pSc200 family, which is the most common in the rye genome, showed that the subtelomeric 1RS region includes several such stretches, each of which contains characteristic blocks of multimers of various periodicity. Such pattern of heterogeneous organization of tandem repeat stretches differs from the view of the tandem stretches as monotonous sequence of identical monomers, which was generally accepted in recent past. PMID- 21061621 TI - [E.V. Anan'ev's contribution to studies of the centromere and construction of an artificial plant chromosome]. AB - The plant centromere has been described to consist of blocks of repetitive DNA sequences, and self-assembly of an artificial plant chromosome has been achieved using individual cloned elements. E.V. Anan'ev's contribution to these studies is described. PMID- 21061622 TI - [Mouse centromeric tandem repeats in silico and in situ]. AB - The search for all sequences containing centromeric (CEN) minor satellite (MiSat) or pericentromeric (peri-CEN) mouse major satellite (MaSat) was conducted in the whole genome shotgun (WGS) database. The sequences were checked for the presence of the known dispersed repeats using the Censor software. The presence of tandem repeats was tested using Tandem Repeat Finder (TRF). Monotonous MiSat and MaSat arrays and MaSat to MiSat array transitions were detected. Moreover, two other types of contacts were revealed: (1) MiSat transition to fragments of retroelements LINE and IAP (ERV family, intracisternal A-type particles), mainly to ORF2 and 5'-LTR containing elements; (2) MaSat transition to two tandem repeats with monomers 21 bp and 31 bp in size. The presence of the MiSat/IAP transition could be checked experimentally. The common DNA motif among the IAP fragments close to MiSat was isolated. IAP-specific primers were constructed and the fragments obtained in PCR with LAP and MiSat primers compiled the plasmid vector library. Clone n51 with the maximum length of the possible insertion (approximately no. 800 bp) was selected from the library. FISH on extended chromatin fibers (fiberFISH) carried out on the n51 clone demonstrated that the main signal definitely belonged to CEN. However, the signals on the chromosome arms were also detected that could be due to the partial homology of n51 to the dispersed repeats. The duplicated fiberFISH with MiSat and n51 allowed to measure the distances between the fragments. The previously obtained MS3 sequence has some homology to IAP and CEN localization. Accordingly, the regular associations of MiSat with IAP retroelements were shown in silico and in situ. Together with the published data, the present findings suggest that retroelements or their fragments may be essential components of the normal centromere of higher eukaryotes. PMID- 21061623 TI - [The structure of long telomeres in chromosomes of the Iberian shrew]. AB - It is shown that the size, localization, and structure of telomeres in the Iberian shrew (Sorex granarius) are not characteristic of mammals. In this species, long telomeres of an average size of 213 kb are localized on the short arms of all 32 acrocentrics; ribosomal blocks and active nucleolus-organizing regions (NORs) were also discovered there. At the remaining chromosome ends the average size of telomeres is 3.8 kb. However, in a closely related species, Sorex araneus, all telomeres have size similar to that of human telomeres, i.e., 6.8 15.2 kb. Despite the fact that some long telomeres contain ribosomal repeats in addition to telomeric ones, the long telomeres have preserved asymmetry of G- and C-rich strands as in functional telomeres. It is probable that long telomeres were formed in meiosis at the stage of chromosome bouquet as a result of global reorganization of the chromosome ends. The provoking factors for such reorganization might be the fission of several metacentrics and the necessity of telomerization of the resulting acrocentrics. PMID- 21061624 TI - [Supernumerary chromosomes, segmental duplications, and evolution]. AB - The present study depicts the phenomenon of supernumerary chromosomes as autonomous genome elements, similar in features with segmental duplications. Possible role of B chromosomes in evolution and the reasons of their nonrandom distribution in different mammalian taxa are discussed. PMID- 21061625 TI - [Interspecific variability of telomeric DNA length in some Siberian and endemic Baikal planarians (Plathelminthes, Tricladida)]. AB - The length of the telomeric DNA in nine species of planarians inhabiting Lake Baikal and one Siberian species from Baikal rivers was determined using Southern hybridization. According to preliminary estimations, it varied in the range of 25 30 kb (Rimacephalus arecepta, Rimacephalus pulvinar, Sorocelis hepatizon, Sorocelis nigrofasciata, Protocotylus sp., Baikalobia guttata, Bdellocephala baikalensis, Phagocata sibirica) and 50 kb (Baikaloplana valida, Baikalobia copulatrix). It is the first estimation of the values of telomeric region lengths for Baikal free-living flat worms. PMID- 21061626 TI - [Modern concepts of the mitochondrial structure and functions]. AB - Recently accumulated data provide insight into some generally accepted models of mitochondrial genome. Here brief review of the new data is presented. PMID- 21061627 TI - [Gene pools of peoples from the Republic of Sakha (Yakutiia): structure, origin, genetic relationships]. AB - The article presents the results of investigating the gene pool structure and genetic history of the population of the Republic Sakha (Yakutia), using two mutually supplementing systems: mitochondrial DNA and the Y chromosome. PMID- 21061628 TI - [Role of natural selection in evolution of mitochondrial haplogroups from Northeastern Eurasia]. AB - The role of natural selection in the evolution of human populations from Northeastern Eurasia was studied. Selection for the regions-specific haplogroup C was demonstrated. PMID- 21061629 TI - [PSM2 and POLR2J gene families as molecular markers of the higher primate evolution]. AB - We have studied the molecular evolution of two gene families specific for primates: POLR2J of the transcription system and PMS2 of the MMR repair system. The appearance and improvement of the genetic structure in each of the families was shown to strongly correlate with the main stages of the higher primates biological evolution. Our results indicate that the PSM2 and POLR2J genes can serve as helpful and reliable molecular markers of anthropogenesis. PMID- 21061630 TI - [What gene and chromosomes say about the origin and evolution of insects and other arthropods]. AB - At the turn of the 21st century, the use of molecular and molecular cytogenetic methods led to revolutionary advances in systematics of insects and other arthropods. Analysis of nuclear and mitochondrial genes, as well as investigation of structural rearrangements in the mitochondrial chromosome convincingly supported the Pancrustacea hypothesis, according to which insects originated directly from crustaceans, whereas myriapods are not closely related to them. The presence of the specific telomeric motif TTAGG confirmed the monophyletic origin of arthropods (Arthropoda) and the assignment of tongue worms (Pentastomida) to this type. Several different types of telomeric sequences have been found within the class of insects. Investigation of the molecular organization of these sequences may shed light on the relationships between the orders Diptera, Siphonaptera, and Mecoptera and on the origin of such enigmatic groups as the orders Strepsiptera, Zoraptera and suborder Coleorrhyncha. PMID- 21061631 TI - [Dynamics of a highly repetitive DNA fraction as indicator of speciation in species of the family Poacea]. AB - Using methods of molecular cytogenetics and molecular genetics, components of highly repetitive DNA fraction and specifically transposable elements (TEs), were examined in diploid ancestors of cultivated wheat, the species of the Sitopsis group (Aegilops Poaceae). It was demonstrated that TEs were highly dynamic in space and time, and could promote or intensify morphological and karyotypical changes. Some of these changes, in turn, could be important for the process of microevolution, enabling the species with plastic genomes to survive as new forms, or even species in conditions of rapid climatic changes. PMID- 21061632 TI - [Identification of a novel WART-like chromosome rearrangement in complex heterozygotes in an interracial hybrid zone of the common shrew Sorex araneus L]. AB - Karyotypes uncharacteristic of pure races or hybrids were identified in the interracial hybrid zones of the common shrew Sorex araneus L. that were recently discovered in the European part of Russia. This suggests the actual existence in natural populations of WART-like rearrangements (whole-arm reciprocal translocations) along with Robertsonian fusions of acrocentrics. Demonstration of new and still rare chromosome variants is the aim of this communication. PMID- 21061633 TI - [Phylogenetic analysis of the rapidly evolving SuUR gene in insects]. AB - Different genome regions differ in replication timing during the S phase. Late replicating sequences are often underreplicated in the Drosophila salivary-gland polytene chromosomes. The SuUR gene, whose mutation changes the replication time of late-replicating regions in salivary-gland cells, has been identified in Drosophila melanogaster. The SUUR protein lacks homologs by a BLAST search, and only moderate homology is observed between its N-terminal end and chromatin remodeling proteins of the SWI2/SNF2 family. The gene and the protein were analyzed in insects. Orthologs of the SuUR gene were found in all annotated Drosophila species. The number of amino acid substitutions in the SUUR protein proved to be extremely high, corresponding to that of rapidly evolving genes. Orthologs with low homology were found in mosquitoes Anopheles gambiae, Aedes aegypti, and Culex quinquefasciatus. No orthologs of the SuUR gene were detected beyond Diptera. PMID- 21061634 TI - [A search for a "Genghis Khan chromosome"]. AB - Zerial et al. (2003) have shown that a special variant of the Y chromosome, characterized by a set of microsatellite markers occurs at high frequency in the number of human populations of Central Asia. This variant was attributed to the descendants of Genghis Khan and its accumulation, to social selection. A search of this Y chromosome variant in Russian populations was conducted. The "Genghis Khan Y chromosome" has been found among Altaians, Altai Kazakhs, Buryats, Kalmyks, Nogaits, and Tuvinians. Its highest frequency (13.8%) was observed in Nogaits. In the examined cases the carriers of the "Genghis Khan Y chromosome" possessed no information on their origin. PMID- 21061635 TI - [Comparative cytogenetics of main Laurasiatheria taxa]. AB - This short communication is a review of key trends in the karyotypic evolution of mammalian taxa Laurasiatheria, inferred from comparative chromosome painting. PMID- 21061636 TI - [Comparative cytogenetics of rodents]. AB - Here, we present analysis of data on comparative chromosome painting produced using various chromosome-specific libraries for members of different Glires groups. Based on the results of comparative cytogenetic and molecular studies, the modern rodents can be conventionally classified into two groups with sharply differing directions and tempoes of karyotypic evolution. One group (suborders Sciuromorpha, Castorimorpha, and Anomaluromoprpha) preserved conserved genomes, which are probably close in structure to the genome of the ancestor of all mammals. The genomes of the other group (suborder Myomorpha) underwent "catastrophic evolution," which resulted in numerous breaks and fusions of the ancient chromosomes. The current data do not allow unambiguously assigning the order Hystricomorpha to any of these groups. PMID- 21061637 TI - [The role of chromosome rearrangements in evolution of mole voles of genus Ellobius (Rodentia, Mammalia)]. AB - Modern mole voles of the genus Ellobius are characterized by species-specific features of autosomes and sex chromosomes. Owing to the use of the Zoo-FISH method, the nomenclature of chromosomes was refined and nonhomologous Robertsonian translocations indistinguishable by G-staining were identified for Ellobius tancrei, which is a species with a wide chromosome variation of the Robertsonian type. The electron-microscopic analysis of synaptonemal complexes in F1 hybrids of forms with 2n = 50 and 2n = 48 revealed the formation of a closed SC-pentavalent composed of three metacentrics with monobrachial homology and two acrocentrics. Segregation of chromosomes of such complex systems is impeded by disturbances in the nucleus architecture leding to the formation of unbalanced gametes and to a dramatic reduction in fertility of hybrids. Our data support the hypothesis that the formation of monobrachial homologous metacentric chromosomes can be considered as a way of chromosomal speciation. PMID- 21061638 TI - [Dobzhansky's rule and reinforcement of pre-zygotic reproductive isolation in zones of secondary contact]. AB - It is well known that closely related sympatric species are usually more different in characters involved in species recognition (e.g., in visual and acoustic signals) than allopatric species of the same evolutionary age. In this article I call this phenomenon Dobzhansky's rule in accordance with the name of the scientist who first discovered it. There are two alternative explanations for this pattern. Under hypothesis of reinforcement by Dobzhansky, these species specific differences evolve in situ, exactly in zone of overlap between two populations. Under hypothesis of differential fusion by Templeton, the differences originate in geographically separated regions, and only those populations that have evolved such differences can persist in secondary sympatry. These evolutionary scenarios are significantly different. The scenario by Dobzhansky is an essentially sympatric model, in which natural selection reinforces pre-zygotic isolation between divergent populations by selecting against unfit hybrids. The scenario by Templeton is based on classic allopatric speciation model that consider the formation of reproductive isolation to be a by product of divergent evolution. In this work we show that the sympatric distribution of sister taxa of Agrodiaetus butterflies strongly correlates with differences in male wing colour. We also use a new quantitative phylogenetic test to distinguish between the models by Dobzhansky and by Templeton and to demonstrate that the pattern observed is, most likely, the result of reinforcement. PMID- 21061639 TI - [In search of universal patterns in community organization: the concept of neutrality paved the way to a new approach]. AB - The recent renewal of interest in community structure was strongly stimulated by the concept of neutrality, a new view on the problem of species coexistence. In contrast to traditional approach claiming that species competing for common resources should occupy different ecological niches, the neutrality concept assumes that species can coexist if they are ecologically identical, i.e., they have similar specific (per individual) rate of population growth, probability of extinction and the rate of colonization of free space. The analysis of recent literature, full of contradictory opinions on the ideas of neutrality and niche, can be resulted in form of following questions: (1) What do we suggest when we say that "species coexist"? (2) How can we explain the usual pattern of species relative abundances in a community, the so-called "hollow curve" (the distribution of numbers of species arranged in classes of abundance)? (3) Do rare species have some advantages in comparison with abundant species? (4) Can the mechanisms implied by neutrality concept and traditional niche approach work simultaneously in the same community? Trying to answer these questions we should: (1) refuse the demand of indefinite coexistence of species although this condition was considered as necessary in classical mathematical models of competition; (2) accept that community structure depends not only on ecological processes (species dispersal, competition and others) but also on the evolutionary ones (speciation) that determine the pool of species; (3) accept that rare species have some advantages as compared with the most abundant species; (4) accept that in any real community species can coexist either occupying the different niches or approaching ecological similarity. Despite considerable progress achieved in understanding of general principles of community organization, we still don't know how to answer the question "Why are there so many kinds of animals?" that was posed by Hutchinson 50 years ago. PMID- 21061640 TI - [Estimation of heritability and repeatability of resting metabolic rate in birds, with free-living pied flycatchers Ficedula hypoleuca (Aves: Passeriformes) as an example]. AB - Estimates of a trait heritability and repeatability can get at an idea of its usefulness for being an individual characteristic and its ability to change under selection pressure. Heritability and repeatability of energetic parameters still poorly studied in birds. The most important physiological characteristic of homoiotherms is resting metabolic rate (RMR), which, in the absence of productive processes, does not exceed basal metabolic rate (BMR). We estimated BMR repeatability in free-living pied flycatchers in Moscow Region (55 degrees 44' N, 36 degrees 51' E; 1992-2008) and Tomsk (56 degrees 20' N, 84 degrees 56' E; 2008 2009) populations over intervals from 40 days to 3 years. In Moscow Region population, BMR repeatability amounted to tau = 0.34 +/- 0.10 (n=80) if measured over 1 year interval, tau = 0.60 +/- 0.15 (n=19) if measured over 2 years interval, and tau = 0.85 +/- 0.13 (n=6) if measured over 3 years interval providing that consecutive BMR measurements were done in the same period of reproductive season. In Tomsk population, BMR repeatability, measured over 1 year interval, amounted to tau = 0.49 +/- 0.11 (n=50). Repeatability is a measure of a trait constancy and sets the upper limit of its heritability. To estimate RMR heritability, cross-fostering experiments have been conducted in 2003-2005 with flycatchers of Moscow Region population. RMR of chicks positively correlated with BMR of their biological fathers, whereas such correlation in metabolic rates between chicks and their foster fathers was absent. The RMR heritability estimate turned out to be h2 = 0.43 +/- 0.17 (n=210). The obtained estimates of heritability and repeatability of fundamental energetic traits are rather high for physiological features. This suggests the existence of a potential for direct selection on BMR and evolutionary stable diversity of avian populations with regard to basal metabolic rate. PMID- 21061641 TI - [The activation of specific immunity in male mice stimulates fertility of their breeding partners: the phenomenon of lot's daughters]. AB - In previous experimental studies on laboratory mice, it was shown that activation of specific immunity by injection with sheep red blood cells (SRBC) lessens males' sexual olfactory attractiveness for intact females. However, reduced attractiveness can decrease males' reproductive efficiency only under the conditions of free mating, which is not obligatory for natural populations. The goal of this work was to study the influence of immunoenhancement on sexual behavior and reproductive output of outbred ICR male mice. Males, either injected with saline (control group) or SRBC-treated, were kept with intact females during 5 days after injection. While the number of fertile copulations was practically equal in both groups, the potential (ovulated ova) and actual (number of embryos) fecundity was significantly higher in females having been paired off with SRBC treated males. Main reproductive effects were registered at 3-5th day after injection, when specific antibody-forming process starts and males' scent becomes less attractive for females. On the base of previous and present data, the hypothesis is proposed that if the quality of a non-alternative mating partner is compromised by activation of specific immunity, a female tries to maximize its reproductive output (due to low chance of repeated copulation). This responsibility for the next generation is reminiscent of the Bible story about Lot and his daughters, and may help to sustain the species existence under conditions of parasitic press. PMID- 21061642 TI - [Resource availability and its role in development of invasion processes]. AB - The state of biotic communities inhabiting different water bodies is analyzed aiming to determination of conditions facilitating development of biological invasions. Mass-balance and dynamic models are used to reveal the factors ensuring the invasion of zebra mussel, Dreissena polymorpha, in Naroch Lakes (Byelorussia), and smelt, Osmerus eperlanus, in Lake Syamozero (Karelia). The results obtained indicate that for invasions leading to trophic chain lengthening, the main factor of successful invasion is the availability of resources that are not utilized by aboriginal species. Highest chances for successful invasion belong to invading species feeding on those trophic groups which contribute to the most extent to forming of 'excess' (i.e., available for the invader) production. It is shown that establishment of an alien species in a native community appears to be possible only when total amount of such 'excess' production is higher then some threshold value. Under conditions of spatio temporal environmental heterogeneity, the timing factor, which determines the exact moment of an alien species intrusion, becomes important as well. PMID- 21061643 TI - [Northward shift in faunal diversity is a general pattern of evolution of the phanerozoic marine biota]. AB - The analysis of two global databases on spatio-temporal distribution of fossil marine animal genera (Sepkoski's compendium and The Paleobiology Database) has revealed the presence of the latitudinal diversity gradient (LDG) in the marine realm throughout the Phanerozoic. Within each time interval, LDG is characterized by two parameters: the latitudinal position of peak diversity and the steepness of monotonous decline of diversity with increasing distance from the zone of the highest diversity. During the Phanerozoic, peak diversity has drifted gradually from the tropics and subtropics of the Southern hemisphere into northern midlatitudes. The shift in peak diversity is not likely to be an artifact of incompleteness of the fossil record or uneven sampling of different regions. The shift proceeded in a stepwise manner, with periods of relatively fast changes separated by longer periods of little or no change. The latitudinal shift in peak diversity was probably due to a combination of several causes: tectonic (northward shift in the latitudinal distribution of continental shelf area), climatic (as demonstrated by the fact that peak diversity tended to occur near equator during the cold epochs and in midlatitudes during the warm epochs), and historical ("evolutionary inertia" of local faunas). PMID- 21061644 TI - [Comparative-enzymological study of cholinesterases from optic ganglia of the Commander squid Berryteuthis magister individuals inhabiting different zones of the species areal]. AB - In this review a comparative analysis is performed of enzymological characteristics of cholinesterase (ChE) from optic ganglia of individuals of the Commander squid Berryteuthis magister caught in 8 zones of its habitation areal in the northern-western Pacific aquatorium, of ChE of the Pacific squid Todarodes pacificus as well as of the "standard" acetylcholinesterase from human erythrocytes and butyrylcholinesterase from horse blood serum. By the method of the substrate-inhibitor analysis there was shown heterogeneity of ChE preparations from the B. magister individuals from different habitation zones. Kinetic parameters of the enzymatic hydrolysis of 8 ester substrates are presented as well as the data on study of inhibitory specificity with use of 20 irreversible organophosphorus inhibitors, which show identity of ChE properties in the B. magister individuals from different habitation zones. Study of the process of the ChE reversible inhibition from the Commander squid individuals under action of 57 mono- and bisonium inhibitors has revealed differences in ChE properties of squid individuals from isolates in different zones of the habitation areal, which argues in favor of the existence of intraspecies groups of the Commander squid B. magister. PMID- 21061645 TI - [Participation of serotonin-modulated anticonsolidation protein in mediation of action of adverse factors on lipid peroxidation level in juvenile sturgeon tissues Acipenser guldenstadti persicus]. AB - The work analyzes participation of the serotoninergic system in correction of adverse effects of benthic deposits contaminated with industrial wastes on the level of lipid peroxidation (LPO) in the fry of the sturgeon Acipenser guldenstadti persicus. In the first series of the study, two intramuscular injections to the fry of the sturgeon of serotonin-modulated anticonsolidation protein (SMAP) that is in the direct correlation with the serotonin level led to pronounced normalization in the animal tissues of the LPO level whose increase was due to keeping the sturgeons in the fresh water contaminated with benthic deposits. In the second series of the study, administration to the animals of polyclonal anti-SMAP antibodies led to a significant increase of the LPO level in the tissues, similar to effects of the benthic deposits. It is concluded that adverse factors can produce their negative prooxidative effects on the organism tissues through a decrease of activity of the serotoninergic system, whereas an artificial increase of the serotoninergic system activity promotes correction of the oxidative stress. PMID- 21061646 TI - [Increase of mutation level in tissues of goby and fry of sturgeon under conditions of block by antibodies against serotonin-modulated anticonsolidation protein]. AB - The work present data on studies of a decreased activity of serotoninergic system on the level of mutagenic changes (the micronuclear test) in the goby Neogobius fluviatilis and the fry if sturgeon Acipenser guldenstadti persicus. It has been shown that the long exposure of the animals to conditions of industrial and oil pollution leads to a significant decrease in their liver of the level of serotonin-modulated anticonsolidation protein (SMAP) correlating directly with the serotonin level as well as to sharp increase of the level of micronuclei in erythrocytes. The intramuscular administration of anti-SMAP polyclonal antibodies to the fry of the sturgeon produces a significant increase of the amount of micronuclei as compared with that in the animals injected with non-immune gamma globulin. The obtained results allow concluding that the decrease of activity of the serotoninergic system is the mechanism that is triggered with adverse environmental factors and realizes mutagenic damages in the modified genetic apparatus. PMID- 21061647 TI - [Comparative substrate-inhibitor analysis of liver monoamine oxidases of minks]. AB - Comparative substrate-inhibitor analysis of catalytic properties of liver monoamine oxidases (MAO) was performed in the mature males of the American mink Mustela vison and the European mink Mustela lutreola. The action on the MAO activity was studied of alkaloids of the benzo[c]phenanthridine group: sanguinarine and chelidonine, diisoquinoline alkaloid berberine, medication agents Ukrain and Sanguirythrin as well as derivatives of 2-propylamine: deprenyl and clorgylin. The latter turned out to be irreversible inhibitor of the MAO A form, whereas deprehyl--irreversible inhibitor of the MAO B form in both studied mink species. The selectivity of action of each inhibitor on the corresponding liver MAO form for the species M. vison was one order of magnitude stronger than for the species M. lutreola. All studied alkaloids as well medication agents on their basis have been shown to be specific irreversible inhibitors of the intermediate strength of the liver MAO A form of both mink species. They inhibit the enzymatic deamination of serotonin, tyramine, and tryptamine without affecting the deamination reaction of benzylamine and beta-phenylethylamine (at concentrations of 10 mM and lower). Out of the studied five isoquinoline agents, the medication Ukrain and alkaloid chelidonine have the highest inhibitory action; the agent Sanguirythrin and alkaloids berberine and sanguinarine produce the weaker monoamine oxidase effect. The revealed specificity of action of the studied inhibitors is an indirect evidence for the presence in the liver enzymes of both mink species, like in the rat liver enzyme, of several molecular forms. PMID- 21061648 TI - [Study of the protective effects of exogenous heat shock protein 70 kDa in the model of sleep deprivation in pigeons Columba livia]. AB - Electroencephalographic methods were used to study effects of the preparation of the exogenous heat shock protein with molecular mass 70 kDa (Hsp70i/Hsc70) on the time characteristics of sleep and waking, brain temperature, peripheral vasomotor reactions and thoracic muscle contractile activity after the 5-hour sleep deprivation in pigeons (Columba livia). The microinjections of Hsp70i/Hsc70 were performed into the third brain ventricle after the end of sleep deprivation. It was shown that Hsp70i/Hsc70 eliminated the disturbances of sleep-wake cycle and evoked a decrease in the thoracic muscle contractile and brain temperature during the first hour of postdeprivation period. During the following hours Hsp70i/Hsc70 evoked an increase in the total time of deep sleep and a decrease in the total time of rapid-eye-movement sleep. We suppose that the protective effects of Hsp70i/Hsc70 could be associated with its capacity to weaken the activity of the hypothalamo-hypophyseal-adrenal axis and to enhance the stress-limiting function of non-rapid-eye-movement sleep. PMID- 21061649 TI - [Effect of nifedipine on electrophysiological properties of rat ureter spontaneous activity]. AB - The work studied effect of various concentrations of nifedipine on the slow and fast spontaneous activities evoked in the perivesical and perirenal areas of the rat ureter. Whereas in the proximal zone of the organ at the low dose of nifedipine (0.06 and 0.12 mg/kg), inhibition is revealed both of slow waves and of their corresponding spikes, in the perivesical part the opposite effect is observed. Besides, with further increase of the nifedipine dose to 0.15 and 0.2 mg/kg, in the ureter area adjacent to the urinary bladder, alongside with acceleration of slow pacemaker oscillations, the appearance of the antiperistaltically directed action potentials is also possible. PMID- 21061650 TI - [Fatty acids of phospholipids of brain cell nuclei in rat ontogenesis]. AB - Experiments were carried out on the 19-day old rat embryos, the 5- and 45-day old rat pups, and the 1.4-1.5-year old rats. Phospholipids and their fatty acid composition in brain cell nuclei were studied using methods of extraction, two dimensional thin-layer chromatography, and spectrofluorimetry. In the course of ontogenesis, the percentage of different classes of nuclear phospholipids was changed; at the postembryonic period, the unsaturation index of lipids (phosphatidylcholine and phosphatidylethanolamine) and the content of unsaturated (especially polyenic) fatty acids decreased. Microviscosity of nuclear membranes increased; this changes were also shown earlier in phylogenesis of vertebrates. Thus, the facts revealed in the present work correspond to the recapitulation law. It is suggested that such change of lipid ratio and of composition of their fatty acids as well as of the membrane microviscosity serve for regulation of functions of membrane proteins and have adaptive character. PMID- 21061651 TI - [Effect of prenatal hypobaric hypoxia on activity of the rat brain phosphoinositide system]. AB - Activity of the phosphoinositide system of the intracellular signalization was studied in offspring of rats exposed to severe hypobaric hypoxia at the 14-16th (group 1) or the 18-20th day (group 2) of prenatal development. At the age of 15 days, in animals of both experimental groups the basal level of triphosphoinositides in the brain cortex was shown to be elevated as compared with control. In the group 1 this parameters also remains elevated in adult animals. Application of glutamate produces a more pronounced increase of the inositephosphates in brain sections of the 15-day old rats of the group 1 than in sections of animals of the control group. In the 15-day old rats of the group 2, as compared with control, the phosphoinositide response to glutamate application was reduced. No changes in the inositephosphate levels were revealed after application of glutamate upon sections of adult (the 90-day old) control animals and of adult rats of the group 2. In sections of adult rats of the group 1, on the contrary, the glutamate application produced an increase of the inositephosphate content. The obtained data indicate essential changes of the phosphoinositide metabolism in the brain of rats exposed to action of hypoxia at the period of prenatal development. The character and the degree of these changes depend on the period of development when the action of hypoxia occurs. PMID- 21061652 TI - [Dynamics of parameters of energy metabolism at adaptation to diving in human]. AB - Studies of the diving reaction in the comparative-evolutionary aspect have shown that a complex of reactions providing the oxygen-saving effect during diving is inherent in human like in the secondary-aquatic mammals. This is confirmed by results of study of peculiarities of energy metabolism during imitation of diving (hold-up of respiration with immersion of face into the cold water--the cold hypoxic-hypercapnic action) (CHHA). Data of gas analysis have shown that during the diving imitation the oxygen consumption rate is statistically significantly lower than during the usual hold-up of respiration (Genche's test). As shown by the study, this is due to the greater degree to vasoconstriction of peripheral vessels and selective redistribution of blood flow than to slowing down of the blood flow caused by reflex bradycardia during diving. It has been revealed that under effect of adaptation to CHHA, on the background of a decrease of the total energy consumption by the organism there occurs some increase of contribution of aerobic processes to its energy provision. Adaptation to CHHA has been shown to be accompanied by a decrease of reactivity of the parasympathetic chain of regulation of the heart chronotropic function and by an increase of duration of apnea. The duration of apnea is directly correlated with level of insulin--the hormone stimulating the anaerobic pathway of energy provision. Under effect of adaptation to CHHA there has been established an increase of the organism resistance to stress actions, which is confirmed by the lower levels of cortisol and thyroid hormones in representatives of the experimental group as compared with the control one. PMID- 21061653 TI - [Methods of non-linear dynamics in estimation of electroencephalograms of healthy people and of patients with epilepsy]. AB - A possibility is discussed of use of methods of non-linear dynamics for analysis of spontaneous EEG and if the EEG caused by low acoustic stimuli in healthy people and in patients with epilepsy. A use of methods of non-linear dynamics- the fractal dimension of EEG--in clinical practice and in research is described. PMID- 21061654 TI - [Glio-neuronal and glio-glial syncytial cytoplasmic connections in peripheral nerve trunks of the crayfish Astacus leptodactylus]. AB - The paper considers various aspects of glial sheaths of neuritis in the crayfish peripheral nerve trunks and roots. There are revealed dotted glio-neurite tight junctions and a varicose deformation of the intercellular glio-neurite cleft. Rupture of membranes in the area of contact leads to formation of the glio neurite pore (less than 10 nm) that is enlarged and forms wide (up to 240 nm) syncytial perforations. At the edge of perforation, either remnants of tight junctions are present or damaged membranes that fuse and are rounding. The lumen of perforations always contains residual membranous bodies in the form of vesicles. Their deviation from the median line can indicate a mutual translocation of substances of the glio- and neuroplasm. In the adjacent layers of the multilayer glial sheath there is noted a similar phenomenon of formation of the glio-glial syncytial connection terminating by fusion of neighbor glial layers, which is terminated by fusion of neighbor glial layers into the single lamina. The process begins from the varicose deformation of interglial clefts, which appears as a result of massive formation of dotted and expanded tight membranous contacts. As a result of transformation of ellipsoid varicose deformations into the spherical ones, syncytial pores (less than 10 nm) between them are formed, which are enlarged and break the paired gliolemmas into fragments. As a result, the adjacent glial layers are united. Since this process in intact animals occurs on the background of undamaged nerve structures, a suggestion is put forward about its reversibility and the functional nature. PMID- 21061655 TI - [Study of distribution of protein of the spine apparatus synaptopodin in cortical brain parts of rats submitted to hypoxia at different periods of embryogenesis]. AB - A comparative study of the nervous tissue and distribution of the spine apparatus protein synaptopodin was performed in all layers of the brain sensorymotor cortex and hippocampal CA1 area in control rats and in the rats submitted to hypoxia at E14 and E18. It was found that beginning from the 20th day of postnatal development, in rats submitted to hypoxia both at E14 and E18 there was observed a statistically significant decrease of the mean number of labile synaptopodin positive spines in the stratum radiatum molecular of the hippocampus area CA1. The decrease of the number of labile spines in the sensorymotor brain cortex was revealed only in the I layer beginning from the 20th day after birth in the rats submitted to hypoxia at E14. Maximal differences in the studied brain areas were observed in adult rats (exposed to hypoxia at E14: in the neocortex--a decrease by 23 +/- 10%, in hippocampus--by 24 +/- 8%, respectively). In adult animals, the increased degeneration of neuzons was not detected. It is suggested that disturbances in cognitive functions and in the capability for learning observed in rats after prenatal hypoxia can be due to a decrease of the amount of the labile synaptopodin-positive spines, which leads to a change of the structural functional properties of neuronal networks and to a decrease of their plasticity. PMID- 21061656 TI - [About mechanisms providing fast motor reactions of dragonflies in flight]. PMID- 21061657 TI - [Comissure between optic centers of eyes in locust Locusta migratoria]. PMID- 21061658 TI - [Diversity of ontogenesis: hierarchy of mechanisms]. PMID- 21061659 TI - [Parametric models of ontogenetic diversities]. AB - Modeling of morphogenesis demonstrates that they form rather wide regions of structural stability and narrow zones of instability in parametric space. Within instability zones, small parameter shifts lead to drastic changes in the morphology of buds. These particular zones are the sources of ontogenetic diversities and represent the reserve for evolutionary variation. A topical problem is to construct models based on universal schemes of negative feedbacks between dynamic components of ontogenesis; moreover, the specificity of ontogenesis should be determined by the values of genetic and epigenetic parameters. PMID- 21061660 TI - [Modern evolutional developmental biology: mechanical and molecular genetic or phenotypic approaches?]. AB - Heightened interest in the evolutionary problems of developmental biology in the 1980s was due to the success of molecular genetics and disappointment in the synthetic theory of evolution, where the chapters of embryology and developmental biology seem to have been left out. Modern evo-devo, which turned out to be antipodean to the methodology of the synthetic theory of evolution, propagandized in the development of evolutionary problems only the mechanical and molecular genetic approach to the evolution of ontogenesis, based on cellular and intercellular interactions. The phonotypical approach to the evaluation of evolutionary occurrences in ontogenesis, which aids in the joining of the genetic and epigenetic levels of research, the theory of natural selection, the nomogenetic conception, and the problem of the wholeness of the organism in onto- and phylogenesis may be against this. The phenotypic approach to ontogenesis is methodologically the most perspective for evolutionary developmental biology. PMID- 21061661 TI - [The diversity of ontogeny in animals with asexual reproduction and plasticity of early development]. AB - Diversity of blastogenesis and embryogenesis in animals with different reproductive strategy and different variants of the isolation of germ lineage cells, defined in the literature as preformation, epigenesis, and somatic embryogenesis, is discussed. In the course of somatic embryogenesis (or, more precisely, blastogenesis), the oozooid that has developed from the egg is naturally cloned and forms numerous genetically and morphologically identical clonal individuals or modular units of a colony. This cloning results in amplification of the parent genotype; the subsequent sexual reproduction provides for genetic recombination, and the emergence of a huge number of larvae with dispersal function provides for reproductive success. In invertebrates that reproduce asexually, no isolation of the germ cell lineage takes place; the population of stem cell capable of realizing the complete developmental program, which includes gametogenesis and blastogenesis, is represented by a diaspora of cells dispersed in the organism and possessing evolutionarily conservative features of morphofunctional organization typical to cells of the germ lineage. The plasticity of early animal embryogenesis is revealed in experiments with embryonic cells cultivated in vitro. Asexual reproduction emerged repeatedly in the course of metazoan evolution; blastogenesis in animals of different taxa is more variable and less conservative than embryogenesis, but the installation of blastogenesis into the process of early embryogenesis undermines the conservatism of embryonic development. PMID- 21061662 TI - [Hox-cluster and evolution of morphogeneses]. AB - Comparative studies of genomes of lower Metazoa showed that many classes of transcription factors important for the development of bilateral animals appeared before the divergence of modem branches of the animal kingdom. The genes of the Hox-cluster appeared late, in the last common ancestor of Cnidaria and Bilateria. Structural expansion and perfection of mechanisms which integrate the Hox-cluster can be traced in the morphogenesis of modern bilateral animals. It is now evident that different strategies of using this regulator instrument led Bilateria to absolute domination in number and diversity of species among all Metazoa animals. PMID- 21061663 TI - [Interaction of the ss and CG5017 genes in the regulation of morphogensis of limbs in Drosophila melanogaster]. AB - The influence of the P-element built into the area of the CG5017 gene on the mutation of the spineless (ss) gene was studied. It was shown that the insertion of the P-element decreased the level of transcription of CG5017 approximately twofold. Modulation of the level of transcription of the CG5017 gene helped demonstrate, for the first time, its influence on the phenotypic manifestation of the mutation of the ss gene, which shows their interaction in the process of regulation of morphogenesis of limbs in Drosophila melanogaster. PMID- 21061664 TI - [The golden age of comparative morphology: laser scanning microscopy and neurogenesis of trochophore animals]. AB - Immunochemical labeling of neuronal elements and laser confocal microscopy have considerably expanded the capacity of comparative morphology and allowed us to monitor the neurogenesis of various trochophore animals at the level of individual identified neurons and their projections. It has been demonstrated that many generally accepted concepts of the larval nervous system and the phylogenetic theories constructed on this basis are incorrect. Comparative analysis has demonstrated that the orthogonal brain is absent at all developmental stages in the representative Lophotrochozoa members. Fundamental differences in the structure and development of the nervous system have been found in the trochophores belonging to different taxonomic groups within Lophotrochozoa; these differences demonstrate that the trochophore larva in these groups are not homologous, while their similarity is most likely a result of convergence. Our results challenge the concept of trochophore as the ancestral form common for all trochophore animals. It is necessary to exclude from phylogenetic discussions the orthogon as a basic plan for the structure of the nervous system and the trochophore as an ancestral form for all Lophtrochozoa. PMID- 21061665 TI - [Proteinases of the calpain family: structure and functions]. AB - Results of studies presented in recent papers and personal data related to investigation of structure, classification, phylogeny of calcium-dependent peptidases or calpaines have been analyzed. The most extensively studied functions of calpains in cell activity have been examined. Some not yet resolved questions concerned with the biological role of a great number of proteins of the calpain family have been defined. PMID- 21061666 TI - [Microstructure of skin derivatives as a reflection of phylogenesis of vertebrates]. AB - The possibility of using separate signs of microstructure of skin derivatives to understand phylogenesis processes at various hierarchical levels on the example of elasmoid scale of bony fish, feathers of Paleognathae birds, hepatoid glands, and mammal hair was demonstrated and discussed. It was shown that (1) the presence of toothed sclerite growths on the surface of the elasmoid scale of bony fish provided with a central canal can serve as a proof of the evolutional relation of placoid and elasmoid scales; (2) particularities of the microstructure of feathers of Paleognathae birds accord with the branching of their phylogenetic tree; (3) the development of hepatoid glands suggests a phylogenetic relatedness of ancestor forms of cavicorns, Canidae, and Felidae; (4) the subtle construction of horse hair shows the succession of the ancient E. lenensis and northern aborigine breeds of the domestic horse, the direction of the historical process of horse domestication and adaptation of these animals to environmental conditions; (5) similarities in the microstructure of hair of the giant and red panda and bears indicate their evolutional links with Ursidae rather than raccoons. PMID- 21061667 TI - [Human physiology: kidney]. AB - The content of human physiology as an independent part of current physiology is discussed. Substantiated is the point that subjects of human physiology are not only special sections of physiology where functions are inherent only in human (physiology of intellectual activity, speech, labor, sport), but also in peculiarities of functions, specificity of regulation of each of physiological systems. By the example of physiology of kidney and water-salt balance there are shown borders of norm, peculiarities of regulation in human, new chapters of renal physiology which have appeared in connection with achievements of molecular physiology. PMID- 21061668 TI - [Flexibility of cognitive activity depends on its context]. AB - The main purpose of this survey is to explain the importance of set-shifting for a flexible cognitive activity. Working memory overload may result in set-shifting slowdown, i.e., in a more rigid set and in a less flexible cognitive activity. This effect displays itself in an increase of erroneous perceptions of external stimuli. Set rigidity level also depends on the cognitive activity context (i.e., on the type of external stimuli the person has to deal with). We analyzed EEG coherence function and induced synchronization/desynchronization responses in theta (4-7 Hz) and low alpha (8-10 Hz) bands. Basing on these data, we discuss the role of tonic and phasic forms of cortico-hippocampal and fronto-thalamic systems' activation in cognitive activity flexibility. PMID- 21061669 TI - [Functional brain organization of global and local visual perception: an ERP study]. AB - Adult subjects were asked to recognize a hierarchical visual stimulus (a letter) while their attention was drawn to either the global or local level of the stimulus. Event-related potentials (ERP) and psychophysical indices (reaction time and percentage of correct responses) were measured. An analysis of psychophysical indices showed the global level precedence effect, i.e., the increase in a small letter recognition time when this letter is a part of incongruent stimulus. An analysis of ERP components showed level-related (global vs. local) differences in the timing and topography of the brain organization of perceptual processing and regulatory mechanisms of attention. Visual recognition at the local level was accompanied by (1) stronger activation of the visual associative areas (Pz and T6) at the stage of sensory features analysis (P1 ERP component), (2) involvement mainly of inferior temporal cortices of the right hemisphere (T6) at the stage of sensory categorization (P2 ERP component), and (3) involvement of prefrontal cortex of the right hemisphere at the stage of the selection of the relevant features of the target (N2 ERP component). Visual recognition at the global level was accompanied by (1) pronounced involvement of mechanisms of early sensory selection (N1 ERP component), (2) prevailing activation of parietal cortex of the right hemisphere (P4) at the stage of sensory categorization (P2 ERP component) as well as at the stage of the target stimulus identification (P3 ERP component). It is suggested that perception at the global level of the hierarchical stimulus is related primarily to the analysis of the spatial features of the stimulus in the dorsal visual system whereas the perception at the local level primarily involves an analysis of the object-related features in the ventral visual system. PMID- 21061670 TI - [Peculiarities of disorders of brain bioelectrical activity spatial-time organization in patients with different consciousness depression after severe head injury]. AB - Specific changes of bioelectrical brain activity was found in 27 patients with different level of posttraumatic consciousness depression by the methods of crosscorrelation, coherence and factor analysis of EEG. The changes of activity of morphofunctional systems of intracerebral integrations were revealed partially by decreasing of unspecific activity from brainstem structures reflected with increasing of slow wave activity and decreasing of EEG coherence in alpha- and beta-range. Depression of system organization of interconnections of bioelectrical brain activity in frontal and occipital regions of both hemispheres was also detected, and testified about decreasing of intercortical and thalamocortical brain system action under brain dislocation. The changes of integrative brain system activity, provides interhemispheric interaction, had the specific characted. Our results propose a "facilitation" of activity of system, providing "direct" interhemispheric connections through corpus callosum and other commissural tracts of telencephalon as a sequel of mesodiencephalon structures depression with steady reciprocal, antiphase relations of slow weve activity in symmetrical areas of hemispheres in coma II patients. The data of our research had shown no complete disintegration of system brain activity in coma II patients in spite of consciousness and brainstem reflexes depression. PMID- 21061671 TI - [FMRI-EEG estimation of cerebral reactivity to motor tasks in patients with brain tumors]. AB - fMRI (1.5 or 3 T) and EEG studies with estimation of reactive responses on motor task (by right or left hand) were performed in 9 patients with tumors localized in frontal lobe of the brain. Results of this investigation were compared with results of the similar study in 12 healthy persons. It was shown that in cases of the brain pathology disorders of functional specialization and increase of diffuse component of reactivity was observed, fMRI-responses had been characterized the more intact reactions than reactive changes of EEG parameters. This specificity was described in cases of afferent loads in damaged hemisphere. Peculiarity of including different spectral bands in forming of EEG responses on motor tasks and changes of fMRI-answer depend on degree of cerebral decompensation, reflected in the of baseline EEG reorganization and degree of motor defect. Predominantly an increase of EEG coherence in delta-band with the predominance of reaction in the damaged hemisphere in cases of addressing any afferent load was observed in patients with severe cerebral decompensation and reflect dominant character of pathological focus forming. This data indicate on the more including of the deep brain structures in process of reactivity in patients compared with healthy persons and confirmed by fMRI-data. PMID- 21061672 TI - [Factor analysis of brain structure reorganization in patient with multiple sclerosis (based on pet data)]. AB - The goal of present study was to investigate the functional reorganization of brain structures in patients with multiple sclerosis (MS). The patterns of distribution of relative estimations of local cerebral metabolic rate of glucose (ICMRglu) in regions of interest (ROIs), corresponding to anatomo-functional brain areas are obtained in groups of healthy volunteers (n=31 subjects) and patients with relapsing-remitting and progressive types of MS (n=59 and 39 accordingly). The analysis of factor structure of the obtained patterns allowed to make a conclusion about the existence of a common features with the factor structure of the distribution of another functional parameter--a regional cerebral blood flow (rCBF). This indicates that both factor solutions mainly reflect the functional organization of a brain. The differences revealed in factor structures of ICMRglu distribution in groups of patients with various types of MS and healthy volunteers allowed to assume that even at early stages of the disease despite the close anatomic and functional connectivity that normally exists between basal ganglia, MS patients have a functional dissociation of these structures. The bipolarity of revealed factors probably reflects the different directionality of the processes: relative decrease of functional activity in the areas which are directly responsible for performance of broken functions, caused by the deafferentation of the specified areas and its compensatory relative increase in functionally connected zones. PMID- 21061673 TI - [The investigation of control mechanisms of stepping rhythm in human in the air stepping conditions during passive and voluntary leg movements]. AB - In unloading condition the degree of activation of the central stepping program was investigated during passive leg movements in healthy subjects, as well as the excitability of spinal motoneurons during passive and voluntary stepping movement. Passive stepping movements with characteristics maximally approximated to those during voluntary stepping were accomplished by experimenter. The comparison of the muscle activity bursts during voluntary and imposed movements was made. In addition to that the influence of artificially created loading onto the foot to the leg movement characteristics was analyzed. Spinal motoneuron excitability was estimated by means of evaluation of amplitude modulation of the soleus H-reflex. The changes of H-reflexes under the fixation of knee or hip joints were also studied. In majority of subjects the passive movements were accompanied by bursts of EMG activity of hip muscles (and sometimes of knee muscles), which timing during step cycle was coincided with burst timing of voluntary step cycle. In many cases the bursts of EMG activity during passive movements exceeded activity in homonymous muscles during voluntary stepping. The foot loading imitation exerted essential influence on distal parts of moving extremity during voluntary as well passive movements, that was expressed in the appearance of movements in the ankle joint and accompanied by emergence and increasing of phasic EMG activity of shank muscles. The excitability of motoneurons during passive movements was greater then during voluntary ones. The changes and modulation of H-reflex throughout the step cycle without restriction of joint mobility and during exclusion of hip joint mobility were similar. The knee joint fixation exerted the greater influence. It is supposed that imposed movements activate the same mechanisms of rhythm generation as a supraspinal commands during voluntary movements. In the conditions of passive movements the presynaptic inhibition depend on afferent influences from moving leg in the most degree then on central commands. It seems that afferent inputs from pressure receptors of foot in the condition of "air-stepping" actively interact with central program of stepping and, irrespective of type of the performing movements (voluntary or passive), form the final pattern activity. PMID- 21061674 TI - [The use of information processes indices for prediction of sympathectomy efficiency in complex regional pain syndrome]. AB - Key significance of information processes for ensuring optimal sanogenesis was shown by wavelet-analysis of skin microvascular blood flow oscillations at 64 patients with complex regional pain syndrome after sympathectomy Early reorganization of information in trophotropic direction at the level of microvascular tissue systems, its predomination and conservation all along the microvascular networks facilitate optimal realization of adaptive reactions and, as a result, are conductive to maximum treatment efficiency. In these cases complete elimination of disease and achievement of excellent treatment results were possible. Maximum treatment efficiency could not be reached without the above-mentioned information changing. On the contrary predomination and conservation of ergotropic information at the early periods after surgery were unfavourable to prediction of clinical results of sympathectomy Tissue desympathisation is not required to formation of information trophotropic purposefulness in microvascular networks; it is enough to achieve certain threshold of sympathetic activity decrease. The results of this work may be useful for investigation of physiological mechanisms of information treatment technologies (homeopathy etc.). PMID- 21061675 TI - [Age-related changes in blood concentration of hypothalamic-pituitary-adrenal axis hormones, their central and peripheral regulators in healthy men]. AB - We studied concentrations of cortisol, its precursors and active form in human blood and relation to the changes in concentration of central and peripheral hormonal regulators (total 36 parameters) in healthy male volunteers aged 18-72 y.o. The study demonstrated a significant decrease in blood concentrations of unutilazed cortisol precursors (pregnenolone and progesterone) with advanced age accompanied by maintenance of total and free cortisol concentrations. We found age-related decrease in ACTH level that is a known hypophysial stimulant of cortisol and cortisol precursor synthesis in adrenal glands. Cortisol and ACTH levels in study population had different correlation behavior in relation to central and peripheral regulators for hormonal axes. CONCLUSION: cortisol level remains stable with advanced age in males despite the decrease in steroidogenic activity and blood ACTH level. This may be due to the imbalance in the regulation of cortisol and ACTH production by central and peripheral regulators especially by hormones of reproductive and somatotrophic axes. PMID- 21061676 TI - [Interaction of human multipotential mesenchymal stromal and immune cells]. AB - Multipotential mesenchymal stromal cells (MMSCs) are the subject of increasing scientific interest due to their key role in physiological renewal and repair. Allogeneic MMSCs interaction with other components of tissue environment, in particular with immune cells, represent one of the most intriguing question of modern cell physiology. MMSCs possess pronounced immunomodulatory capabilities based on their "immmunopriveledge" properties and the ability to suppress immune response. This review is highlighted the current state of art in the field of MMSCs immunomodulatory effects realization and mechanisms. MMSCs and immune cells interaction represents complex multidirectional process governed by both direct cell-to-cell interactions and soluble factors (interferon-gamma, tumor necrosis factor, prostaglandin E2, hepatocyte growth factor, interleukins ets.). The importance of physical environmental factors, primarily oxygen tension, on peculiarities of MMSCs and immune cells interaction is discussed. PMID- 21061677 TI - [Homeostatic non-shivering thermogenesis in man: facts and speculations]. AB - In this review it is considered up-to date researches of different forms of non shivering thermogenesis that related to thermoregulatory and substrate homeostasis. Term "homeostatic non-shivering thermogenesis (HNST)" is proposed for explanation of facultative heat production stimulated by cold exposure, food intake and accumulation of lactate during intensive muscle load. There are common and different features of physiological activity displayed in three HNST types. Existence of these common points gets a probability to propose general physiological mechanisms of HNST realization. Between other candidates for HNST location brown adipose tissue (BAT) has real unquestionable advantage for this specific function. There is close relationship between thermogenic function in cold environment and diet-induced thermogenesis that allows to link two HNST types and BAT activity together. Here we present data indirectly confirming BAT functioning in processes of homeostatic normalization not due to cold acclimation or food intake. Also we give consideration to new data about BAT functional activity, its topographic body location, mechanisms of uncoupled respiration in different tissues in adult humans and methods of BAT diagnostics which include molecular marker using. We adduce a number of facts confirming our suggestion about BAT activity can be related to homeostatic normalization after physical load. At last, we bring forward experimental research program for examination of our hypothesis about BAT universal homeostatic function in humans. PMID- 21061678 TI - [Diagnostic pitfalls of HIV-associated Kaposi's sarcoma]. AB - Kaposi's sarcoma was one of the very first diseases which indicated the advent of the AIDS pandemic. Despite the marked fall in its occurrence thanks to the introduction of the cART, Kaposi's sarcoma remains the most frequent tumour in HIV-positive patients and still represents a major diagnostic and therapeutic problem. Particularly in the early stages both the macroscopic and histopathological picture of Kaposi's sarcoma may be very atypical, which can cause diagnostic difficulties right at the time when an early therapy may be most successful. In order to improve both the diagnostics and therapy of Kaposi's sarcoma, close collaboration between physicians taking care of HIV-positive patients--mainly infectologists, dermatologists and pathologists, is necessary. PMID- 21061679 TI - [Detection of DNA hypermethylation as a potential biomarker for prostate cancer]. AB - Prostate cancer is one of the most common malignant diseases in men above the age of 50. A genetic predisposition and/or acquired genetic and epigenetic changes together with lifestyle contribute to the development of the disease. The most studied epigenetic modification in prostate cancer is the methylation of the cytosine located within the dinucleotide CpG of promoter regions of different genes by methylation specific PCR. The evidence of gene silencing by DNA methylation in genes like GSTP1, APC or RASF1 is a common and relatively specific event in prostate cancer. DNA methylation testing can be performed on tissue samples or urine, ejaculate or serum. Translational research is searching for new biomarkers for early detection and prognosis of prostate cancer, but because of large methodological differences in applied techniques and patient cohorts, the investigations have yielded promising, but also some controversial results. More prospective randomized trials and standardized methods are needed to assess the true value of methylation for the diagnosis and prognosis of prostate cancer. PMID- 21061680 TI - [Hand-foot syndrome after administration of tyrosinkinase inhibitors]. AB - At present, the dermal toxicity of anti-cancer drugs is ever more apparent in cancer patients. This phenomenon appears, in particular, in relation to the increased administration of targeted anti-cancer treatment, especially of monoclonal antibodies and tyrosinkinase inhibitors (TKI), towards various receptors of growth factors which are applied in the ethiopathogenesis of a tumour cell. Our article focuses on the palmoplantar erythrodysesthesia syndrome, designated also as the hand-foot skin reaction (HFSR), which most frequently occurs in patients treated with TKI sorafenib and sunitinib. Developed HFSR may be a strongly perceived adverse effect for patients and may lead to dose intensity reduction in the targeted treatment, or to its interruption if necessary. However, a correct approach from the oncologist and dermatologist, including instructions to be provided to the patient on how to prevent a serious grade of HFSR from being developed, may ensure a smooth anti-cancer treatment and a satisfactory quality of life for cancer patients. PMID- 21061681 TI - [The role of membrane transporters in cellular resistance of pancreatic carcinoma to gemcitabine]. AB - BACKGROUNDS: Pancreatic carcinoma is one of the most serious forms of cancer, with a very high mortality rate, and is the fourth leading cause of cancer related death in the Czech Republic. The etiology and molecular pathogenesis of the disease is still poorly understood. Gemcitabine is a cytotoxic nucleoside analog, which is widely used in the treatment of malignancies, and in particular in pancreatic carcinoma. Interindividual differences in gemcitabine pharmacokinetics and pharmacodynamics have been demonstrated, which can significantly influence the outcome of the therapy in thus treated patients. Resistance developed to nucleoside analogs limits their clinical use, just like in the case of any other cytostatics. AIM: This review summarizes available data concerning the membrane proteins involved in the transport mechanism of gemcitabine through cellular membrane, and their role in the cellular resistance of pancreatic carcinoma to gemcitabine. PMID- 21061682 TI - [18F-FDG PET/CT and 99mTc-MIBI scintigraphy in evaluation of patients with multiple myeloma and monoclonal gammopathy of unknown significance: comparison of methods]. AB - BACKGROUNDS: Newer imaging modalities, such as 18F-FDG PET/CT and 99mTc-MIBI scintigraphy, have been recently introduced to assess the activity and extent of disease in patients with multiple myeloma (MM) and gammopathy of undetermined significance (MGUS). The aim of our study was to compare the impact of these imaging modalities in the evaluation of MM and MGUS patients. MATERIALS AND METHODS: A total of 101 patients with MM (81 patients) and MGUS (20 patients) were enrolled in the study (21 newly diagnosed and 44 relapsed patients with symptomatic MM, 16 with asymptomatic MM and 20 with MGUS). All patients were without therapy and underwent 18F-FDG PET/CT and 99mTc-MIBI scintigraphy within a maximum interval of 14 days. The scans were classified as normal (N), diffuse (D), and focal or combined (F-FD) pattern. RESULTS: There was no significant difference in the detection of newly diagnosed MM and relapsed patients between the compared methods. 18F-FDG PET/CT performed better than 99mTc-MIBI scintigraphy in the detection of focal lesions (p < 0.039), whereas 99mTc-MIBI scintigraphy was superior in the visualization of diffuse disease (p = 0.042). 18F-FDG PET/CT visualised significantly more focal lesions than 99mTc-MIBI scintigraphy (p = 0.002), both generally in the cohort and when comparing the number of focal lesions per patient. Both the imaging modalities singly or in combination influenced the subsequent clinical management in 17% of patients. In our study, 18F-FDG PET/CT predicted asymptomatic MM and MGUS transformation into more aggressive forms with the necessity to start therapy more often than 99mTc MIBI scintigraphy. CONCLUSION: 18F-FDG PET/CT appeared to be a better imaging technique than 99mTc-MIBI scintigraphy in the detection of focal lesions in patients with symptomatic MM. 99mTc-MIBI was superior in the visualization of diffuse disease. On the other hand, despite its limited capacity in detecting focal lesions, 99mTc-MIBI scintigraphy still remains the most rapid and inexpensive technique for whole-body evaluation and may be an alternative option when a PET/CT facility is not available. PMID- 21061683 TI - [Treatment results in patients treated from 1980 to 2004 for Wilms' tumour in a single centre]. AB - BACKGROUNDS: The principle behind the treatment of nephroblastoma has been similar for at least 4 decades, based on vincristine and dactinomycine, radiotherapy in selected stages. The last three decades have been characterised by the aim to reduce the intensity and length of treatment. DESIGN: To retrospectively compare survival rates and treatment success in a cohort of patients aged under 19 years, treated from 1980 to 2004 at a single centre by five consecutive treatment protocols. MATERIALS AND METHODS: The outcome was evaluated in patients treated consecutively by two protocols established at the centre before 1980 and modified in 1986, and from 1988 consecutively by three accepted protocols, SIOP9, SIOP93 and SIOP2001. RESULTS: Overall survival as well as event-free survival rates were evaluated by Kaplan-Meier functions in 315 patients (52.7% women). The average age at diagnosis was 3.9 +/- 2.9 years, median 3.3, range 0.01-17.2 years. Age over 12 years in 2.2% patients. The average follow-up time was 13.1 +/- 7.8, median 13.6, range 0.2-27.8 years. The original 104 weeks of protocol KDO86 treatment had a 10-year overall survival rate of 91.9 +/- 3.2%. Overall survival significantly fell with radiotherapy reduction in lower clinical stages and treatment diversification in protocols with substantial treatment length reduction. Overall survival returned to the original value of KDO86 only in 1994, when SIOP93 was accepted with a 10-year overall survival rate of 92.47 +/- 3.0% and event-free survival 85%, with similar trends in the latest protocol, SIOP2001. In the entire cohort two coincident malignancies (tumour duplicities) were found: one B-lymphoma, one neuroblastoma. A second malignancy occurred in one patient--superficial spreading melanoma. CONCLUSION: from the retrospective view the accepted SIOP9 protocol has a significantly worse outcome in both the overall survival and in event-free survival rate compared with the original therapy. Only the SIOP93 and SIOP2001 protocols accepted after 2003 have an acceptable 10-year overall survival rate (around 92%) as well as event-free survival (85%) with substantially reduced length and intensity of treatment, lowering the risk of late effects. PMID- 21061684 TI - [A case of a patient with a triple negative breast cancer and complete response of lung, mediastinal and skeletal metastases after treatment with paclitaxel and bevacizumab]. AB - BACKGROUNDS: Metastatic breast cancer is a disease which is not curable. Thus, prolongation of survival with preserved or improved quality of life is the aim of the treatment. Three phase III studies (E2100, AVADO and RIBBON-1) showed the benefit of adding bevacizumab to the standard 1st line chemotherapy. Higher response rate and longer progression-free survival were achieved in these studies. Bevacizumab does not increase toxicity of the chemotherapy regimens. Since 2007 bevacizumab has been registered for the treatment of patients with metastatic breast cancer. OBSERVATION: Here we present the case of a patient in which bevacizumab treatment led to excellent results. Lobular breast cancer, pT2N0M0, ER-negative, PR-negative, HER2-negative was diagnosed in a 40-year-old woman in 2003. FAC adjuvant chemotherapy was used. Six years later, in March 2009, a relapse in mediastinal lymphatic nodes, the lungs, pleura and bones was detected. A weekly regimen of paclitaxel in combination with bevacizumab started in May 2009. Paclitaxel treatment finished in November 2009, bevacizumab continued for 11 months till April 2010, when complete remission in the lungs, mediastinum and bones was confirmed. Now only bisphosphonate is being continued. CONCLUSION: Our experience also confirms the contribution of bevacizumab in the treatment of metastatic breast cancer. PMID- 21061685 TI - [TARCEVA Clinical Registry]. PMID- 21061686 TI - [Modern biotechnologies in estimation of genetic diversity of Ukrainian varieties of hop (Humulus lupulus L.)]. AB - Genetic variety estimation of hop gene pool using DNA-typing of highly polymorphic microsatellite loci and optimization of introduction to the culture of in vitro conditions is the important stage of national varieties resources forming, basis of modern nursery and protect mean of varieties property, and also it is necessary for development of molecular methods of selection of planting stocks free from pathogens. PMID- 21061687 TI - [Identification of allele variants of cattle milk productivity genes using PCR anti-primer method]. AB - In this work we have demonstrated two independent real-time PCR methods for detection single nucleotide polymorphism (SNP) of genes csn and acyl-CoA: diacylglycerol acyltransferase 1 (dgat) in cattle. We have analyzed 296 samples of milk production cattle of Ukrainian breeding. The genotype frequencies were AA -0.58, AB--0.34, BB--0.08 for csn gene and for dgat gene--AA--0.7, AK--0.26, KK- 0.04. High efficiency of so called "anti-primer" method was shown. Duration of anti-primer PCR reaction was about 2-2.5 hours only and provided full investigation of unknown gene allele. PMID- 21061688 TI - [Changes in wheat DNA methylation pattern after chronic seed gamma-irradiation]. AB - Alterations of DNA methylation patterns of wheat two varieties--Odessa' albatross and Donetsk 48 have been studied. Seeds were irradiated for 4 months with low dose rate (3 x 10(-7) Gy/s). Six restriction endonucleases were used in the experiments. Primary distinction in DNA methylation patterns of the studied varieties has been demonstrated. The chronic irradiation resulted in the increase of methylation level on the sites of recognition for Glul and Sou3Al and in the decline of this index for the sites of recognition of GlaI and HpaII. The meaningful increase of chromosome aberration levels was demonstrated at the same accumulated dose of chronic irradiation. The role of changes of DNA methylation patterns in development of radiation damage and organism protective reactions is discussed. PMID- 21061689 TI - [Statistical analysis of distribution of antibody level against Mycobacterium bovis antigens for bovine tuberculosis diagnostics]. AB - Antibody responses to purified protein derivate PPD of tuberculin and to antigens MPB63 and MPB83 of Mycobacterium bovis were determined in bovine herd (94 adult animals). Statistical approach based on approximation by multiple Gaussians with Levenberg-Marquardt algorithm for analysis of antibody level distribution against antigens examined was provided. Our results confirm that indirect ELISA with recombinant MPB83 and MPB63 as well as conventional PPD could be used for test systems development for detection of cow tuberculosis infection at the herd level. PMID- 21061690 TI - [The role of glucocorticoids in the appearance of coat depigmentation in animals selected for behavior]. AB - The involvement of glucocorticoid hormones in the appearance of white spottings during embryogenesis in domesticated gray rats was studied. It was shown that prenatal stress and exposure to dexamethasone on the 12-14 days of pregnancy of fully pigmented gray rats elicited the slowing of melanoblast migration and its development in embryos. It was associated with a 4-fold increase of the offspring percentage with the depigmentation on the ventral side of body in adults. It was also demonstrated that response of H PA axis to emotional stress was lower in adult offsprings from prenatal-stressed and dexamethason-treated mothers than in adult offspring from control mothers. The role of glucocorticoids in the appearance of coat depigmentation under animal domestication is discussed. PMID- 21061691 TI - [Grandmaternal age in children with Down syndrome in St. Petersburg]. AB - Advanced maternal age is a well-established factor of DS occurrence. However the majority of DS cases are born to young couples. Some studies suggested that the risk for Down syndrome may be related to an aging grandmother. We obtained data on grandmaternal ages in 243 families of DS and 330 families of healthy children born in 1990-1999. The data were analyzed according to two categories of maternal ages, <30 yr and > or =30 yr. We did not find systematic differences in grandparental age distribution between the studied groups. Specifically, in 102 young couples with DS, medians for both maternal and paternal grandmother's age appeared to be equal (26 yr). Similar figures were observed in 284 young controls (27 yr). There was no difference in age distribution between 141 older couples with DS and 104 control couples. Therefore we failed to support the suggestion that advanced age of the DS grandmother is responsible for meiotic disturbance in her daughter. Neither the hypothesis suggesting a significant contribution of parentally transmitted trisomy 21 to DS population rate has been confirmed. PMID- 21061692 TI - [Antimitotic activity of new 2,6-dinitroaniline derivatives and their synergistic activity in compositions with graminicides]. AB - Shown antimicrotrubules activity of new 2,6-dinitroaniline compounds. Investigated their ability on apoptotic processes in a plant cell when used as a composition with inhibitors of acetyl-CoA carboxylase. PMID- 21061693 TI - [Genetic diversity, origin and patterns of geographic distribution of polyploid spiny loach (Cypriniformes, Cobitidae, Cobitis) in Ukraine]. AB - Only 16 biotypes from 28 possible ones, which could be generated during hybridization of C. elongatoides, on the one hand, and representatives of superspecies C. taenia s. lato (C. taenia, C. tanaitica, C. species-1), on the other hand, were discovered in water areas of Ukraine. Furthermore. two biotypes, presumably C. aff. melanoleuca-tanaitica, were discovered in the north-western regions. Polyploids have compounded 65% of investigated individuals of Cobitis genus, the average quantity of males has compounded less than 1% and it was equal among triploids and tetraploids. Absence of amphidiploids and also lack of sufficiently large number of individuals with recombined genotype and abnormal electrophoretic spectra should be stressed. Two loci of polyploid biotypes formation are marked out: southern (the Lower Danube) and northern (the Upper Danube, Oder and Rhine). C. taenia and non-specified C. species, typical of the north-western basins of Ukraine, participate in formation of polyploids in the last mentioned river alongside with C. elongatoides and C. tanaitica. It is established that in spite of clearly evident expansion capacity, so-called southern biotypes (C. 2 (3) elongatoides-tanaitica, C. elongatoides--2 (3) tanaitica) in comparison with the northern ones, which genome includes chromosomal complement C. taenia or C. species-1, are sharply limited in their spread to the East. The reason of such a situation is unbalanced gynogenetic crossing between females of the southern biotypes and C. taenia males, accompanied by introgressions, genetic instability and reduced posterity viability. PMID- 21061694 TI - [Introduction to the work of the Congress]. AB - A research was performed to evaluate the burden of publications on Occupational Health in health care settings in the last 20 years. National and international literature shows a relevant interest, in particular for biological, biomechanical and psychosocial risks. In the three sections of this Congress these topics will be discussed in the light of the latest scientific advancements in the field and of recent laws. Moreover, the results of multicenter studies performed on these topics will be presented by three working groups. PMID- 21061695 TI - [Health occupations and musculoskeletal disorders]. AB - Health personnel suffer musculoskeletal disorders with high incidence rates. They are exposed, because of their work duties, to biomechanical overload under particular conditions. Although preventive interventions have already been proposed and applied, there is a need for research in the field. PMID- 21061696 TI - [Risks of manual movement of patients]. AB - The paper is a survey about Italian new rules and critical issues relative to health care manual material handling risk management. The Legislative Decree 81/2008 introduced the definition of "pathologies due to biomechanical overload". This definition is more consistent and broad than the previous one that was mainly related to spine pathologies. Furthermore the new Decree addresses the importance of a dedicated staff to train workers in lifting and moving patients. This training is now mandatory. Regarding to risk assessment, the new rule suggests to use, as a main tool, the ISO standard 11228. The different risk assessment approaches are discussed according to the Italian Society of Occupational Medicine and Industrial Hygiene Guidelines. Medical surveillance, based on the bio psychosocial model, has to be measured in terms of efficacy and should targeted not only to lumbar spine but also to shoulder and other musculoskeletal localizations. It is important to note that even if patients do not fully recover from sickness the return to work has to be encouraged assigning them tasks tailored to the residual capacities. Finally the authors discuss the relative efficacy of the different preventive interventions (information and training, aids and physical exercise) suggesting that a multifactorial approach is the only strategy to pursue to get positive results. PMID- 21061697 TI - [Risks of awkward posture]. AB - For posture we mean the position of the body in the space and the relationship with its segments. The correct posture is determined by neurophysiological, biomechanical, emotional, psychological and relation factors, enabling us to perform daily and working activities with the lowest energy expenditure. When possible we suggest during posture variation, a preventive measure where there are prolonged fixed activities. PMID- 21061698 TI - [Risks of repetitive movements in health personnel]. AB - To date, scant attention has been devoted to the occupational risk related to repetitive movements in health personnel. Using three database, PubMed, Scopus, and EMBASE, we found 57 papers on this topic, and on possibly related upper limb symptoms and diseases. In these studies, evaluation of the risk, e.g. using the methods currently applied in industry, are lacking. Although in several studies data on the prevalence of upper limb symptoms and disorders are presented, a comparison of results is difficult as different methods were applied. Furthermore, a comparison with adequate controls is frequently lacking, and/or correlation with the risk was not studied. Despite these limitations, an overall evaluation of the results shows that in health personnel the prevalence of upper limb symptoms/disorders is generally high. Highest prevalences were observed for the neck, shoulder, wrist/hand symptoms and for Carpal Tunnel Syndrome (CTS) in dental personnel, for symptoms to the neck, shoulder and wrist/hand in sonographers, and to the neck, shoulder, elbow, and, especially, wrist/hand in laboratory technicians using manual pipettes. In the nursing personnel highly variable prevalences were observed; this is possibly due to the variability of the tasks performed by this occupational group. Repetitive movements of upper limb are a known risk factor for symptoms to the neck, shoulder, elbow, and wrist/hand, and some disorders, as CTS: the high prevalences observed in health workers may be related to this risk. Nevertheless, other factors such as effort, posture and precision work may play an important role too. As a conclusion, available data are insufficient for an adequate evaluation of the occupational risk related to repetitive movements in health workers. PMID- 21061699 TI - [COMMUNITY: project proposal for a multicenter study on musculoskeletal comorbidity among nursing staff]. AB - BACKGROUND: While a high prevalence of musculoskeletal disorders (MSDs) has been registered among nurses, little is known on the prevalence of musculoskeletal comorbidities and their effect on the natural history of spinal diseases. Here we present a project called COMMUNITY (COMorbidities of MUsculoskeletal disorders among Nurse in ITalY) which is aimed at: 1) studying the prevalence of musculoskeletal comorbidities; 2) investigating the aetiology of MSDs; 3) investigating the aetiology of lumbar or cervical disk herniation; 4) evaluate the natural history of spinal disk herniation and its effect on work ability. MATERIALS AND METHODS: COMMUNITY will be a multicentric study conducted in participating Italian hospitals and articulated in 3 phases. Phase 0 will be a preliminary cross-sectional study conducted in one hospital and aimed at collecting basic information for further studies. Phase 1 will be a multicentric cross-section study enrolling a sample of nursing population. A self-administered questionnaire will be used to collect data concerning exposures and MSDs. The nurses studied during Phase 1 will be followed up in a cohort study (Phase 2). The follow-up will be based on at least 2 questionnaire administrations (at 2 and 4 years) and the use of data collected during health surveillance. CONCLUSIONS: COMMUNITY is aimed at increasing knowledge in a field of occupational medicine that is still largely uncovered. Phase 0 will provide the essential information necessary to prepare the protocol for the subsequent phases. PMID- 21061700 TI - [Biological risk for health care workers: updates and good medical practices from a multicentre study: foreword]. AB - The topic of health and safety of health care workers exposed to biological agents appears as a relevant issue for the occupational physician, within a multidisciplinary context, while taking into account technical and scientific evolution, ethics, and principles of Occupational Health, current legislation. Through description of methods and results of a large multicentre study, good medical practices are proposed within the various areas of competence in occupational health, in particular for a few priority topics, such as blood borne or airborne diseases, fitness for work and danger to third parties, epidemiologic, clinical and medico-legal aspects. PMID- 21061701 TI - [Update on the subject of epidemiology of blood-transmitted occupational infections]. AB - Healthcare workers (HCW) are exposed to many different pathogens, and cases of occupational infection have been reported involving the vast majority of known and emerging agents. The risk is present during all the phases of patient care and manipulation of biologic materials, and the implementation of Standard Precautions--and biosafety level 2 measures in the laboratory--and Transmission Based Precautions in all health settings represents the necessary preventive intervention required by law. Percutaneous exposures represent an extremely frequent event in healthcare facilities; among the many pathogens acquired through this type of exposure, those of highest concern due to the frequency of exposure are HIV, HBV and HCV. Over the last 10 years, though the risk of exposure is still not negligible, occupational infection with HBV has become a rare event; conversely, the incidence of acute C hepatitis became significantly higher among HCW (1,6 per 100.000 inhabitants) with respect to the general population (0,6), with a seroconversion rate following an occupational exposure between 0,5% and 1,8%; finally, reports of occupational HIV infection have decreased, probably also as a secondary beneficial effect of antiretroviral treatment in patients and post-exposure prophylaxis in HCW. The Studio Italiano Rischio Occupazionale da HIV (SIROH) documented from 1986 to 2009 one occupational HBV case, 6 HIV cases (the last one in 2007) and 32 HCV cases. In Europe, the Directive 2010/32/EU approved on May 10 2010 requires Member State to implement within three years a global strategy to prevent occupational exposures in the healthcare setting, particularly with respect to needlestick and sharp injuries, including the adoption, based on risk assessment, of devices incorporating safety features. In Italy the introduction of these devices, according to data collected by the SIROH, showed the possibility to decrease percutaneous exposures by 75%, an effect sustained over time if supported by information, education and training. PMID- 21061702 TI - [Focus on risk assessment in health environments: results and perspectives of a multicenter working group]. AB - The hospital risk assessment (VdR) is certainly a relevant issue concerning the activities of prevention for the health of healthcare workers in relation to biological risk. The aim of this paper is to provide an up-date of the issue, based on the suggestions of recent literature about the rules ratified by the new legislative decree and data supplied by the Group of 10 Hospitals participated in this multicenter study. From the analysis of data on healthcare settings (HCS) participating in the project the following considerations can be formulated: i) All HCS considered VdR from biological agents. The method recommended in the Guidelines SIMLII 2005 is the most followed ii) To grading the risk, the use of invasive procedures for carrying out the analysis results is a necessary element iii) the operators classified as exposed to biological risk, and therefore subject to health surveillance, represent almost all of workforce in 7 out of 10 HCS. The subgroup believes that VdR must be conducted in close collaboration with the occupational physician and should represent a worthwhile investment with spin off character on prevention, decision making, empowering. The presence of environmental requirements and organizational procedures should be considered, so that HCS is enabled for an effective risk management, without which risk assessments cannot be performed. The method of VdR mentioned in the guidelines MLIS 2005, besides being the most widely used by the company participating in the study, still has practical reasons and opportunities to justify its use. The HCS group felt the need to propose an implementation of the definition of invasive procedures and EPP, together with individual assessment. Flexibility was suggested in identifying different levels of risk with the involvement of occupational physicians, especially in the presence of EPP, also in order to plan content and frequency of health surveillance. PMID- 21061703 TI - [Update on the diagnosis and therapy of blood-transmitted occupational infections]. AB - The Human Immunodeficiency Virus (HIV) infection, Hepatitis B Virus (HBV) infection and Hepatitis C Virus (HCV) infection are the most important blood borne occupational viral infection. Estimates of the prevalence of HIV infection in Italy is between 0.24 and 0.26%. The implementation of HIV screening strategies in the general population will decrease the proportion of patients with unknown HIV serostatus and the improvement of anti HIV therapie will decrease the proportion of HIV infected patients with detectable viraemia. The increate sensitivity of HBVDNA assays will prompt the definition of cut off levels for the definition of the infectivity of HBsAg positive health workers. The availability of highly effective and well tollerate oral antivirals could increase the proportion of treatable HBsAg positive health workers. The highly elevated success rates in the treatment of acute HCV infection will support strategies aimed at an early identification of occupational HCV infections. The tailoring of anti HCV schedules allows to optimize anti HCV treatment of health workers with chronic hepatitis C and the availability of new anti HCV will open an horizon of success in the treatment of chronic hepatitis C in health workers. PMID- 21061704 TI - [Focus on health surveillance of health workers exposed to blood-transmissible biological agents: results and perspectives of a multicenter working group]. AB - The biological risk from exposure to bloodborne pathogens in health care environments represents a frequent and widespread risk, involving a large number of exposed workers. On the basis of the available scientific innovations, the recent legislation regarding health and safety of workers and the experiences of SIMLII guidelines on health surveillance (HS) workers exposed to biological risk, a multicenter study was carried out, involving nine relevant hospitals and about 32 000 healthcare workers (HCW). A review of the literature was performed, with particular reference to the last 10 years. For each hospital, protocols of HS have been examined according to tasks and biological risk from bloodborne viruses (HBV, HDV, HCV, HIV) as well as management of HCW infected with this pathogens. Differences of risk management in the hospitals, in relationship also with recommendations of the literature have been evaluated. The literature supplies important indications for HS management of HCW exposed to bloodborne pathogens, with relevant information also for patient safety. Preventive examinations are in line with the recommendations of literature and similar across the hospitals for HCV and HIV, while they are different for HBV. Periodic surveillance was different for the frequency, among the hospitals and also as compared to national SIMLII guidelines. As for management, no differentiation among the hospitals was detected as referred to different risk of exposure, while differences were observed around definitions of restrictions. Finally, good medical practices to support occupational physicians in the prevention and management of HCWs' exposed to biological risks are suggested. PMID- 21061705 TI - [Epidemiology and control of tuberculosis in Italy]. AB - In 2008, incidence of tuberculosis in Europe was 52.2 cases per 100,000 population, with lower rates in European Union (average 16.7 per 100.000) e and rates above 100 per 100.000 in the former Soviet Union. In Italy, incidence rates of tuberculosis have been fairly stable in the last two decades around 7 reported cases per 100.000 population. However, the epidemiological picture has clearly changed. Spread of HIV infection and immigration from high incidence countries had a major impact on epidemiological dynamics. In particular, the proportion of reported cases occurring among persons born in high incidence countries increase during the last decade, and it is now close to 50%. A similar trend has been observed in most Western European countries. Strategies for tuberculosis control should include: a general approach to tuberculosis which favor access to care and ensures rapid detection and treatment of all the cases; screening and provision of preventive treatment for contacts of contagious cases and for persons in other high risk groups. Upstream social determinants of tuberculosis need also to be addressed in order to move towards the goal of tuberculosis elimination. PMID- 21061706 TI - [Tubercular disease: clinical aspects and drug resistance]. AB - The emergence of multidrug-resistant TB (MDR-TB), and, more recently of extensively drug-resistant TB (XDR-TB) is a real threat to achieve TB control and elimination. Over 500.000 new cases of MDR TB occurred in 2008 worldwide, of whom 50.000 are XDR-TB. A very small fraction of estimated MDR cases are actually diagnosed and notified: hence, the long-term vision for full control of MDR-TB requires, essentially, the scaling-up of culture and DST capacity and the expanded use of high-technology assays for rapid determination of resistance. MDR cases are treatable and well designed regimens, largely based on second-line anti TB drugs, can considerably improve cure rates. However treatment regimen need to be markedly improved through the introduction of less toxic and more powerful drugs, thus reducing duration of treatment and tolerability. The prevalence of MDR-TB and XDR-TB are inversely correlated with the quality of TB control and the proper use of second line anti-TB drugs. Adherence to proper standards of care and control is imperative and a top priority of all TB control efforts. However, the risk of an un-controllable epidemic of MDR- and XDR-TB is real considering current levels of financing and commitment to care. PMID- 21061707 TI - [Diagnosis and treatment of latent tubercular infections]. AB - Despite dramatic advances in diagnosis and treatment, tuberculosis (TB) remains one of the leading causes of morbidity and mortality worldwide. World Health Organization (WHO) reports that one-third of the global population is infected by M. tuberculosis. Direct identification of individuals who are latently infected with live M. tuberculosis without active disease is currently not possible (Latent TB Infection, LTBI). Available immunodiagnostic tests, i.e. Tuberculin Skin Testing and Interferon-gamma Release Assay, ascertain a state of M. tuberculosis specific immune response; they have several limitations in their ability to predict the risk of developing TB disease. Protective efficacy of isoniazid preventive treatment for 6 to 12 months was proved among non-HIV infected and HIV-infected individuals. The frequency of symptomatic hepatitis due to isoniazid has been estimated to be 1 to 3 per 1,000 persons. The protection of isoniazid treatment in HIV-infected persons appears to be short-lasting (1-2.5 years), in areas with a high incidence of TB. Isoniazid plus rifampicin for 3 months has proven efficacy. There are not sufficient data on preventive treatment for contacts of patients with drug-resistant TB; existing recommendations are based on expert opinions. Rifampicin for 4 months is a choice for the treatment of individuals exposed to an index case with isoniazid-resistant TB. WHO does not recommend anti-TB second-line drugs for preventive therapy. PMID- 21061708 TI - [Role of in vitro tests in the diagnosis of latent tubercular infections]. AB - Recent advancements in the understanding of pathogenetic mechanisms in tuberculosis infection, allowed the identification of target molecules and antigens, playing a crucial role in an effective M. tuberculosis immune control. Thanks to this new information, the diagnostic approach to latent tuberculosis infection may be complemented today with two new blood assays, based on the detection and the quantification of the key cytokine interferon-gamma by peripheral blood T cells stimulated with M. tuberculosis-specific antigens. These new tests, QuantiFERON-TB Gold and T-SPOT.TB, being certainly more specific than the tuberculin skin test and probably more sensitive in some subgroups of patients, might represent a crucially relevant tool to achieve to goal of global tuberculosis control. Both assays have logistic advantages over the skin test, thus making them ideal candidates in situations where the tests need to be repeated over time (like in the setting of occupational medicine). In particular, the limited occurrence of the so-called "boosting" effect, the fact that there is no need for a return visit, the reduced variability in reading and reporting of the results and the quantitative response obtained with these assays are all elements that, altogether with the high specificity in BCG-vaccinated individuals, should favor the inclusion of these assays in the process of evaluation of the biologic risk for health care workers. Nonetheless, since these tests have been recently introduced in clinical practice, there are several aspects that still need to be clarified, such as the meaning of the quantitative responses and the interpretation of indeterminate results. It's therefore desirable that new documents will be produced soon to guide the use of these new tests in clinical routine. PMID- 21061709 TI - [Tuberculosis. Focus on risk assessment and health surveillance in health workers: results and perspectives of a multicenter working group]. AB - INTRODUCTION: Tuberculosis (TB) is still a threat for healthcare workers (HCW), due to the non decreasing incidence, the spread of drug-resistance, the introduction of new tests for the screening, the relevant costs of surveillance of exposed subjects. These issues implicate a revision of activities to prevent TB in health-care settings. METHODS: A multidisciplinary working group, led by occupational physicians, examined the activities to prevent TB performed in 9 Italian hospitals and reviewed the literature, with the aim to formulate evidence based procedures. RESULTS: In the considered hospitals, 23.000 HCW are classified as exposed to TB, out of 32.000 HCW exposed to biological risks; yearly, about 6000 subjects are screened for preventive, periodical or post-exposure surveillance and 110-130 chemoprophylaxis are prescribed. A high proportion of HCW (54-75%) refused or interrupt to assume the drugs. In the period 2004-2008, 14 occupational TB were diagnosed (9/100.000 HCW exposed to biological risks). DISCUSSION: Critical issues are the availability of a specific, written TB control plan, including risk assessment, protocols for identifying, evaluating, managing infectious TB patients, health surveillance, education programs, specifically addressed to increase Standard Precaution adoption and compliance to the screening and to adequate risk perception. Risk assessment identify HCW to be included in TB testing (characterized by low positive predictive value), unrecognized TB and environmental control needed; TB risk classification should include no more than 3 or 4 classes and performed by assessing the issues suggested in the Italian guideline. Tubercolin skin test should be used for HCW screening, adding in vitro test in specific circumstances (for example, skin test positivity in BCG vaccinated HCW); the frequency of the screening should not exceed 2 years. Periodical revision of preventive activities should follow up to date scientific literature and need appropriate data computing. PMID- 21061710 TI - [Epidemiology and prevention of influenza]. AB - The paper summarizes information on the epidemiology of influenza and on the impact on main preventive measures. Data show as the incidence of Influenza Like Illness has been declining in the last ten years and also the seriousness of the disease, in terms of mortality and social disruption, is limited. Among preventive intervention the use of modern antiviral drugs appears to have no effect on incidence and minimal impact on duration of disease, and the overall effectiveness of vaccination with inactivated vaccine in just around 25% while higher effects are shown by public health intervention aimed to interrupt transmission of respiratory viruses like frequent handwashing and wearing mask, gloves and gown. PMID- 21061711 TI - [Focus on coverage and promotion of anti influenza vaccine in health workers: results and perspectives of a multicenter working group]. AB - INTRODUCTION: The influenza illness is a concern for health care workers (HCW) due to the potential nosocomial transmission and sickness absenteeism. Immunization and Isolation Precautions might be effective preventive measures. AIMS: To formulate recommendations on vaccination in healthcare settings. METHODS: A multidisciplinary working group, led by occupational physicians (OP), examined the information on seasonal influenza immunisation campaign in 9 Italian hospitals in the period 2005-2009 and reviewed scientific evidence. RESULTS: Many health organizations recommend vaccination of HCW. The literature shows that seasonal influenza vaccination of healthy adult have a modest effect in reducing work day lost; there is no evidence that it affects transmission or it prevents the disease in elderly residents. These observations might be conditioned by methodological limitations. Further studies are required to avoid the risk of bias and in pediatric settings. The rate of flu vaccination among HCW is widely variable and it depends on individual risk perception and information about efficacy and side effects. In the considered hospitals, in the five-years period the vaccination rate ranged between 0 and 29%: the median value was 16-17% in 2005, 2008 and 2009 (only against H1N1 influence), 11% and 13% in 2007 and 2006 respectively. OP participation in the vaccination campaign seems to increase the immunization rate. DISCUSSION: Seasonal influenza immunization of HCW might be effective. We recommend to formalize written procedures in health care settings, to perform data computing and to periodically revise immunization activities and promotion and scientific literature, with the aim to appropriately address resources. PMID- 21061712 TI - [Exanthemic diseases: clinical and epidemiologic aspects]. AB - Measles, rubella, mumps and chicken pox are highly contagious viral infectious diseases that may cause potentially serious complications, in particular in immunocompromised patients, newborns and pregnant women. In Italy, vaccine coverage remains sub-optimal and outbreaks continue to occur with heavy impact on public health. Immunization is one of the most beneficial available public health measures to prevent serious illness, severe complications, disabling sequelae and deaths. Attenuated live virus vaccines have been commercially available since the '90s and their efficacy, safety and favourable cost-benefit ratio have been extensively documented. PMID- 21061713 TI - [Exanthemic diseases (measles, chickenpox, rubella and parotitis). Focus on screening and health surveillance of health workers: results and perspectives of a multicenter working group]. AB - BACKGROUND: Nosocomial transmission of varicella-zoster virus, certain paramixovirus and rubivirus might pose a risk of morbidity for varicella (V), rubella (R), mumps (Mu) and measles (Me) in health care workers (HCW), patients and coworkers. International literature and European legislation recommend preventive interventions to minimize the risk. METHODS: A literature review and a seroprevalence study were carried out in 9 hospitals located in north and central Italy, in order to evaluate risk assessment, health surveillance and fitness for work of HCW exposed to V, R, Mu and Me. Antibodies (Ab) against V, R, Mu and Me were determined. For a subgroup of 4 hospitals; sociodemographic, occupational data and sera were collected and analyzed. RESULTS: About 36000 tests on about 9000 HCW were analyzed. Differences in seroprevalence ratios (V 85.7-95.1%, R 47 96.8%, Me 71.4-97.8%, Mu 52.5-87.6%) were detected. In a subgroup, a relevant number of non immune HCW was also found among women infertile age and areas at higher risk. Statistically significant differences were detected only for selected variables and viruses. DISCUSSION AND CONCLUSIONS: Data of multicenter study confirm literature evidences and allow to define good medical practices for manage and minimize the risk of nosocomial transmission of V, R, Me and Mu. Recommendation are issued about serologic screening on HCW exposed to all 4 viruses thorough the modern analytical techniques, in order to assess risk on individual a group basis and to select priorities for intervention. Vaccination should be prescribed for those HCW non immune, selecting areas and HCW according to priorities. PMID- 21061714 TI - [Biological risk. Relevant problems: risk to third parties]. AB - Transmission of infections from healthcare workers to patients during clinical activities has been an issue for at least twenty years. Studies and general considerations on this topic have brought to consensus documents, guidelines and public health policies, that were sometimes different depending on which social and cultural backgrounds they referred to. Though crucial, this issue remains nowadays not completely resolved, especially if we consider that no agreement has been found on how to face the problem. In this complex framework a question arises about the potential role of the occupational physician. We are talking in fact about a risk that, though present in the working environment, does not directly involve the workers themselves, but rather the people the healthcare workers get in contact with. We may say it is not only a problem of occupational medicine, but rather an issue involving medicine in working environment. This is a real challenge for those who deal with job healthcare and security, even if it is fundamental to fully understand how to face it. After a synthesis of the problem in its conceptual and quantitative dimensions, we now offer some new food for thought and outline some operating clues for the occupational physician too, as a contribution for a common and effective solution. PMID- 21061715 TI - [Information and consensus for an appropriate medical-legal management of nosocomial infections, also in the light of the recommendations of the Joint Commission International Accreditation and the directions of the Supreme Court]. AB - The author, underlined the general importance of the information towards the persons who receive hospital assistance and recalled also the historical bases and the international inquiry upon this matter, precises the reasons that need particular information procedure regarding the hospital infections, because the problems raised by these infections and the safety measures against them request to involve also all people entering the hospital as visitors. On the basis of some specific items fixed by the Joint Commission International Accreditation in order of the duties of the hospital directions, well applicable on this matter, the author suggests that the material impossibility to zeroing occurrence of the hospital infections, in case of litigations between hospitals and patients needs alternative dispute solutions. In this respect the author mentions the opportunities created by law in France and, very recently, in Italy too. Finally, the author points out the pretentions of the Italian Supreme Court about the completeness and the precision that must caractherize the procedure of informed consent about all risks of every medical activity, otherwise the liability of the hospitals and the members of their care staffs is quite presumed--even from the point of view of the penal aforethought--while the medical performance has been proper. PMID- 21061716 TI - [Biological risk in health. Risk to third parties: medical-legal focus. Responsible behavior of the competent physician]. AB - The responsibility of the occupational physician (OP) is discussed within the particular topic of biological risk generated by health care workers (HCW) versus third parties in health care settings. The present contribution offers keys of interpretation regarding current Italian legislation and passed sentences, taking into account principles of occupational medicine, the ICOH code of ethics for occupational health professionals, as well as duties and tasks of OP, employers and employees. Most of the responsibilities stand on employers, but OP has a primary duty of information and to judge fitness for work. It is underlined the difficult interpretation of the current legislation and indications. Behaviour of the OP could be censored in case of particular fitness for work or in case of inadequate information, as well as if the comprehension of information is not verified or when indication to minimize the risk are not controlled. PMID- 21061717 TI - [Psychosocial risks in the health care sector: foreword]. AB - In the introduction to the section devoted to the psychosocial risk in the health care sector and their evaluation an attempt is made to trace a road from texts reporting experiences with objective and subjective diagnostic instruments and the necessity of their integration, to specific applications in contexts of psychological disturbances and the development of training and prevention programs. Their applicability in the real context of health care realities on the basis of actual norms and regulations is also considered. The series is closed by the last contribution supporting the idea that the workers wellbeing must be constructed not only by structuring their work in terms of well organized activities but primarily activities which can give sense to the operators worklife as well. PMID- 21061718 TI - [Stress risk evaluation in health personnel: framework and applicative experiences]. AB - The Italian legislative decree 81/08 requires stress risk evaluation for all the workers, including health personnel. The present paper compares two different occupational stress evaluation procedures, tested in two North Italy sanitary structures: a) the first through subjective methods, based on self report questionnaires and focus groups; b) the second through an objective approach, utilizing the OSFA (Objective Stress Factors Analysis) method. The comparison of the two experiences indicates that the subjective approach may present several limitations, since it gives a measure of the "felt" stress, not directly related to the nature of the job. On the other hand, the objective approach, and particularly the OSFA method, allows an analysis of the work conditions and the identification of single and specific aspects that can be ameliorated in order to eliminate or reduce the occupational stress sources. PMID- 21061719 TI - [Integration of subjective and objective methods for stress related risks evaluation in the health care sector]. AB - One of the most relevant aspects in the evaluation of occupational stress is concerned with the survey methodology. Several studies adopted subjective and objective methods of evaluation and the debate about the benefits and limitations of both approaches has continued for several years (Frese et al. 1988, Hurrell et al. 1998). To choose an appropriate, specific and focused-on-context evaluation, it is necessary to identify the sources of risk in the investigated area. Then, it is necessary to choose the methods, subjective and objective, more suitable for stress evaluation. In this study we reviewed the psychosocial risk factors that are specific to the health sector and the instruments, objective and subjective, most used in this context. We considered also the methods for the detection of episodes of violence. Finally, we presented the results of a study conducted in the health care sector, which has adopted and compared objective parameters, as potential stressors, and subjective symptoms. The study revealed statistically significant correlations between objective and subjective indicators, suggesting the importance of integrated methods of evaluation. PMID- 21061720 TI - [Role of "gender" and "age" factors in the assessment of psychosocial risk and in prevention interventions of hospital personnel]. AB - Gender, age and psychosocial risks. The paper reports research experience on nurses with special reference to "gender" and "age". Parameters such as "affectivity" and "work-family conflict" indicate the insufficiency of policies and actions to limit staff shortage and safeguard health till retirement age. PMID- 21061721 TI - [Shiftwork related problems in hospital workers]. AB - Shift and night work are one of the most important risk factors for health and well-being of hospital workers, in relation to interference at biological (perturbation of the sleep/wake cycle and circadian rhythms), occupational (impaired performance, errors, accidents), health (digestive, neuropsychological, cardiovascular disorders and, probably, cancer) and social (work/family conflicts) level. Such negative effects show a high interindividual variability due to several interacting factors, dealing with individual (age, gender, personality, life styles), living (marital status, children, social activities, commuting), and working (physical and mental workload, human relations, motivation, work hours and schedules) conditions. This report deals with a short review of some recent surveys carried out in Italian hospital shift workers, concerning main discomforts and troubles, psycho-physical conditions, work ability, work satisfaction, work/family conflict, and organization of shift schedules according to ergonomic criteria. PMID- 21061722 TI - ["Work related stress" and health care: clinical cases and work-fitness" evaluation]. AB - In the last years an increasing number of people is coming in observation at the Hospital Units of Occupational Medicine in Lombardia, with several "work related stress diseases", showing various clinical manifestations, from light depressive syndromes to severe psychiatric pathologies. Since 1994 the occupational physicians in Lombardia are involved in the "stress related problems" at the workplaces (see SIMLII Symposium in Milan). Furthermore, a specific research project in the years 2004-2007 describes the real dimension of the problem in the different production fields in Lombardia. After the recent Symposium about stress factors at work (2009, the 04 April, Monza, University of Milan Bicocca) an Occupational Medicine Network has been formed, with the purpose to collect and to compare the clinical data of the people coming in observation, by using for this aim a specific data collection grid. The first considerations were presented during the SIMLII Congress 2009 in Florence. Now in the present text the authors describe the collected data about 50 clinical cases employed in health care and hospital activities. At last, the real purpose of the authors is to show the correct proceedings for oriented action levels in the work organization, and for a good management of the specific clinical cases, trough the experiences of the Occupational Medicine Units of Desio and Monza. PMID- 21061723 TI - [Medical surveillance of health care workers with psychiatric disorder]. AB - Medical surveillance of health care workers with psychiatric disorder represents a hard challenge for the company occupational physician. The critical matters are: which tools to use, which job suitability criteria to adopt and how to adapt the occupational environment to these workers. An integrated mental health surveillance program was developed by the Department of Occupational Medicine at S. Chiara University Hospital in Pisa, in order to better assist and treat hospital staff suffering from psychiatric disorders in a preventive medical setting. Our experience seems to indicate that an Occupational Medicine setting can offer effective psychiatric support that is better accepted and tolerated by health care workers and that this approach can properly help occupational physician during medical surveillance. PMID- 21061724 TI - [Organizational wellbeing in the health sector: the Piemonte Region experience]. AB - In 2007, the Health Councillorship of the Piedmont region announced, in convention with the Psychology Department of the University of Turin, of the creation of "Workgroup for Safeguard of Organizational Wellbeing" composed by psychologists, occupational doctors, and superintendents for Prevention and Protection services. This group is aimed at promoting pilot experiences in the Health Units in order to prevent and combat psychosocial discomfort and to sustain workers' wellbeing. A complex intervention started in January 2009 and involved the personnel from Services for the Organization and Development of Human Resources, Personnel Services, Services for Occupational Medicine, Services of Communication, Quality Services, belonging to all of the Health Units from Piedmont, and of some structures operating within the national health service, for a total of 23 work groups. Based on the results produced and/or from the shared reflections in the classroom between the 23 groups, four main themes were singled out, corresponding to different levels and fields of interventions, in order to favour the contrast of psychosocial distress and to promote a culture of wellbeing, were adopted taking into account needs and cultural specificity of different Units' realities. The activities embarked on, inside every main theme represent, in the expectations of all the participants, good practices that could be spread to the different Local Health Units. PMID- 21061725 TI - [Training and information interventions aimed at stress control in the health care sector: potentialities and limits]. AB - Training programs for health care workers aimed at controlling job-related stress (person-directed, person-work interface and organizational interventions) demonstrate only short-term effect in reducing stress levels. Medium-long-term results could be achieved only through well-articulated programs, which involve health administration managers and nursing staff in a solid and enduring prevention-centered approach. Informative interventions conducted in hospital for preventing job-related stress have a particularly good response rate among nurses and other members of medical support and technical staff. Physicians don't seem to respond to these interventions since they have more decision-making autonomy and develop reward mechanisms; for these reasons, they feel to have less need for training/support interventions. Finally, our results confirm the need for a more active stress management policy in hospitals and other health care institutions. PMID- 21061726 TI - [Organizational wellbeing: challenge and future foundation]. AB - We can define organizational wellbeing as the organization's ability to promote and maintain the physical, psychological and social workers wellbeing at all levels and for every job. A poor organizational climate may cause cases of burn out and makes more likely behaviours that constitute psychological violence and harassment. In the local Unit number 18 of the Veneto Region the joint committees to tackle bullying, which have mainly preventive and educational purposes, have been a good opportunity to take into full consideration these issues at the company level (see National Work Contract 16/02/1999, article 6, D). The company occupational physician was involved in these activities to discuss the results of an ad hoc investigation conducted in the framework of the annual program of health examinations. The aim of the investigation was to ascertain the perceived work related stress and its association with work accidents, absenteeism and turnover due to health problems. On the basis of the results of this investigation it was proposed to assess better the organizational climate and to consider possible improvements. As survey tools two questionnaires were used: The questionnaire Organisational wellbeing, by Avallone et al. The Social Reaction Inventory. Rotter 1966 (abridged). Our presentation describes the subsequent stages of our intervention also with the methodological weaknesses and the more relevant implications with regards to operational and strategic paths for prevention and health promotion. PMID- 21061727 TI - [Norms and responsibilities of the occupational physician in psychosocial risks management]. AB - The activity of the occupational physician is one of the key elements for the management of psychosocial risk factor in companies, specifically in the healthcare system. The introduction of laws and agreements between the social partners regarding work-related stress have recently brought the attention upon further issues for the physician to work on. The role of the occupational physician is particularly important in the process of risk assessment, in informing and training the personnel as well as managing the more clinical aspects of the problem. The tasks and responsibilities of the occupational physician are therefore analysed in their more practical aspects, with particular attention to the currently evolving normative context, and are thereafter inserted into the field of primary, secondary and tertiary prevention. PMID- 21061728 TI - [Burn-out in the human service professions: four experiences]. AB - Human work is never exclusively a pure goods production but include the structuring of relevant dimensions such as identity, interrelationship, sense creation and this is specifically true in the domain of health care. In spite of technological improvement, still today healthcare workers represents the very technological instrument. The paper refers the results of the study carried out in four geographical different health environments to evaluate the relative importance of individual and organizational factors. In spite of the differences observed the relational dimension appears a fundamental necessity for almost all workers but more specifically for the nursing personnel as well as the need of a case manager expert. PMID- 21061729 TI - Enhancement of JET's mirror-link near-ultraviolet to near-infrared divertor spectroscopy system. AB - Since 1994, JET has had a mirror-link spectroscopy system with a poloidal view of 150 mm of the outer divertor split into three ranges: near-ultraviolet (near-UV) (~ 300-450 nm), visible (450-750 nm), and near-infrared (near-IR) (750-1200 nm). The system consists of three Czerny-Turner/charge coupled device (CCD) pairs: 1 m focal length for the near-UV, 0.75 m focal length for the visible, and 0.5 m focal length for the near-IR. All were aligned along the same optical path to the divertor. As part of the JET ITER-like wall enhancements, the diagnostic system will be upgraded in five areas: (1) frame rate, (2) quantum efficiency (QE), (3) radial coverage, (4) optical throughput, and (5) for the near-UV, spectral resolution and survey capability. New CCDs for the near-UV and visible will have increased QE and allow three times frame rate. The near-UV will benefit from a 0.75 m imaging spectrometer with three gratings. The optics have been redesigned to allow ~ 360 mm view and greater than two times throughput. This paper will look at the design and implementation as well as the new diagnostic capabilities of the system. PMID- 21061730 TI - Sleep disorders: diagnosis and treatment. Editor's commentary. PMID- 21061731 TI - Health reform: facing the future. Setting up health insurance exchanges is one of the big, early tasks for lawmakers. PMID- 21061732 TI - Forecast for states on Medicaid expansion. PMID- 21061733 TI - Overwhelmed by autism: a dramatic increase in diagnoses has lawmakers debating the state's role. PMID- 21061734 TI - Abbie Lathrop, the "mouse woman of Granby": rodent fancier and accidental genetics pioneer. PMID- 21061735 TI - Making strides in modeling individual differences: reply to Leite, Ratcliff, and White (2007). AB - Leite, Ratcliff, and White (2007) claimed that the diffusion model (Ratcliff, 1978) could simulate the molar patterns in response times (RTs) from the multiple tasks observed by Chen, Hale, and Myerson (2007). We present our own simulations to clarify the underlying mechanisms and show that, as is predicted by the difference engine model (Myerson, Hale, Zheng, Jenkins, & Widaman, 2003), correlations across tasks are the key to the molar patterns in individual RTs. Although the diffusion model and other sequential-sampling models may be able to accommodate patterns of RTs across tasks like those studied by Chen et al., the difference engine is the only current model that actually predicts them. PMID- 21061736 TI - History, genre and sexuality in the sixteenth century: the Zoppino dialogue attributed to Pietro Aretino. PMID- 21061737 TI - Position of the American Dietetic Association, School Nutrition Association, and Society for Nutrition Education: comprehensive school nutrition services. AB - It is the position of the American Dietetic Association (ADA), School Nutrition Association (SNA), and Society for Nutrition Education (SNE) that comprehensive, integrated nutrition services in schools, kindergarten through grade 12, are an essential component of coordinated school health programs and will improve the nutritional status, health, and academic performance of our nation's children. Local school wellness policies may strengthen comprehensive nutrition services by encouraging multidisciplinary wellness teams, composed of school and community members, to work together in identifying local school needs, developing feasible strategies to address priority areas, and integrating comprehensive nutrition services with a coordinated school health program. This joint position paper affirms schools as an important partner in health promotion. To maximize the impact of school wellness policies on strengthening comprehensive, integrated nutrition services in schools nationwide, ADA, SNA, and SNE recommend specific strategies in the following key areas: nutrition education and promotion, food and nutrition programs available on the school campus, school-home-community partnerships, and nutrition-related health services. PMID- 21061738 TI - [Proceedings of the IV National Conference on Cardiovascular Disease Prevention, 14-15 April 2010, Rome, Italy]. PMID- 21061739 TI - M. Josephine Martin, PhD, RD, LD, receives 2010 Copher Award. PMID- 21061740 TI - Proceedings of the 13th IWA International Specialist Conference on Diffuse Pollution (DIPCON). 2009 Seoul, Korea. PMID- 21061741 TI - Abstracts of the 21st Annual Congress of the Ophthalmological Society of the West Indies, July 7-10, 2010, Antigua, West Indies. PMID- 21061742 TI - An allegory with Venus and Cupid: a story of syphilis. PMID- 21061743 TI - Responding to a rural health workforce shortfall: double degree preparation of the nurse midwife. PMID- 21061744 TI - What do new graduate registered nurses say they want from jobs in remote communities? PMID- 21061745 TI - The expression of the open reading frame of Arabidopsis CAX1, but not its cDNA, confers metal tolerance in yeast. AB - The biochemical properties and regulation of several plant CAX (CAtion eXchanger) type vacuolar Ca2+/H+ exchangers have been extensively analysed in yeast expression assays. In the present study, we compare and contrast the phenotypes of yeast cells expressing the CAX1 cDNA and open reading frame (ORF). We report that the CAX1 ORF, but not the cDNA containing the 3'-untranslated region (UTR), was able to confer Ca2+ tolerance when expressed in a Ca2+-sensitive yeast mutant. Additionally, only yeasts expressing the N-terminal truncated CAX1 ORF were able to grow on high Mn2+ media, suggesting that removal of the 3'-UTR altered activity. However, removal of the 3'-UTR from another CAX did not alter the yeast phenotypes. Expression studies demonstrated that expressing the CAX1 ORF in yeast elevates CAX1 RNA and protein levels. Our results suggest that the 3'-UTR modulates expression of CAX1 in yeast. PMID- 21061746 TI - Knowledge is power. PMID- 21061747 TI - Laparoscopic surgery on cadavers: a novel teaching tool for surgical residents. PMID- 21061748 TI - Clinical research, carbon emissions, climate change and Copenhagen - our lifestyle on the line? PMID- 21061749 TI - Future clinical applications of bile analysis. PMID- 21061750 TI - Images for surgeons. Kirner's deformity of little finger. PMID- 21061751 TI - Images for surgeons. An extra-abdominal desmoid tumour in a young woman. PMID- 21061752 TI - Images for surgeons. A case of matured mediastinal teratoma complicated by cardiac tamponade: an unusual aetiology. PMID- 21061753 TI - Ultrapulsed fractional CO2 laser for the treatment of post-traumatic and pathological scars. AB - BACKGROUND AND OBJECTIVE: Scar management is a long-term process. A variety of modalities have been employed and, depending on scar type, treatment may be invasive and/or conservative. The purpose of this study was to evaluate a new CO2 laser resurfacing for post-traumatic and pathological scars and to compare this device with classic dermabrasion. The new fractionated ultrapulsed CO2 laser (Ultrapulse Encore, Lumenis Ltd., Santa Clara, CA) is equipped with two types of scanners: the first, ActiveFX, is non-sequential while the second, DeepFX, is sequential and produces microspot. MATERIALS AND METHODS: From September 2008 to November 2008, a study on 60 patients was performed. The patients (average age 47.3 years) enrolled in this study had severely scarred skin and were divided into two groups of 30 people. All patients were Caucasian with skin type II or III. Each scar was photographed and scored by the authors using the Manchester Scar Scale (MSS). Follow-up ranged from 12-15 months. RESULTS: Sixty patients were analyzed in two homogeneous groups. Significant improvement in skin tone, texture and appearance of skin was noted in all patients treated with CO2 laser, lower improvement resulted with dermabrasion. Both subjects and investigators noted similar aesthetic improvement. No major complications were found for both groups and minor complications included transient erythema and edema. CONCLUSION: Fractional ultrapulsed CO2 laser resurfacing has proven to be both safe and effective. The efficacy and favorable side effects profile for this technology, with low incidence of pigmentary changes, make it a viable alternative for the treatment of moderate-to-severe scars. PMID- 21061754 TI - Treatment of tattoos with a 755-nm Q-switched alexandrite laser and novel 1064 nm and 532 nm Nd:YAG laser handpieces pumped by the alexandrite treatment beam. AB - BACKGROUND: Multi-colored and even black tattoos often require more than one wavelength to remove the target pigment. The authors report here a novel alexandrite laser with two Nd:YAG laser handpieces pumped by the alexandrite treatment beam enabling the delivery of three wavelengths from a single device. OBJECTIVE: To describe and evaluate the effectiveness of a novel Q-switched laser pumped laser for treating tattoos. MATERIALS AND METHODS: Twenty tattoos in 14 subjects were treated at four-week intervals using a combination of available wavelengths (532, 755 and 1064 nm) as determined by the treating physician. Digital cross-polarized photographs were taken before treatment and two months following the fourth and final treatment. Photographs were evaluated by three physician observers blinded as to the treatment condition and rated for clearance by the following scale: 1 = > 95 percent, 2 = 76-95 percent, 3 = 51-75 percent, 4 = 26-50 percent and 5 = 0-25 percent clearance. RESULTS: The average clearance score was 3.1, in the 51-75 percent range, two months following four treatments. No scarring, hyper- or hypopigmentation was noted on post-treatment photographs or by the treating physician. CONCLUSION: The alexandrite and alexandrite-pumped 532 nm and 1064 nm Q-switched lasers are effective for removing decorative tattoos, and represents the first commercial laser with laser-pumped, laser handpieces. PMID- 21061755 TI - Treatment of facial acne scars in Asian skin with the single-spot, 2940-nm Er:YAG dual-mode laser. AB - Modalities for the treatment of atrophic facial acne scars have been studied extensively. One, an erbium:yttrium-aluminum garnet (Er:YAG) laser device that generates both short, ablative pulses of high fluence and long, coagulative pulses of low fluence, has been shown to achieve tissue contraction, control intraoperative bleeding and deliver energy quickly and uniformly. The investigators were able to achieve significant depth and ablation with repetitive pulses at the same site and remove the epidermis with a single pass. Subsequent studies showed that facial acne scars of patients with dark skin types could be treated with a similar device. This report reviews the development of the Er:YAG laser and the preliminary results of a study in which moderate-to-severe facial acne scars of 180 Asian patients (skin types III-IV) were treated successfully with a dual-mode Er:YAG laser device. PMID- 21061756 TI - Photodynamic therapy with methylaminolevulinate 80 mg/g without occlusion improves acne vulgaris. AB - BACKGROUND: Photodynamic therapy (PDT) with methylaminolevulinate (MAL) under occlusion is effective for the treatment of acne vulgaris but is associated with significant phototoxic side effects. OBJECTIVE: To evaluate the safety and efficacy of topical MAL with or without occlusion followed by red light exposure in patients with facial acne vulgaris. PATIENTS/METHODS: Forty-four patients with facial acne vulgaris were randomized to receive four MAL applications (80 mg/g) at two-week intervals with occlusion on either the right or left side followed 90 minutes later by either 25 or 37 J/cm2 of red light. RESULTS: At 18 weeks after the first MAL-PDT treatment, the percentage of inflammatory lesions was reduced by a median of 31.7, 59.4, 58.1 and 55.8 percent for patients randomized to 25 J/cm2 without occlusion, 25 J/cm2 with occlusion, 37 J/cm2 without occlusion and 37 J/cm2 with occlusion respectively. MAL-PDT was, in general, well tolerated and only two patients discontinued their participation due to adverse events. CONCLUSION: PDT with MAL at 80 mg/g without occlusion reduces the number of inflammatory lesions in patients with facial acne vulgaris. PMID- 21061757 TI - Lasers for scars: a review and evidence-based appraisal. AB - Numerous treatment modalities are available for scar management depending upon scar characteristics, age and patient expectations. The focus of this article is to review commonly used nonsurgical methods of scar revision. These include topical applications (e.g., silicone, vitamin E, pressure dressing, herbal extracts), intralesional medication (e.g., steroids, antimitotics), soft-tissue augmentation (e.g., collagen, fat), laser applications (e.g., 585-nm flashlamp pumped pulsed dye, CO2), cryotherapy and make-up camouflage. Nonsurgical modalities can be used as prophylactic prevention of adverse scar formation, as definitive treatment, as intervening therapy until further surgical repair can be made, or as adjunctive treatment following surgical scar revision. There are several laser systems available that permit successful treatment of various types of scars. The 585-nm PDL remains the gold standard for laser treatment of hypertrophic scars and keloids. Although atrophic scars may best be treated with ablative CO2 and Er:YAG lasers, the intense interest in procedures with reduced morbidity profiles has increased the popularity of nonablative laser procedures. This paper will focus on the use of lasers for scar revision. PMID- 21061758 TI - The role of complement in dendritic cell (DC) control of T-cell subsets. AB - This section of the Journal of Drugs in Dermatology (JDD) is dedicated to Dendreon's Provenge (Sipuleucel-T), the first therapeutic DC vaccine proven effective and approved by the United States (U.S.) Food and Drug Administration (FDA) for advanced cancer. This editorial will discuss three articles in this issue, their relationship to Provenge and the recent TH17-Treg subsets that are regulated by CD46. PMID- 21061759 TI - TH17 is involved in the remarkable regression of metastatic malignant melanoma to topical diphencyprone. AB - The authors provide an update on a previously reported patient with in-transit metastatic melanoma of the scalp treated with topical diphencyprone (DPCP). Molecular studies implicate the thymus-derived TH17 lymphocyte subset in a remarkable immunotherapeutic regression. The authors performed RT-PCR of total RNA from paraffin-embedded tissue before and after treatment with DPCP. Before treatment with DPCP, the authors found elevated expression of IL 17C/D/E/F; after treatment there was no detectable expression. Conversely, increased expression of PLZF/CD27 and CTLA4 was seen after treatment with no expression before treatment. No expression of IL17A/B, CD7, RORgTand FoxP3 were before or after treatment. Conclusions are limited to only the time samples were obtained. Remarkable regression of an in-transit metastatic melanoma treated with the immunomodulatory agent DPCP showed gain and loss of gene expression of the TH17 pathway. Further study of this pathway from NK to NK-T to TH7 and TH1 cells both with and without accessory or dendritic cells will improve understanding of contact sensitizers as topical immunomodulators. PMID- 21061760 TI - A potential role for complement in immune evasion by Mycobacterium leprae. AB - Lepromatous leprosy is a model of immune evasion wherein pathogen-specific IL-10 secreting T cells and concomitant failure of Th-1 immunity permit uncontrolled proliferation of the intracellular pathogen, Mycobacterium leprae (M. leprae). The mechanism of this immune escape is unknown. Here, the authors report that phenolic glycolipid-1 (PGL-1), a major and distinguishing feature of the M. leprae cell wall, is expressed in the cell membrane of M. leprae-infected human dendritic cells, where it can activate complement in human serum. The authors demonstrate that PGL-1 and the C3 component of complement colocalize in lipid rafts in the dendritic cell membrane, and enter the immune synapse upon co culture of M. leprae-infected DCs and T cells. Hence, activated C3 is strategically located to costimulate naive T cells via the complement regulatory protein, CD46, a process known to stimulate the differentiation of IL-10 secreting regulatory T cells. These observations suggest a potential novel mechanism of immune evasion, wherein M. leprae may subvert host natural immunity to provoke an adaptive response that favors bacillary survival. PMID- 21061761 TI - Dendritic cells and T cells in immunotherapy. AB - Autologous cellular immunotherapies have been used experimentally in humans to treat many types of cancer. These therapies are divided into two principal types: active cellular immunotherapies that rely on autologous dendritic cells or other antigen presenting cells; and adoptive T-cell therapies, in which large numbers of antigen-specific T lymphocytes are propagated ex vivo and then infused back into the patient. With the FDA approval of the antigen presenting cell vaccine sipuleucel-T for prostate cancer, active immunization has become an accepted approach for the treatment of established cancer. PMID- 21061762 TI - Treatment of 2,453 acne vulgaris patients aged 12-17 years with the fixed-dose adapalene-benzoyl peroxide combination topical gel: efficacy and safety. AB - Acne vulgaris is a common disease in adolescents, and early treatment may minimize its physical and psychological effects. A fixed-dose combination gel of adapalene 0.1% and benzoyl peroxide 2.5% (adapalene-BPO) is efficacious and safe in the treatment of acne patients aged 12 years or older, as demonstrated in three randomized and controlled studies. The current study is a subgroup analysis of the efficacy and safety of adapalene-BPO among 2,453 patients aged 12-17 years. After 12 weeks of treatment, significantly more patients in the adapalene BPO group were "clear" or "almost clear" (30.9%, P < 0.001) compared to the monotherapies and vehicle. The percentage reduction from baseline in total, inflammatory and non-inflammatory lesions was 56, 63 and 54.5 percent in the adapalene-BPO group, respectively, significantly higher than in the monotherapy groups and vehicle (all P < 0.001). Significantly earlier onset of effect was observed at week 1. Adapalene-BPO was also well tolerated, with the mean scores of dryness, erythema, scaling and stinging/burning less than 1 (mild) at all study visits. Overall, the adapalene-BPO combination gel provides significantly greater and synergistic efficacy and a fast onset of action compared to the monotherapies and vehicle in young acne patients aged 12-17 years. PMID- 21061763 TI - Changes in rosacea comorbidities and treatment utilization over time. AB - BACKGROUND: Rosacea is a chronic skin condition that requires lifelong treatment. Given the rise in antibiotic-resistant bacteria, many physicians are re evaluating their use of antibiotics for long-term treatment of rosacea. PURPOSE: To examine trends in the treatment of rosacea and the comorbidities associated with this skin condition. METHODS: From 2002-2006, the National Ambulatory Medical Care Survey queried drug mentions at rosacea visits and coexisting diagnoses. Prescribing patterns of dermatologists were compared to other physicians' patterns. RESULTS: Ten million physician visits had the diagnosis of rosacea; 74 percent were associated with co-morbidities. Metronidazole, tetracyclines, azelaic acid and sodium sulfacetamide were the top medications mentioned at rosacea visits. Prescriptions increased for azelaic acid and decreased for sodium sulfacetamide. Dermatologists decreased their prescribing of systemic medications. CONCLUSION: Dermatologists are reducing their use of systemic antibiotics for rosacea and turning to therapies, such as azelaic acid, that do not have potential to induce bacterial resistance. PMID- 21061764 TI - Doxycycline and minocycline for the management of acne: a review of efficacy and safety with emphasis on clinical implications. AB - A significant number of patients with moderate-to-severe inflammatory acne are candidates for oral antibiotic therapy. Use of tetracycline for acne has yielded to second-generation molecules doxycycline and minocycline, which are associated with numerous benefits over their predecessor, especially less frequent dosing and improved safety. Nonetheless, these agents are associated with certain potential side effects, including gastrointestinal (GI) concerns, staining of developing teeth in children, candidiasis, vestibular concerns and, somewhat more controversially, photosensitivity. Additionally, minocycline may be associated with the development of autoantibodies, including anti-nuclear antibody (ANA), anti-neutrophil cytoplasmic antibody (ANCA) and anti-phospholipid antibodies with or without associated clinical symptoms. Given their similar efficacy for the management of moderate-to-severe acne vulgaris, the choice of doxycycline or minocycline may depend on specific clinical considerations, including patient satisfaction with therapy, compliance and convenience. Data and clinical experience suggest that enteric-coated doxycycline, with its low rate of GI symptoms, may represent a more tolerable treatment option for many acne patients and therefore be associated with better likelihood of compliance. PMID- 21061765 TI - Update on the pathogenesis, genetics and medical treatment of patterned hair loss. AB - Androgenic alopecia (AGA), or pattern hair loss, is a common condition that affects both men and women has been gradually increasing. The discovery of the androgen receptor (AR) gene and related genes has expanded the knowledge on the genetics of hair loss. These basic science studies, combined with more recent clinical studies, have led to a better understanding of the pathogenesis of AGA in both men and women. These genetic advances have also led to the development of a new screening test for AGA. Recently, in addition to the two currently approved U.S. Food and Drug Administration (FDA) medications (minoxidil and finasteride), a novel device was FDA-approved for the treatment of hair loss, the laser hair comb. Further studies are needed to verify the accuracy and validity of the genetic screening test and the efficacy of the laser hair comb. PMID- 21061766 TI - Treatment of CO2 laser induced hypopigmentation with ablative fractionated laser resurfacing: case report and review of the literature. AB - BACKGROUND: The carbon dioxide laser (CO2) has been proven to be an effective device for the treatment of photoaging. However, it is associated with adverse side effects including prolonged erythema, edema, burning, milia, acne, crusting and hypo-/hyperpigmentation. Delayed onset hypopigmentation after CO, laser resurfacing can markedly detract from clinical outcomes. To overcome the disadvantages of traditional ablative and non-ablative resurfacing, fractional photothermolysis (FP) has been introduced. FP has been demonstrated in early case reports and case series to produce significant improvement in hypopigmentation of acne and surgical scars. CASE REPORT: A 53-year-old Caucasian female with Fitzpatrick type I skin presented with a nine-month history of delayed onset hypopigmentation following ablative CO2 laser resurfacing. After a series of three treatments at eight-week intervals with an ablative fractionated CO2 laser device, the hypopigmentation and line of pigmentary demarcation between the face and neck improved by 75 percent. CONCLUSION: Ablative fractional resurfacing is a safe and potentially effective modality for the treatment of CO2 laser induced hypopigmentation on the face. PMID- 21061767 TI - Blue foot: a second case of "tattoo blow-out" pigment spread successfully treated with the QS-Nd:YAG laser. AB - The "tattoo blow-out" phenomenon occurs when tattoo pigments spread outside the border of a tattoo. It is thought to occur when ink is injected too deeply. A healthy 36-year-old female presented to a dermatologist with diffuse spread of tattoo pigment outside the original tattoo that occurred within one day of the placement of a professional tattoo on the dorsum of her foot. The patient was seeking treatment six weeks after the tattoo was placed because she thought the discoloration would improve or resolve on its own, but it worsened. Two punch biopsies were obtained for histology. The biopsy results confirmed granular black pigment consistent with a tattoo in the dermis and subcutaneous fat. The location of pigment was deeper than expected. Due to the success of the QS-Nd:YAG laser in a prior patient, the same treatment was recommended for this patient. The patient received nine laser sessions using the Q-switched laser at 1064 nm, 4 mm, 10 Hz, with gradually increasing energy from 4.5 to 6.0 J/cm2. The pigment outside of the original tattoo borders faded and is barely perceptible. It is important that physicians be made aware of tattoo complications so they can advise patients in regards to the associated risks. PMID- 21061768 TI - CTLA4-induced splenomegaly and a review of the literature pertaining to autoimmune complications of therapy. AB - CTLA4-blocking antibodies induce tumor regression in a subset of patients with metastatic melanoma by optimizing T-cell activity to fight the malignant cells. In addition to therapeutic benefits, CTLA4 therapy may induce immune-related adverse events (irAE). Studies on CTLA4 knockout and other CTLA4 deficient mice have resulted in splenomegaly, lymphoproliferation and fatal multi-organ destruction. The authors present a case of a 68-year-old patient who has developed splenomegaly following CTLA4 therapy. CTLA4 therapy's risks and benefits should be weighed carefully in the treatment of malignant melanoma. Larger prospective multi-center trials are needed to gauge the efficacy and complication rate of CTLA4 therapy. The authors propose that patients should get short-term surveillance imaging (CT or PET/CT) to exclude the multiple abdominopelvic complications and quickly terminate therapy if clinically warranted. It is also necessary for clinicians to carefully monitor for the number of possible complications associated with this immunotherapy. PMID- 21061769 TI - Case report: cutaneous nontuberculous mycobacterial abscesses associated with insulin injections. AB - Nontuberculous mycobacterial (NTM) infections are on the rise and often cause skin diseases that are misdiagnosed. The authors present three cases of atypical mycobacterial infections in insulin-dependent diabetics occurring in sites of subcutaneous insulin injections. One of the patients was vitamin D deficient at the time of infection with NTM. The history and clinical presentation of the cases are presented; pathogenesis and treatment are discussed in conjunction with the correlation of mycobacterial disease susceptibility with vitamin D deficiency. PMID- 21061770 TI - Sunscreen update: the controversies, what's safe, what's next. PMID- 21061771 TI - Evaluation of cognitive function and P300 in patients undergoing cardiac surgery. AB - OBJECTIVE: This study reports cognitive, P300 and MRI changes in the patients undergoing open heart surgery. DESIGN: 18 patients undergoing open heart surgery were included who were aged > or = 18 years of age and educated at least up to 5th standard. Patients with preoperative neuropsychiatric, and metabolic illnesses were excluded. The operative and post operative events wer recorded Cognitive tests included Mini Mental State examination (MMSE), forward and backward digit span, trail making test (TMT), motor speed and precision test (MSPT), Luria's 3 step, Benton visual retention test (BVRT) and hospital anxiety and depression (HAD). P300 study was carried out using auditory oddball paradigm and recording from Cz, Fz and Pz referred to mastoids. Clinical psychometry, MRI and P300 studies were repeated after 6 weeks. RESULTS: The median age of the patients was 51 years and 7 were females. Coronary artery bypass graft (15) was done off pump and valve replacement (7) and atrial septal defect (2) were done on pump. Clinical psychometric tests did not change significantly after surgery except BVRT and MSPT were improved significantly after the surgery. The pre and post surgical P300 latency and amplitude were also not different. Follow up MRI in 10 patients also did not reveal any additional findings. CONCLUSION: Cognitive decline was not observed after open heart surgery as assessed by clinical psychometry and P300 studies. PMID- 21061772 TI - The effect of provocative tests on electrodiagnosis criteria in clinical carpal tunnel syndrome. AB - INTRODUCTION & OBJECTIVE: Nerve conduction study is the most sensitive test for diagnosis of carpal tunnel syndrome (CTS). This test is normal in some patients with mild CTS. Median nerve conduction study evaluation after a provocative test (e.g. wrist flexion) may be helpful for diagnosis of mild CTS. This study aimed to determine the effect of wrist flexion on median nerve conduction in patients suspected to CTS and in healthy subjects. MATERIALS & METHODS: In this case control study, 20 patients (20 hands) with clinical signs of CTS and normal routine electrodiagnosis test results and 20 healthy subjects were investigated. Measured parameters included: median nerve distal sensory latency (DSL), nerve conduction velocity (NCV) across wrist, compound nerve action potential (CNAP), distal motor latency (DML) and compound muscle action potential amplitude CAMPAMP). The above noted parameters were measured before and after 5 minutes of full wrist flexion. Data were analyzed using paired T-test. RESULTS: Distal Sensory Latency increment and NCV decrimental after 5 minutes of wrist flexion in the patients group were statistically significant (p > 0.05). The same parameters did not show significant incremental or detrimental changes in the control group. CONCLUSION: Median nerve DSL and NCV measurement after 5 minutes of wrist flexion may be helpful in determining more sensitive parameters in the electrodiagnosis of CTS. PMID- 21061773 TI - Comparison of the sensitivities of plantar nerve conduction techniques for early detection of diabetic sensory polyneuropathy. AB - The purpose of this study was to determine the most sensitive diagnostic test for nerve conduction study (NCS) of the foot for early detection of diabetic polyneuropathy. We compared the sensitivities for diagnosis of sensory polyneuropathy of four different nerve conduction techniques in the same nerves: nerve conduction studies of the medial plantar nerve with surface electrodes using three different techniques and a nerve conduction study of the digital and interdigital nerves of the foot using a near-nerve needle technique. In 25 patients with diabetic polyneuropathy with normal routine NCS, diagnosis of sensory neuropathy was confirmed by medial plantar NCS in 5 patients (20.0%) using Guiloff's method, in 5 patients (20.0%) using Ponsford's method and in 9 patients (36.0%) using Hemmi's method. In digital and interdigital NCS of the foot, a definite neuropathy pattern was observed in 15 patients (60.0%). The most common abnormality was low amplitude of sensory nerve action potential, indicating axonal degeneration. This study demonstrated that digital and interdigital NCS using the near-nerve needle technique is a more sensitive method for detection of early-stage diabetic polyneuropathy. PMID- 21061774 TI - Acute effects of passive stretching and vibration on the electromechanical delay and musculotendinous stiffness of the plantar flexors. AB - The purpose of the present study was to examine the acute effects of passives stretching versus prolonged vibration on the active and passive properties of voluntary and evoked muscle actions of the plantar flexors. Eleven healthy men performed the isometric maximal voluntary contractions (MVCs) and passive range of motion (PROM) assessments before and after 20 min of passive stretching (PS), vibration (VIB), and control (CON) conditions. In addition, percent voluntary activation was calculated from superimposed and potentiated doublets during the MVCs. Voluntary peak torque (PT) decreased by 11% and 4%, while surface electromyographic (EMG) amplitude decreased by 8% and 16% for the PS and VIB, respectively, with no changes during the CON The electromechanical delay (EMD) decreased and PROM increased following the PS, but was unchanged during the VIB and CON conditions. Musculotendinous stiffness (MTS) decreased at all joint angles following the PS, but decreased only at the furthest joint angle following the VIB. There were no changes in peak twitch torque (PTT), M-wave amplitude, and EMG amplitude during the PROM assessments for all conditions. Both PS and VIB elicited similar decreases in muscle activation, which may be the same centrally mediated mechanism (i.e., y loop impairment). Changes in the EMD were inversely proportional to the changes in MTS, which occurred only following PS. The present findings indicated that the stretching- and vibration-induced force deficits may have resulted in part from similar centrally-mediated neural deficits, while an elongation of the series elastic component may also have affected the stretching induced force deficit. PMID- 21061775 TI - Activation pattern masticatory muscles in dysphonics woman. AB - PURPOSE: To evaluate the pattern of activation of the masticatory muscles in dysphonic women. METHODS: Seventeen women was divided into two groups: experimental group with 10 dysphonic women (33.6 +/- 8.0) and control group with 7 healthy women (25 +/- 5,19). The Electromyography exam of the left (LM) and right (RM) masseter muscles, anterior portion of the right (RT) and left (LT) temporal, and suprahyoid (SH) was performed by using electrodes of simple distinguishing surface. RESULTS: With the mandible postural position at rest, all the muscles presented increased electromyography activity compared to the control group (p < 0.05). As for the mandible elevator muscles, the RM experimental group presented significantly decreased electromyography activity (p < 0, 05) and the SH increased (p < 0.05) compared to the control group. For the rest of the muscles, there was no significantly difference between the groups. It was verified that the experimental group presented higher co-contraction of the mandible depressed muscles in relation to contraction of the RT LT, RM and LM, as compared to the control group (p < 0.05). CONCLUSIONS: Dysphonic women present alteration of the activation patterns of the masticatory muscles. PMID- 21061776 TI - Analysis of electromyographic muscles activity of gait in healthy subjects with and without AFO developed for patients with hemiparesis. AB - The aim of the present study was to analyze the electromyographic (EMG) signals of the rectus femoris (RF), vastus lateralis (VL), tibialis anterior (TA) and soleus (SO) muscles in young healthy adults with and without the use of an experimental ankle-foot-orthosis (AFO) designed for patients with hemiparesis. Twenty-eight individuals with an average age of 22 +/- 3.63 years participated in the study. An electromyograph, surface electrodes and two force plates were used. There was a non-significant increase in the TA activity with the use of the AFO (6.04 +/- 2.81) when compared to non-use (5.91 +/- 2.49) (p > 0.5); the same was true for the other muscles evaluated. There was a positive correlation (r = 0.37) between TA and SO activity (p < 0.05). The results demonstrate that the AFO did not affect the gait pattern of healthy young adults. PMID- 21061777 TI - Visual evoked potential abnormalities in migraine patients. AB - BACKGROUND: Visual processing in migraine has been targeted indicating that the visual pathways are involved in the migraine pathophysiology. We aimed to assess the nature of visual evoked potential (VEP) changes in migraine patients and to evaluate the role of VEP in the diagnosis of migraine. MATERIALS AND METHODS: We examined 31 female and 10 male patients with a migraine headache diagnosis according to the criteria of the International Headache Society. Control subjects had neither migraine and other types of primary headache nor familial history. VEP were elicited using a checkerboard by monocular and binocular pattern reversal stimulation. The latencies of N75, P100 and N145 and peak-to-peak amplitude of N75-P100 were measured. We compared VEP latencies and amplitudes of the monocular and binocular stimulation within each population. RESULTS: The N75 and P100 latencies were found to be significantly longer in the study group than the control group (p = 0.014 and p = 0.034, respectively) while the amplitudes in the study group were lower (p = 0.014). N145 latency was found to be longer in patients with longer duration of disease (p < 0.05). P100 latency was found to be significantly longer in patients with aura than the patients without aura (p = 0.029). N75 latency, recorded by left monocular stimulation, was elongated and the amplitude was diminished with left hemicranial headache. CONCLUSION: Measurement of VEP latency and amplitude is a valuable and reliable test for the diagnosis of migraine. Our results reflect a persisting dysfunction of precortical visual processing which might be relevant in the pathogenesis of migraine. PMID- 21061778 TI - Hearing evaluation of school children in Kuwait. AB - PURPOSE: Hearing level in some children may be abnormal due to conductive or sensorineural causes. In Kuwait, the hearing screening test is not conducted for newborns at public hospitals. We evaluated the hearing level of 159 Kuwaiti school children (age 6-12 years) at the Audiology Clinic of Ahmadi hospital to determine the extent and causes of hearing loss in these children. METHODS: Each child was evaluated by otoscopic examination, tympanogram, acoustic reflex threshold (ART), audiogram, and distortion product oto-acoustic emission (DPOAE). RESULTS: 120 children were found to have normal ear conditions, while 39 children had abnormal results. The abnormalities were 21 children with ear wax, 16 children with secretory otitis media (SOM), and 2 children with sensorineural hearing loss (SNHL). In the children with ear wax, 3 had normal hearing level, while 18 had mild conductive hearing loss in the 250-500 Hz frequency range. In the children with SOM, 3 had normal hearing level, 9 had mild conductive hearing loss in the 250-500 Hz frequency range, while 4 had moderate conductive hearing loss in the 250-2000 Hz frequency range. The children with SNHL had moderate hearing loss in the 4000-8000 Hz frequency range. CONCLUSION: Wax in the ear canal and SOM were the main factors associated with hearing loss in these Kuwaiti school children. It is necessary to establish a national program of school entry hearing screening in Kuwait. PMID- 21061779 TI - The healthcare needs of our veterans. PMID- 21061780 TI - Operation Enduring Freedom (OEF) and Operation Iraqi Freedom (OIF) military mental health issues. Information on the wars' signature wounds: posttraumatic stress disorder and traumatic brain injury. AB - This topic can be related to the nursing profession and the need to be better educated on military mental health. Since mood disorder, suicide, alcohol abuse, PTSD and TBI are evident in actively serving and returning service members, it is imperative to educate nurses and healthcare providers about these conditions, the available evidence-based treatments and referrals to programs for these signature OEF/OIF wounds. The authors encourage nurse educators to consider ways to include military mental health and other service-related health issues into nursing curricula and to use Veterans Administration and veterans-related healthcare facilities for clinical courses. As the wars in Afghanistan and Iraq continue into the foreseeable future, many veterans will be seen not only in VA facilities, but they will self-refer to academic and community hospitals, and psychiatric and rehabilitation centers. It is important for all nurses to be aware of the effects of PTSD, depression, suicide, substance abuse and TBI on our patients and to be effective advocates for quality care of veterans in all settings. Nurses need to advocate for screening and provision of mental health services in primary care settings. When such services are offered in primary care settings, it normalizes the care and the service member will more likely allow themselves to receive the care (Jones, 2004). All nurses must understand the price of war experienced by U.S. service members and their families, and in particular, the invisible wounds of war. PMID- 21061781 TI - A life changing journey. From the PSNA Summit to Landstuhl Regional Medical Center. PMID- 21061782 TI - Through the wire: taking advantage of online education while caring for detainees. PMID- 21061783 TI - Understanding the impact of war zone experiences: a primer for civilian nurses. AB - As the United States continues to fight the war on terror in Iraq and Afghanistan, the number of personnel deployed to war zones and transitioning back to the home front increases. After nine years of intensive experience, military nurses have learned much about the needs of wounded warriors in critical care settings and in acute phases of recovery. Several articles have been published about the lessons learned and skill sets needed by military nurses in critical care settings. The unique nature of the volunteer military, along with the deployment of reservists and contractors to war zones, has created a sub population of individuals who have transitioned or are transitioning back into their civilian world. Nurses involved in primary care and emergency nursing can learn much from the experiences of those nurses who have worked with traumatic brain injuries (TBI), posttraumatic stress disorders (PTSD), alcohol abuse and substance abuse as ineffective coping mechanisms and risks of suicide. The purpose of this article is to share some of the lessons learned by military and veterans administration healthcare workers with civilian nurses. PMID- 21061784 TI - Effectiveness of childhood lead poisoning prevention program in rural western Pennsylvania. PMID- 21061785 TI - Taking an active role in the policy arena: communication is key. PMID- 21061786 TI - Pregnancy-associated breast cancer--a review analysis. AB - The aim of the present review was to assess the relationship between pregnancy and/or lactation and breast cancer, the influence of pregnancy on mortality and prognosis of the disease, the consequences of breast cancer to the current pregnancy and also to discuss the future perspective for women's fertility. MATERIALS AND METHODS: Articles were obtained from Medline (1988 present) using as keywords breast cancer, pregnancy, breastfeeding, lactation, carcinoma and pregnancy. RESULTS: Unfortunately, delays in diagnosis and treatment are common during pregnancy and the prognosis is thus worsened. Nulliparity, early menarche and late age at first pregnancy are associated with increased risk for breast cancer. Breastfeeding confers a protective effect on risk of breast cancer, which appears to be related to the duration of breastfeeding. In cases of advanced metastatic disease during the first 14 to 15 weeks of pregnancy when chemotherapy is necessary for prompt treatment, termination of pregnancy may be proposed, particularly if the patient is ER-positive. Modified radical mastectomy is probably the procedure most frequently used today. In general chemotherapy should be delayed until after 14 to 15 weeks of gestation and radiation should be reserved until post delivery. Several authorities generally advise that future pregnancy should be delayed for at least two years after breast cancer treatment. CONCLUSION: Breast cancer has an equivalent prognosis in pregnant and non pregnant patients when matched by age and stage at diagnosis. Women are invariably best treated by multidisciplinary teams. PMID- 21061787 TI - Concomitant expression of HER2 and HIF-1alpha is a predictor of poor prognosis in uterine cervical carcinoma treated with concurrent chemoradiotherapy: prospective analysis (KGROG0501). AB - BACKGROUND: In previously reported retrospective analyses of uterine cervical carcinoma cases, HER2 was correlated with poor radiation sensitivity and poor treatment outcomes and HIF-1alpha was found to be an indicator of poor prognosis. To date, no prospective studies have been performed to evaluate the radiation sensitivity and treatment outcomes of patients with uterine cervical carcinoma relative to HER2 and HIF-1alpha expressions. We conducted a prospective evaluation of HER2 and HIF-1alpha in cases of locally advanced uterine cervical carcinoma treated with concurrent chemoradiotherapy. METHODS: Between June 2005 and April 2008, 25 patients with locally advanced uterine cervical carcinoma were registered in this study, KGROG0501. Their clinical stages were Ib2/IIb/IIIb/IVa in 1/2/22/1 cases, respectively. Nineteen cases had squamous cell carcinoma and six had adenocarcinoma. HER2 expression and HIF-1alpha expression were analyzed using an immunohistochemical kit on pretreatment biopsied specimens. HIF-1alpha expression was studied using another commercial immunohistochemical kit on pretreatment biopsied specimens. The survival rates were compared between patients with and without positive HER2 and HIF-1alpha expressions. RESULTS: The 20-month survival of HER2(-) and HIF-1alpha(-) cases (n = 6) was 100% and that of HER2(+) and HIF-1alpha(+) cases (n = 4) was 37.5% (p = 0.0032). CONCLUSIONS: In this first prospective analysis of patients with uterine cervical carcinoma treated with concurrent chemoradiotherapy, concomitant expression of HER2 and HIF 1alpha was suggested to be a strong indicator of poor prognosis. A novel therapy including molecular targeted therapy such as anti-HER2 and anti-HIF-1alpha may be worth considering in patients with concomitant expression of HER2 and HIF-1alpha. PMID- 21061788 TI - Follow-up in a long-term randomized trial with neoadjuvant chemotherapy for squamous cell cervical carcinoma. AB - OBJECTIVE: To assess the role of neoadjuvant chemotherapy to achieve radical surgery in a larger number of patients with locally advanced/or bulky Stage IB cervical carcinoma. We conducted a trial to determine whether neoadjuvant chemotherapy would improve disease-free survival and overall survival in Stage IB III cervical cancer. DESIGN: Prospective randomized clinical study with long-term follow-up. SETTING: Department of Gynecology, Perinatology and Child Health, II Faculty University of Rome "La Sapienza". METHODS: 288 patients with squamous cell carcinoma of the uterine cervix, FIGO Stage IB-IIIB were randomized to one of the following treatments: three courses of neoadjuvant chemotherapy with cisplatin, vincristine, bleomycin (NACT arm; n = 159); conventional surgery or exclusive radiotherapy (CONV arm; n = 129). There was no difference in age, FIGO stage, tumor size and lymph node involvement between the two groups (p = ns). Two hundred and thirty-four patients in Stage IB-IIb (n = 129 NACT arm and n = 105 CONV arm) and 24 patients in Stage III (NACT arm) who proved to be chemosensitive underwent radical hysterectomy. Six Stage III patients, non responders to chemotherapy, and 24 patients, Stage III of the CONV arm, underwent radiotherapy. Follow-up extended for seven years. RESULTS: The study was performed on disease free survival related to several prognostic factors: age, FIGO stage, tumor size, grading, parametrial involvement, lymph node status and surgical margins. Recurrence of disease occurred in 49 (32.1%) patients of the NACT arm (n = 153) and in 39 (37.1%). patients of the CONV arm (n = 105). Statistically significant differences in the recurrence of the disease were related to FIGO stage (p < 003), grading (p < .05), parametrial involvement (p < .002) lymph node status (p < .0001) and tumor size (p <.002). No statistical significance was related to age and surgical margins (p = ns). Disease-free and overall survival in the two groups were, respectively, 65.4% vs 53.5% (p = ns) and 70.4% 65.9% (p = ns). PMID- 21061789 TI - Late toxicities in concurrent chemoradiotherapy using high-dose-rate intracavitary brachytherapy plus weekly cisplatin for locally advanced cervical cancer: a historical cohort comparison against two previous different treatment schemes. AB - PURPOSE: To determine the long-term toxicity of concurrent chemoradiotherapy (CCRT), using high-dose rate intracavitary brachytherapy (HDRICB) compared to radiation (RT) alone in patients with advanced cervical cancer using a control cohort study. METHODS: A total of 332 cases of Stage IIB-III disease were included in this comparative study. Seventy-three patients were treated with a 3 insertion schedule and labeled group A, whereas the other 146 patients with a 4 insertion schedule became group B. One hundred and thirteen patients treated by a 4-insertion protocol with concurrent weekly cisplatin were labeled group C. RESULTS: The cumulative rate of grade 2 or above rectal complication was 13.7% for group A, 9.6% for the group B and 15.9% for group C (p = 0.76), whereas the grade 3 to 4 non-rectal radiation-induced intestinal injury was 6.8% for group A, 6.2% for group B and 9.7% for group C (p = 0.20). Grade 2 to 4 late bladder toxicity was higher in group C, with the cumulative rate being 5.5% for group A, 4.8% for group B and 15.0% for group C (p = 0.004). The independent factor for a rectal complication was the occurrence of a bladder complication (p = 0.01, hazard ratio 3.06). The independent factors for bladder complications were the use of CCRT (p = 0.01, hazard ratio 2.08), and the occurrence of rectal complications (p = 0.02, hazard ratio 2.77). CONCLUSIONS: When treating advanced cervical cancer, HDRICB consisting of four 6 Gy insertions and weekly cisplatin shows a trend of increasing late bladder complications. The interval between drug administration and HDRICB should be kept long enough to avoid any synergistic effect of both regimens. PMID- 21061790 TI - Isolated groin recurrence in vulval squamous cell cancer (VSCC). The importance of node count. AB - OBJECTIVE: To determine whether there is a node count which can define an adequate inguinofemoral lymphadenectomy (IFL) in primary VSCC. METHODS: A retrospective and prospective review of patients with node negative VSCC who had a full staging IFL. Detection of isolated groin recurrences (IGR) would allow groins with higher risk of groin recurrence to be identified. RESULTS: The median node count of 228 IFLs in 139 patients was eight (0-24). There were six IGR (4.3%). Increased rate of IGR was present in patients with increased age, tumour diameter and depth of invasion, lymphovascular space invasion, unilateral IFL, and moderate/poor tumour grade. In the 138 groins with node counts of eight or greater there were no IGRs compared to six in the patients with either undissected groins or groin node counts less than eight (p = 0.030) Interval to IGR was significantly shorter than other sites of recurrence. Both disease specific and overall survival were significantly reduced in IGR. CONCLUSIONS: An inadequate IFL is a nodal count of less than eight per groin; both these groins and undissected groins are at increased risk of IGR and should have close surveillance. PMID- 21061791 TI - The association of preoperative thrombocytosis with prognostic factors in malign ovarian tumor. AB - PURPOSE: We assessed the association of preoperative thrombocytosis with prognostic factors in malign ovarian tumor. METHODS: Over a five-year period, cases treated for ovarian cancer were randomly assigned. The data were collected from gynecological oncology, radiation oncology, medical oncology and pathology departments. Statistical analyses were carried out by using the statistical packages for SPSS 12.0 for Windows (Chicago, IL, USA). Survival was analyzed by the method of Kaplan and Meier, using log-rank (Mantel-Cox) analysis. RESULTS: 51 cases with ovarian cancer were evaluated. Cases with thrombocytosis were found to have greater CA-125 levels, more advanced stage disease, more ascites and shorter periods of survival. CONCLUSION: Thrombocytosis is a poor prognostic factor in ovarian cancer. As reported previously, it is associated with aggressive tumor biology. Thus, preoperative thrombocytosis can be a used as a marker of poor outcomes. PMID- 21061792 TI - Initial analysis of relationship between plasma platinum concentration and hematological adverse reaction associated with weekly chemotherapy using nedaplatin in combination with radiotherapy for cervical carcinoma. AB - PURPOSE: Established therapeutic guidelines for cervical carcinoma recommend concurrent chemo- and radiotherapy as standard treatment for locally advanced cervical carcinoma. Nedaplatin (CDGP) is a platinum agent developed in Japan that is less nephrotoxic than cisplatin (CDDP), but with equivalent antitumor potency. In the standard dosage regimen for cervical carcinoma, CDGP is administered once every four weeks (monthly regimen). We investigated the efficacy and safety of a new dosage regimen, in which CDGP was administered once weekly for five weeks (weekly regimen). METHODS: We measured plasma platinum concentration of patients after administration of CDGP, and analyzed the relationship between plasma platinum concentration and hematological adverse reactions such as thrombocytopenia and leucopenia. RESULTS: The relative rates of change in platelet and white blood cell counts tended to increase as the plasma concentration of platinum increased. Furthermore, the rate of change in platelet counts in relation to the area under the curve was greater for the monthly regimen as compared to weekly. On the other hand, the relative rates of change in WBC were nearly the same between the regimens. CONCLUSIONS: These findings indicate that when using chemotherapy with CDGP for a patient with a cervical carcinoma, a weekly regimen might reduce the severity of thrombocytopenia, while still exhibiting the same therapeutic efficacy as the monthly regimen. PMID- 21061793 TI - Expression of cytokines in cervical stroma in patients with high-grade cervical intraepithelial neoplasia after treatment with intralesional interferon alpha-2b. AB - Conservative treatment with interferons (IFNs) has the advantage of preserving reproductive capacity in patients with grade 2 or 3 cervical intraepithelial neoplasia (CIN). The objective of this work was to study patients with high-grade CIN treated with intralesional IFN alpha-2b and to analyze the expression of Th1, Th2 and Th3 cytokines in cervical stroma. We observed that patients with a satisfactory response (60%) to treatment with IFN alpha-2b expressed more Th1 (IFN-gamma TNF-alpha, IL-2) cytokines, with a significant reduction in the viral load of high-risk human papillomavirus (p = 0.0313). All patients with therapeutic failure were smokers and had higher expression of cytokines Th2 (IL 4) or Th3 (TGF-beta2 and TGF-beta3). PMID- 21061794 TI - Immunohistochemical evaluation and lymph node metastasis in surgically staged endometrial carcinoma. AB - OBJECTIVE: To assess the expression of immunohistochemical markers in surgically staged endometrial cancer patients. METHODS: We studied 107 cases of primary untreated endometrial carcinoma in which the p53, bcl-2, her-2/neu, Ki-67, estrogen receptor (ER) and progesterone receptor (PR) antigens were investigated by an immunohistochemical method. In the last 50 consecutive patients immunoreactivity for MMP-7 and MMP-26 was assessed as well. We evaluated the correlations among the immunohistochemical staining assessed by histoscore, and the age, grading, depth of invasion, stage of the neoplasia and extrauterine disease. RESULTS: Mean age was 65 years (range 34-88). All patients were submitted to total abdominal or modified radical vaginal hysterectomy plus bilateral salpingo-oophorectomy and systematic pelvic lymphadenectomy; p53, bcl 2, her-2/neu, Ki-67, MMP-7, MMP-26, estrogen and progesterone receptors were positive in 36 (43%), 71 (86%), 13 (16%), 80 (96%), 65 (78%), 80 (96%), 61 (73%) and 71 (86%) patients, respectively. p53 overexpression was found to be related to poor grade of differentiation and deep myometrial invasion. Immunostaining for ER was inversely related to the histopathological differentiation of the tumors. Decreased expression of PR was related to advanced stage, poor histopathologic differentiation and extrauterine spread of disease. CONCLUSION: The overexpression of p53 seems to indicate more malignant phenotype, while PR expression correlates with parameters of better clinical outcome. PMID- 21061795 TI - The relationship between bcl-2 oncogene expression and clinicopathological criteria in various stages of cervical neoplasia in Egyptian women. AB - PURPOSE: To assess the degree of bcl-2 expression in the various stages of cervical neoplasia in a sample population of Egyptian women and relate the findings to clinicopathological criteria of invasive cervical cancer. METHODS: Bcl-2 protein expression was assessed by immuno-histochemistry in 40 patients with cervical neoplasia (intraepithelial and invasive) in comparison to 20 patients with benign changes. Patients with invasive disease were followed up 2 years later and the outcome was correlated to the bcl-2 status at the time of diagnosis. RESULTS: Bcl-2 expression increased from 20% in normal cervical tissue to 42.9% in cervical intraepithelial neoplasia grade II then dropped to 33% in invasive disease. Bcl-2 was not expressed (0%) in patients with advanced disease stage and grade nor in patients with lympho-vascular space invasion. CONCLUSION: Bcl-2 expression is reduced along the spectrum from benign towards invasive disease of the cervix. The maximum expression found in CIN II may suggest increased potential of progression to CIN III. PMID- 21061796 TI - TP53 gene polymorphisms and breast cancer in Croatian women: a pilot study. AB - A case-control retrospective association study was conducted to investigate a possible association of the TP53 polymorphisms, Arg72Pro and PIN3 (+16bp), with sporadic breast cancer in Croatian women. Ninety-five women with breast cancer and 108 age-matched healthy women were analyzed. Arg72Pro polymorphism was detected by TaqMan essay. For designation of PIN3 (+16bp) polymorphism DNA amplification was performed by the polymerase chain reaction (PCR) while the PCR products were detected by capillary electrophoresis. Homozygous genotype of minor allele of the PIN3 (+16bp) polymorphism was associated with sporadic breast cancer (OR = 2.15, 95% confidence interval [CI] 1.80-2.56, p = 0.006). For Arg72 polymorphism, the odds ratio for breast cancer of ArgPro versus reference genotype ArgArg was 0.55 (95% CI 0.30-1.02, p = 0.039) suggesting the protective effect. Although different haplotypes did not influence the susceptibility to the disease, the joint occurrence of genotype combination ProPro/A2A2 frequent in cases, was associated with sporadic breast cancer (OR = 2.20, 95% CI 1.89-2.56, p = 0.021). The study provides evidence of the association of the TP53 gene polymorphisms Arg72Pro and PIN3 (+16bp) with sporadic breast cancer in the Croatian population. PMID- 21061797 TI - Adjuvant chemotherapy versus radiation therapy after radical surgery in high-risk positive node stage IB/IIA cervical cancer. AB - OBJECTIVE: The aim of this study was to evaluate whether the addition of adjuvant chemotherapy will improve the outcomes of high-risk patients with Stage IB, IIA squamous cervical carcinoma with positive pelvic and/or aortic nodes. MATERIALS AND METHODS: 127 patients with Stage IB and IIA cervical carcinoma treated with radical hysterectomy and systematic pelvic/aortic lymphadenectomy (RS) and who had lymph node involvement, confirmed at the final histological examination were enrolled from January 1987 to December 2001. All the patients received three cycles of adjuvant chemotherapy (AC) with cisplatin, bleomycin and vinblastine. The median patient age was 47.3. Seventy-seven patients had FIGO Stage IB1, 26 IB2 and 24 IIA. The results were compared with those obtained from a group of 136 patients with comparable age, stage and lymph node involvement, on whom radical surgery, systematic pelvic/aortic lymphadenectomy (RS) and adjuvant radiotherapy (RT) was performed on period 1971-1984. The followup period ranged from 7-13 years. RESULTS: Overall survival rate of the two groups (RS+AC) vs (RS+RT) at seven years was 69.3% and 59.5%, respectively (chi2 = 2.70; p = .10). Progression free survival was 59.8% vs 50.0% (chi2 = 2.56; p = .10 ns). The best results were however obtained with the common iliac and over two lymph node metastases. CONCLUSIONS: Adjuvant chemotherapy in high-risk patients for lymph node positivity did not produce statistically significant results in terms of overall and disease-free survival vs adjuvant radiotherapy; however, a group of these patients, approximately 10%, could receive benefit from the treatment. PMID- 21061798 TI - Vulvar cancer: prognostic significance of the clinicopathological characteristics. AB - Vulvar cancer is a relatively uncommon neoplasm of the female reproductive system. The aim of this retrospective study was the analysis of the pathologic and clinical characteristics of vulvar cancer and their prognostic significance. During the period January 1996 to December 2005, 82 patients were treated for a vulvar malignancy. The management was surgical. PMID- 21061799 TI - Clinical evaluation of vulvar lichen sclerosus: case series. AB - INTRODUCTION: Vulvar lichen sclerosus is a chronic dermatitis which is located in labial, perineal and perianal areas. The etiology of lichen sclerosus is multifactorial including genetic, autoimmune, hormonal and infectious aspects. MATERIALS AND METHODS: A retrospective analysis was carried out of the medical records of 82 patients who were suffering from pruritus vulva. All patients had vulvar biopsy-proven diagnosis of lichen sclerosus. RESULTS: Sixty-six of patients (80.4%) were in the postmenopausal period and 16 patients (19.5%) were in the premenopausal phase. Fifteen patients (18.2%) had thyroid disease, six had (7.3%) diabetes mellitus, five had (6.09%) asthma and five patients had (6.09%) other autoimmune diseases. Lichen sclerosus was most commonly located on the labia majora--58 cases (70.7%). Sixty-four patients (78.04%) had used only potent corticosteroid therapy as the sole treatment. CONCLUSION: The first-line treatment is topical-potent or ultra-potent corticosteroids in the treatment of lichen sclerosis. Vulvar lichen sclerosis may be associated with autoimmune and thyroid diseases. PMID- 21061800 TI - Comparative findings of oncogenic cervical risk and its follow-up in two different periods 1982-1999 and 2000-2007. AB - During the 2000-2007 period, my group and I reviewed the cases of 3,036 patients undergoing routine colposcopies, cytology and cervical biopsies for those cases that merited it, and a 20% increase was found in lesions defined as dysplasias as compared with those found in the studies of the previous century. This is something that reaffirms the association with HPV by 96.5% versus 80% the last century. In relation to HPV infection, we found that the "pure" form was not only reached but rather surpassed by the findings associated with cancer and dysplasia in the years 2005-2006, a behavior considered irregular as compared to the usual. The majority of the patients were guided toward conservative local treatments, among which cryosurgery and cauterization prevailed as choices, and their evolution resulted in an 81% cure (considered as destruction of the lesion and negativity of the cytology and eventual biopsy). It can be concluded that the high vulnerability of the virus, in spite of its great aggressiveness as an oncogenic risk (OR) to a cervical-uterine lesion, can be supported by the high degree of lesions diagnosed by OR. PMID- 21061801 TI - Evaluation of LOOP electrosurgical excisional procedure: case series. AB - OBJECTIVE: To evaluate the loop electrosurgical excisional procedure (LEEP) which is performed to treat high-grade cervical intraepithelial lesions (HGSIL). MATERIAL AND METHODS: Twenty-one cases diagnosed as LGSIL and HGSIL after histopathological examination were included in the study among patients who had cervical colposcopy-directed biopsies after an abnormal cytology report at Ege University School of Medicine, Obstetrics and Gynecology Department between the years of 2007 and 2009. The patients underwent LEEP or LEEP-cone procedures. RESULTS: The patients with cervical smear results of ten ASCUS, eight LGSIL, and three HGSIL underwent colposcopy-guided punch biopsies. Those with the result of CIN 1 and CIN 2 underwent LEEP or LEEP-cone procedures. Pathologic examination correlated with biopsy results and surgical margins were all negative. All patients were followed-up with cervical cytology. CONCLUSION: LEEP and LEEP-cone procedures are therapeutic procedures in cervical intraepithelial lesions. PMID- 21061802 TI - Systemic chemotherapy--before or after radical surgery in treatment of patients with advanced ovarian carcinoma? AB - PURPOSE OF INVESTIGATION: The aim of the study was to analyze whether application of systemic chemotherapy prior to radical surgery in patients with advanced ovarian carcinoma influences the length of the progression-free period and overall survival rate. METHODS: This study analyzes two groups of patients in the period 2006-2009. The first group received systemic chemotherapy prior to radical surgery. The second group first had radical surgery after which systemic chemotherapy was administered. The therapeutic response to systemic chemotherapy was analyzed as well as progression-free survival and overall survival which was calculated according to the Kaplan-Meier method and compared using the log rank test. RESULTS: Statistical analysis showed that patients who were administered systemic chemotherapy prior to radical surgery have significantly better progression-free survival as well as overall survival. Progression-free survival in patients who were first treated with systemic chemotherapy after which radical surgery followed was equal to 28 months and was significantly longer (p = 0.001) than progression-free survival in patients who were first subjected to radical surgery; it equaled 13 months, while the overall survival equaled 43 and 36 months, respectively. CONCLUSION: Application of systemic chemotherapy prior to radical surgery has a significant influence on the length of the progression-free period and on the length of overall survival of patients affected by advanced ovarian cancer. PMID- 21061803 TI - Normal-sized ovarian papillary serous carcinoma: a case report. AB - A normal-sized ovarian papillary serous carcinoma is rare. We present the case of a 46-year-old woman with progressive abdominal fullness of one week's duration. The medical evaluation revealed abdominal carcinomatosis with normal-sized ovaries and an elevated serum CA-125 level of 147,365.8 U/ml. Cytoreductive surgery (hysterectomy, bilateral salpingo-oophorectomy, omentectomy, lymphadenectomy, infracolic omentectomy, peritoneal biopsy, washing cytology, and appendectomy) was performed. The histologic examination revealed an ovarian serous papillary carcinoma. Adjuvant chemotherapy was administered. The serum CA 125 level decreased after completion of treatment. Normal-sized ovarian serous surface papillary carcinomas should be kept in mind as an origin of disease in patients who have peritoneal carcinomatosis, which sometimes is a diagnostic dilemma of the disease source. We report this case to emphasize the clinical symptoms and importance of the early and accurate diagnosis of a normal-sized ovarian papillary serous carcinoma. PMID- 21061804 TI - Placental site trophoblastic tumor presenting as a friable cervical mass. AB - PURPOSE OF INVESTIGATION: Placental site trophoblastic tumor (PSTT) is a rare variant of gestational trophoblastic neoplasia (GTN) and primarily composed of intermediate trophoblasts. In contrast to other forms of GTN, PSTT presents with only mildly elevated levels of beta-hCG and immunohistochemical staining of tissue samples is a helpful tool for diagnosis. CASE AND RESULTS: A 38-year-old gravida 3, parity 3 female presented to the emergency department after three weeks of abnormal vaginal bleeding. The uterus was mildly enlarged, midline, and mobile with minimal discomfort. A necrotic, friable mass was protruding through the cervical os and biopsies were obtained. The serum beta-hCG was 13 mIU/ml. Computed tomography revealed a mass within the endometrial cavity and cervix but no significant lymphatic adenopathy or metastasis. Immunohistochemical staining was positive for cytokeratin AE1/AE3, E-cadherin, human placental lactogen (hPL), and alpha inhibin. Surgery was considered curative. CONCLUSION: PSTT presenting as a friable cervical mass is uncommon. Biopsies of this mass lead to the correct diagnosis. Several immunohistochemical stains are suggested in the literature to evaluate for PSTT. Clinically, it is prudent for physicians to differentiate PSTT from other forms of GTN because of the poor response of PSTT to chemotherapy. PMID- 21061805 TI - Villoglandular papillary adenocarcinoma of the uterine cervix diagnosed during pregnancy. AB - Villoglandular papillary adenocarcinoma (VPA) is a very rare subtype of adenocarcinoma of the uterine cervix but a well recognized variant of cervical adenocarcinoma with a favorable prognosis generally occurring in women of child bearing age. Only five cases of VPA and pregnancy have been reported. Herein, we report a case of VPA diagnosed during pregnancy and this patient delivered a healthy baby. A successful pregnancy can be completed in patients with VPA without lymph-vascular invasion, when treated conservatively. This management is particularly desirable in young women to preserve reproductive capability. PMID- 21061806 TI - Granulosa cell tumor and endometrial cancer: a case report and review of the literature. AB - Granulosa cell tumors (GCTs) of the ovary are an uncommon type of ovarian cancer, representing only 2-5%. Frequently, their tumoral cells present some features of normal granulosa cells, like hormonal production. As a consequence, this neoplasia can be diagnosed either by common ovarian cancer symptoms or endometrial pathologies due to an estrogenic effect. Symptoms caused by estrogen production can also give rise to different clinical manifestations depending on whether they appear in postmenopausal or young women. In the case we present below, a patient was referred for presenting postmenopausal bleeding of one year's duration. Once endometrial cancer was diagnosed and subsequently staged, an ovarian mass was detected. We report an atypical case of ovarian cancer with the aim of reviewing the clinical features of GCT, as well as its prognosis, treatment and follow-up recommendations, according to the available literature. PMID- 21061807 TI - Hysteroscopic diagnosis of a high-grade endometrial sarcoma in a 41-year-old woman. AB - Endometrial stromal sarcomas originate in the endometrial cavity. These tumors represent between 15-27.9% of uterine sarcomas. We present the case of a 41-year old woman who underwent surgical hysteroscopy for metrorrhagia over a period of one month who had an intrauterine polypoid mass detected by ultrasonography. Histologic analysis of the polypoid mass removed by hysteroscopy was a high-grade endometrial stromal sarcoma of the uterus. The description of this case provides an opportunity to review the literature on uterine sarcomas diagnosed by surgical hysteroscopy. PMID- 21061808 TI - Use of surgical sealant in debulking surgery for advanced ovarian carcinoma--case report. AB - Haemostatic sealants are frequently used in gynaecological surgery. Several commercial products are available with similar mechanisms of action and chemical structure. We report the use of Floseal in a laparotomy for ovarian cancer to achieve haemostasis. This is the first reported case with the successful use of Floseal in gynaecological oncology. PMID- 21061809 TI - Endometroid adenocarcinoma of the uterus, borderline tumor of the ovary and Brenner tumor of the contralateral ovary in a 63-year-old woman. AB - Synchronous primary cancers of the endometrium and ovary occur in approximately 10% of all women with ovarian cancer and 5% of all women with endometrial cancer. The pathogenesis of synchronous endometrial and ovarian cancer is unclear. Synchronous tumors tend to be low grade and early stage. The prognosis is much better with survival approaching ten years than if the disease was classified as a single organ disease with metastasis. We report a case of unusual co-existence of endometroid adenocarcinoma of the uterus, serous borderline tumor of the ovary and Brenner tumor of the contralateral ovary in a 63-year-old woman. The patient recieved a surgical treatment and postoperative irradiation. PMID- 21061810 TI - Exaggerated placental site mimicking placental site trophoblastic tumor: case report and literature review. AB - Exaggerated placental site is defined as a non-neoplastic trophoblastic lesion featuring exuberant infiltration into the endometrium and myometrium by intermediate trophoblasts and syncytiotrophoblasts. Exaggerated placental site can occur following normal or ectopic pregnancy, abortion, or hydatidiform mole. We encountered a case of reactive exaggerated placental site seven months following normal pregnancy that clinically mimicked placental site trophoblastic tumor. Few reports have described the clinical course, histopathology and differential diagnosis of exaggerated placental site; we present our patient's case together with histopathological observations and review of related literature. PMID- 21061811 TI - Late recurrence of malignant melanoma mimicking ovarian malignancy. AB - BACKGROUND: Malignant melanoma (MM) is an extremely malignant tumor with an unpredictable profile of spread and variable periods of remission. CASE: We describe an unusual case of malignant melanoma metastatic to the omentum occurring seven years after diagnosis and treatment of cutaneous malignant melanoma in the patient's arm. She received surgery and chemoimmunotherapy. To date, nine months after detection of malignant melanoma metastatic to the omentum, the patient is alive with no clinical and radiological metastatic disease. CONCLUSIONS: The diagnosis of omentum malignant melanoma in a living patient is uncommon, thus very few individuals and referral centers can build up an adequate experience of handling this disease. Optimal management has been a challenge and a subject of debate and has not yet been established. PMID- 21061812 TI - Bone metastasis arising from a polyp of the cervix as the first symptom in generalized multi-organ adenocarcinoma. AB - Our patient was a 40-year-old female with a positive familial history for malignancies but no chronic diseases. After two vaginal deliveries without any reported difficulties, the patient had no intermenstrual bleeding, postcoital bleeding, leucorrhea or hypermenorrhea, abnormal vaginal bleeding, or postmenstrual bleeding, except during the past five-year period when a polyp-like change in the cervix was found. There was no indication for polypectomy, considering the fact that the patient had no symptoms, had an iodine positive Schiller test, as well as regular cytological smears on Papanicolaou testing. It is noteworthy that the patient had no symptoms until changes in the stool and painful sensation in the hip area. The patient was subjected to extensive surgery by a team composed of a gynecologist, surgeon and orthopedist. During Werthaim Meigs surgery, four positive glandules and cervical adenocarcinoma Stage II were found. The colon was removed, as a right hemicolectomy, as well as the iliac bone upper segment. Unfortunately, considering the changes in the tissue of the colon and cervix, we considered the condition to be "generalized" adenocarcinoma. PMID- 21061814 TI - Ronald Werthman on collaboration. PMID- 21061813 TI - The clinical significance of HPV screening in premalignant cervical lesions. AB - PURPOSE: We evaluated the clinical significance of human papilloma virus (HPV) screening in premalignant cervical lesions. METHODS: This prospective study was performed at Dicle University, School of Medicine, Department of Obstetrics and Gynecology, from January 2009 to June 2009. A total of 60 cases were evaluated. Thirty cases had premalignant cervical lesions. The prevalence of HPV was analyzed by polymerase chain reaction and types determined by Hybrid Capture II. The cases that had premalignant cervical lesions were evaluated with colposcopy. Statistical analyses were carried out by using the statistical packages for SPSS version 12.0 for Windows (Chicago, IL, USA). RESULTS: Of all the cases, those with premalignant cervical lesions had higher prevalence of HPV DNA. The cases that had high oncogenic HPV type had more abnormal colposcopic findings. CONCLUSION: Premalignant cervical lesions should be evaluated by cervical cytology, colposcopy, HPV DNA screening and cervical tissue sampling. In this way, development of cervical cancer can be prevented. PMID- 21061815 TI - Comparative effectiveness research: so far, so good. PMID- 21061816 TI - Reducing variance in nursing practice. AB - Nursing and finance leaders can encourage evidence-based nursing by: Investing in best practice procedure products. Providing continuing education to nursing care teams. Encouraging accountability--and empowerment. PMID- 21061817 TI - Developing a revenue integrity improvement plan. AB - A revenue integrity plan should address five key areas: Accuracy of patient information. Verification of payer information and policies. Accuracy of documentation. Processing of claims. Accuracy of payment. PMID- 21061818 TI - Will healthcare reform work? AB - Providers should support efforts to reorganize the healthcare delivery system by undertaking four key market-centric activities: Improve customer service. Develop a deeper understanding of utilization patterns and the health statuses of the populations they serve. Build patient engagement. Help patients understand value in health care. PMID- 21061819 TI - Coverage and costs: lessons learned from the U.K. health system. AB - Healthcare costs in the United Kingdom are growing at an unsustainably fast pace while public dissatisfaction with the system continues to increase. Learning from the United Kingdom's experience, the U.S. healthcare system should focus less on shifting costs and more on the value of care delivered. To achieve meaningful cost control, U.S. providers and payers will need to focus on results, technology, and prevention. PMID- 21061820 TI - HFMA's reform resources. PMID- 21061821 TI - Solving the ACO conundrum. AB - Hospitals contemplating developing an accountable care organization (ACO) will be rewarded for improving quality and reducing waste, but a chief strategic concern is the fear of losing volume. Hospital financial leaders considering an ACO should conduct a detailed financial analysis that examines the net impact of both shared ACO savings and hospital volume changes. A key part of this analysis should be an assessment of the organization's prospects for using the ACO to increase market share. PMID- 21061822 TI - Realizing the full financial benefits of true integration. AB - True health system integration can produce many direct and indirect financial benefits beyond operating cost savings through functional and service centralization or consolidation. These additional benefits of a strong integration strategy include: Improved market position. Expanded continuum of care. Increased scope of services. Improved healthcare quality and organizational performance. PMID- 21061823 TI - 7 strategies for improving HITECH readiness. AB - There are seven strategies healthcare organizations should adopt to prepare for implementation of the Health Information Technology for Economic and Clinical Health Act (HITECH): Build HITECH awareness. Invest in a transformation infrastructure. Build clinical informatics expertise. Develop a business intelligence strategy. Invest in physician business services infrastructure. Explore a medical trading area health information exchange. Design an e-strategy for engaging patients. PMID- 21061824 TI - The value equation. AB - Effective approaches for increasing the value of care and services provided include the following: Developing a clinical quality value analysis model to help determine areas where expenses could be reduced while supporting high-quality, cost-effective care. Predicting what health care will look like 10 years from now and developing strategies that will position the organization to meet the challenges ahead. Integrating with physicians to improve the quality and efficiency of care. PMID- 21061825 TI - Recover costs of care with community partners. AB - Hospitals that partner with community agencies can take the following steps to obtain Medicaid and Medicare reimbursement not available otherwise: Contact agencies that assist homeless disability applicants. Assess whether the hospital will recover otherwise lost costs. Form and fund partnerships with community agencies that will reimburse much more than costs. PMID- 21061826 TI - Meaningful use update. AB - Definitions, standards, and requirements for meaningful use are still evolving. The Centers for Medicare & Medicaid Services has established a five-year timeline for meeting meaningful use requirements. Providers should determine their meaningful use strategy as soon as possible and establish a clear Health Information Technology Economic and Clinical Health Act road map. PMID- 21061827 TI - The path to transformation: the future starts now. PMID- 21061828 TI - Looking to grants to obtain additional capital in a capital-constrained market. PMID- 21061830 TI - Hospitals sustaining margins by keeping expenses in line with revenues. PMID- 21061829 TI - Patient Friendly Billing Project: Developing a culture of revenue cycle excellence. PMID- 21061831 TI - Biochemical studies on antioxidant and oxidant activities of some plant extracts. AB - BACKGROUND: Many changes can occur in proteins, including amino acid modification, fragmentation, changes in absorption and fluorescence spectra and others. All these modifications can be used as markers of protein damage by free radicals. AIM OF THE WORK: The aim of the present study was to investigate the antioxidant activities of the aqueous extracts of dry green of pods Phaseolus vulgaris, leaves of Olea europaea, unripe fruits of Bitter melon and leaves of Morus nigra. The pro-oxidant activities of the aqueous extracts of the above plants towards protein and estimation of some markers of the protein oxidation were also investigated. METHODS: The antioxidant activities of the above plants extracts, such as superoxide dismutase (SOD)- like and scavenging of diphenyl picrylhydrazyl (DPPH) radicals were observed. A soluble protein (bovine serum albumin: BSA) was incubated with different concentrations of the aqueous extracts of the plants of the present study. An aliquot from this mixture was used for sodium dodecyl sulphate/polyacrylamide gel electrophoresis (SDS-PAGE). Oxidative protein damage was assessed as tryptophan oxidation, carbonyl, quenone and advanced oxidation protein products (AOPP) generation in BSA in separate aliquots of the mixture. RESULTS: All the plant extracts of this study had an antioxidant activity, but the aqueous extracts of both Olea europaea and Morus nigra leaves showed the highest antioxidant activities. In addition only the extracts of the Olea europaea and Morus nigra leaves showed highly oxidative fragmentation on BSA, but not the other plant extracts, which was evaluated by sodium dodecyl sulphate/polyacrylamide gel electrophoresis (SDS-PAGE) technique. The increase in protein oxidation products was in concentration dependent manner. The carbonyl, quenone and AOPP contents were highly significantly elevated in Olea europaea and Morus nigra leaves-treated protein when compared to the control protein. The tryptophan fluorescence was also significantly decreased in Olea europaea and Morus nigra leaves-treated protein when compared to the control sample. CONCLUSION: [corrected] These data demonstrate the antioxidant and pro-oxidant activities of the aqueous extracts of the plants examined, while the highly effective are Olea europaea and Morus nigra leaves. The pro-oxidant activity of these plant extracts may be attributed to the unstable state of their phenoxyl radicals. PMID- 21061832 TI - Screening of extracts of algae from Baja California sur, Mexico as reversers of the antibiotic resistance of some pathogenic bacteria. AB - BACKGROUND AND OBJECTIVES: Sixty ethanol extracts of marine flora of Baja California Sur (Mexico) were screened to evaluate the reversing effect of the bacterial resistance to antibiotics in combination with a sublethal concentration of ampicillin or erythromycin. MATERIALS AND METHODS: The activity was assayed by using a modification of the classical agar-diffusion method against 3 resistant, pathogenic bacteria; Escherichia coil (ATCC BAA196), Staphylococcus aureus (ATCC BAA42), and Streptococcus pyogenes (ATCC BAA946). RESULTS: From the 60 ethanolic extracts, 12 (20%) of them in combination with ampicillin were able to reverse the resistance of Staphylococcus aureus and 8 (13%) with erythromycin yielded the same reversal with Streptococcus pyogenes. An extract from Sargassum horridum was the only one that reversed the resistance to antibiotics against both Staphylococcus aureus and Streptococcus pyogenes. CONCLUSIONS: Our findings suggest that some algae may be source of compounds with the potential to reverse the antibiotic resistance of some bacteria. In addition, of the assayed extracts, 35 (57%) showed inhibitory activity against Staphylococcus aureus, 48 (78%) were active against Streptococcus pyogenes, but none was active against Escherichia coil. The most active extracts were from Laurencia spp., Gelidium robustum, Chnoospora implexa, Padina mexicana, Gracilaria subsecundata, and Dictyopteris undulata. PMID- 21061833 TI - Efficacy, tolerability and safety of nebivolol in patients with hypertension and diabetes: a post-marketing surveillance study. AB - BACKGROUND AND OBJECTIVES: Hypertension is a widely prevalent condition of elevated blood pressure (BP) and is the leading risk factor for the development of cardiovascular disease (CVD). Many patients have additional risk factors such as diabetes mellitus (DM) or previous history of CVD. Nebivolol is a third generation beta (beta)-blockers which has been shown not to influence metabolic parameters in patients with DM. This postmarketing surveillance study aimed to collect information on the efficacy, safety and tolerability of nebivolol in hypertensive patients with concomitant DM. PATIENTS AND METHODS: Hypertensive patients with DM followed by 52 cardiologists, internal medicine specialists and general practitioners, between 24 August 2003 and 9 January 2007 in The Netherlands were included in this study. Physicians were asked to survey nebivolol treatment for 6 months. RESULTS: A total of 510 patients were enrolled. Overall, 93.3% of patients were diagnosed with essential hypertension and 6.7% with secondary hypertension. All patients were co-diagnosed with DM. Nebivolol therapy was associated with a significant reduction in both systolic blood pressure (BP) and diastolic BP versus baseline (p < 0.001 for both). These reductions were seen regardless of reason for initiation of nebivolol (i.e. first diagnosis of hypertension, resistance or intolerance to previous antihypertensive medication, or other reasons). A significant improvement in blood glucose was seen at 4 months (-0.6 mmol/L; p = 0.021). Significant reductions in total cholesterol (-1.45 mmol/L; p = 0.006), low density lipoprotein (LDL) cholesterol (-1.32 mmol/L; p = 0.003) and LDL/high density lipoprotein (HDL) cholesterol ratio (-0.77; p = 0.011) were observed at 2 months. No significant changes were seen in HDL cholesterol and triglycerides. CONCLUSION: Nebivolol treatment was associated with a significantly reduced BP, improved blood glucose and LDL cholesterol levels and was well tolerated in hypertensive patients with concomitant DM. PMID- 21061834 TI - Effects of olmesartan vs irbesartan on metabolic parameters and visfatin in hypertensive obese women. AB - BACKGROUND: Angiotensin II regulates the production of adipokines. The objective was to study the effect of treatment with irbesartan versus olmesartan in obese hypertensive women. SUBJECTS: A sample of 34 obese hypertensive women was analyzed in a prospective way with a randomized trial. Patients were randomized to irbesartan (300 mg/day) or olmesartan (40 mg/day) for 3 months. Weight, body mass index, blood pressure, basal glucose, insulin, total cholesterol, LDL cholesterol, HDL-cholesterol, triglycerides, HOMA and visfatin were determined at basal time and after 3 months of treatment. RESULTS: Thirty four patients gave informed consent and were enrolled in the study. A significative decrease in systolic and diastolic blood pressures was reached without changes in weight. Patients treated with olmesartan had a significative decrease of total cholesterol, LDL cholesterol, insulin, HOMA and visfatin levels. Decrease in total cholesterol and LDL cholesterol was similar with both angiotensin receptor blockers. Decrease in insulin (2.28 +/- 2.77 vs 0.66 +/- 4.4 mUI/L: p < 0.05), HOMA (0.69 +/- 1.1 vs 0.48 +/- 1.6 units: p < 0.05) and visfatin (5.16 +/- 13 vs 1.85 +/- 9.1 ng/ml: p < 0.05) levels was higher in olmesartan than irbesartan group. CONCLUSION: The administration of olmesartan improved blood pressure, insulin, HOMA, visfatin and lipid profile in hypertensive obese women. Irbesartan improved blood pressure and lipid levels. PMID- 21061835 TI - Antiinflammatory and antioxidant activities of gum mastic. AB - OBJECTIVES: Pistacia lentiscus has traditionally been used in the treatment of many diseases. Its resin was investigated for its mineral contents, anti inflammatory and antioxidant activities in rats. MATERIAL AND METHODS: Inhibition of carrageenan induced edema was used to evaluate anti-inflammatory activity. Fe2+ chelating ability, 1,1-diphenyl-2-picryl hydrazyl radical (DPPH) and nitric oxide scavenging activities were used to evaluate antioxidant activities and mineral contents were determined by atomic absorption spectroscopy. Gallic acid content was determined by HPLC. RESULTS: Resin produced statistically significant inhibition of edema at all doses when compared to the control groups. A 100% inhibition of inflammation was observed at 800 mg/kg i.p. Resin exhibit no toxicity up to 3 g/kg body weights i.p. in mice. Weak DPPH and nitric oxide scavenging activities were observed but showed good Fe2+ chelating ability (IC50 = 162 microg ml(-1)). The amount of elements was decreased in the order: Cu > Fe, Zn > Mn > Ni, Cd. Gallic acid content was 0.1 mg/g resin. CONCLUSIONS: These experimental data support the use of Pistacia lentiscus resin as an antiinflammatory and antioxidant agent. PMID- 21061836 TI - Clinical trial with bromelain in third molar exodontia. AB - BACKGROUND AND OBJECTIVE: Bromelain is a proteolytic enzyme, particularly effective in the treatment of soft tissue inflammations and traumas, in localized inflammations, especially in presence of hydropsy and also in postoperative tissue reactions. The aim of the present study is to assess the efficacy of bromelain in controlling the edema and its related pain in the inflamed area after upper third molar exodontia. MATERIALS AND METHODS: The effectiveness of our protocol was evaluated by a clinical assessment of the profile of the hemiface corresponding to the treated area: indeed, the linear values of the trago-pogonion distances were measured. Algogens were determined by VAS (Visual Analogue Scale) (Figure 1) with integers ranging from 1 (no pain) to 8 (maximum pain) up to a maximum of 10 (paroxysmal and unbearable pain). RESULTS AND CONCLUSIONS: The obtained results clearly demonstrate the effectiveness of bromelain in treating postoperative edema after third molar surgery. PMID- 21061837 TI - Study of endoleaks after endovascular repair by using MDCTA. AB - PURPOSE: Our purpose was to investigate the multi-detector-row CT angiography (MDCTA) application in endoleak detection. METHODS: Fifty-nine patients that underwent endovascular aneurysm repair (EVAR) of an infrarenal abdominal aortic aneurysm with endoluminal stent graft were retrospectively studied. MDCTA scans were obtained after administration of 110-130 mL of contrast material using a 4-6 mL/sec flow rate. We made unenhanced, arterial (15-20 sec) and delayed (100 sec) acquisitions. For each patient four MDCTA datasets (pre-EVAR, 1, 6, and 12 months follow-up) were obtained. Each examination was studied by two observers. Kappa value was calculated in order to evaluate inter-observer agreement. RESULTS: Twenty-one endoleaks were detected in eighteen patients. Fifteen and eighteen endoleaks were detected by using biphasic arterial CT and biphasic delayed CT respectively (sensitivity of 71.4% and 85.7% respectively). We observed a positive correlation between the presence of endoleak and the increasement in aneurysm size. Interobserver agreement was 88.1% and kappa value was 0.685. CONCLUSIONS: Biphasic CT for endoleak detection is significantly superior to arterial and delayed phases respectively. We observed a good interobserver agreement. PMID- 21061838 TI - Pemphigus vulgaris treated with allogeneic hematopoietic stem cell transplantation following non-myeloablative conditioning. AB - OBJECTIVES: Successful treatment of severe, refractory Pemphigus vulgaris (PV) is reported. METHODS: Reduced intensity, non-myeloablative conditioning was employed, followed by allogeneic hematopoietic stem cell transplantation (HSCT) from a fully matched sibling. RESULTS: Treatment of refractory PV with myeloablation and subsequent allogenic HSCT has been previously reported, and sustained remission with this approach has been achieved. Toxicity, however, related to condition regimens remains high. CONCLUSION: Since no cytotoxic chemotherapy was used, it is hereby hypothesized that clinical improvement may result from regulatory action from the donor's marrow: a "graft modifying the disease" effect, which may prove useful in the management of autoimmune diseases. PMID- 21061839 TI - Emergence of Noroviruses homologous to strains reported from Djibouti (horn of Africa), Brazil, Italy, Japan and USA among children in Kolkata, India. AB - AIM: A total of 625 faecal specimens of diarrheic cases (n-313) and non diarrheic controls (n-312), were screened by RT-PCR to detect Noroviruses in children aged below 5 years in Kolkata, India. MATERIALS AND METHODS: Out of the 313 fecal specimens (cases) screened using CDC primer set, 10 (3.19%) showed amplification in reverse transcription-polymerase chain reaction (RT-PCR) for Norovirus. These included 5 of 260 (1.92%) from hospitalized and 5 of 53 (9.43%) from out patients departament (OPD) cases. RESULTS: Nine (90%) of Norovirus positive cases belonged to genogroup GII and one specimen (10%) was positive for genogroup GI. Among the 312 non diarrheic controls 2 (0.63%) were positive for Norovirus GII. Partial RNA dependent RNA polymerase gene (RdRp) sequences corresponding to the six Norovirus GII positive samples showed homology to the sequences of Djibouti (horn of Africa), Brazil, Italy, Japan and US norovirus strains. CONCLUSION: This study shows the detection of newly emerging Norovirus strains among diarrheic and non diarrheic children in Kolkata. PMID- 21061840 TI - The role of quacks in the practice of proctology. AB - Most human beings will do almost anything to prolong their existence or to relieve themselves from the suffering of a disease. Others will do anything to exploit these desires by selling what they claim to be magical remedies or panacea for all diseases-even for the incurable ones. Quack is one of the several names used for practitioners or peddlers using supposedly ineffective medicines while it also relates to advertising by doctors. This article describes the practice of quackery in ano-rectal diseases, their presentations and the complications arising out of such procedures. PMID- 21061841 TI - Intrapartum sonography for occiput posterior detection in early low dose combined spinal epidural analgesia by sufentanil and ropivacaine. AB - AIM: To evaluate the incidence of occiput posterior position in labour with and without combined spinal epidural analgesia (CSE) by low dose of sufentanyl and ropivacaine. MATERIAL AND METHODS: This study focused on 132 women subdivided in two groups, patients in spontaneous and in labour analgesia, administered by a low dose CSE by sufentanyl and ropivacaine; all women were evaluated by digital examinations and ultrasound till delivery. All data were collected and analyzed by an independent reviewer. RESULTS: In the second stage, 79 were persistent occiput posterior position (POPP) fetuses and 36 were translated from anterior to posterior position (TAPP) fetuses. Specifically, in spontaneous labour on 25 women in anterior position, there were 17 TAPP and in CSE analgesia on 28 women in anterior, there were 19 in TAPP, without significant differences. The number of asynclitisms was higher in the POPP group (84%) respect to the TAPP group (75%), so as the rate of caesarean section (67% versus 52.7%). CONCLUSIONS: The labour with low dose of ropivacaine and sufentanyl does not increase the occiput posterior position during fetal descent, leading to a POPP. Finally, since in the occiput anterior presentation labour analgesia significantly lengthens time to delivery, in the occiput posterior position this is significantly increased, with a prolonged second stage of labour and reduced time of descent of fetal head in obstetric pelvis. PMID- 21061842 TI - Hematopathologic and cytogenetic findings in imatinib mesylate treated chronic myelogenous leukemia patients: 2.5 years' experience. AB - BACKGROUND/AIM: Imatinib mesylate, a tyrosine kinase inhibitor with specific activity against the breakpoint cluster region--Abelson murine leukemia (BCR-ABL) tyrosine kinase has been developed for treatment of chronic myelogenous leukemia (CML). Its hematologic and cytogenetic effects have been evaluated in a series of clinical trials. The aim of this study was to report hematologic and cytogenetic response in CML patients during the treatment with imatinib mesylate. METHODS: A total of 21 patients were treated and observed from July 2006 to December 2008. The median time from CML diagnosis was no more than 12 months, so all the patients received previous treatment with hydroxyurea for which the median time was 3 months. The patients received imatinib mesylate in an effective oral dose of 400 to 800 mg daily, which was followed with peripheral blood counts, bone marrow examination, and cytogenetic studies at 6, 12, 18 and 24 months. RESULTS: Complete hematologic responses were reported for 19 (90.48%) of 21 patients studied. Among 19 patients who had a response, 16 (86%) did so within 3 months. The best cytogenetic response rate at any time during the study treatment with imatinib mesylate, among 14 patients in which cytogenetic response evaluated was: complete cytogenetic response in 7 (50%) patients, partial cytogenetic response in 6 (42.9%) patients and minor cytogenetic response in 1 (7.1%) patient. No patients had progressed to accelerated or blastic phase. The most frequent adverse effects that seemed to be related to treatment with imatinib mesylate were edema and musculoskeletal pain; overall, most were mild. Only one patient discontinued treatment because of hematologic toxic effects. CONCLUSION: The results obtained in this study confirm that imatinib mesylate induces a complete hematological and cytogenetic response in a high percentage of patients with chronic-phase CML. PMID- 21061843 TI - Risk factors for recurrent vulvovaginal candidiasis. AB - BACKGROUND/AIM: Recurrent vulvovaginal candidiasis is relatively frequent condition, and may have serious health consequences, like chronic vulvovaginal pain syndrome. The aim of our study was to determine possible risk factors for recurrent vulvovaginal candidiasis in non-pregnant females within the reproductive age. METHODS: The design of our study was of a case-control type. Case and control patients were selected from the gynecological patients at six primary care facilities in Serbia and in Montenegro. The data on the patients' health condition, concomitant therapy and diseases were taken from their records, and the data on habits were obtained by unstructured interview. For potential risk factors crude odds ratios were calculated, and then adjusted by logistic regression. RESULTS: A total of fifty-one patients had four or more episodes of vulvovaginal candidiasis during the last year (cases), and 132 patients with one to three episodes of vulvovaginal candidiasis were sampled as controls, matched by age. The only two significant associations were found between recurrent vulvovaginal candidiasis and continual wearing of panty liners during the last year (Odds ratio - OR adjusted: 3.97; confidence interval--CI: 1.57-10.02;p = 0.004), and between recurrent vulvovaginal candidiasis and predominant use of vaginal tampons during menstruation in the last year (OR adjusted: 4.25; CI: 1.11 16.27;p = 0.035). The synergistic effect was observed for the concurrent continual wearing of panty liners during the last year and self-medication with antimycotics. CONCLUSIONS: Local factors, like wearing of panty liners or use of tampons during menstruation, may promote recurrence of vulvovaginal candidiasis, especially in patients who practice self-medication with antimycotics. PMID- 21061844 TI - Hemophilia in the newborn without family history--pattern of clinical presentation of three patients. AB - INTRODUCTION: Hemophilia is the most frequently diagnosed inborn clotting factor deficiency in the newborn. In about half of the cases diagnosis is made during neonatal period. However, due to different clinical presentation comparing to older children, hemophilia in the newborn could be misdiagnosed, especially in the setting of negative family history. CASE REPORT: Clinical features of three newborns with negative family history for hemophilia are described. All three newborns were the first born children with uneventful perinatal history, and they were referred for investigation of convulsions, soft tissue tumorous mass and sepsis, respectively. Prompt diagnosis of underlying bleeding disorder and adequate substitution therapy lead to the good outcome in all three boys. CONCLUSION: Symptoms and signs of hemophilia in the newborn could be at time misleading and contribute to delayed treatment. High index of suspicion on inherited bleeding disorder is warranted in every neonate with intracranial bleeding. PMID- 21061845 TI - Monosomy 12 and deletion of 13q34 in a case of chronic lymphocytic leukemia with concomitant lung cancer. AB - BACKGROUND: We described a patient with chronic lymphocytic leukemia (CLL) and lung cancer and unusual chromosomal aberrations. CASE REPORT: At the same time with the diagnosis of B-cell CLL, squamocellular lung carcinoma diagnosis was established. Using interphase fluorescence in situ hybridization technique (FISH) we detected monosomy 12 and deletion of 13q34 occurred in the same clone. One month after the beginning of examination the patient died unexpectedly during sleep immediately before we applied a specific treatment for CLL or lung carcinoma. CONCLUSION: Simultaneous occurrence of monosomy 12 and deletion of 13q34 in a patient with B-cell CLL has been described only once before, but as a part of a complex karyotype. The prognostic significance of these abnormalities remains uncertain. PMID- 21061846 TI - On the goodness of recommendations: the changing face of practice parameters. PMID- 21061847 TI - Both duration and timing of sleep are important to memory consolidation. PMID- 21061848 TI - From obstructive sleep apnea in childhood to cardiovascular disease in adulthood: what is the evidence? PMID- 21061849 TI - Future directions for research on sleep durations in pediatric populations. PMID- 21061850 TI - Hypocretin and GABA interact in the pontine reticular formation to increase wakefulness. AB - STUDY OBJECTIVES: Hypocretin-1/orexin A administered directly into the oral part of rat pontine reticular formation (PnO) causes an increase in wakefulness and extracellular gamma-aminobutyric acid (GABA) levels. The receptors in the PnO that mediate these effects have not been identified. Therefore, this study tested the hypothesis that the increase in wakefulness caused by administration of hypocretin-1 into the PnO occurs via activation of GABAA receptors and hypocretin receptors. DESIGN: Within/between subjects. SETTING: University of Michigan. PATIENTS OR PARTICIPANTS: Twenty-three adult male Crl:CD*(SD) (Sprague Dawley) rats. INTERVENTIONS: Microinjection of hypocretin-1, bicuculline (GABAA receptor antagonist), SB-334867 (hypocretin receptor-1 antagonist), and Ringer solution (vehicle control) into the PnO. MEASUREMENTS AND RESULTS: Hypocretin-1 caused a significant concentration-dependent increase in wakefulness and decrease in rapid eye movement (REM) sleep and non-REM (NREM) sleep. Coadministration of SB-334867 and hypocretin-1 blocked the hypocretin-1-induced increase in wakefulness and decrease in both the NREM and REM phases of sleep. Coadministration of bicuculline and hypocretin-1 blocked the hypocretin-1-induced increase in wakefulness and decrease in NREM sleep caused by hypocretin-1. CONCLUSION: The increase in wakefulness caused by administering hypocretin-1 to the PnO is mediated by hypocretin receptors and GABAA receptors in the PnO. These results show for the first time that hypocretinergic and GABAergic transmission in the PnO can interact to promote wakefulness. PMID- 21061851 TI - Dopaminergic regulation of sleep and cataplexy in a murine model of narcolepsy. AB - STUDY OBJECTIVES: To determine if the dopaminergic system modulates cataplexy, sleep attacks and sleep-wake behavior in narcoleptic mice. DESIGN: Hypocretin/orexin knockout (i.e., narcoleptic) and wild-type mice were administered amphetamine and specific dopamine receptor modulators to determine their effects on sleep, cataplexy and sleep attacks. PATIENTS OR PARTICIPANTS: Hypocretin knockout (n = 17) and wild-type mice (n = 21). INTERVENTIONS: Cataplexy, sleep attacks and sleep-wake behavior were identified using electroencephalogram, electromyogram and videography. These behaviors were monitored for 4 hours after an i.p. injection of saline, amphetamine and specific dopamine receptor modulators (D1- and D2-like receptor modulators). MEASUREMENTS AND RESULTS: Amphetamine (2 mg/kg), which increases brain dopamine levels, decreased sleep attacks and cataplexy by 61% and 67%, suggesting that dopamine transmission modulates such behaviors. Dopamine receptor modulation also had powerful effects on sleep attacks and cataplexy. Activation (SKF 38393; 20 mg/kg) and blockade (SCH 23390; 1 mg/kg) of D1-like receptors decreased and increased sleep attacks by 77% and 88%, without affecting cataplexy. Pharmacological activation of D2-like receptors (quinpirole; 0.5 mg/kg) increased cataplectic attacks by 172% and blockade of these receptors (eticlopride; 1 mg/kg) potently suppressed them by 97%. Manipulation of D2-like receptors did not affect sleep attacks. CONCLUSIONS: We show that the dopaminergic system plays a role in regulating both cataplexy and sleep attacks in narcoleptic mice. We found that cataplexy is modulated by a D2-like receptor mechanism, whereas dopamine modulates sleep attacks by a D1-like receptor mechanism. These results support a role for the dopamine system in regulating sleep attacks and cataplexy in a murine model of narcolepsy. PMID- 21061852 TI - Sleep deprivation and interference by emotional distracters. AB - STUDY OBJECTIVES: We determined if sleep deprivation would amplify the effect of negative emotional distracters on working memory. DESIGN: A crossover design involving 2 functional neuroimaging scans conducted at least one week apart. One scan followed a normal night of sleep and the other followed 24 h of sleep deprivation. Scanning order was counterbalanced across subjects. SETTING: The study took place in a research laboratory. PARTICIPANTS: 24 young, healthy volunteers with no history of any sleep, psychiatric, or neurologic disorders. INTERVENTIONS: N/A. MEASUREMENTS AND RESULTS: Study participants were scanned while performing a delayed-response working memory task. Two distracters were presented during the maintenance phase, and these differed in content: highly arousing, negative emotional scenes; low-arousing, neutral scenes; and digitally scrambled versions of the pictures. Irrespective of whether volunteers were sleep deprived, negative emotional (relative to neutral) distracters elicited greater maintenance-related activity in the amygdala, ventrolateral prefrontal cortex, and fusiform gyri, while concurrently depressing activity in cognitive control regions. Individuals who maintained or increased distracter-related amygdala activation after sleep deprivation showed increased working memory disruptions by negative emotional distracters. These individuals also showed reduced functional connectivity between the amygdala and the ventromedial and dorsolateral prefrontal cortices, regions postulated to mediate cognitive control against emotional distraction. CONCLUSIONS: Increased distraction by emotional stimuli following sleep deprivation is accompanied by increases in amygdala activation and reduced functional connectivity between the amygdala and prefrontal cognitive control regions. These findings shed light on the neural basis for interindividual variation in how negative emotional stimuli might distract sleep deprived persons. PMID- 21061853 TI - A time for learning and a time for sleep: the effect of sleep deprivation on contextual fear conditioning at different times of the day. AB - STUDY OBJECTIVES: Sleep deprivation negatively affects memory consolidation, especially in the case of hippocampus-dependent memories. Studies in rodents have shown that 5 hours of sleep deprivation immediately following footshock exposure selectively impairs the formation of a contextual fear memory. In these studies, both acquisition and subsequent sleep deprivation were performed in the animals' main resting phase. However, in everyday life, subjects most often learn during their active phase. DESIGN: Here we examined the effects of sleep deprivation on memory consolidation for contextual fear in rats when the task was performed at different times of the day, particularly, at the beginning of the resting phase or right before the onset of the active phase. MEASUREMENTS AND RESULTS: Results show that sleep deprivation immediately following training affects consolidation of contextual fear, independent of time of training. However, in the resting phase memory consolidation was impaired by 6 hours of posttraining sleep deprivation, whereas, in the active phase, the impairment was only seen after 12 hours of sleep deprivation. Since rats sleep at least twice as much during the resting phase compared with the active phase, these data suggest that the effect of sleep deprivation depends on the amount of sleep that was lost. Also, control experiments show that effects of sleep deprivation were not related to the amount of stimulation the animals received and were therefore not likely an indirect effect of the sleep-deprivation method. CONCLUSION: These results support the notion that sleep immediately following acquisition, independent of time of day, promotes memory consolidation and that sleep deprivation may disrupt this process depending on the amount of sleep that is lost. PMID- 21061854 TI - Sleep disturbances as a predictor of cause-specific work disability and delayed return to work. AB - STUDY OBJECTIVE: To examine sleep disturbances as a predictor of cause-specific work disability and delayed return to work. DESIGN: Prospective observational cohort study linking survey data on sleep disturbances with records of work disability (> or = 90 days sickness absence, disability pension, or death) obtained from national registers. SETTING: Public sector employees in finland. PARTICIPANTS: 56,732 participants (mean age 44.4 years, 80% female), who were at work and free of work disability at the study inception. MEASUREMENTS AND RESULTS: During a mean follow-up of 3.3 years, incident diagnosis-specific work disability was observed in 4,028 (7%) employees. Of those, 2,347 (60%) returned to work. Sleep disturbances 5-7 nights per week predicted work disability due to mental disorders (hazard ratio [HR] 1.6, 95% confidence interval [CI] 1.3-1.9) and diseases of the circulatory system (HR = 1.6, 95% CI 1.2-2.1), musculoskeletal system (HR = 1.6, 95% CI 1.4-1.8) and nervous system (HR = 1.5, 95% CI 1.0-2.2), and injuries and poisonings (HR = 1.6, 95% CI 1.2-2.1) after controlling for baseline age, sex, socioeconomic status, night/shift work, health behaviors (e.g., smoking, exercise), diagnosed somatic diseases, use of pain killers, depression, and anxiety. In addition, sleep disturbances prior to disability were associated with higher likelihood of not returning to work after work disability from musculoskeletal diseases (HR = 1.2, 95% CI 1.1-1.7) and, in men, after work disability due to mental disorders (HR = 4.4, 95% CI 1.7-11.1). CONCLUSIONS: Sleep disturbances are associated with increased risk for subsequent disabling mental disorders and various physical illnesses. They also predict the outcome of work disability due to musculoskeletal disorders. PMID- 21061855 TI - Differences in overnight polysomnography scores using the adult and pediatric criteria for respiratory events in adolescents. AB - STUDY OBJECTIVES: There was no consensus in the 2007 American Academy of Sleep Medicine scoring manual on whether pediatric or adult respiratory criteria should be used in adolescents due to lack of data. Our objective was to compare pediatric and adult criteria in adolescents referred for obstructive sleep apnea (OSA). We hypothesized that pediatric criteria would capture more respiratory events than adult criteria. DESIGN: Retrospective cross-sectional analysis. SETTING: Clinical sleep laboratory. PARTICIPANTS: 101 subjects aged 13-18 years clinically referred for OSA. INTERVENTIONS: Overnight polysomnogram. Data were scored using both adult and pediatric AASM criteria. For adult criteria, data were scored using both AASM hypopnea rule A, defined by > or = 4% desaturation, and B, defined by > or = 3% desaturation or arousal. RESULTS: Median (range) apnea hypopnea index (AHI) by pediatric criteria was 1.7 events/hour (0-42.9). AHI using rule A was 0.4 (0-35.6); rule B, 1.4 (0-38.4). A higher pediatric AHI was associated with greater differences between pediatric and adult AHI using either rule A or B. There was no significant discordance in OSA classification comparing pediatric and adult criteria rule B (P = 0.3), but there was a significant rate of discordance classification comparing pediatric and adult criteria rule A(P < 0.001). CONCLUSIONS: Either pediatric or adult criteria rule B can be used in adolescents as few subjects change diagnostic category between these 2 criteria. Use of adult rule A results in fewer children meeting criteria for OSA. Further research into the clinical relevance of the scoring metric in adolescents is warranted. PMID- 21061856 TI - Unbiased categorical classification of pediatric sleep disordered breathing. AB - STUDY OBJECTIVES: To classify pediatric sleep disordered breathing (SDB) using unbiased approaches. In children, decisions regarding severity and treatment of SDB are conducted solely based on empirical observations. Although recognizable entities clearly exist under the SDB spectrum, neither the number of SDB categories nor their specific criteria have been critically defined. DESIGN: Retrospective cohort analysis and random prospective cohort. SETTING: Community and clinical sample. PATIENTS OR PARTICIPANTS: Urban 5- to 9-year-old community children undergoing overnight sleep study (NPSG), and a comparable prospectively recruited clinical SDB sample. INTERVENTIONS: N/a. MEASUREMENTS AND RESULTS: Principal component analysis was used to identify the uniqueness of the polysomnographically derived measures that are routinely used in clinical settings: apnea-hypopnea index, apnea index, obstructive apnea index, nadir SpO2, spontaneous arousal index and respiratory arousal index. These measures were then incorporated using unbiased data mining approaches to further characterize and discriminate across categorical phenotypes. Of 1,133 subjects, 52.8% were habitual snorers. Six categorical phenotypes clustered without any a priori hypothesis. Secondly, a non-hierarchical model that incorporated 6 NPSG-derived measures enabled unbiased identification of algorithms that predicted these 6 severity-based clusters. Thirdly, a hierarchical model was developed and performed well on all severity-based clusters. Classification and predictive models were subsequently cross-validated statistically as well as clinically, using 2 additional datasets that included 259 subjects. Modeling reached approximately 93% accuracy in cluster assignment. CONCLUSIONS: Data-driven analysis of conventional NPSG-derived indices identified 6 distinct clusters ranging from a cluster with normal indices toward clusters with more abnormal indices. Categorical assignment of individual cases to any of such clusters can be accurately predicted using a simple algorithm. These clusters may further enable prospective unbiased characterization of clinical outcomes and of genotype phenotype interactions across multiple datasets. PMID- 21061857 TI - Autonomic cardiovascular tests in children with obstructive sleep apnea syndrome. AB - STUDY OBJECTIVES: The aim of our study was to investigate cardiovascular autonomic activity during wakefulness, using cardiovascular tests, in a population of children with OSAS. DESIGN: Prospective study. SETTING: Sleep unit of an academic center. PARTICIPANTS: We included 25 children (mean age 10.2 +/- 2.3 years) undergoing a diagnostic assessment for OSAS, and 25 age-matched healthy control subjects. All subjects underwent an overnight polysomnography and autonomic cardiovascular tests using parts of the Ewing test battery, which is a physiological test used for the assessment of autonomic function (head-up tilt test, Valsalva maneuver, deep breathing test). MEASUREMENTS AND RESULTS: Eighteen of 25 children with OSAS (11 males, mean age 9.4 +/- 1.7 years) concluded the study. OSAS patients had higher systolic blood pressure, diastolic blood pressure, baseline heart rate, the 30:15 index (which represents the RR interval at the 15th and 30th beats during the head up tilt test), and delta diastolic and systolic blood pressure during the head-up tilt test, while the heart rate variability during the deep breathing test was lower, compared with controls. A positive correlation between systolic and diastolic blood pressure and the apnea hypopnea index (AHI), and negative between AHI and both the 30:15 index and Valsalva ratio, were found. Stepwise linear regression analysis detected a negative correlation between AHI and the 30:15 index and Valsalva ratio, a positive correlation between overnight mean oxygen saturation and delta heart rate, and between AHI and delta systolic blood pressure. CONCLUSIONS: Our data point to an increase in basal sympathetic activity during wakefulness and to an impaired reaction to several physiological stimuli, which is dependent on the severity of OSAS. PMID- 21061858 TI - Sleep restriction during pregnancy: hypertension and renal abnormalities in young offspring rats. AB - STUDY OBJECTIVES: Because the maternal environment can affect several physiological functions of the newborn, the aim of the present study was to examine the impact of sleep restriction during pregnancy on renal morphology and function in young offspring. DESIGN: Female 3-month-old Wistar rats were divided in 2 experimental groups: C (control) and SR (sleep restriction between the 14th and 20th day of pregnancy). Pregnancy was confirmed by vaginal smear. SR females were subjected to sleep restriction by the multiple platform technique for 20 h daily. After birth, only male litters (6 for each mother) were selected and designated OC (offspring from C) and OSR (offspring from SR). At 2 months of age, blood pressure (BP) was measured by tail plethysmography; at 3 months the renal plasma flow (RPF), glomerular filtration rate (GFR), glomerular area, and number of glomeruli per mm3 were evaluated. MEASUREMENTS AND RESULTS: Offspring from SR had higher systolic blood pressure than OC. In this group (OSR), we also observed significant increase in RPF and GFR, enlarged glomeruli diameter, and reduced number of glomeruli per mm3 of renal tissue. CONCLUSIONS: Our data suggest that sleep restriction during pregnancy is able to modify renal development, resulting in morphologic and functional alterations in young offspring. PMID- 21061859 TI - Associations of early pregnancy sleep duration with trimester-specific blood pressures and hypertensive disorders in pregnancy. AB - STUDY OBJECTIVES: We evaluated the influence of maternal self-reported habitual sleep duration during early pregnancy on blood pressure (BP) levels and risk of hypertensive disorders of pregnancy. DESIGN: Prospective cohort study. SETTING: Clinic-based study. PARTICIPANTS: A cohort of 1,272 healthy, pregnant women. MEASUREMENTS AND RESULTS: We abstracted maternal antenatal BP values from medical records and estimated mean BP differences across hours of sleep categories in regression models, using generalized estimating equations. Odds ratios (OR) and 95% confidence intervals (95% CIs) for pregnancy induced hypertension (PIH) and preeclampsia (PE) in relation to long and short sleep duration were estimated. Mean 1st and 2nd trimester systolic (S) and diastolic (D) BP values were similar among women reporting to be short sleepers (< or = 6 h) vs. women reporting to sleep 9 hours. However, both short and long sleep duration in early pregnancy were associated with increased mean 3rd trimester SBP and DBP. For example, mean 3rd trimester SBP was 3.72, and 2.43 mm Hg higher for women reporting < or = 6 h and 7-8 h sleep, respectively, compared with women reporting 9 h of sleep. Mean 3rd trimester SBP was 4.21 mm Hg higher for women reporting long sleep (> or = 10 h) vs. the reference group. Short and long sleep durations were associated with increased risks of PIH and PE. The ORs for very short (< 5 h) and long (> or = 10 h) sleepers were 9.52 (95% CI 1.83 to 49.40) and 2.45 (95% CI 0.74 to 8.15) for PE. CONCLUSIONS: Our findings are consistent with a larger literature that documents elevated blood pressure and increased risks of hypertension with short and long sleep duration. PMID- 21061860 TI - Continuous positive airway pressure reduces risk of motor vehicle crash among drivers with obstructive sleep apnea: systematic review and meta-analysis. AB - CONTEXT: Obstructive sleep apnea (OSA) is associated with an increased risk of motor vehicle crash. OBJECTIVE: We performed a systematic review of the literature concerning the impact of continuous positive airway pressure (CPAP) treatment on motor vehicle crash risk among drivers with OSA. The primary objective was to determine whether CPAP use could reduce the risk of motor vehicle crash among drivers with OSA. A secondary objective involved determining the time on treatment required for CPAP to improve driver safety. DATA SOURCES: We searched seven electronic databases (MEDLINE, PubMed (PreMEDLINE), EMBASE, PsycINFO, CINAHL, TRIS, and the Cochrane library) and the reference lists of all obtained articles. STUDY SELECTION: We included studies (before-after, case control, or cohort) that addressed the stated objectives. We evaluated the quality of each study and the interplay between the quality, quantity, robustness, and consistency of the evidence. We also tested for publication bias. DATA EXTRACTION: Data were extracted by two independent analysts. When appropriate, data were combined in a fixed or random effects meta-analysis. RESULTS: A meta-analysis of 9 observational studies examining crash risk of drivers with OSA pre- vs. post-CPAP found a significant risk reduction following treatment (risk ratio = 0.278, 95% CI: 0.22 to 0.35; P < 0.001). Although crash data are not available to assess the time course of change, daytime sleepiness improves significantly following a single night of treatment, and simulated driving performance improves significantly within 2 to 7 days of CPAP treatment. CONCLUSIONS: Observational studies indicate that CPAP reduces motor vehicle crash risk among drivers with OSA. PMID- 21061861 TI - Normative data on the sleep habits of Australian children and adolescents. AB - STUDY OBJECTIVE: To provide normative sleep data on 9-18 year old Australians. DESIGN: Cohort study. SETTING: Participants' homes. PARTICIPANTS: 4032 Australians aged 9-18 years. INTERVENTIONS: N/A. MEASUREMENTS AND RESULTS: Participants completed a 48h use of time recall, comprising sleep data for one complete night. Sleep duration, bedtime and wake time were compared across age groups, between genders, and between school and non-school days using ANOVA. Sleep duration declined with age (P < 0.0001) at the rate of 12 min/night per year of age on school days, and 4 min on non-school days. Girls slept slightly longer than boys (5 min/night; P = 0.03). Non-school day sleep was 16 min longer than school day sleep (P < 0.0001), with the difference increasing with age. Bedtimes got later with age (P < 0.0001), however there were no differences in bedtimes between boys and girls. Bedtimes occurred 34 min later on non-school days (P < 0.0001). Wake times were very similar across age groups on school days, but increased at the rate of 10 min/year of age on non-school days. Wake times were similar for boys and girls, and occurred on average 82 min later on non school days (P < 0.0001). Overall, 17% of school days and 20% of non-school days failed to meet the American Centers for Disease Control and Prevention sleep duration guidelines. CONCLUSIONS: Normative sleep data will provide a valuable yardstick for health and education professionals when dealing with sleep-related issues. PMID- 21061862 TI - Alcohol alters sensory processing to respiratory stimuli in healthy men and women during wakefulness. AB - STUDY OBJECTIVES: Alcohol can cause sleep-disordered breathing in healthy men, increase O2 desaturation in men who snore, and worsen obstructive sleep apnea (OSA) severity in men with OSA. These findings are less consistent among women, and the underlying mechanisms are incompletely understood. Respiratory-load sensory processing, which underpins upper-airway and respiratory responses to increased breathing load, is potentially impaired by alcohol. Using respiratory related evoked potentials (RREPs) during wakefulness, this study aimed to test the hypothesis that alcohol impairs respiratory-load sensory processing and to explore potential sex differences. DESIGN: Within-subjects cross-over design in men versus women. SETTING: Sleep physiology laboratory. PARTICIPANTS: Twenty healthy individuals (9 women) aged 18 to 38 years. INTERVENTIONS: Within each subject, RREP waveform components were generated by approximately 60 brief early inspiratory negative-pressure pulses (-13 cm H2O mask pressure, 200 ms) before and after acute alcohol administration (1.5 mL/kg body weight). Choanal and epiglottic pressures were recorded to monitor stimulus magnitude and upper-airway resistance. MEASUREMENTS AND RESULTS: The latency of several RREP waveform components increased after the administration of alcohol (deltaN1 = 11 +/- 5 ms, deltaN2 = 6 +/- 3 ms, deltaP3 = 26 +/- 10 ms), and P2 amplitude decreased (3.4 +/ 1.5 microV vs 1.2 +/- 0.8 microV). There were no changes in P1 latency or amplitude. During relaxed breathing, nasal resistance increased after alcohol ingestion (1.38 +/- 0.16 vs 1.86 +/- 0.18 cm H2O x l(-1) x s(-1)), but pharyngeal and supraglottic resistances remained unchanged. RREP waveform components and upper-airway resistance measures were not different in men versus women before or after alcohol ingestion. CONCLUSIONS: These data demonstrate that alcohol alters sensory processing of respiratory neural information, but not early neural transmission (P1), to a similar extent in healthy men and women. Altered sensory processing to respiratory stimuli, as well as nasal congestion, may be important mechanisms contributing to alcohol-related sleep disordered breathing. PMID- 21061863 TI - Surgical modifications of the upper airway for obstructive sleep apnea in adults: a systematic review and meta-analysis. AB - A substantial portion of patients with obstructive sleep apnea (OSA) seek alternatives to positive airway pressure (PAP), the usual first-line treatment for the disorder. One option is upper airway surgery. As an adjunct to the American Academy of Sleep Medicine (AASM) Standards of Practice paper, we conducted a systematic review and meta-analysis of literature reporting outcomes following various upper airway surgeries for the treatment of OSA in adults, including maxillomandibular advancement (MMA), pharyngeal surgeries such as uvulopharyngopalatoplasty (UPPP), laser assisted uvulopalatoplasty (LAUP), and radiofrequency ablation (RFA), as well as multi-level and multi-phased procedures. We found that the published literature is comprised primarily of case series, with few controlled trials and varying approaches to pre-operative evaluation and post-operative follow-up. We include surgical morbidity and adverse events where reported but these were not systematically analyzed. Utilizing the ratio of means method, we used the change in the apnea-hypopnea index (AHI) as the primary measure of efficacy. Substantial and consistent reductions in the AHI were observed following MMA; adverse events were uncommonly reported. Outcomes following pharyngeal surgeries were less consistent; adverse events were reported more commonly. Papers describing positive outcomes associated with newer pharyngeal techniques and multi-level procedures performed in small samples of patients appear promising. Further research is needed to better clarify patient selection, as well as efficacy and safety of upper airway surgery in those with OSA. PMID- 21061864 TI - Practice parameters for the surgical modifications of the upper airway for obstructive sleep apnea in adults. AB - BACKGROUND: Practice parameters for the treatment of obstructive sleep apnea syndrome (OSAS) in adults by surgical modification of the upper airway were first published in 1996 by the American Academy of Sleep Medicine (formerly ASDA). The following practice parameters update the previous practice parameters. These recommendations were reviewed and approved by the Board of Directors of the American Academy of Sleep Medicine. METHODS: A systematic review of the literature was performed, and the GRADE system was used to assess the quality of evidence. The findings from this evaluation are provided in the accompanying review paper, and the subsequent recommendations have been developed from this review. The following procedures have been included: tracheostomy, maxillo mandibular advancement (MMA), laser assisted uvulopalatoplasty (LAUP), uvulopalatopharyngoplasty (UPPP), radiofrequency ablation (RFA), and palatal implants. RECOMMENDATIONS: The presence and severity of obstructive sleep apnea must be determined before initiating surgical therapy (Standard). The patient should be advised about potential surgical success rates and complications, the availability of alternative treatment options such as nasal positive airway pressure and oral appliances, and the levels of effectiveness and success rates of these alternative treatments (Standard). The desired outcomes of treatment include resolution of the clinical signs and symptoms of obstructive sleep apnea and the normalization of sleep quality, the apnea-hypopnea index, and oxyhemoglobin saturation levels (Standard). Tracheostomy has been shown to be an effective single intervention to treat obstructive sleep apnea. This operation should be considered only when other options do not exist, have failed, are refused, or when this operation is deemed necessary by clinical urgency (Option). MMA is indicated for surgical treatment of severe OSA in patients who cannot tolerate or who are unwilling to adhere to positive airway pressure therapy, or in whom oral appliances, which are more often appropriate in mild and moderate OSA patients, have been considered and found ineffective or undesirable (Option). UPPP as a sole procedure, with or without tonsillectomy, does not reliably normalize the AHI when treating moderate to severe obstructive sleep apnea syndrome. Therefore, patients with severe OSA should initially be offered positive airway pressure therapy, while those with moderate OSA should initially be offered either PAP therapy or oral appliances (Option). Use of multi-level or stepwise surgery (MLS), as a combined procedure or as stepwise multiple operations, is acceptable in patients with narrowing of multiple sites in the upper airway, particularly if they have failed UPPP as a sole treatment (Option). LAUP is not routinely recommended as a treatment for obstructive sleep apnea syndrome (Standard). RFA can be considered as a treatment in patients with mild to moderate obstructive sleep apnea who cannot tolerate or who are unwilling to adhere to positive airway pressure therapy, or in whom oral appliances have been considered and found ineffective or undesirable (Option). Palatal implants may be effective in some patients with mild obstructive sleep apnea who cannot tolerate or who are unwilling to adhere to positive airway pressure therapy, or in whom oral appliances have been considered and found ineffective or undesirable (Option). Postoperatively, after an appropriate period of healing, patients should undergo follow-up evaluation including an objective measure of the presence and severity of sleep-disordered breathing and oxygen saturation, as well as clinical assessment for residual symptoms. Additionally, patients should be followed over time to detect the recurrence of disease (Standard). CONCLUSIONS: While there has been significant progress made in surgical techniques for the treatment of OSA, there is a lack of rigorous data evaluating surgical modifications of the upper airway. Systematic and methodical investigations are needed to improve the quality of evidence, assess additional outcome measures, determine which populations are most likely to benefit from a particular procedure or procedures, and optimize perioperative care. PMID- 21061865 TI - Stages of change and the group treatment of batterers: a randomized clinical trial. AB - A stages-of-change motivational interviewing (SOCMI) treatment approach was compared with a standard cognitive behavioral therapy gender reeducation (CBTGR) approach in a sample of 528 English-speaking and Spanish-speaking male batterers who were randomly assigned to 49 26-week groups in either condition. Blind ratings of therapist adherence differentiated the two conditions. Language spoken neither predicted outcome nor interacted with treatment. The SOCMI curriculum led to significant reductions in female partners' reports of physical aggression at follow-up, but not to changes in self-reported aggression. Men who were initially less ready to change benefited more from the SOCMI approach while men who were more ready to change benefited more from the CBTGR approach. Results suggest the importance of tailoring abuser intervention programs to individuals' initial readiness to change. PMID- 21061866 TI - Intimate partner violence and Hurricane Katrina: predictors and associated mental health outcomes. AB - This study sought to establish the prevalence and correlates of intimate partner violence (IPV) victimization in the 6 months before and after Hurricane Katrina. Participants were 445 married or cohabiting persons who were living in the 23 southernmost counties of Mississippi at the time of Hurricane Katrina. Data for this study were collected as part of a larger, population-based, representative study. The percentage of women reporting psychological victimization increased from 33.6% prior to Hurricane Katrina to 45.2% following Hurricane Katrina (p < .001). The percentage of men reporting psychological victimization increased from 36.7% to 43.1% (p = .01). Reports of physical victimization increased from 4.2% to 8.3% for women (p = .01) but were unchanged for men. Significant predictors of post-Katrina victimization included pre-Katrina victimization, age, educational attainment, marital status, and hurricane-related stressors. Reports of IPV were associated with greater risk of post-Katrina depression and posttraumatic stress disorder. Data from the first population-based study to document IPV following a large-scale natural disaster suggest that IPV may be an important but often overlooked public health concern following disasters. PMID- 21061867 TI - Intimate partner violence and PTSD: the moderating role of emotion-focused coping. AB - Intimate partner violence (IPV) affects millions of women every year, often resulting in posttraumatic stress disorder (PTSD). The strength of the relationship between IPV and PTSD has been shown to be affected by factors such as the amount of violence exposure and the style of coping in which the individual engages. For example, emotion-focused coping has been shown to be strongly related to IPV exposure and the expression of PTSD symptoms. This topic was explored in IPV survivors, with the finding that more frequent use of emotion focused coping was associated with both higher violence exposure and heightened PTSD symptoms. Regression analyses revealed that emotion-focused coping moderated the relationship between IPV exposure and PTSD symptoms. More specifically, the results suggest that while individuals low on emotion-focused coping had fewer PTSD symptoms than women who frequently used emotion-focused coping, these individuals reported higher PTSD symptoms in the presence of frequent violence exposure. For individuals who frequently engaged in emotion-focused coping, violence exposure was less strongly associated with symptoms of PTSD. PMID- 21061868 TI - Bidirectional, unidirectional, and nonviolence: a comparison of the predictors among partnered young adults. AB - In order to more fully understand the context and impact of intimate partner violence (IPV), it is important to make distinctions between different types of relationship aggression. As such, the current study longitudinally examines the differential effects of childhood, adolescent, and demographic factors on three different partner violence groups: those who experience bidirectional IPV, those who experience unidirectional IPV, and those who do not experience either form of IPV. Multinomial logistic regression results reveal that depressive symptoms and lower partner education predict bidirectional when compared to unidirectional IPV and nonviolence. In contrast, other risk factors such as illicit drug use are found to be predictors of unidirectional violence only, which reveals that the correlates of violence vary depending upon the type of IPV examined. PMID- 21061869 TI - To tell or not to tell: the impact of disclosure on sexual assault survivors' recovery. AB - There is a growing body of research examining the disclosure of sexual assault. But the focus on time to first disclosure does not capture the whole picture. Survivors also differ in how long they continue to disclose, to whom they disclose, and the types of reactions received during disclosure. To provide a more comprehensive view of disclosure, this study sought to identify patterns of disclosure among a sample of 103 female sexual assault survivors recruited from the community. This study also sought to identify characteristics of each disclosure pattern, differences in how each disclosure pattern tends to unfold (e.g., who is told and how they react), and differences in how these disclosure patterns are related to physical and mental health outcomes. Results revealed four distinct disclosure patterns: nondisclosers, slow starters, crisis disclosers, and ongoing disclosers. Assault characteristics and rape acknowledgment distinguished nondisclosers and slow starters from the other two disclosure groups. Slow starters were also less likely to disclose to police and medical personnel and received negative reactions less frequently while nondisclosers experienced more symptoms of depression and posttraumatic stress than other groups. Implications of these findings for future research and practice are discussed. PMID- 21061870 TI - Intimate partner aggression perpetration in primary care chronic pain patients. AB - This study examined the prevalence and correlates of partner aggression perpetration in 597 primary care chronic pain patients. Approximately 30% of participants reported perpetrating low-level aggression, 12% reported injuring their partner, and 5% reported engaging in sexual coercion. Women reported more low-level aggression perpetration than men, and men reported more engagement in sexual coercion than women. Substance use disorders (SUD) were associated with all outcomes, and both aggression victimization and lifetime ratings of posttraumatic stress disorder (PTSD) were associated with low-level aggression and injuries. In multivariate analyses, gender, aggression victimization, PTSD, and SUD evidenced associations with one or more outcomes. Findings indicate a need for aggression screening in this population and highlight avenues for intervention. PMID- 21061871 TI - Motivations for self-defensive aggression in dating relationships. AB - Previous research has suggested that the occurrence of dating violence is influenced by various motivations, including self-defense. While some data have suggested that females are more likely to use physical aggression in self defense, assessment measures of self-defense have been limited in several notable ways, hindering efforts at fully understanding the myriad of reasons contributing to self-defensive aggression. The current study sought to examine motivations for physical aggression among male and female college students using a contextual self-report measure of self-defensive aggression designed specifically for the current study. Results showed that numerous motivations for physical aggression were endorsed by both males and females and, contrary to expectations, females were not more likely to use aggression in self-defense. Implications of these findings for future research and dating violence prevention programming are discussed. PMID- 21061872 TI - Does asking adolescents about suicidal ideation induce negative mood state? AB - Two studies examined the potential for negative mood induction through participating in suicidal ideation questionnaire research. Items immediately preceding mood state measures were hypothesized to influence mood state in a negative or positive direction, depending on their emotional content. Study 1 involved 129 adolescents. Mood state decreased nonsignificantly following items on suicidal-type ideation and significantly improved following a series of positive affect items. Study 2 followed up 71 of the original participants 3 years later using a briefer version of the original questionnaire. Here no significant differences in mood state were found at any measurement point. In both studies, the salience of items preceding the mood measure explained a significant proportion of variance in mood state. We concluded that negative mood induction effects were minimal. Ethical implications are discussed. PMID- 21061873 TI - Health care providers' readiness to screen for intimate partner violence in Northern Nigeria. AB - Research on screening for intimate partner violence (IPV) within health care in a sub-Saharan African context is rare. This paper assessed factors associated with the readiness to screen for IPV among care providers (HCP, n = 274) at Kano hospital, Nigeria. Readiness was measured using the Domestic Violence Health Care Providers' survey instrument, which measures grade of perceived self-efficacy in screening for IPV, fear for victim/provider safety, access to system support to refer IPV victims, professional roles resistant/ fear of offending clients, and blaming the victim for being abused victim. Social workers perceived a higher self-efficacy and better access to system support networks to refer victims than peers in other occupation categories. Female care providers and doctors were less likely to blame the victim than males and social workers, respectively. Younger care providers of Yoruba ethnicity and social workers were less likely to perceive conflicting professional roles related to screening than older providers of Hausa ethnicity and doctors, respectively. Implications of our findings for interventions and further research are discussed. PMID- 21061874 TI - The tools we possess as clinicians are truly a blessing to have. PMID- 21061875 TI - Evidence-based medicine is very hot. PMID- 21061876 TI - Evidence-based medicine and levels of evidence. AB - Evidence-based medicine is the practice of making medical decisions based on evidence gained from applying the scientific method. Published studies are evaluated using three key questions: "Are the results valid?"; "What are the results?"; and "Can the results be applied to my patients?" The hierarchy of study methods for obtaining evidence is, in order from least to most useful: laboratory research, editorials, case reports and series, case-control studies, cohort studies, and randomized clinical trials. Retrospective case series can suffer from problems such as selection of a biased sample, mixing of treatment effects, and lack of control group. Randomized clinical trials (and meta-analyses of multiple trials) provide the highest level of evidence because randomization limits confounding and prevents bias of treatment assignment. In addition, randomized trials have standardization of interventions, prospective data collection, and masked outcome measures. Although every question cannot be addressed by a randomized clinical trial, the best available evidence should be sought and used to guide treatments. PMID- 21061877 TI - The cochrane collaboration and meta-analysis of clinical data. AB - The Cochrane Collaboration is an international not-for-profit and independent organization, dedicated to making up-to-date, accurate information about the effects of healthcare readily available worldwide. It produces and disseminates systematic reviews of healthcare interventions and promotes the search for evidence in the form of clinical trials and other studies of interventions. PMID- 21061878 TI - ROP: A cautionary tale: what we know and what we think we know. AB - Retinopathy of prematurity is a complex disease with many subtleties and considerable individual variation. In order to obtain highly reliable evidence as to the nature of ROP, rigorous, randomized, controlled, multicenter trials that enroll a large number of eligible subjects are required. Fortunately, such trials have been conducted and have yielded a wealth of information. It is essential to critically evaluate these trials as well as other levels of scientific evidence to determine what our current state of knowledge is. This review focuses on what we know as fact and what may be common practice or empirical knowledge not based on rigorous evidence. PMID- 21061879 TI - Evidenced-based medicine: treatment for amblyopia. AB - Amblyopia is defined as reduced and uncorrectable vision in a structurally normal eye. Early detection of amblyopia is very important. This can be accomplished through screening programs designed to identify amblyopia risk factors. Testing can be performed by trained teachers, technicians, school nurses and pediatricians as well as by eye care professionals. Once a child is identified as having an amblyopia risk factor it is crucial that the parents follow up with a pediatric ophthalmologist for a comprehensive examination. Amblyopia is the leading cause of monocular vision loss in the United States for adults under the age of 40. Amblyopia is amenable to therapy and is cost effective to treat. It is believed that earlier therapy for amblyopia provides better outcomes, but treatment has been shown effective even in some older children. In this paper, studies are cited regarding treatment of amblyopia. PMID- 21061880 TI - Evidence-based medicine: the value of vision screening. AB - OBJECTIVE: To review the literature for evidence-based medicine (EBM), to assess the evidence for effectiveness of vision screening, and to propose moving toward value-based medicine (VBM) as a preferred basis for comparative effectiveness research. METHODS: Literature based evidence is applied to five core questions concerning vision screening: (1) Is vision valuable (an inherent good)?; (2) Is screening effective (finding amblyopia)?; (3) What are the costs of screening?; (4) Is treatment effective?; and (5) Is amblyopia detection beneficial? RESULTS: Based on EBM literature and clinical experience, the answers to the five questions are: (1) yes; (2) based on literature, not definitively so; (3) relatively inexpensive, although some claim benefits for more expensive options such as mandatory exams; (4) yes, for compliant care, although treatment processes may have negative aspects such as "bullying"; and (5) economic productive values are likely very high, with returns of investment on the order of 10:1, while human value returns need further elucidation. CONCLUSION: Additional evidence is required to ascertain the degree to which vision screening is effective. The processes of screening are multiple, sequential, and complicated. The disease is complex, and good visual outcomes require compliance. The value of outcomes is appropriately analyzed in clinical, human, and economic terms. PMID- 21061881 TI - Vision therapy and orthoptics. AB - Vision therapy by orthoptists is a form of treatment to restore binocular single vision and to enhance the control of a deviation using prisms and convergence therapy. It is differentiated from optometric behavioral vision therapy (BVT) as a form of treatment to decrease rates ofjuvenile recidivism and to improve learning disabilities using colored overlays and tools such as trampolines. Evidence-based findings of randomized controlled clinical trials versus conclusions drawn from nonrandomized, poorly controlled studies of various forms of vision therapy are explored. Concrete evidence in the mainstream literature supports the positive effects of eye exercises in patients with convergence insufficiency and yoked prisms in patients with neurologic deficits. Vision therapy for juvenile delinquents and patients with dyslexia is unsubstantiated. PMID- 21061882 TI - Functional benefits of sensory and motor evaluation before strabismus surgery. AB - Evaluating the sensory and motor status of your strabismus patient is an important part of the preoperative examination. However, not all clinicians follow the same protocol for this assessment. Many rely on personal experience to determine what tests to do. Is this enough? Interpreting the evidence regarding the proper preoperative exam for strabismus patients and incorporating it into your practice can help to enhance your postoperative results. PMID- 21061883 TI - Functional benefits of adult strabismus surgery. AB - The most common functional benefits from strabismus surgery in the adult patient are restoration of binocular vision and elimination of diplopia and/or a compensatory head posture. Adult patients with chronic strabismus have been thought to have the potential to achieve only a cosmetic result from surgery. On the contrary, several studies have shown that unexpected sensory fusion is possible after excellent postoperative motor alignment in these patients. Other functional benefits of strabismus surgery in the adult patient include the expansion of binocular visual fields in patients with esotropia and the psychosocial and economic benefits from restoration of ocular alignment. This paper will explore the impact of untreated strabismus in the adult patient and what changes occur in the patient's quality of life once strabismus has been successfully treated. PMID- 21061884 TI - Nystagmus in infancy and childhood: characteristics and evidence for treatment. AB - PURPOSE: To discuss the common characteristics of infants and children with nystagmus and review treatments and their evidence. METHODS: The NEI-sponsored classification of eye movement abnormalities and strabismus and a PubMed search for papers from 1995 through 2005 on interventions for Infantile Nystagmus Syndrome (INS) are reviewed. RESULTS: The review of treatments revealed 18 papers on surgical or pharmacological interventions and six papers describing other interventions. There is only one randomized controlled trial, with all the other studies being uncontrolled trials/case series. CONCLUSIONS: Previous science and studies on interventions for nystagmus in infancy and childhood have laid an important foundation for future work in this field, which should include a randomized clinical trial. PMID- 21061885 TI - Do we need evidence for everything? AB - There is no randomized, controlled trial (RCT) supporting the contention that evidence-based medicine is beneficial, and "evidence" is more than the information that can be obtained from RCTs. Systematic reviews have severe limitations of scope and reach, and RCTs can lead to false or contradictory conclusions. Most controlled studies enroll only highly selected groups of patients, specifically excluding those with complicating factors, yet real patients bring with them an abundance of messy heterogeneity. Considering this, we should not withhold potentially beneficial treatment just because we lack randomized controlled trials. The frequent lack of solid clinical evidence requires clinicians to invoke critical thinking, communication, judgment, and even intuition on behalf of their patients. Medical training is as much an apprenticeship as it is an education, and medicine as much a craft as it is an art. PMID- 21061886 TI - Questions revisited: is experience still the best teacher? AB - INTRODUCTION AND PURPOSE: To illustrate the value of experience in developing a simplified binocular sensory evaluation when required by necessity, and to describe the experience gained in repeating and extending a prior study. PATIENTS AND METHOD: Prioritizing the information needed and the tests that provide it, and retrospectively reviewing a new population with similar inclusion and exclusion requirements, and dealing with the problem of uneven follow-up. CONCLUSION: It is possible to derive a limited evaluation that is still sufficient for patient management. The prior results were confirmed and led to better understanding of the possibilities and limitations of retrospective studies. PMID- 21061887 TI - Charlie Brown, amblyopia, and me: A (not so short) personal history of the past forty years of diagnosing and treating amblyopia. AB - INTRODUCTION: During the past forty-plus years there have been many advances in our understanding of amblyopia. It has been my privilege to be able to have a close relationship with many of the people that made these contributions. It is the purpose of this paper to trace these changes as they developed from 1965 to the present. AMBLYOPIA THROUGH THE DECADES: 1950s and 1960s: Beginning with the introduction of electrodes that could record from a single cell in the mammalian visual cortex, researchers began to map out the normal physiology of the visual cortex, the lateral geniculate bodies and the retinal ganglion cells. Amblyopia, especially stimulus deprivation amblyopia, became a focus of many of these studies. As more and more information became available, clinicians began to understand the problems that they had been facing in such cases as congenital cataracts, unilateral congenital corneal opacities, etc. This led to a significant change in our approach to such cases with emphasis on earlier and earlier intervention to achieve better visual results. 1970S and 1980S: Scientific studies of patients using techniques such as Contrast Sensitivity Function, Teller Acuity Cards, and Neutral Density filters allowed us to begin to look at development of vision in infants, to characterize the differences between the various types of amblyopia and to better understand the need for amblyopia treatment. At about the same time, interest in vision screening in children allowed us to detect amblyopia at an earlier time of life, which then gave us a better chance of successful treatment. 1990S and the 21ST century: Arenewed interest in developing evidence-based results in keeping with the rest of the scientific medical community led to large multicenter trials looking at different modes of amblyopia treatment with the result of a wider armamentarium available to the practitioner. CONCLUSION: While there have been many improvements in our knowledge of the etiology of amblyopia and its treatment, we still find that it is the practitioner, the orthoptist, the patient's family, and the child that make the final difference in success or failure. However, our ability to explain what and why we are doing has made this job easier and given us the tools we need to feel confident in our approach to this still common condition. PMID- 21061888 TI - Ultra-rapid school vision screening in developing nations using the Bruckner test. AB - PURPOSE: To compare the Bruckner test with conventional vision testing for the screening of school children. SUBJECTS AND METHOD: A pediatric ophthalmologist performed the Bruckner test followed by a vision testing by a masked optometrist. The test was performed initially in a closed room with the room-lights on for the first 1714 children and with the room lights off for the remaining 657 children. RESULTS: Prevalence of presenting vision < 6/9 in the urban school was 9.11%. Sensitivity of the Bruckner test was 88%, specificity was 98%, predictive value of the positive test was 69%, and predictive value of the negative test was 99%. The specificity and predictive value of the positive test were slightly better with the room lights off. The time required to perform the Bruckner test was less than 10 seconds per child. CONCLUSION: The Bruckner test can be used for ultra rapid screening of school going children in developing nations. Further studies are required to explore its use for vision screening of preverbal children, mentally retarded children and uncooperative children. Follow-up studies are required to compare the results of the Bruckner test with cycloplegic refraction. PMID- 21061889 TI - Effect of motion stimulation without changing binocular disparity on stereopsis in strabismus patients. AB - PURPOSE: To evaluate the effect of motion stimulation without changing binocular disparity on stereopsis in strabismic patients. METHODS: Static and moving stereopsis were measured in 120 normal children and 30 strabismic patients (9 esotropia, 14 exotropia, 7 intermittent exotropia). Using a portable game device (PSP; SONY, Japan), we created stereoscopic targets and produced stereopsis using a closed tube binocular viewing device. Stereoscopic disparity of targets was adjusted in 20 steps of 100 s each over a range from 2000 s to 100 s. In stereo testing using our apparatus, static test targets were used for static stereograms (SS), and test targets with clockwise planar rotation were used for moving stereograms (MS) without changing binocular disparity. RESULTS: All normal children were able to perceive stereopsis with stereoscopic disparity from 2000 s to 100 s, and were interested in our apparatus, more than the Titmus stereo tests. All esotropic patients failed both SS and MS. In the exotropic patients (14 exotropia and 17 intermittent exotropia patients), 19 (90.4%) passed the MS, and 13 (61.9%) passed the SS. CONCLUSION: The exotropic patient might be able to perceive the binocular stereopsis by moving stereogram that was created by adding clockwise planner rotation without changing the binocular disparity to the static stereopsis target. PMID- 21061890 TI - Persistently recurrent infantile esotropia. AB - INTRODUCTION: Children with infantile esotropia often require more than one surgery to align the eyes horizontally, for either recurrent esotropia or consecutive exotropia. We report an unusual subset of patients who had persistently recurrent esotropia despite multiple surgeries. METHODS: The records of 11 patients who presented before age one year with infantile esotropia and who had three or more surgeries for recurrent esotropia were reviewed. The number of surgeries, outcomes, and associated systemic conditions were recorded. RESULTS: These 11 patients represented 4% of children who were operated upon for infantile esotropia during the time of the study. The number of surgeries per patient ranged from 3-9 (mean 5). All of the horizontal surgeries in eight patients were for esotropia, while three patients developed exotropia after three or more surgeries for esotropia. Three children were otherwise normal, three had mild nonspecific developmental delay, one was premature, one had Trisomy 21, and one had spina bifida and high myopia. Following their most recent surgery, eight children had deviations of 8delta or less, and three had deviations between 8delta and 16delta. Seven patients demonstrated fusion. Age at last follow-up ranged from 2-13 years (mean 6.7 years). CONCLUSION: Persistently recurrent esotropia is an unusual occurrence in children with infantile esotropia. Developmental delay is common in these patients, although none were markedly delayed. Satisfactory ocular alignment can be achieved in most patients, but several surgeries may be necessary to achieve this. PMID- 21061891 TI - Case report: Migraine caused by abnormal head posture in a patient with ptosis. PMID- 21061892 TI - Diplopia following extraction of monocular infantile cataract. PMID- 21061893 TI - The ADA 20 years later: the 2010 Survey of Americans with Disabilities. PMID- 21061894 TI - _ 2009 review and revisions of the international standards for the neurological classification of spinal cord injury. AB - The International Standards for the Neurological Classification of Spinal Cord Injury (ISNCSCI) were recently reviewed by the ASIA's Education and Standards Committees, in collaboration with the International Spinal Cord Society's Education Committee. Available educational materials for the ISNCSCI were also reviewed. The last citable reference for the ISNCSCI's methodology is the ISNCSCI Reference Manual, published in 2003 by ASIA. The Standards Committee recommended that the numerous items that were revised should be published and a precedent established for a routine published review of the ISNCSCI. The Standards Committee also noted that, although the 2008 reprint pocket booklet is current, the reference manual should be revised after proposals to modify/revise the ASIA Impairment Scale (AIS as modified from Frankel) are considered. In addition, the Standards Committee adopted a process for thorough and transparent review of requests to revise the ISNCSCI. PMID- 21061895 TI - Physiotherapy secretion removal techniques in people with spinal cord injury: a systematic review. AB - OBJECTIVE: To address whether secretion removal techniques increase airway clearance in people with chronic spinal cord injury (SCI). DATA SOURCES AND STUDY SELECTION: MEDLINE/PubMed, CINAHL, EMBASE, and PsycINFO were searched from inception to May 2009 for population keywords (spinal cord injury, paraplegia, tetraplegia, quadriplegia) paired with secretion removal-related interventions and outcomes. Inclusion criteria for articles were a research study, irrespective of design, that examined secretion removal in people with chronic SCI published in English. REVIEW METHODS: Two reviewers determined whether articles met the inclusion criteria, abstracted information, and performed a quality assessment using PEDro or Downs and Black criteria. Studies were then given a level of evidence based on a modified Sackett scale. RESULTS: Of 2416 abstracts and titles retrieved, 24 met the inclusion criteria. Subjects were young (mean, 31 years) and 84% were male. Most evidence was level 4 or 5 and only 2 studies were randomized controlled trials. Three reports described outcomes for secretion removal techniques in addition to cough, whereas most articles examined the immediate effects of various components of cough. Studies examining insufflation combined with manual assisted cough provided the most consistent, high-level evidence. Compelling recent evidence supports the use of respiratory muscle training or electrical stimulation of the expiratory muscles to facilitate airway clearance in people with SCI. CONCLUSION: Evidence supporting the use of secretion removal techniques in SCI, while positive, is limited and mostly of low level. Treatments that increase respiratory muscle force show promise as effective airway clearance techniques. PMID- 21061896 TI - Soleus H-reflex modulation after motor incomplete spinal cord injury: effects of body position and walking speed. AB - OBJECTIVE: To examine position-dependent (semireclined to standing) and walking speed-dependent soleus H-reflex modulation after motor incomplete spinal cord injury (SCI). PARTICIPANTS: Twenty-six patients with motor incomplete SCI (mean: 45 +/- 15 years) and 16 noninjured people (mean: 38 +/- 14 years). METHODS: Soleus H-reflexes were evoked by tibial nerve stimulation. Patients were tested in semireclined and standing positions (experiment 1) and in midstance and midswing positions (experiment 2). RESULTS: H-reflexes were significantly greater after SCI in all positions compared with noninjured people (P < 0.05). Position dependent modulation from semireclined to standing (normally observed in noninjured people) was absent after SCI. In SCI patients, H-reflex modulation was not significantly different at 1.2 m/s compared with 0.6 m/s treadmill walking speed; in noninjured people, H-reflex modulation was significantly greater at 1.2 m/s compared with 0.6 m/s treadmill walking speed. There was a significant positive correlation between modified Ashworth scores, a clinical measure of spasticity and soleus H-reflex amplitudes tested in all positions. A significant negative correlation was also found between H-reflexes in standing and midstance positions and the amount of assistance patients required to walk. CONCLUSIONS: An improvement in position-dependent and walking speed-dependent reflex modulation after SCI may indicate functional recovery. Future studies will use H-reflex testing to track changes as a result of therapeutic interventions. PMID- 21061897 TI - Effects of gender on inpatient rehabilitation outcomes in the elderly with incomplete paraplegia from nontraumatic spinal cord injury. AB - OBJECTIVE: To examine gender differences in rehabilitation outcomes for patients with nontraumatic spinal cord injury. RESEARCH DESIGN: Secondary analysis was conducted on Medicare beneficiary data from 65 to 74 year olds with incomplete paraplegia discharged from inpatient rehabilitation facilities in 2002 through 2005. MAIN OUTCOME MEASURES: Length of stay, Functional Independence Measure instrument motor item and subscale scores on discharge, and discharge destination. RESULTS: Among patients with degenerative spinal disease, men had significantly longer rehabilitation stays than women (P < 0.001). Men with degenerative spinal disease had significantly lower discharge Functional Independence Measure scores than women, indicating more dependence in self-care (P < 0.001) and mobility (P < 0.001). Among patients with degenerative spinal disease, men were less likely to walk (odds ratio = 0.58; 95% CI = 0.38-0.87) and less likely to be independent with bladder management (odds ratio = 0.44; 95% CI = 0.31-0.62). Among patients with vascular ischemia, men were more independent (B = 2.59; 99% CI = 0.42-4.76) in mobility than women. There were no gender differences in the malignant spinal tumors group. There were no gender differences in being discharged to a community-based residence. CONCLUSIONS: Gender distributions varied by etiology. Gender differences were found in demographics, length of stay, and functional outcomes but not discharge destination. Men were more dependent than women at discharge in the etiology group with the least overall disability (degenerative spinal disease) and more independent in mobility than women at discharge in the etiology group with the most overall disability (vascular ischemia). PMID- 21061898 TI - The relationship of pressure ulcers, race, and socioeconomic conditions after spinal cord injury. AB - OBJECTIVE: To identify risks factors associated with pressure ulcers (PrU) after spinal cord injury (SCI) by examining race and indicators of socioeconomic status (measured by income and education). We hypothesize African Americans will have a greater risk for PrUs than whites, but this relationship will be mediated by the 2 socioeconomic status indicators. DESIGN: Cohort study. SETTING: A large rehabilitation hospital in the southeastern US. PARTICIPANTS: 1466 white and African American adults at least 1-year post-traumatic SCI. OUTCOME MEASURES: (a) PrUs in the past year, (b) current PrU, (c) surgery to repair a PrU since injury. RESULTS: In preliminary analyses, race was significantly associated with having a current PrU and with having surgery to repair a PrU since injury. In multivariable analyses, the relationships of PrU with having a current PrU and with having surgery to repair a PrU were both mediated by income and education such that the relationships were no longer significant. Lower income was associated with increased odds of each PrU outcome. After controlling for other variables in the model, education was associated with increased odds of having a current PrU. CONCLUSION: These findings help clarify the relationships between race and socioeconomic status with PrUs after SCI. Specifically, a lack of resources, both financial and educational, is associated with worse PrU outcomes. These results can be used by both providers and policy makers when considering prevention and intervention strategies for PrUs among people with SCI. PMID- 21061899 TI - Surgery for pressure ulcers improves general health and quality of life in patients with spinal cord injury. AB - STUDY DESIGN: Prospective clinical study. BACKGROUND: Pressure ulcers interfere with the rehabilitation process in patients with spinal cord injury (SCI) and are a significant deterrent to participation in activities that contribute to independent, productive, and satisfying life. OBJECTIVE: To evaluate the effect of surgery for pressure ulcers on general health and quality of life in patients with SCI. SETTING: Tertiary care center in northern India. METHODS: Various types of flap surgery were performed on 30 patients with SCI and 32 pressure ulcers (stages III and IV). Outcome was evaluated using general improvement in health (hemoglobin, serum proteins, and general well-being), patient satisfaction, and global quality of life scores (according to the visual analog scale). RESULTS: At admission, the mean values of global quality of life, hemoglobin, serum albumin, and total serum proteins were 50.15 (range, 30-65), 8.75 g/dL (range, 6-12 g/dL), 3.12 g/dL (range, 2.9-4.3 g/dL), and 5.21 (range, 5-6.2 g/dL), respectively. At 6 month follow up, mean values of global quality of life score, hemoglobin, serum albumin, and total serum proteins were 87.36 (range, 44-96), 10.85 g/dL (range, 8.2-13.5 g/dL), 3.89 g/dL (range, 3.2-4.5 g/dL), and 6.43 g/dL (range, 5.85-6.70 g/dL), respectively. The overall rise in quality of life scores, hemoglobin, serum albumin, and total serum proteins was statistically significant. Most of the patients (76.7%) reported improvement in subjective well-being, and 83.3% were satisfied with the ultimate outcome of the surgery. CONCLUSION: Results suggest that surgery for stages III and IV pressure ulcers offers the greatest benefit to the patients in terms of improvement in general health (anemia, hypoproteinemia, and general well-being) and quality of life. PMID- 21061900 TI - Neuroprotective effects of alpha-lipoic acid in experimental spinal cord injury in rats. AB - BACKGROUND: Oxidative stress is a mediator of secondary injury to the spinal cord following trauma. OBJECTIVE: To investigate the putative neuroprotective effect of alpha-lipoic acid (LA), a powerful antioxidant, in a rat model of spinal cord injury (SCI). METHODS: Wistar albino rats were divided as control, vehicle treated SCI, and LA-treated SCI groups. To induce SCI, a standard weight-drop method that induced a moderately severe injury (100 g/cm force) at T10 was used. Injured animals were given either 50 mg/kg LA or saline at 30 minutes postinjury by intraperitoneal injection. At 7 days postinjury, neurologic examination was performed, and rats were decapitated. Spinal cord samples were taken for histologic examination or determination of malondialdehyde (MDA) and glutathione (GSH) levels, myeloperoxidase (MPO) activity, and DNA fragmentation. Formation of reactive oxygen species in spinal cord tissue samples was monitored by using a chemiluminescence (CL) technique. RESULTS: SCI caused a significant decrease in spinal cord GSH content, which was accompanied with significant increases in luminol CL and MDA levels, MPO activity, and DNA damage. Furthermore, LA treatment reversed all these biochemical parameters as well as SCI-induced histopathologic alterations. Conversely, impairment of the neurologic function caused by SCI remained unchanged. CONCLUSION: The present study suggests that LA reduces SCI-induced oxidative stress and exerts neuroprotection by inhibiting lipid peroxidation, glutathione depletion, and DNA fragmentation. PMID- 21061901 TI - Obesity and myelomeningocele: anthropometric measures. AB - OBJECTIVE: To evaluate the appropriate use of arm span measurements as a substitute for height/linear length to evaluate obesity in people with myelomeningocele by comparing calculated body mass indices (BMIs) with recently published BMI graphs by the Centers for Disease Control and Prevention (CDC) and National Center for Health Statistics standards (NCHS) published in 2000. STUDY DESIGN: Retrospective analysis of collected data on patients seen in the University of Washington Birth Defects Clinic from July 1, 1965, through June 1, 2008. Observations included degree of paralysis, presence of scoliosis, height (linear length), weight, and arm span. We compared published CDC/NCHS BMIs with our data using both height and arm span in place of height/linear length. There were 14,701 measures collected during 4968 visits from 709 patients. Mean values were calculated using age, gender, and lesion level as independent variables. RESULTS: Comparison of BMI means of patients with myelomeningocele suggests that our observations using arm span and height are comparable with the CDC/NCHS BMI means using height for the 2 least paralyzed groups but not for those groups with paralysis from high-level lesions that are more likely to exhibit lower extremity deformities or scoliosis. CONCLUSIONS: Published CDC/NCHS graphs, with their percentiles, are appropriate for estimating normal growth by BMI for children born with myelomeningocele when arm span is substituted for length if severe body differences due to high-level paralysis are taken into consideration. PMID- 21061902 TI - Nontraumatic acute paraplegia associated with cervical disk herniation. AB - BACKGROUND: Acute paraplegia is a true emergency. It is often the result of trauma but is rarely reported in association with cervical disk herniation in patients without antecedent injury. METHODS: Case report. FINDINGS: This 75-year old man presented with acute paraplegia due to severe compression of the spinal cord by herniation of the C4-C5 cervical disk. He underwent emergency diskectomy and anterior fusion. Postoperatively, his neurologic functions improved gradually. CONCLUSIONS: Cervical disk herniation should be considered in the differential diagnosis of nontraumatic acute paraplegia. Pre-existing narrowed canal is an important predisposing factor and excessive neck movements are believed to be triggering factors. Immediate early decompressive surgery is recommended to avoid irreversible progression of neurologic deficit. PMID- 21061903 TI - Acute transverse myelitis associated with dengue viral infection. AB - BACKGROUND: Acute transverse myelitis is a rare manifestation of dengue viral infection. Four cases have been previously reported in the literature. OBJECTIVE: To report a case of a 61-year-old woman who developed acute transverse myelitis 6 days after the onset of a dengue viral infection. FINDINGS: Magnetic resonance imaging of spinal cord showed hypersignal intensity on T2W at T9-T10. Laboratories studies revealed a high titer of hemagglutination inhibition of dengue virus. Treatment with intravenous pulse methylprednisolone and physiotherapy yielded a partial recovery, followed by complete resolution at 1 year postinfection. CONCLUSION: Acute transverse myelitis is a rare manifestation of dengue infection that can occur in either the peri-infectious or postinfectious phases. PMID- 21061904 TI - Unilateral hyperhidrosis from a contralateral source in an individual with C4 complete tetraplegia. AB - SETTING: Outpatient clinic of a spinal cord injury rehabilitation center. DESIGN: Case report. PARTICIPANT: A 40-year-old man with a 20-year history of C4 complete tetraplegia complained of 5 years of excessive intermittent left-sided sweating. The sweating occurred only in the seated upright position. There was no associated headache, blurred vision, or blood pressure variability. FINDINGS: When examined upright, the patient sweated excessively on the left face and body. When he was laid down, sweating ceased. Skin examination revealed intact ischial regions. Pressure applied to the right ischium for several minutes caused sweating to recur on the left forehead, but it then subsided with release of pressure. This phenomenon was repeatable. Local lidocaine injection in the subcutaneous tissues around the right ischium and subsequent use of lidocaine transdermal patches halted the contralateral sweating in the upright position. Pressure mapping analysis showed increased pressure in the region of the right ischial tuberosity. The patient's gel cushion was replaced with an air-filled cushion, providing significant ongoing relief from the hyperhidrosis. CONCLUSION/CLINICAL RELEVANCE: Unilateral hyperhidrosis can be caused by a contralateral source of irritation. Use of techniques that interrupt the afferent arm of the autonomic pathway may be effective in the management of hyperhidrosis in individuals with spinal cord injury. PMID- 21061905 TI - Cervical cord compressive myelopathy in a man with a primary complaint of knee pain. AB - BACKGROUND: Diagnosing patients with cervical cord compressive myelopathy in a timely manner can be challenging due to varying clinical presentations, the absence of pathognomonic findings, and symptoms that are usually insidious in nature. OBJECTIVE: To describe the clinical course of a patient with primary complaint of left medial knee pain that was nonresponsive to surgical and conservative measures; the patient was subsequently diagnosed with cervical cord compressive myelopathy. DESIGN: Case report. SUBJECT: A 63-year-old man with a primary complaint of left medial knee pain. FINDINGS: Physical examination of the left knee was normal except for slight palpable tenderness over the medial joint line. During treatment, he noted loss of balance during activities of daily living. Reassessment revealed bilateral upper extremity hyperreflexia, bilateral Babinski reflex, and positive bilateral Hoffman reflex. Magnetic resonance imaging of the cervical spine demonstrated moderately severe spinal stenosis at the C3-C4, C5-C6, and C6-C7 levels. After C3-C7 laminoplasty for cervical cord compressive myelopathy, he reported substantial improvement of his left medial knee. Three years later, he had no complaint of knee pain. CONCLUSION: Appropriate diagnosis and treatment of cervical cord compressive myelopathy may avoid unnecessary diagnostic imaging, medical evaluations, invasive procedures, and potential neurologic complications. PMID- 21061906 TI - Spinal cord injury facts and figures at a glance. PMID- 21061907 TI - Protochlorophyllide spectral forms. AB - Abstract: This study summarized recent results on POR and plastid development in order to find an explanation for the existence of Pchlide spectral forms. This review has summarized many researches about the Pchlide spectral forms and their phototransformability in different higher plants which have been published. Chlorophyll (Chl) is the most important pigment on the Earth. Each spring millions of tons Chl are formed during bud break and leaf development. The sun light needed for photosynthesis is captured by Chl and transformed to chemical energy. In the Biosynthesis of chlorophyll (Chl) begins with the synthesis of delta-aminolevulinic acid (ALA) from glutamic acid. Chl biosynthetic pathway, a light dependent enzyme protochlorophyllide oxidoreductase (POR) catalyses a key light-driven reaction, trans addition of hydrogen across the C-17-C-18 double bond of the Chl precursor, protochlorophyllide (Pchlide), that triggers a profound transformation in plant development. Pchlide is spectrally heterogeneous and exist in different spectral forms having slightly different absorption and fluorescence peaks. The identified Pchlide spectral forms can be sorted into three groups. The first group is designated as short-wavelength forms. This group with fluorescence in the 625-646 nm spectral region has a heterogeneous nature and is made by four components. The second group includes the long-wavelength Pchlide forms with emission maxima between 652 and 657 nm. The third group is found in the extreme red region (670-730 nm) of the fluorescence emission spectra and includes a number of pigment forms with spectral bands of low intensity. This region is also influenced by vibrational bands of the short-and long-wavelength Pchlide forms. PMID- 21061908 TI - Role of erythropoeitin in prevention of chemotherapy-induced peripheral neuropathy. AB - Neurotoxicity complicates the use of several commonly administered chemotherapeutic agents (platinum based alkylating agents, taxanes and vinca alkaloids), with chemotherapy-induced peripheral neuropathy being the most common manifestation. Structural damage to the peripheral nervous system results in positive symptoms, e.g., allodynia, hyperalgesia and pain with unpleasant features as burning and shooting. Patients are unable to complete full or optimal treatment schedules. The pathophysiologic basis of nerve injury in chemotherapy induced peripheral neuropathy is incompletely understood and appears to be unique for each class of the chemotherapeutic agents. Erythropoeitin (EPO), a well established hematopoietic factor, is a very effective and widely used treatment for anemia in cancer patients undergoing chemotherapy. It also possesses generalized neuroprotective and neurotrophic properties. Co-treatment of chemotherapy and erythropoietin has been proposed for preventing or reversing the disabling peripheral neuropathy induced by the different chemotherapeutic agents. This study first describes the pathophysiological background of the clinically relevant chemotherapeutic agents-inducing peripheral neuropathy. Secondly, the possible mechanisms that might underlie the neuroprotective effect of erythropoietin in chemotherapy-induced neuropathy. Further clinical trials of EPO in cancer patients receiving chemotherapy and suffering from neurological symptoms seem to be warranted in the future. This might improve the quality of life in cancer patients. PMID- 21061909 TI - Epiphytic and saxicolous lichens of the District Centre of Arakli and in Vicinity (Trabzon, Turkey). AB - In this study, epiphytic and saxicolous lichens were studied of the district centre of Arakli and in vicinity in Trabzon province in the Eastern Black Sea Region of Turkey. A total of 65 species in 38 genera belonging to Ascomycotina were found. All of these species are new records for the study area. Of these, 18 species are reported for the first time from the province of Trabzon and 2 species, Bacidia absistens (Nyl.) Arnold and Caloplaca nigromarina Vondrak, Arup and Sochting are recorded for the second time from Turkey. Data and accompaning taxa are given for all species while short descriptions are also given for the two species that are found for the second time in Turkey. PMID- 21061910 TI - Efficacy of papain-based wound cleanser in promoting wound regeneration. AB - A new invention, papain-based wound cleanser is formulated by incorporating papain, a proteolytic enzyme extracted from Carica papaya into the formulation. This cleanser is invented to simplify the methods in wound management by combining wound cleansing and wound debridement using a single formulation. This study describes the preparation and preclinical study of papain-based wound cleanser in accelerating wound healing. In this study, papain-based wound cleanser was used to treat wound incision on Sprague-Dawley rats while distilled water and Betadine were used as negative and positive control. Twenty-seven clinically healthy white rats were randomly divided into three groups and treated accordingly until the 21st day post-incision. Wound reduction rates and histological analysis were obtained to asses the healing pattern. Rats treated with papain-based wound cleanser showed a progressive wound healing based on the wound reduction rates and histological analysis when compared with rats treated with distilled water and Betadine. Better collagen deposition and presence of skin organelles in rats treated with papain-based wound cleanser demonstrated its efficacy in promoting wound healing. In addition to its wound healing effect, papain-based wound cleanser is also integrated with antibacterial properties which make it a complete package for wound management. However, further studies should be carried out to ensure its safety for human usage. PMID- 21061911 TI - Decomposition of lignin and holocellulose on Acacia mangium leaves and twigs by six fungal isolates from nature. AB - This research was conducted in the aim of preventing wild fire through reducing potential energy source to become in situ fertilizer. To prevent forest fires by reducing wood waste using lignocellulose-degrading fungi, six fungal isolates were tested for lignin and cellulose-degrading activity with Acacia mangium leaves and twigs over a period of 1 to 3 months. The fungi degraded 8.9-27.1% of the lignin and 14-31% of the holocellulose. The degradation rate varied depending on the fungal species. An increase in incubation time tended to decrease the amounts of holocellulose and lignin. However, the hot water soluble tended to increase following a longer incubation period. From the results obtained here, more time was needed to degrade lignin rather than other components in the sample. PMID- 21061912 TI - Nano immobilization of enzyme to improvement of biofuel cell electrode's function. AB - This study centers on development of the enzyme presentation as a cathode catalyst. Laccase enzyme is immobilized at nano meter scale in quaternary ammonium bromide salt treated Nafion polymers and assorted with high surface area carbon powders that are then deposited onto a solid support to create the cathode electrode. Optimization of the synthesis circumstances resulted in notably enhanced performance toward oxygen reduction reaction. PMID- 21061913 TI - ATF3, a hub of the cellular adaptive-response network, in the pathogenesis of diseases: is modulation of inflammation a unifying component? AB - Activating transcription factor 3 (ATF3) gene encodes a member of the ATF family of transcription factors and is induced by various stress signals. All members of this family share the basic region-leucine zipper (bZip) DNA binding motif and bind to the consensus sequence TGACGTCA in vitro. Previous reviews and an Internet source have covered the following topics: the nomenclature of ATF proteins, the history of their discovery, the potential interplays between ATFs and other bZip proteins, ATF3-interacting proteins, ATF3 target genes, and the emerging roles of ATF3 in cancer and immunity (see footnote 1). In this review, we present evidence and clues that prompted us to put forth the idea that ATF3 functions as a "hub" of the cellular adaptive-response network. We will then focus on the roles of ATF3 in modulating inflammatory response. Inflammation is increasingly recognized to play an important role for the development of many diseases. Putting this in the context of the hub idea, we propose that modulation of inflammation by ATF3 is a unifying theme for the potential involvement of ATF3 in various diseases. PMID- 21061915 TI - Early transcriptional events during osteogenic differentiation of human bone marrow stromal cells induced by Lim mineralization protein 3. AB - Lim mineralization protein-3 (LMP3) induces osteoblast differentiation by regulating the expression and activity of certain molecules involved in the osteogenic cascade, including those belonging to the bone morphogenetic protein (BMP) family. The complete network of molecular events involved in LMP3-mediated osteogenesis is still unknown. The aim of this study was to analyze the genome wide gene expression profiles in human mesenchymal stem cells (hMSC) induced by exogenous LMP3 to mediate osteogenesis. For this purpose hMSC were transduced with a defective adenoviral vector expressing the human LMP3 gene and microarray analysis was performed 1 day post-adenoviral transduction. Cells transduced with the vector backbone and untransduced cells were used as independent controls in the experiments. Microarray data were independently validated by means of real time PCR on selected transcripts. The statistical analysis of microarray data produced a list of 263 significantly (p < 0.01) differentially expressed transcripts. The biological interpretation of the results indicated, among the most noteworthy effects, the modulation of genes involved in the TGF-beta1 pathway: 88 genes coding for key regulators of the cell cycle regulatory machinery and 28 genes implicated in the regulation of cell proliferation along with the development of connective, muscular, and skeletal tissues. These results suggested that LMP3 could affect the fine balance between cell proliferation/differentiation of mesenchymal cells mostly by modulating the TGF beta1 signaling pathway. PMID- 21061914 TI - Emerging roles for XBP1, a sUPeR transcription factor. AB - X-box binding protein 1 (XBP1) is a unique basic region leucine zipper (bZIP) transcription factor whose active form is generated by a nonconventional splicing reaction upon disruption of homeostasis in the endoplasmic reticulum (ER) and activation of the unfolded protein response (UPR). XBP1, first identified as a key regulator of major histocompatibility complex (MHC) class II gene expression in B cells, represents the most conserved signaling component of UPR and is critical for cell fate determination in response to ER stress. Here we review recent advances in our understanding of this multifaceted transcription factor in health and diseases. PMID- 21061917 TI - The choking game revisited. PMID- 21061916 TI - Domain within the C protein of human parainfluenza virus type 3 that regulates interferon signaling. AB - Human parainfluenza virus type 3 (HPIV3), one of the paramyxoviruses, uses its accessory C protein as an antagonist against interferon (IFN)-mediated host innate immunity. We have previously shown that the C protein significantly decreased the IFN-induced phosphorylation of signal transducer and activator of transcription (Stat) 1 and the formation of gamma IFN activation factor (GAF) complex, thus abrogating the antiviral activity of the IFNs against vesicular stomatitis virus (VSV) replication. Here, by mutational analyses we demonstrated that the N-terminal truncation of the C protein (CNdelta25 and CNdelta50) substantially (approximately 50%) recovers the IFN-induced responses, suggesting the critical role of the N-terminal region of the C protein in IFN signaling. Furthermore, our results indicate that the charged amino acid residues within the N-terminal region of the C protein regulate the antagonistic effect of the C protein on IFN signaling. PMID- 21061918 TI - Recommendations of the Polish Gynecological Society concerning child sexual abuse. AB - The World Health Organisation defines child sexual abuse as the involvement of a child in sexual activity that he or she does not fully comprehend, is unable to give informed consent to, or for which the child is not developmentally prepared and cannot give consent, or that violates the laws or social taboos of society. Child sexual abuse is evidenced by this activity between a child and an adult or another child who by age or development is in a relationship of responsibility, trust or power, the activity being intended to gratify or satisfy the needs of the other person. It is estimated that in the world on average one in 3-4 women and one in 6-10 men were victims of sexual abuse in childhood. In Poland, according to the estimates of the Child's Rights Protection Committee, approximately 20% of girls and 5-6% of boys under the age of 15 years has suffered sexual abuse. In 2007 there was an increase in violence victims in all age categories, but the most alarming data concerned minors under 13 years. Girls fall victim to sexual abuse three times more frequently than boys. Sexual abuse of boys frequently involves violence. Data show that there are fewer women than men who execute such actions against a minor. Most victims (49-84%) know the perpetrator, and approximately 14-20% of acts of violence take place within the family. This article presents recommendations of the Polish Gynecological Society concerning procedures in cases of suspected sexual abuse of children. PMID- 21061919 TI - Vampirism, vampire cults and the teenager of today. AB - The aim of this paper is to summarize the limited literature on clinical vampirism, vampire cults and the involvement of adolescents in vampire-like behavior. The various definitions of clinical vampirism are outlined and the emergence of vampire cults from Gothic culture is examined. Further, the clinical features of cult behavior, the attraction to cults, the risk factors for cult involvement, and the clinical management of cult members are discussed. Additionally, vampire popular media is briefly introduced and a case study presented. PMID- 21061920 TI - A review of the Danish National Drug Directory: who provides the data for the register? AB - We have analyzed the Danish national drug directory (Medicine.dk) and found that it provides the information from industrial drug trials instead of the more objective and reliable information on the drugs provided by meta-analyses made by researchers independent of the pharmaceutical industry, like the Cochrane collaboration. The consequence of this is a strong bias, as a large fraction of the drugs are presented more positive and less harmful than they actually are. Whole classes of drugs that in independent meta-analyses have been found to be of little clinical value, or even harmful, are still listed in the national drug directories as beneficial drugs, i.e. anticancer chemotherapy, the anti depressive drugs, and the anti-psychotic drugs. To solve this serious problem of misguidance, we have identified the core principles for rational listening of data regarding positive and negative effects of the pharmaceutical drugs. An outline of a standard list of positive and negative drug effects is suggested. Information on each drug should be provided with due regard to dose, indication of use, all clinically relevant outcomes, method of drug study used for documentation, including placebo type, and the quality of the study. We recommend the use of Number Needed to Treat (NNT) and Number Needed to Harm (NNH) for each single situation. When more objective and reliable data exist, they should be preferred rather than more doubtful data from studies of lower quality. We warn physicians and patients that the existing drug directory is strongly biased and not a reliable source of information. PMID- 21061921 TI - A potential role of Chlamydia pneumoniae in the pathogenesis of periodontal disease in adolescents and adults. AB - Periodontal diseases are among the most common human infections that not only impact oral health but also are associated with adverse systemic diseases such as cardiovascular diseases, stroke, diabetes, and respiratory diseases. Periodontal diseases is a chronic severe inflammatory process of the gingiva leading to the destruction of tooth-supporting structures, alveolar bone, and subsequently tooth loss due to bacteria infection. While it has been reported that several oral biofilm-forming bacteria might be involved, the role of C. pneumoniae infection in the pathogenesis of periodontal disease remains unknown. The present hypothesis proposes that C. pneumoniae is involved in the pathogenesis of periodontal diseases. This will lead to a better understanding of the etiopathogenesis of periodontal disease, better treatment strategy and savings on total health care costs. PMID- 21061922 TI - Beyond the 'safe sex' propaganda. AB - In this paper, we discuss sexual relationships among teenagers, the related issues, and suggest addressing the issues through effective education programs for both teenagers and their parents. We also discuss the main issues resulting from initiation of sexual relationship during adolescence such as unwanted pregnancy, maternal mortality, abortion, sexually transmitted diseases and damaged mental health. In addition, we highlight the lack of adequate sex education in teenagers and emphasize on the negative influence of TV programs and the harmful effects of dysfunctional families. Moreover, this article proposes equipping teenagers with knowledge that will help them understand not only physical but also emotional, social, and mental dynamics of sexual relationships. We believe that this approach would intervene much earlier in their life, help teenagers make healthy decision and minimize negative consequences of their personal choices. PMID- 21061923 TI - Psychosomatic symptoms among schoolchildren. AB - Psychosomatic symptoms are commonly reported among young people. The potential burden of such symptoms on both young people and health services is substantial. Research from several countries indicates that psychosomatic symptoms tend to co exist rather than occur singularly and could impose limitations on daily living and participation in school life. OBJECTIVES: The aim of this paper was to determine the weekly prevalence of somatic (headache, stomach-ache, dizziness, back ache) and psychological (feeling low, irritability/bad temper, difficulty sleeping, and nervousness) symptoms among schoolchildren in Ireland; and to explore, the influence of family material affluence on reported symptoms. STUDY GROUP: 11-17 year-old school going children. METHODS: Data are presented from the 2006 Irish Health Behaviour in the School-aged Children survey, comprising a nationally representative sample of schoolchildren aged 11-17 years (n = 9969). Sampling units were classes within schools with a response rate of 63% of schools and 83% of students. RESULTS: Irritability/bad temper (43.0%) and headache (26.0%) were the most commonly reported psychological and somatic symptoms among both boys and girls. Symptom prevalence was higher among girls (range 17.8% - 43.8%) than boys (range 10.7% - 42.3%) and was higher among older children of both genders, except for stomachache in boys. Girls from less affluent backgrounds were significantly more likely to report frequent symptoms (55.5% vs. 50.7%). CONCLUSIONS: Multiple symptoms were inversely related to family material affluence for girls. The factors associated with psychosomatic symptoms and the consequences for schoolchildren require further investigation. PMID- 21061924 TI - Eight years secular trends of physical self-esteem among Swedish adolescents. AB - The main aim of this study was to explore secular trends between 2000 and 2008 in physical self esteem by comparing cross sectional cohorts of young adolescents. METHODS: Data of physical self esteem, mean steps per day and body mass index (BMI) were collected in comparable cohorts comprising 191 (103 girls) (2000) and 170 adolescents (101 girls) (2008) in a middle class Swedish community. RESULTS: There was a significant higher Global Self-esteem in the cohort 2008 compared with the 2000 cohort both in boys (p = .004) and girls (p = .018). Regarding Physical Self-worth, both boys and girls reported higher mean values in 2008, which were however not significant. Boys classified overweight/obese showed a significant lower value in Global Self-esteem (p = .001) in the 2008 cohort when compared with the overweight/obese in the 2000 cohort, but all other domains showed non significant differences. Girls classified overweight/obese showed a significant lower value in Physical Strength (p = .023), in the 2008 cohort when compared with the overweight/ obese in the 2000 cohort, but all other domains showed non significant differences. CONCLUSION: There was significantly higher Global Self-esteem in the cohort 2008 compared with the 2000 cohort both in boys and girls, and regarding Physical Self-worth, both boys and girls reported higher mean values in 2008 that were not significant. In the overweight/obese adolescents group, the only significant difference was a lower perceived Physical Strength in girls in 2008 and a significant lower Global Self-esteem in boys in 2008. Enhanced focus on physical activity in society and actions taken by the school might have influenced the result. PMID- 21061925 TI - Factors associated with skilled attendance at delivery in Uganda: results from a national health facility survey. AB - Uganda has high maternal mortality ratio of 435/100,000 live births. In order to address this, Uganda has developed a strategy and has prioritized skilled attendance at delivery as a key intervention. METHODS: A survey covering 54 districts and 553 health facilities was conducted to determine availability and access to essential maternity care and health system factors related to maternal health. The survey specifically assessed availability of emergency obstetric care (EmOC) signal functions, the state of health infrastructure and availability of basic drugs and supplies. RESULTS: A total of 194,029 deliveries were recorded in the year preceding the survey. Majority, 117,761 (60.7%) occurred in hospitals, while 76,268 (39.3%) occurred in health centers. The following factors were associated with increased deliveries at health facilities; running water, (RR 1.5, P < .001); electricity, (RR 1.4, P < .001) and accommodation for staff, (RR 1.2, P < .002). Health units providing basic EmOC had the highest chances of attracting women to deliver there, (RR 4.0, P < .001) as well as those providing comprehensive EmOC, (RR 3.1, P < .001). Furthermore, the majority of health facilities expected to offer basic EmOC, 349 (97.2%) were not offering the service. This is the likely explanation for the high health facility-based maternal ratio of 671/100,000 live births in Uganda. CONCLUSIONS: Improving availability and quality of care especially EmOC; and ensuring that health units have electricity, running water and accommodation for staff could increase skilled attendance at delivery and help achieve the Millennium Development Goals (MDG) target on maternal health in Uganda. PMID- 21061926 TI - Symptom-based diagnosis of malaria and its implication on antimalarial drug use in pregnancy in Central Uganda: results from a community trial. AB - Diagnosis of malaria based on the symptomatic approach has been associated with over-treatment. We sought to assess the magnitude of antimalarial drug use in pregnancy in order to contribute to the debate of introducing effective diagnostic tools for malaria. METHODS: Data on malaria morbidity and treatment seeking practices were collected from pregnant women as part of a community intervention study testing new approaches to deliver intermittent preventive treatment of malaria in pregnancy in Mukono district, central Uganda. RESULTS: A high proportion of pregnant women, 261/667 (39.1%) at the health units reported having fever; and of these 124/559 (22.2%) had positive smears for Plasmodium faciliparum. The symptom-based approach had a low sensitivity of 26.4%, leading to many pregnant women with malaria undiagnosed. Similarly, a high proportion of pregnant women, 145/383 (37.9%) with negative blood smears for P. falciparum received antimalarial drugs in addition to intermittent preventive treatment (IPTp) with sulfadoxine-pyrimethamine (SP). CONCLUSION: The results call for urgent strategies to identify effective diagnostic tools for malaria in pregnancy and to assess the negative effects of the over-use of antimalarial drugs in pregnancy. PMID- 21061927 TI - Socio-demographic risks of child injury in a Greek region. AB - Child injuries are a growing global public health problem and the aim of this research was to study child accidents, their causes and risk factors in a northern part of Greece, Thrace. METHODS: 1,516 high school children completed an anonymous questionnaire regarding the cause, type, activity before the accident, and result of their accident. RESULTS: The Chi square method showed a high correlation between accidents and variables such as gender (OR .55, 95% CI: .437 .687, P < .001), grade (P < .05), maternal education level (P < .001), paternal education level (P < .001), and social group each child belongs to (P < .001). The odds ratio was 3.3 (95% CI: 1.760-6.296) for Non-natives compared with Native Christians and Native Muslims. The latter had half odds in comparison with Native Christians (OR .4, 95% CI: .328-.545). CONCLUSIONS: Young boys (7th grade), non natives, and children whose parents received higher education were at greater risk of being injured. PMID- 21061928 TI - HPV vaccine acceptance among female Greek students. AB - The human papillomavirus (HPV) vaccine protects against HPV-related disease. The aim of this study was to assess acceptance of HPV vaccination among female Greek students. A sample of 573 students aged 18-25 years participated in the present study. Interviews were performed using a structured questionnaire. Of the respondents, 3% were not aware of the HPV vaccine. Of the subjects, 10.47% had been administered the HPV vaccine. Of the respondents who had not been administered the vaccine, 43.86% were not willing to accept it because of the rumors of serious side effects. The results highlight the need for additional education and health promotion regarding HPV vaccination and cervical cancer prevention. PMID- 21061929 TI - The effect of hemisphere specific remediation strategies on the academic performance outcome of children with ADD/ADHD. AB - The development and normal function of the cerebrum is largely dependent on sub cortical structures, such as the cerebellum and basal ganglia. Dysfunction in these areas can affect both the nonspecific arousal system and information transfer in the brain. Dysfunction of this sort often results in motor and sensory symptoms commonly seen in children with ADD/ADHD. These brain regions have been reported to be underactive, with that underactivity restricted to the right or left side of the sub-cortical and cortical regions. An imbalance of activity or arousal of one side of the cortex can result in a functional disconnection similar to that seen in split-brain patients. Since ADD/ADHD children exhibit deficient performance on tests thought to measure perceptual laterality, evidence of weak laterality or failure to develop laterality has been found across various modalities (auditory, visual, tactile) resulting in abnormal cerebral organization and associated dysfunctional specialization needed for lateralized processing of language and non-language function. This study examines groups of ADD/ADHD elementary school children from first through sixth grade. All participants were administered all the subtests of the Wechsler Individual Achievement Tests, the Brown Parent Questionnaire, and given objective performance measures on tests of motor and sensory coordinative abilities (interactive metronome). Results measured after a 12-week remediation program aimed at increasing the activity of the hypothesized underactive right hemisphere function, yielded significant improvement of greater than two years in grade level in all domains except in mathematical reasoning. Results are discussed in the context of the concept of functional disconnectivity in ADD/ADHD children. PMID- 21061930 TI - Contraceptive knowledge, attitudes and changing practices in women presenting to maternal and child health clinics in North Central Trinidad. AB - The relationship between contraceptive awareness and use in an environment of both high levels of unplanned pregnancies and prevalence of HIV/AIDS continues to be a major challenge. The objective of this study is to ascertain the knowledge of contraception and methods chosen in women of 18-45 years old attending primary care facilities in North Central Trinidad. METHODS: We used a cross-sectional study design with a knowledge, attitude, and practices type survey design. Five primary care facilities were selected using cluster sampling. All participants eligible for entry into the study were administered a structured, pretested questionnaire. RESULTS: 269 participants were enrolled in the study. The majority (88%) had good knowledge of contraceptive methods, yet this knowledge was not translated into the prevention of pregnancies as 64.3% had had an unplanned pregnancy. The male condom is now the predominant method of contraception. CONCLUSION: The study provides evidence on the level of knowledge of contraception methods and their use, as well as attitudes and practices among women who are pregnant or who recently completed a pregnancy. Although the knowledge of contraception methods was relatively high, attitudes and practice remain unacceptable and the male condom is now the predominant method of choice. PMID- 21061931 TI - Sexually abused children. Characterization of these girls when adolscents. AB - AIM: To study associations between sexual abuse of girls in childhood and variables affecting life in adolescence. METHODS: Anonymously, in the class room, all eligible female adolescents 13-18 years old (n = 1,428) in a medium-sized town completed a validated in-depth questionnaire (Q90) with 165 questions. A history of sexual offense was reported by 119 cases (8.3%, mean age 16.0 years). The remaining 1,309 girls (mean age 15.6 years) served as a comparison group. RESULTS: Questions included body perception, health, including psychosomatic symptoms, depression, suicidal thoughts, psychiatric medication, general questions about present life, peer relations, smoking, alcohol use, delinquent behaviors, and sexual behaviors. In most areas, adolescents with a history of sexual offense responded unfavorably compared with the comparison groups. Some examples were that despite a similar body mass index, 47% of the cases felt overweight as against 31% of the remaining adolescents (p = .0001). Among the sexually abused adolescents, self-perceived depression was more common (60% vs. 37%, p = .0001), as was psychiatric medication (10% vs. 2%, p = .0003). Loneliness was reported by 23% of the cases versus 13% (p = .005). Smoking, alcohol use, and minor criminality showed similar results. Sexual risk behaviors, i.e. multiple sexual partners, unwanted pregnancies, and sexually transmitted infections did not differ between the two groups of girls. CONCLUSION: Many adolescents with a history of childhood sexual offense feel unhappy, as is evident from the magnitude of the problems. This includes many aspects of adolescent life. It seems likely that the problems are at least partially related to sexual abuse in childhood. PMID- 21061932 TI - Current practice with regard to 'seeing adolescents on their own' during outpatient consultations. AB - Adolescence is a time of many changes with growing independence, emotional and physical changes. It is essential that we as health care professionals are proactive in ensuring good communication and confidentiality in adolescent consultations. OBJECTIVE: To understand our practice in this area of communication and confidentiality with adolescents. METHOD: We conducted a questionnaire based survey among clinicians involved in the care of adolescents whether the clinicians asked the adolescents if they wanted to be seen on their own. RESULTS: Only 23% of the clinicians routinely asked. Of those surveyed, 43% thought it was not essential and 30% stated they did not have enough time. Only 25% copied clinic letters to adolescents along with their parents. CONCLUSION: The results show that there is a lack of awareness of the need to start giving adolescents more independence to ensure a smooth transition to adulthood. Numerous learning resources are available to clinicians to help them understand adolescent care better. PMID- 21061933 TI - Context guides illness-identity: a qualitative analysis of Dutch university students' non-help-seeking behavior. AB - The purpose of this article is to develop a context-based and identity-centered perspective on help-seeking. Recent approaches have indicated the inability of conventional models of help-seeking to account for the non-utilization of health care services in situations for which services, resources and information are adequately provided. We address this non-utilization from a perspective that explores the interactions between notions of health, illness, and identity formation, especially in highly transitional situations in which people are confused about their identity and sense of belonging. More specifically, we explore the non-utilization of health care services by Dutch university students. The results of 36 in-depth interviews show that the help-seeking behaviors of university students are closely associated with questions about identity, forms of agency, and styles of self-presentation, and are deeply influenced by the uncertain social and cultural context in which students are inserted. For example, being a 'normal' student was often regarded as more important than solving health problems, and stress was repeatedly portrayed as a constant and inevitable condition of everyday student life, giving a common language to express the burdens of the shared student experience. Some students even romanticized health problems. Eventually students with serious health problems avoided accessing health services. PMID- 21061934 TI - Barrier contraception among adolescents and young adults in a tertiary institution in Southwestern Nigeria: a cross-sectional descriptive study. AB - Nigeria, like most African nations, is basically conservative, but the young people are becoming more sexually liberated, and the incidence of STD/HIV, unwanted pregnancies and abortions among these young people is on the increase. The use of barrier contraception (BC), which is a cost-effective method of preventing STD/HIV, unwanted pregnancies and its attending complications, has therefore become an important issue in reproductive health. This descriptive cross-sectional study was carried out among first year students of Osun State University, Nigeria. Four hundred respondents were studied using pre-tested semi structured questionnaires. The respondents were selected by balloting. Most respondents (93%) had heard about the male condom as a method of barrier contraception. Most respondents (79.1%) supported the use of barrier contraceptives, but many (62.5%) thought it would promote sexual promiscuity, 33.4% believed that the use of barrier contraception reflected a lack of trust from the partner, and 38.7% felt barrier contraception is not necessary with a stable partner. One hundred and sixty one (40.5%) had used a form of barrier contraception before, but only 130 (32.7%) are currently using BC. The male condom was the most commonly used method (88.2%), followed by female condom and diaphragm (5.6% respectively). The prevention of STI and unwanted pregnancies were the main reasons (59%) given by respondents for using BC, while religion was the main reason given by non-users. The attitudes of these students toward barrier contraception and their practice were poor. The role of sex education at homes and religious gatherings cannot be over-emphasized. PMID- 21061935 TI - National survey 2004 on medical services for persons with intellectual disability in residential care in Israel. AB - In Israel, the Office of the Medical Director of the Ministry of Social Affairs is responsible for the medical service in residential-care centers for persons with intellectual disability (ID). A standard annual questionnaire was developed during 1997-1998, and the first national survey study was conducted in 1998. This present paper presents the findings of the seventh national survey in 2004, for which the following information was gathered via questionnaires: age, gender, and level of intellectual disability of persons served at the residential care center in question, status of the population served, functional profile, nursing, medical, and allied professional staff, number of annual examinations, preventive medicine aspects, medications, number of annual cases of infectious disease, annual unintentional injuries, number of deaths, number of hospitalizations, internal residential center hospitalization, ambulatory out-patient use, use of outside laboratory examinations, and dental care. In 2004, 6,610 persons were served in nine government, 37 private, and 12 public centers. The average number of persons served per center was 113.97 (range 23 to 372). The survey in 2004 showed that 79.2% of the population with ID in residential care in Israel was between 20 and 60 years of age; 48.8% had severe or profound ID, 41% had moderate ID, and 10% had mild ID; 23% were nursing patients; 19% were confined to a wheelchair; 31% had epilepsy; 83% were receiving medication daily for chronic illness; and 52.5% were receiving psychotropic medication for psychiatric illness. PMID- 21061936 TI - H1N1 vaccine acceptance among parents in Greece. AB - The aim of this study was to assess the acceptance of H1N1 vaccination among parents in Greece. A sample of 850 parents participated in the present study. Interviews were performed using a structured questionnaire. The overall percentage of participants reporting that they had been administered the H1N1 vaccine was 0.35%. Only 1.18% of the respondents who had not been administered the vaccine were willing to accept it. A significant barrier to H1N1 vaccination is concern about vaccine safety. PMID- 21061937 TI - A memorial tribute to Helena Vrbova. PMID- 21061938 TI - Helena Vrbova: a very special person. PMID- 21061939 TI - Helena Vrbova--a personal tribute. PMID- 21061940 TI - Bibliography of Helena Vrbova. PMID- 21061941 TI - Women's groups and the marketing of health interventions--a Tanzanian experience. PMID- 21061942 TI - Effective diagnostic tests and anthelmintic treatment for Strongyloides stercoralis make community control feasible. AB - Strongyloides stercoralis is endemic in tropical and subtropical countries, and is prevalent particularly in economically impoverished people. Although an estimated 30 to 100 million people world-wide suffer from S. stercoralis infection and it is a life-long disease, it remains a neglected tropical disease. Faecal testing for S. stercoralis is very insensitive. The prevalence of S. stercoralis in Indigenous Australians (up to 60%) is much higher than previously thought, and its prevalence in Papua New Guinea is likely to be much higher than currently believed. When S. stercoralis and the HTLV-1 virus coexist in the one person, both diseases progress more quickly than when either infection is on its own. When people become infected with S. stercoralis, they develop acute strongyloidiasis which may be life threatening. At any time during the course of the disease, if the immune system is suppressed, most often by corticosteroid drugs, infected people may develop hyperinfective strongyloidiasis and they will die unless the underlying S. stercoralis infection is effectively treated. The use of serology for diagnosis, together with ivermectin treatment, has revealed that it is possible to eradicate S. stercoralis from the patient, and serology can also define the effectiveness of treatment. The reservoir of infection is humans; the free-living stages are short-lived. Mass treatment may be effective at eliminating S. stercoralis from a community. Safe water and effective sanitation alone do not lead to elimination of S. stercoralis. Up-to-date knowledge of S. stercoralis has been revealed through the workshops of the National Strongyloides Working Group in Australia and is summarized here. Much of this information is now available on the world wide web, and the addresses of relevant web sites are given. PMID- 21061943 TI - The relationship between undernutrition and humoral immune status in children with pneumonia in Papua New Guinea. AB - Malnutrition is a significant risk factor for childhood infectious diseases in developing countries, including Papua New Guinea (PNG). Whilst the mechanisms are not fully understood there is little doubt that impairment of immune function is a major contributing factor in enhancing disease susceptibility in malnourished children. This susceptibility has been clearly shown for pneumonia in PNG. The aim of this study was to examine the effect of undernutrition on the humoral immune profile in children less than 60 months of age with pneumonia. The study was cross-sectional with measurements of nutritional status and parameters of the immune response being assessed simultaneously. The children were grouped according to age for the purpose of comparative analysis. The children were from the Goroka region of the Eastern Highlands Province of PNG and had been admitted to hospital with moderate-severe pneumonia. They were classified as undernourished (less than 80% weight for age) or nourished (greater than or equal to 80% weight for age). Serum albumin, IgG, IgA and IgM and salivary albumin and IgA were measured. Antibodies to nontypeable Haemophilus influenzae outer membrane protein and Escherichia coli O antigen were also determined in serum and saliva. Undernourished children aged less than 49 months had lower levels of serum albumin than nourished children throughout this age range. Lower values of salivary IgA were observed in infants (less than 13 months of age) than in older children, with a larger proportion of younger children having no detectable IgA. The age-related immunological profile was similar in undernourished and nourished children. At different age intervals the concentration of immunoglobulins in serum and saliva from undernourished children was generally found to be less than or the same as that from nourished children. In most cases undernourished children had lower levels of specific antibodies than nourished children but for some antibodies in some age groups the levels in the undernourished were higher. In conclusion, undernutrition was associated with hypoalbuminaemia and reduced humoral immune responses in children with pneumonia but its immunological effects varied with age in an unpredictable way. PMID- 21061944 TI - Alpha+ -thalassaemia and malaria in Melanesia: epidemiological perspectives. AB - In 1948 Haldane first proposed that the high frequencies of thalassaemias in malaria-endemic regions were due to natural selection by malaria. Some of the highest frequencies of alpha+ -thalassaemia are found in the Pacific region of Melanesia. Consequently, Melanesia has provided a unique opportunity for an extensive study of the association between alpha+ -thalassaemia and malaria. Here we review the emergence of alpha+ -thalassaemia in this region and the research that has been carried out, both from the historical perspective and the most recent developments, which may give insight into the selection of alpha+ thalassaemia by malaria. PMID- 21061945 TI - Does integrated management of childhood illness (IMCI) make a difference to the assessment of sick children in Papua New Guinea? AB - Two provinces, one of which had introduced the Integrated Management of Childhood Illness (IMCI) policy to some degree and one in which there was no IMCI program, were selected to compare health workers' assessment of children attending provincial hospitals, district hospitals and health centres. 23 health workers were observed during 373 child assessments to determine their ability to detect the symptoms and signs detailed in the IMCI 10-step checklist. Health workers in the province that had introduced IMCI performed significantly better than their counterparts in 11 of the 24 criteria studied. These criteria included asking about 'too sick symptoms' (p < 0.001 for asking about vomiting and feeding and p < 0.012 for asking about convulsions), counting respiratory rate and checking for chest indrawing in children presenting with cough (p < 0.001), checking skin elasticity in children presenting with diarrhoea (p < 0.02), checking for neck stiffness in those presenting with fever (p < 0.001), checking for pallor (p < 0.001) and accurately plotting the child's weight on the weight graph (p < 0.001). Children in this province were more likely to be fully vaccinated (OR 1.96 [1.25-3.08]) than those in the province in which no attempt had been made to introduce IMCI. The facilities were ranked by the proportion of children correctly assessed. The best facility was the health centre which had been a pilot site for the introduction of IMCI in the province several years before the study. The results of the study, which clearly demonstrate that IMCI does make a difference, are in accordance with data from many parts of the resource-poor world and strongly support the Department of Health's decision to implement IMCI in the country. Every effort should be made to ensure that all provinces introduce the program and support its continuation as part of the Strategic Package for Child Survival. PMID- 21061946 TI - Glycophorin C delta(exon3) is not associated with protection against severe anaemia in Papua New Guinea. AB - The high frequencies of mutant haemoglobin and erythrocyte surface proteins in malaria-endemic regions have indicated that polymorphisms in human genes have been under selection pressure by severe malarial disease. Glycophorin C (GYPC) is a major surface erythrocyte protein and also a receptor for the Plasmodium falciparum erythrocyte-binding antigen 140 (EBA-140, also known as BAEBL). There is no binding to GYPC in Gerbich-negative (deletion of exon 3 in GYPC gene: GYPCC delta(exon3)) erythrocytes by EBA-140, hence limiting invasion of erythrocytes by certain P. falciparum lines. The GYPCC delta(exon3) allele reaches high frequencies in two areas of Papua New Guinea (PNG) where malaria is highly endemic. There is, however, no indication that Gerbich negativity protects against malaria-related illness. Using archival blood samples collected from children (<6 years of age) in the Wosera District, East Sepik Province, PNG, we investigated GYPC C delta(exon3) as a possible genetic component of protection against severe malarial anaemia (SMA). The frequency of this human genetic polymorphism was found to be in accordance with previous studies. However, our result showed no association between SMA and GYPC C delta(exon3). Until such an association is clearly shown with severe malaria outcomes, these results raise questions regarding the role of malaria as a selective force for Gerbich negativity. PMID- 21061947 TI - Is a 'convenience' sample useful for estimating immunization coverage in a small population? AB - Rapid survey methodologies are widely used for assessing immunization coverage in developing countries, approximating true stratified random sampling. Non-random ('convenience') sampling is not considered appropriate for estimating immunization coverage rates but has the advantages of low cost and expediency. We assessed the validity of a convenience sample of children presenting to a travelling clinic by comparing the coverage rate in the convenience sample to the true coverage established by surveying each child in three villages in rural Papua New Guinea. The rate of DTF immunization coverage as estimated by the convenience sample was within 10% of the true coverage when the proportion of children in the sample was two-thirds or when only children over the age of one year were counted, but differed by 11% when the sample included only 53% of the children and when all eligible children were included. The convenience sample may be sufficiently accurate for reporting purposes and is useful for identifying areas of low coverage. PMID- 21061948 TI - Weighing risk factors associated with bee colony collapse disorder by classification and regression tree analysis. AB - Colony collapse disorder (CCD), a syndrome whose defining trait is the rapid loss of adult worker honey bees, Apis mellifera L., is thought to be responsible for a minority of the large overwintering losses experienced by U.S. beekeepers since the winter 2006-2007. Using the same data set developed to perform a monofactorial analysis (PloS ONE 4: e6481, 2009), we conducted a classification and regression tree (CART) analysis in an attempt to better understand the relative importance and interrelations among different risk variables in explaining CCD. Fifty-five exploratory variables were used to construct two CART models: one model with and one model without a cost of misclassifying a CCD diagnosed colony as a non-CCD colony. The resulting model tree that permitted for misclassification had a sensitivity and specificity of 85 and 74%, respectively. Although factors measuring colony stress (e.g., adult bee physiological measures, such as fluctuating asymmetry or mass of head) were important discriminating values, six of the 19 variables having the greatest discriminatory value were pesticide levels in different hive matrices. Notably, coumaphos levels in brood (a miticide commonly used by beekeepers) had the highest discriminatory value and were highest in control (healthy) colonies. Our CART analysis provides evidence that CCD is probably the result of several factors acting in concert, making afflicted colonies more susceptible to disease. This analysis highlights several areas that warrant further attention, including the effect of sublethal pesticide exposure on pathogen prevalence and the role of variability in bee tolerance to pesticides on colony survivorship. PMID- 21061949 TI - Movement of Bactericera cockerelli (Heteroptera: Psyllidae) in relation to potato canopy structure, and effects on potato tuber weights. AB - With the threat of new plant diseases on the increase, plant disease epidemiology requires research on pathogen vector movement. Here, releases were performed in planted potato fields of different ages and canopy structures, located in the Texas Panhandle, to evaluate the range of movement of the potato psyllid Bactericera cockerelli (Sulc.). This insect is a known causative agent of psyllid yellows disease, and is a vector of the reported etiological agents of zebra chip disease of potato, 'Candidatus Liberibacter solanacearum/psyllaurous'. Based on collections of B. cockerelli immatures along transects 9 m long radiating in four cardinal directions from release points, adult females dispersed considerable distances, regardless of plant age or canopy structure. Immature abundance declined along transects and were well described by linear and nonlinear models, but abundance patterns did not differ among the different planting dates and canopy structures. However, unequal immature abundance was detected among the four cardinal directions, with more immatures generally collected along transects to the north and west of release points, opposite of prevailing winds in the area at the time of release. Plots where B. cockerelli were released had significantly lower mean potato tuber weights than control plots with no B. cockerelli. However, few plots with B. cockerelli released in them had declining trends in tuber weights with increasing distance from release points. PMID- 21061950 TI - Transmission parameters for Candidatus liberibacter asiaticus by Asian citrus psyllid (Hemiptera: Psyllidae). AB - The purpose of this investigation was to evaluate acquisition and inoculation (together, transmission) efficiency of Candidatus Liberibacter asiaticus (Las), the pathogen associated with citrus huanglongbing (HLB) by the Asian citrus psyllid, Diaphorina citri (Kuwayama) (Hemiptera: Psyllidae). In laboratory studies, nymphs reared on Las infected plants were more likely to acquire the bacterium than adults. Acquisition by nymphs ranged from 60 to 100%, whereas acquisition by adults only reached 40% after 5 wk of feeding on Las-infected plants. Similar rates of pathogen acquisition by psyllids after nymphal and adult feeding were observed in the field. Transmission of Las from parent to offspring (transovarial) occurred at a rate of 2-6%. One year after psyllid inoculations, successful transmission by individual D. citri ranged from 4 to 10%, whereas groups of 100 or more D. citri transmitted the pathogen at a rate of approximately 88%. In addition, the proportion of Las-positive adult psyllids, determined using quantitative real-time polymerase chain reaction, decreased over time when held on healthy plants. Due to the low rate of pathogen acquisition and long time period required for successful inoculation by adult D. citri, experiments designed to determine the latent period required for replication and successful inoculation of Las by D. citri did not result in Las-infected plants after >1 yr of incubation after inoculation. Collectively, these results indicate that adult D. citri which acquire the HLB pathogen as adults are poor vectors of the pathogen compared with adults that acquired the pathogen as nymphs. PMID- 21061951 TI - Dietary stress increases the susceptibility of Tribolium castaneum to Beauveria bassiana. AB - Sanitation being an important component of chemical-free management of stored products pests, the nutritional stress on insects that results from a clean environment may prove advantageous to the use of microbial controls. Dietary stress by food deprivation or suboptimal diet increased susceptibility of the red flour beetle, Tribolium castaneum (Herbst), larvae to Beauveria bassiana (Balsamo) Vuillemin. Fungus-related mortality increased linearly with the number of days of food deprivation. Rearing of larvae on a rice meal diet resulted in slower development and greater susceptibility to B. bassiana than rearing on whole wheat flour with brewer's yeast. Larvae that were fed for 24 h on flour with B. bassiana conidia consumed significantly less and weighed significantly less than those that were fed fungus-free flour. Thus, the presence of B. bassiana conidia is itself a cause of dietary stress. PMID- 21061952 TI - Enhanced toxicity of Bacillus thuringiensis japonensis strain Buibui toxin to oriental beetle and northern masked chafer (Coleoptera: Scarabaeidae) larvae with Bacillus sp. NFD2. AB - Bacillus thuringiensisjaponensis strain Buibui (Btj) has the potential to be an important control agent for pest scarabs. Bioassays using autoclaved and nonautoclaved soil showed there were always lower LC, values associated with nonautoclaved soil. We identified five other bacteria found in the hemolymph of insects killed by Btj and used them in bioassays to see whether we could enhance the control achieved with Btj alone. One bacterium, designated NFD2 and later identified as a Bacillus sp., showed the greatest enhancement of Btj in preliminary experiments and was used in bioassays with Btj versus oriental beetle, Anomala orientalis (Waterhouse), and northern masked chafer, Cyclocephala borealis Arrow (Coleoptera: Scarabaeidae), larvae. This bacterium alone was nontoxic to grubs in bioassays. A combination of this bacterium with Btj in nonautoclaved soil resulted in a significantly lower LC50 value (0.23 microg toxin per g soil) from all other treatments for A. orientalis with one exception; the LC50 where NFD2 was added back into autoclaved soil (0.29 microg toxin per g soil). A combination of this bacterium with Btj in nonautoclaved soil resulted in a significantly lower LC50 value (48.29 microg toxin per g soil) from all other treatments for C. borealis with the exception of the treatment where Bacillus sp. NFD2 was added back to autoclaved soil (96.87 microg toxin per g soil) with Btj. This research shows that other soil bacteria can be used to enhance the toxicity of Btj and possibly other Bts. PMID- 21061953 TI - Evaluating a naturally occurring baculovirus for extended biological control of the black cutworm (Lepidoptera: Noctuidae) in golf course habitats. AB - Golf courses are a potential market for microbial insecticides, but how intensive management of such sites interacts with efficacy of entomopathogens is poorly known. We evaluated Agrotis ipsilon nucleopolyhedrovirus (AgipMNPV) for suppressing black cutworms, Agrotis ipsilon Hufnagel (Lepidoptera: Noctuidae), in turf representative of golf course habitats and on whole tees under actual play. In independent trials on sand- or soil-based putting greens and surrounds, or fairway-height creeping bentgrass (Agrostis stolonifera L.), < or = 1-wk-old AgipMNPV residues (10 x 10(8) occlusion bodies [OBs] per m2) typically gave 50 60% lethal infection of introduced third instars. In most cases, however, there was no residual control beyond 2-4 wk. Spraying fairway-height bentgrass with AgipMNPV alone (10 x 10(9) OBs per m2) gave 90, 85, and 7% infection of second instars introduced 4 d, 3 wk, or 5 wk later, but adjuvants (optical brightener, lignin, or both) intended to synergize and protect the virus from UV degradation did not extend infectivity. Fresh (< 1-wk-old) AgipMNPV residues killed 76-86% of neonates hatching from eggs on tees under play, but levels of control plummeted within a few weeks. Three species of braconids, an encyrtid Copidosoma bakeri (Howard), and a tachinid, Bonnetia comta (Fallen) collectively killed 24-31% of larvae recovered from those tees. AgipMNPV seems better suited for targeted control of early instars than for season-long control. Golf turf is a severe environment for baculoviruses so several applications per growing season would probably be needed to maintain high enough titers on grass foliage to effectively control caterpillar pests. PMID- 21061954 TI - Walking activity of flightless Harmonia axyridis (Coleoptera: Coccinellidae) as a biological control agent. AB - The use of flightless strains of the multicolored Asian lady beetle, Harmonia axyridis (Pallas) (Coleoptera: Coccinellidae), established via artificial selection, can be highly effective as a biological control agent for aphids. However, flightless H. axyridis must depend on walking for dispersion. Therefore, data on the walking activity levels in flightless strains are important for the development of effective methods when releasing these agents in the field. Results of measurement of walking activity levels using an infrared actograph showed that walking activity levels during the daytime (but not nighttime) in both sexes of pure flightless strains tended to be lower than those of control strains. We also found that walking activity levels during the daytime for the F1 generation of hybrid strains, produced by reciprocal crossing between two pure flightless strains, were approximately equal to those of pure strains; the reduction in walking activity levels was not recovered by hybrid vigor. Our results indicate that the reduction in walking activity levels in the pure flightless strains was not caused merely by inbreeding depression stemming from the artificial selection process. Instead, potentially flight ability and walking activity levels in this species may be controlled by the pleiotropic effect of a gene. PMID- 21061955 TI - What does "local" firewood buy you? Managing the risk of invasive species introduction. AB - Firewood can serve as a vector in the transport of non-native species, including wood-boring insects that feed within the wood and thus can be transported accidentally. Governments have enacted limitations on the movement of firewood in an effort to limit the anthropogenic movement of non-native species through, for example, recreational camping. Although the movement of invasive species through firewood is a documented invasion pathway, it is not trivial for governments to determine a "safe" allowable distance for moving firewood. We were motivated by this challenge and developed a theoretical simulation to determine the campgrounds that could be potentially exposed to infested firewood based upon the hypothetical distribution of an invasive species and the allowable distance for moving firewood. We extend this concept to the known distributions of emerald ash borer, Agrilus planipennis Fairmaire (Coleoptera: Buprestidae) and Asian longhorned beetle, Anoplophora glabripennis (Motschulsky) Coleoptera: Cerambycidae). We illustrate, based upon theoretical and empirical observations, that as the distribution of an invasive species increases, more rigid constraints on the movement of firewood would be required relative to those species that are distributed over a smaller scale. Also, on the level of management within a state, smaller states have far less margin for error than larger ones, as even extremely rigid restrictions on the movement of firewood could have little management effect unless the infested area is spatially limited. These results collectively suggest the potential for a dynamic management strategy that adjusts allowable distances for firewood movement based upon the distribution of the non native species. PMID- 21061956 TI - Developing a systems approach for Sternochetus mangiferae (Coleoptera: Curculionidae) in South Africa. AB - Sternochetus mangiferae (F.) (Coleoptera: Curculionidae) is a quarantine pest of mango, Mangifera indica L., in South Africa. The aim of the study was to test cultural and chemical control measures in a systems approach for risk mitigation of S. mangiferae in South Africa. A large-scale experiment, using a randomized block design with three replicates, was undertaken on 'Tommy Atkins' mangoes in South Africa. Five treatment combinations were applied over two seasons: (1) untreated control; (2) sanitation and physical removal of egg-infested fruit (P+S); (3) thiamethoxam, P+S; (4) thiamethoxam, fipronil, P+S; and 5) thiamethoxam, two fenthion applications, P+S. A weekly random sample of eight fruit from 40 trees per orchard was taken from 5 wk to harvest over two harvest periods. In total, 57,600 fruit were sampled. In a separate experiment, the same variety was used to test the efficacy of covering fruit with paper bags over one season in a completely randomized design. In both trials, all the pests were recorded from internal and external fruit inspections. In trial 1, results after the first season showed >98% control in the three chemical combination treatments. In the second season, treatment 2 provided 19% and treatment 3 99.9% control, whereas no fruit were infested with S. mangiferae in treatments 4 and 5. Trial two showed that fruit bagging significantly reduced S. mangiferae infestation. The data showed that a systems approach for S. mangiferae control in South Africa can be effective and that bagging can be an effective organic control option. PMID- 21061957 TI - Field response of Mediterranean fruit flies to ceralure B1 relative to most active isomer and commercial formulation of trimedlure. AB - In a field test to examine attractancy of Mediterranean fruit fly, Ceratitis capitata (Wiedemann), relative to release rates, equal moles of racemic ceralure B1 and trimedlure isomer C were compared on cotton wicks. Data were collected at 2, 4, and 7 d. The substrates were analyzed for longevity and overall persistence in the field. Ceralure B1 was equally attractive as trimedlure C for the first 2 d and significantly more so at 4 and 7 d based on trap counts of captured male Mediterranean fruit flies. Residue analysis of weathered wicks showed that the trimedlure C lasted for just 2 d in our field evaluations, whereas ceralure B1 had measurable material present after 7 d. Thus, based on the amounts of chemicals released, ceralure B1 was more active even in the first 2 d. Ceralure B1 also was formulated in a plastic matrix (ceralure coin) at three different dosages and tested for attractancy in the field against a 2-g trimedlure plug. A 150-mg ceralure B1 coin was equally attractive as a commercial 2-g trimedlure plug, whereas a 300-mg coin was significantly more attractive during a 6-8-wk deployment. Although our results clearly show that ceralure B1 is a superior attractant to trimedlure, costs of synthesis need to be considered as part of an overall program management strategy. PMID- 21061958 TI - Response of melon fly (Diptera: Tephritidae) to weathered SPLAT-Spinosad-Cue Lure. AB - Studies were conducted in Hawaii to measure attraction of male melon fly, Bactrocera cucurbitae (Coquillett) (Diptera: Tephritidae), to SPLAT-Cue-Lure (C L) and SPLAT-Melo-Lure (M-L) (raspberry ketone formate). Direct field comparisons of SPLAT-C-L and SPLAT-M-L at low (5%) and high (20%) concentrations indicated few differences in attraction over a 15-wk period. Subsequently, only SPLAT Spinosad-C-L (5%) was compared with Min-U-Gel C-L with naled (standard used in California) in weathering studies. Treatments were weathered for 1, 2, 4, and 8 wk in Riverside, CA, and shipped to Hawaii for attraction/toxicity tests under field and semifield conditions by using released males of controlled ages, and for feeding tests in the laboratory. In terms of attraction, SPLAT-Spinosad-C-L compared favorably to, or outperformed the current standard of Min-U-Gel-C-L with naled. In terms of toxicity, the cumulative 24-h mortality did not differ between the two insecticide-containing C-L treatments in field cage studies after 8 wk. However, in feeding studies in which individual males were exposed for 5 min to the different C-L treatments after 4 wk of weathering, SPLAT-Spinosad-C-L demonstrated reduced mortality compared with the Min-U-Gel-C-L with naled, suggesting reduced persistence of the spinosad material. Spinosad has low contact toxicity and when mixed with SPLAT and C-L offers a reduced risk alternative for control of B. cucurbitae and related C-L-responding species, without many of the negative effects to humans and nontargets of broad-spectrum contact poisons such as naled. PMID- 21061959 TI - Field trials of aggregation pheromones for the stink bugs Chlorochroa uhleri and Chlorochroa sayi (Hemiptera: Pentatomidae). AB - In field trials, adult Chlorochroa uhleri (Stal) (Heteroptera: Pentatomidae) of both sexes were caught in significant numbers in cylindrical screen traps baited with gray rubber septum lures loaded with the main component of the male-produced pheromone, methyl (E)-6-2,3-dihydrofarnesoate. Addition of the two possible minor components of the pheromone, methyl (E)-5-2,6,10-trimethyl-5,9-undecadienoate and methyl (2E,6E)-farnesoate, did not affect attraction. Combining the pheromone with different concentrations of volatiles mimicking the odors of a known host plant, alfalfa (Medicago sativa L.), had no significant effect on attraction of adult bugs, whereas combining the pheromone with the pheromones of two sympatric stink bug species, Chlorochroa sayi (Stal) and Euschistus conspersus Uhler, decreased trap captures, suggesting interference between the pheromones. Small numbers of Chlorochroa ligata (Say) adults also were attracted, but numbers caught were too low to allow statistical comparisons between lure blends. In field trials with C. sayi, all three of the male-specific pheromone compounds [methyl geranate, methyl citronellate, and methyl (E) -6-2,3-dihydrofarnesoate] were required for optimal attraction. As with C. uhleri, adults of both sexes were attracted to pheromone lures in approximately equal numbers. Because of the decreased volatility (=release rate) of methyl (E)-6-2,3-dihydrofarnesoate in comparison with the other two, lower molecular weight pheromone components, lures needed to be loaded with a disproportionately high amount of methyl (E)-6-2,3 dihydrofarnesoate to obtain the best trap catch. There was no indication that the pheromone components of C. uhleri or E. conspersus interfered with the attractiveness of the C. sayi pheromone in lures containing a blend of all three pheromones. PMID- 21061960 TI - Wind as an abiotic factor of Colorado potato beetle (Coleoptera: Chrysomelidae) flight take-off activity under field conditions. AB - The flight take-off activity of Colorado potato beetles, Leptinotarsa decemlineata (Say) (Coleoptera: Chrysomelidae), was significantly higher at a landscape-protected than at semiexposed and exposed sites in a 2-yr field study. In both years, mean daylight temperature, solar radiation, and relative humidity were generally similar at all sites, but wind speed was lower at the protected site than at the exposed sites. Results suggest that wind was the limiting abiotic factor for flight take-off at the exposed site. Caged beetles exposed to constant wind speeds of 3.4, 4.7, and 7.0 m/s showed a significant corresponding decrease in number of flight take-off. There was no cumulative effect of wind exposure on the readiness of the beetles to fly, suggesting that wind acts as a physical barrier to flight take-off. It should be possible to reduce Colorado potato beetle flight dispersal by selecting fields most exposed to wind over landscape-protected fields when rotating potato, Solanum tuberosum L., crops. PMID- 21061961 TI - Suppression of leopard moth (Lepidoptera: Cossidae) populations in olive trees in Egypt through mating disruption. AB - The leopard moth, Zeuzera pyrina (L.) (Lepidoptera: Cossidae), is a damaging pest for many fruit trees (e.g., apple [Malus spp.], pear [Pyrus spp.] peach [Prunus spp.], and olive [Olea]). Recently, it caused serious yield losses in newly established olive orchards in Egypt, including the death of young trees. Chemical and biological control have shown limited efficiency against this pest. Field tests were conducted in 2005 and 2006 to evaluate mating disruption (MD) for the control of the leopard moth, on heavily infested, densely planted olive plots (336 trees per ha). The binary blend of the pheromone components (E,Z)-2,13 octadecenyl acetate and (E,Z)-3,13-octadecenyl acetate (95:5) was dispensed from polyethylene vials. Efficacy was measured considering reduction of catches in pheromone traps, reduction of active galleries of leopard moth per tree and fruit yield in the pheromone-treated plots (MD) compared with control plots (CO). Male captures in MD plots were reduced by 89.3% in 2005 and 82.9% in 2006, during a trapping period of 14 and 13 wk, respectively. Application of MD over two consecutive years progressively reduced the number of active galleries per tree in the third year where no sex pheromone was applied. In all years, larval galleries outnumbered moth captures. Fruit yield from trees where sex pheromone had been applied in 2005 and 2006 increased significantly in 2006 (98.8 +/- 2.9 kg per tree) and 2007 (23 +/- 1.3 kg per tree) compared with control ones (61.0 +/- 3.9 and 10.0 +/- 0.6 kg per tree, respectively). Mating disruption shows promising for suppressing leopard moth infestation in olives. PMID- 21061962 TI - Life-table analysis of the performance of Nilaparvata lugens (Hemiptera: Delphacidae) on two wild rice species. AB - Life tables of the planthopper Nilaparvata lugens (Stal) (Hemiptera: Delphacidae) on two wild rice species, Oryza officinalis Wall, ex Watt. and Oryza rufipogon Griff., and on 'Taichung Native 1' (O. sativa indica TN1) were studied at 27 degrees C in the laboratory. The raw data were analyzed based on the age-stage, two-sex life table to take both sexes and the variable developmental rate among individuals and between sexes into consideration. The intrinsic rate of increase (r), the finite rate (lamda), the net reproduction rate (R0) and the mean generation time (T) of N. lugens on O. officinalis were -0.0616 d(-1), 0.9402 d( 1), 0.10 offspring, and 36.7 d, respectively, showing that the species is resistant to N. lugens. The resistance of O. officinalis is expressed in the long developmental time from first to fifth instar of N. lugens, the reduced preadult survival rate, the short adult longevity, and low fecundity. However, the population parameters of the N. lugens on O. rufipogon and TN1 were 0.1096 d(-1), 1.1158 d(-1), 67.82 offspring, and 38.6 d and 0.1340 d(-1), 1.1434 d(-1), 144.77 offspring, and 37.2 d, respectively. These population parameters showed that N. lugens can successfully survive and reproduce on both O. rufipogon and TN1. PMID- 21061963 TI - Acoustic indicators for targeted detection of stored product and urban insect pests by inexpensive infrared, acoustic, and vibrational detection of movement. AB - Crawling and scraping activity of three stored-product pests, Sitophilus oryzae (L.) (Coleoptera: Curculionidae), Tribolium castaneum (Herbst) (Coleoptera: Tenebrionidae), and Stegobium paniceum (L.) (Coleoptera: Anobiidae), and two urban pests, Blattella germanica (L.) (Blattodea: Blattellidae) and Cimex lectularius L. (Hemiptera: Cimicidae), were monitored individually by infrared sensors, microphones, and a piezoelectric sensor in a small arena to evaluate effects of insect locomotory behavior and size on the ability of an inexpensively constructed instrument to detect insects and distinguish among different species. Adults of all species could be detected when crawling or scraping. The smallest insects in the study, first-fourth-instar C. lectularius nymphs, could not be detected easily when crawling, but could be detected when scraping. Sound and vibration sensors detected brief, 3-10-ms impulses from all tested species, often grouped in distinctive trains (bursts), typical of impulses in previous acoustic detection experiments. To consider the potential for targeting or focusing detection on particular species of interest, indicators were developed to assess the likelihood of detection of C. lectularius. Statistically significant differences were found between C. lectularius and other species in distributions of three measured variables: infrared signal durations, sound impulse-burst durations, and sound pressure levels (energy) of impulses that best matched an averaged spectrum (profile) of scraping behavior. Thus, there is potential that signals collected by an inexpensive, polymodal-sensor instrument could be used in automated trapping systems to detect a targeted species, 0.1 mg or larger, in environments where servicing of traps is difficult or when timeliness of trapping information is important. PMID- 21061964 TI - Toxic effects of 2-deoxy-D-galactose on Coptotermes formosanus (Isoptera: Rhinotermitidae) and symbionts. AB - In the interest of developing interventions to infestations by Formosan subterranean termites, Coptotermes formosanus Shiraki (Isoptera: Rhinotermitidae), several rare sugars were tested for effects on the termites and symbionts. Among these, the D-galactose analog, 2-deoxy-D-galactose (2deoxyGal) showed promise as a potential control chemical. At a test concentration of 2deoxyGal (320.4 microg/mm3) in water applied to 5-cm filter paper, in bioassays with 20 termite workers, we found that worker termite mortality was significantly affected over a 2-wk period. Subsequent dose-mortality feeding studies confirmed these findings. In addition, consumption of the sugar-treated filter paper by termites caused a significant decrease in hindgut protozoan populations. 2deoxyGal caused dose-dependent termite mortality, taking on average 1 wk to begin killing workers, indicating that it may have promise as a delayed action toxin, which, if added to baits, could allow time after bait discovery for an entire colony to be affected. PMID- 21061965 TI - Extrafloral nectar in an apple ecosystem to enhance biological control. AB - A common goal of conservation biological control is to enhance biodiversity and increase abundance and effectiveness of predators and parasitoids. Although many studies report an increase in abundance of natural enemies, it has been difficult to document increases in rates of biological control. To enhance parasitism of the tufted apple bud moth, Platynota idaeusalis (Walker) (Lepidoptera: Tortricidae), alternate food was provided by interplanting peaches bearing extrafloral nectaries into apple (Malus spp.) orchards. Laboratory studies showed that the presence of nectar increased longevity and parasitism rates by Goniozus floridanus (Bethylidae), the dominant parasitoid of tufted apple bud moth in West Virginia. In orchard studies, we found the total number of hymenopteran parasitoids was higher on peach (Prunus spp.) trees than on adjacent apple trees. Abundance of parasitic Hymenoptera also was significantly higher on the side of traps facing away from rather than toward peach trees, indicating attraction to peach trees. However, total parasitism rates of tufted apple bud moth were not affected by the presence of peach extrafloral nectar in any field studies. Insect injury to fruit at harvest showed that fruit from orchards with interplanted peach trees had less injury from San Jose scale, Quadraspidiotus perniciosus (Comstock) and stink bugs (Pentatomidae) than fruit from an apple monoculture. Although interplanting with peach trees did not produce the hypothesized result of increased biological control, the experiment did have beneficial results for pest management. These results demonstrate the importance of collecting data on variables beyond the targeted species when evaluating habitat manipulation experiments to fully assess the impact on the ecosystem. PMID- 21061966 TI - Analysis of time- and concentration-mortality relationship of nitenpyram against different larval stages of Nilaparvata lugens (Hemiptera: Delphacidae). AB - Bioassay of nitenpyram was conducted on the planthopper Nilaparvata lugens (Stal) (Hemiptera: Delphacidae) in the laboratory by using the rice-stem dipping method. The resulting data were analyzed using the complementary log-log time concentration-mortality modeling technique, yielding the parameters for time and concentration effects of nitenpyram against N. lugens instars. The LC50 values to instars I and II estimated for 24, 48, and 72 h after treatment were 1.03, 0.27, and 0.11 mg/liter, respectively. These values were lower than those for instars III and IV and instars V at the same times. The estimates of LT50 for instars I and II were decreased from 69.06 to 16.22 h with the increasing concentration from 0.125 to 2 mg/liter; the same estimates for both instars III and IV and instars V at the concentrations of 1-2 mg/liter were close to each other, ranging from 33.11 to 44.16 h, much higher than the values of instars I and II at the same concentration. Based on the time-concentration-mortality relationships fitted and the virulence indices (LC50 and LT50) estimated, nitenpyram is a promising pesticide for N. lugens control. PMID- 21061967 TI - Seasonal phenology of Aphis glycines (Hemiptera: Aphididae) and other aphid species in cultivated bean and noncrop habitats in Wisconsin. AB - The occurrence of aphid-transmitted viruses in agricultural crops of the Midwest and northeastern United States has become more frequent since the arrival and establishment of the soybean aphid, Aphis glycines Matsumura (Hemiptera: Aphididae). A. glycines is a competent vector of plant viruses and may be responsible for recent virus epidemics in Wisconsin snap bean, Phaseolus vulgaris L., fields. To determine whether vegetation surrounding crop fields could serve as sources of virus inocula, we examined the settling activity ofA. glycines and other aphid species in agricultural crops and noncrop field margins adjacent to snap bean fields. Noncrop field margins were made up of numerous virus susceptible plant species within 10 m from snap bean field edges. During summers 2006 and 2007, horizontal pan traps were placed in commercial soybean [Glycine max (L.) Merr.], snap bean, and surrounding field margins to characterize aphid flight activity patterns in the different habitat types. Alate abundance and peak occurrence across years varied between crop and noncrop field margins and differed among patches of plants in field margins. Overall aphid activity peaked late in the season (21 August in 2006 and 28 July in 2007); with the majority (52%) of total aphids trapped in all habitats being A. glycines. Susceptibility to viral infection and confirmed visitation of A. glycines to these forage plants suggests the importance ofnoncrop habitats as potential sources of primary virus inoculum. Viral disease onset followed peak aphid flights and further implicates A. glycines as a likely vector of viruses in commercial bean and other crops in Wisconsin. PMID- 21061968 TI - Incidence of bark- and wood-boring insects in firewood: a survey at Michigan's Mackinac Bridge. AB - Firewood is a major pathway for the inadvertent movement of bark- and wood infesting insects. After discovery of Agrilus planipennis Fairmaire (Coleoptera: Buprestidae) in southeastern Michigan in 2002, quarantines were enacted including prohibition of transporting firewood across the Mackinac Bridge between Michigan's Lower and Upper peninsulas. Drivers are required to surrender firewood before crossing the bridge. We surveyed recently surrendered firewood in April, July, and September 2008 and categorized it by genus, cross-sectional shape (whole, half, or quarter), approximate age (years since it was a live tree), presence of bark, and evidence of bark- and wood-boring insects. The 1045 pieces of firewood examined represented 21 tree genera: primarily Acer (30%), Quercus (18%), Fraxinus (15%), Ulmus (12%), Betula (5%), and Prunus (5%). Live borers (Bostrichoidea, Brentidae, Buprestidae, Cerambycidae, Cossidae, Curculionidae [Scolytinae and non-Scolytinae], and Siricidae) were found in 23% of the pieces and another 41% had evidence of previous borer infestation. Of the 152 Fraxinus firewood pieces, 13% had evidence of past A. planipennis infestation, but we found no live A. planipennis. We discuss national "don't move firewood" campaigns and U.S. imports of fuelwood. During 1996-2009, the United States imported fuelwood valued at > dollars U.S. 98 million from 34 countries. PMID- 21061969 TI - Impacts of silvicultural thinning treatments on beetle trap captures and tree attacks during low bark beetle populations in ponderosa pine forests of northern Arizona. AB - Our research used a combination of passive traps, funnel traps with lures, baited trees, and surveys of long-term thinning plots to assess the impacts of different levels of stand basal area (BA) on bark beetle tree attack and on trap captures of Ips spp., Dendroctonus spp., and their predators. The study occurred at two sites in ponderosa pine, Pinus ponderosa Dougl. ex Laws., forests, from 2004 to 2007 during low bark beetle populations. Residual stand BA ranged from 9.0 to 37.0 m2/ha. More predators and bark beetles were collected in passive traps in stands of lower BA than in stands of higher BA; however, significance varied by species and site, and total number of beetles collected was low. Height of the clear panel passive traps affected trap catches for some species at some sites and years. When pheromone lures were used with funnel traps [Ips pini (Say) lure: lanierone, +03/-97 ipsdienol], we found no significant difference in trap catches among basal area treatments for bark beetles and their predators. Similarly, when trees were baited (Dendroctonus brevicomis LeConte lure: myrcene, exo-brevicomin and frontalin), we found no significant difference for days to first bark beetle attack. Surveys of long-term thinning treatments found evidence of bark beetle attacks only in unthinned plots (approximately 37 m2/ha basal area). We discuss our results in terms of management implications for bark beetle trapping and control. PMID- 21061970 TI - Exposure to methoxyfenozide-treated surfaces reduces the responsiveness of adult male codling moth (Lepidoptera: Tortricidae) to codlemone and pear ester lures in a wind tunnel. AB - The responsiveness of male codling moth, Cydia pomonella (L.) (Lepidoptera: Tortricidae), exposed to surfaces treated with the ecdysteroid agonist methoxyfenozide, toward lures loaded with the synthetic sex pheromone codlemone and/or the pear ester kairomone were investigated in wind tunnel experiments. Five different kinds of commercially available codling moth monitoring lures (obtained from Tr6c6 Inc., Adair, OK) were used in the bioassay: Pherocon CM Standard lure (loaded with 1 mg of codlemone), Pherocon CM Long-Life L2 (loaded with 3.5 mg of codlemone), Pherocon CM 10X (loaded with 10 mg of codlemone), Pherocon CM-DA Combo (loaded with 3.0 mg of codlemone and 3.0 mg of pear ester), and Pherocon DA (loaded with 3.0 mg of pear ester). Results from the study revealed that male codling moth exposed to surfaces treated with methoxyfenozide and the surfactant exhibited a significant decline in responsiveness toward lures loaded with either codlemone or pear ester. The full impact of how this negative effect might alter current moth monitoring procedures in orchards receiving ecdysone agonist sprays requires further investigation. PMID- 21061971 TI - Efficacy and uptake of soil-applied imidacloprid in the control of Asian citrus psyllid and a citrus leafminer, two foliar-feeding citrus pests. AB - The systemic neonicotinoid insecticide imidacloprid, Admire Pro, was applied to 3 and 4-yr-old nonbearing 'Rio Red' grapefruit, Citrus x paradisi Macfad., trees in 2006 and 2007, respectively, to determine its effects in the control of two major citrus pests, the Asian citrus psyllid, Diaphorina citri Kuwayama (Hemiptera: Psyllidae), and a citrus leafminer Phyllocnistis citrella Stainton (Lepidoptera: Gracillariidae). Young flush shoots were randomly collected weekly for 13 and 11 wk in 2006 and 2007, respectively, to determine the infestation levels and densities of immature stages of both Asian citrus psyllid and P. citrella. Additional flush shoot samples were collected in 2007 and titers of imidacloprid in leaf tissue were determined using an enzyme-linked immunosorbent assay. Soil application of imidacloprid significantly reduced the infestation levels and densities of both pests on flush shoots, starting from the second week post application. The effects of the neonicotinoid insecticide were similar in both years. Analysis of imidacloprid concentration in leaf tissue showed a gradual increase during the first 3 wk, and titers remained well above 200 ppb for 11 wk postapplication. Significant positive correlations were obtained between imidacloprid titers in leaf tissue and the percentage of control levels achieved for both pests. A high level of suppression of both P. citrella and Asian citrus psyllid populations on citrus trees was associated with imidacloprid titer in leaf tissue >200 ppb, which was reached 2 wk after soil treatment. Although soil application of imidacloprid did not provide rapid knockdown of Asian citrus psyllid and P. citrella populations, it resulted in chronic residues in leaf tissue and long-term suppression of both pests. PMID- 21061972 TI - Activity of broad-spectrum and reduced-risk insecticides on various life stages of cranberry fruitworm (Lepidoptera: Pyralidae) in highbush blueberry. AB - Laboratory and semifield bioassays were conducted to determine the life-stage activity of insecticides for controlling cranberry fruitworm, Acrobasis vaccinii Riley (Lepidoptera: Pyralidae), a key lepidopteran pest of highbush blueberry, Vaccinium corymbosum L. The organophosphates azinphosmethyl and phosmet, the pyrethroid esfenvalerate, and the carbamate methomyl were lethal to all life stages. The neonicotinoids thiacloprid and acetamiprid demonstrated strong larvicidal and ovicidal activity but were somewhat weaker adulticides than the conventional broad-spectrum compounds. Bacillus thuringiensis, indoxacarb, and emamectin benzoate were shown to control A. vacinii primarily through their larvicidal activity. Spinosad was toxic to all life stages, including eggs laid on top of residues and those that were treated topically, but larvicidal activity was short lived. The growth regulators pyriproxyfen and novaluron had strong ovicidal activity when eggs were laid on top of residues but had limited larvicidal activity. Tebufenozide was not directly toxic to eggs, but demonstrated larvicidal activity, and ovilarvicidal activity when topically applied to eggs. Azinphosmethyl, phosmet, indoxacarb, thiacloprid, and acetamiprid were all toxic to the egg parasitoid Trichogramma minutum Riley. In contrast pyriproxyfen, emamectin benzoate, methomyl, novaluron, and spinosad did not negatively affect the survival of T. minutum within Acrobasis vacinii eggs. These results help inform the ongoing development of integrated strategies for insect management in blueberry. PMID- 21061973 TI - Life history and life tables of Bactericera cockerelli (Hemiptera: Psyllidae) on potato under laboratory and field conditions in the lower Rio Grande Valley of Texas. AB - Effective management of potato 'Zebra Chip' (ZC) disease caused by Cadidatus Liberibacter psyllaurous (syn. solanacearum) depends on the management of its insect vector insect, potato psyllid, Bactericera cockerelli (Sulc) (Hemiptera: Psyllidae). To elucidate the age-specific population dynamics of B. cockerelli, the life-table parameters were determined on potato, Solanum tuberosum L., under both laboratory and field conditions in the Lower Rio Grande Valley (LRGV) of Texas. Generally, survival, fecundity, and longevity of B. cockerelli were significantly greater under laboratory than under field conditions. The mortality under laboratory conditions was mainly due to natural intrinsic mortality. However, under field conditions, most (83.2%) B. cockerelli were missing, and of those that were not, they developed slower, and had shorter preoviposition period, shorter oviposition period, shorter longevity, lower fecundity, and higher mortality than those under laboratory conditions. As a result, most of the life-table parameters of B. cockerelli, including the intrinsic rate of increase, finite rate of increase, and net reproductive rate, were significantly lower in the field under the environmental conditions of the LRGV of Texas than in the laboratory. The information could help increase our understanding of the epidemiology of the ZC diseases associated with the pathogens transmitted by this insect pest. PMID- 21061974 TI - Optimizing a basal bark spray of dinotefuran to manage armored scales (Hemiptera: Diaspididae) in Christmas tree plantations. AB - The armored scales Fiorinia externa Ferris and Aspidiotus cryptomeriae Kuwana (Hemiptera: Diaspididae) are increasingly damaging to Christmas tree plantings in southern New England. The systemic insecticide dinotefuran was investigated for selectively suppressing armored scale populations relative to their natural enemies in cooperating growers' fields in 2008 and 2009. Banded soil application of dinotefuran resulted in poor control. However, a dinotefuran spray applied to the basal 25 cm of trunk resulted in its absorption through the bark, translocation to the foliage, and good efficacy. The basal bark spray did not significantly impact the activity of predators Chilocorus stigma (Say) or Cybocephalus nipponicus Enrody-Younga and in 2009 showed a dosage-dependent improvement in the percentage of scales parasitized by Encarsia citrina Craw. A field dosage-response factorial experiment revealed that a 0.25% (vol:vol) addition of a surfactant with dinotefuran did not enhance insecticidal effect. Probit-transformed scale population reduction relative to the untreated check was subjected to linear regression analysis; reduction of scale populations was proportional to the log of insecticide dosage, whereas basal bark spray efficacy declined in proportion to the cube of tree height. The regression equation can be used to optimize dosage relative to tree height. Excellent efficacy resulted from basal bark spray application dates of 28 April (prebud break) to mid-June, but earlier spray timing within that treatment window had fewer crawlers discoloring new growth with their short-lived feeding. A basal bark spray of dinotefuran is well suited for integration with natural enemies to manage armored scales in Christmas tree plantations. PMID- 21061975 TI - Variation in preference and performance of Frankliniella occidentalis (Thysanoptera: Thripidae) on three strawberry cultivars. AB - Western flower thrips, Frankliniella occidentalis (Pergande) (Thysanoptera: Thripidae), is a major pest of strawberry, causing substantial yield loss through direct feeding on the flowers and fruit. Insecticides are the main method used for its control; however, F. occidentalis has developed resistance to insecticides from all major chemical classes. Chemical control is not a long-term strategy and integrated pest management is required. This study determined whether F. occidentalis damage could be reduced by host plant resistance or tolerance in three commercial strawberry cultivars (Fragaria X ananassa [Rosaceae]: 'Albion', 'Camarosa', and 'Camino Real'). Determination of resistance or tolerance to F. occidentalis was based on olfactory response, feeding damage, ovipositional preference, and host suitability for reproduction on leaves. F. occidentalis adults preferred to feed on Camarosa; however, if leaves had been fed on previously by conspecifics, there was no difference in feeding preference. Camarosa was the most preferred cultivar for oviposition, and more eggs were laid by F. occidentalis on Camarosa than either Albion or Camino Real. More larvae hatched and adults were reared from Camarosa than either Albion or Camino Real. The percentage of unhatched eggs, larvae, and pupae that died was highest on Camino Real. Survival rate was highest on Camarosa. Egg incubation, prepupation, pupation, and total developmental periods were shortest on Camarosa, but the larval period was longest on Camarosa. Camarosa was the most favorable cultivar for F. occidentalis population growth on leaves. PMID- 21061976 TI - Intra- and interspecific agonistic behavior of the subterranean termite Microcerotermes crassus (Isoptera: Termitidae). AB - The aim of our study was to investigate the intra- and interspecific agonistic behaviors exhibited by the worker and soldier castes of the subterranean termite Microcerotermes crassus Snyder (Isoptera: Termitidae). Aggression between M. crassus colonies from different field locations and also against three termite species--Coptotermes gestroi (Wasmann), Globitermnes sulphureus Haviland, and Odontotermes sp.--were observed in the laboratory. Termite responses were tested in paired combination of castes (soldiers versus soldiers, soldiers versus workers, and workers versus workers) consisting of 10 individuals each. Significant agonistic behaviors were observed only in encounters between pairings of different termite species. M. crassus was aggressive toward individuals from different species but not toward individuals from different M. crassus colonies. Mortality of M. crassus reached 100% in most of the interspecific encounters. However, no or low mortality was recorded in the intraspecific pairings. PMID- 21061977 TI - Development of virtual bait stations to control Argentine ants (Hymenoptera: Formicidae) in environmentally sensitive habitats. AB - A novel bait station referred to as a virtual bait station was developed and tested against field populations of the invasive Argentine ant, Linepithema humile (Mayr) (Hymenoptera: Formicidae), at White Beach, Camp Pendleton, in Oceanside, CA. White Beach is a nesting habitat for an endangered seabird, the California least tern (Sterna antillarum browni Mearns). The beach is heavily infested with Argentine ants, one of the threats for the California least tern chicks. Conventional pest control strategies are prohibited because of the existence of the protected bird species and the site's proximity to the ocean. The bait station consisted of a polyvinyl chloride pipe that was treated on the inside with fipronil insecticide at low concentrations to obtain delayed toxicity against ants. The pipe was provisioned with an inverted bottle of 25% sucrose solution, then capped, and buried in the sand. Foraging ants crossed the treated surface to consume the sucrose solution. The delayed toxicity of fipronil deposits allowed the ants to continue foraging on the sucrose solution and to interact with their nestmates, killing them within 3-5 d after exposure. Further modification of the bait station design minimized the accumulation of dead ants in the sucrose solution, significantly improving the longevity and efficacy of the bait station. The virtual bait station exploits the foraging behavior of the ants and provides a low impact approach to control ants in environmentally sensitive habitats. It excluded all insects except ants, required only milligram quantities of toxicant, and eliminated the problem of formulating toxicants into aqueous sugar baits. PMID- 21061978 TI - Suitability of heat- and freeze-killed oothecae of the American cockroach (Dictyoptera: Blattidae) as hosts for an oothecal parasitoid, Aprostocetus hagenowii (Hymenoptera: Eulophidae). AB - The objective of this study was to evaluate the suitability of heat- and freeze killed oothecae of Periplaneta americana (L.) (Dictyoptera: Blattidae) as hosts for parasitoid Aprostocetus hagenowii (Ratzeburg) (Hymenoptera: Eulophidae). The oothecae were subjected to -20, 45, 48, 50, and 55 degrees C at different exposure times (15, 30, 45, and 60 min). The effects of heat- and freeze-killed oothecae on several biological parameters (e.g., parasitism and emergence rates, developmental times, progeny number, and sex ratio) ofA. hagenowii were determined. Embryonic development of 2-d-old oothecae was terminated by either freezing at -20 degrees C or heating at > or = 48 degrees C for > or =30 min. A. hagenowii parasitized live oothecae as well as both heat- and freeze-killed oothecae. Percentage parasitism, emergence rates, and developmental times ofA. hagenowii in both heat- and freeze-killed oothecae were not significantly different from those of the live oothecae. Both heating and freezing did not influence progeny number (male and female) and sex ratio of A. hagenowii emerged from killed oothecae. PMID- 21061979 TI - Inter- and intraspecific aggression in the invasive longlegged ant (Hymenoptera: Formicidae). AB - The longlegged ant, Anoplolepis gracilipes (Fr. Smith) (Hymenoptera: Formicidae), is a highly invasive species that can aggressively displace other ant species. We conducted laboratory assays to examine interspecies aggression of A. gracilipes versus 15 sympatric ant species found in the urban environment and disturbed habitat in Malaysia: Monomorium pharaonis (L.), Monomorium floricola (Jerdon), Monomorium orientale Mayr, Monomorium destructor (Jerdon), Pheidole parva Mayr, Crematogaster sp., Solenopsis geminata (F.), Tapinoma indicum (Forel), Tapinoma melanocephalum (F.), Technomyrmnex butteli Forel, Dolichoderus thoracicus (Smith), Paratrechina longicornis (Latrielle), Oecophylla smaragdina (F), Camponotus sp., and Tetraponera rufonigra (Jerdon). A. gracilipes showed aggressive behavior toward all opponent species, except the smallest M. orientale. Opponent species size (body size, head width, and mandible width) was significantly correlated with A. gracilipes aggression level and mortality rate. We also found a significant positive relationship between A. gracilipes aggression level and the mortality of the opponent species. The results suggest that invasive populations of A. gracilipes would have the greatest impact on larger ant species. In addition, we examined the intraspecific aggression of A. gracilipes. We found that A. gracilipes from different localities in Malaysia showed intraspecific aggression toward one another. This finding differs from the results of studies conducted in Christmas Island earlier. Differences in the genetic variability among populations may explain these differing results. PMID- 21061980 TI - Long-term field trial to control the invasive Argentine ant (Hymenoptera: Formicidae) with synthetic trail pheromone. AB - Previous short-term experiments showed that trail following behavior of the Argentine ant, Linepithema humile (Mayr) (Hymenoptera: Formicidae), can be disrupted by a high concentration of synthetic trail pheromone component (Z)-9 hexadecenal. In this study, a long-term field trial was conducted in 100-m2 plots of house gardens in an urban area of Japan to see whether the control effect on Argentine ants can be obtained by permeating synthetic trail pheromone from dispensers. The dispensers were placed in the experimental plots during the ant's active season (April-November) for 2 yr with monthly renewal. To estimate Argentine ant population density, foraging activity of Argentine ants in the study plots was monitored by monthly bait surveys. Throughout the study period, Argentine ant foraging activity was suppressed in the presence of the dispensers, presumably via trail forming inhibition. In contrast, the level of foraging activity was not different between treatment and no-treatment plots when the dispensers were temporarily removed, suggesting that treatment with pheromone dispensers did not suppress Argentine ant density in the treatment plots. Population decline may be expected with larger-scale treatment that covers a significant portion of the ant colony or with improvement in the potency of the disruptant. PMID- 21061981 TI - Combined effect of hemipteran control and liquid bait on Argentine ant populations. AB - The invasive Argentine ant, Linepithema humile (Mayr), has become a worldwide problem capable of inflicting significant ecological and economic injury on urban, agricultural, and natural environments. The mobility of this pest ant has long been noted, rapidly moving nests to new food resources and then away as resources are depleted. This ant, like many pest ant species, has a special affinity for honeydew excreted by phloem-feeding Hemiptera. We investigated the effect of various hemipteran control strategies on terrapin scale densities and measured their indirect effect on local Argentine ant densities and foraging effort. We then determined whether this indirect treatment strategy improved the performance of an ant bait. We predicted that Argentine ants would move nests away from trees treated for Hemiptera and then move nests back when a liquid bait was offered, followed by a decline in ant numbers due to intake of the toxicant. A horticultural oil spray and soil application of the systemic insecticide, imidacloprid, had no effect on terrapin scale numbers. However, trunk-injected dicrotophos caused a reduction in scale and a decline in local Argentine ant nest density and canopy foraging effort. We also recorded a reduction in local Argentine ant ground foraging when large amounts of liquid bait were applied, and we found no evidence that combining dicrotophos with liquid ant bait performed better than each treatment alone. We suggest that a strategy of combined hemipteran control plus application of liquid ant bait can reduce local Argentine ant densities, when both components of this system are highly efficacious. PMID- 21061982 TI - Monitoring for resistance to organophosphorus and pyrethroid insecticides in Varroa mite populations. AB - The occurrence of resistance in Varroa mite populations is a serious threat to the beekeeping industry and to crops that rely on the honey bee for pollination. Integrated pest management strategies for control of this pest include the judicious use of insecticides. To monitor field populations of Varroa mite for insecticide resistance, a glass vial bioassay procedure was developed to use in the development of a resistance management strategy. Diagnostic concentrations needed to separate susceptible genotypes from resistant individuals were determined for cypermethrin (0.1 microg per vial), fluvalinate (5.0 microg per vial), malathion (0.01 microg per vial), coumaphos (10.0 microg per vial), diazinon (5.0 microg per vial), methomyl (0.5 microg per vial), propoxur (0.1 microg per vial), and endosulfan (2.5 microg per vial). Resistance to organophosphorus insecticides (malathion, coumaphos) and pyrethroids (cypermetrhrin, fluvalinate) was widespread in both La Media Ranch, TX, and Wewahitchka, FL, from 2007 to 2009. There was no resistance to endosulfan, diazinon, methomyl, and propoxur in field populations of Varroa mite in the two locations where resistance was monitored. The seasonal patterns of resistance in Wewahitchka were different from those of La Media Ranch. In the former location, the frequency of resistance to all insecticides tested decreased significantly from 2007 to 2009, whereas it increased in the latter location. Resistance levels were unstable, suggesting that resistance could be successfully managed. The results validate use of the glass vial bioassay to monitor for resistance in Varroa mite and provide the basis for the development of a resistance management strategy designed to extend the efficacy of all classes of insecticides used for control of Varroa mite. PMID- 21061983 TI - Evaluating resistance to Bt toxin Cry1Ab by F2 screen in European populations of Ostrinia nubilalis (Lepidoptera: Crambidae). AB - The large-scale cultivation of transgenic crops producing Bacillus thuringiensis (Bt) toxins have already lead to the evolution of Bt resistance in some pest populations targeted by these crops. We used the F2 screening method for further estimating the frequency of resistance alleles of the European corn borer, Ostrinia nubilalis (Hubner) (Lepidoptera: Crambidae), to Bt maize, Zea mays L., producing the Cry1Ab toxin. In France, Germany, and Italy, 784, 455, and 80 lines of European corn borer were screened for resistance to Mon810 maize, respectively. In Slovakia, 26 lines were screened for resistance to the Cry1Ab toxin. The cost of F2 screen performed in the four countries varied from U.S. dollars 300 to dollars 1300 per line screened. The major difference in cost was mostly due to a severe loss of univoltine lines during the screen in Germany and Slovakia. In none of the screened lines did we detect alleles conferring resistance to Mon810 maize or to the Cry1Ab toxin. The frequency of resistance alleles were < 1.0 x 10(-3), < 1.6 x 10(-3), < 9.2 x 10(-3), and < 2.6 x 10(-2) in France, Germany, Italy, and Slovakia, with 95% probability, respectively. The average detection probability over all lines was approximately 90%. Making the assumption that European corn borer populations in these countries belong to the same genetic entity, the frequency of alleles conferring resistance to the Cry1Ab produced by the Mon810 maize in western and central Europe was 1.0 x 10(-4), with a 95% confidence interval of 0-3.0 x 10(-4). PMID- 21061984 TI - Synergism between demethylation inhibitor fungicides or gibberellin inhibitor plant growth regulators and bifenthrin in a pyrethroid-resistant population of Listronotus maculicollis (Coleoptera: Curculionidae). AB - In 2007-2008, the "annual bluegrass weevil," Listronotus maculicollis Kirby (Coleoptera: Curculionidae), a serious pest of Poa annua L. (Poales: Poaceae) on U.S. golf courses, was shown to be resistant to two pyrethroids, bifenthrin and lambda-cyhalothrin. In 2008, we showed that bifenthrin resistance was principally mediated by oxidase detoxification (cytochrome P450 [P450]). P450s can be inhibited by demethylation inhibitor fungicides and gibberellin inhibitor plant growth regulators, both of which are commonly used on golf courses. We tested these compounds for synergistic activity with bifenthin against a pyrethroid resistant population of L. maculicollis. The LD50 value for bifenthrin was significantly reduced from 87 ng per insect (without synergists) to 9.6-40 ng per insect after exposure to the fungicides fenarimol, fenpropimorph, prochloraz, propiconazole, and pyrifenox and the plant growth regulators flurprimidol, paclobutrazol, and trinexapac-ethyl. Simulated field exposure with formulated products registered for use on turf revealed enhanced mortality when adult weevils were exposed to bifenthrin (25% mortality, presented alone) combined with field dosages of propiconizole, fenarimol, flurprimidol, or trinexapac-ethyl (range, 49-70% mortality). PMID- 21061985 TI - Susceptibility of Oriental fruit moth (Lepidoptera: Tortricidae) larvae to selected reduced-risk insecticides. AB - To determine their baseline susceptibility to chlorantraniliprole, spinetoram, spinosad, and acetamiprid, oriental fruit moth, Grapholita molesta (Busck) (Lepidoptera: Tortricidae), neonates were placed on diet cubes containing a range of concentrations of each insecticide. Mortality was assessed after 96 h. Two populations-a long-term laboratory colony from Rutgers University and a colony established in 2007 from a southwestern Illinois (Calhoun County) field population-were tested. We used probit and logit analyses to compare the responses of Calhoun colony neonates from parents reared on 'Gala' apples (Malus spp.) with those of Calhoun colony neonates from parents reared on lima bean, Phaseolus lunatus L., diet. We also compared the responses of Calhoun colony neonates with those of Rutgers colony neonates (all from parents reared on apples). LC50s (ppm in diet) for Calhoun colony progeny of adults reared on apples were 0.08, 0.06, 0.41, and 0.30, respectively, for chlorantraniliprole, spinetoram, acetamiprid, and spinosad. Parental food source (apples versus lima bean diet) did not consistently influence the concentration-mortality relationships for neonates. Based on LC50s and toxicity ratio tests, Calhoun colony neonates were slightly but significantly less susceptible to spinetoram and acetamiprid than were Rutgers colony neonates. Similarly, LC90s and toxicity ratio tests indicated that Calhoun colony neonates were slightly but significantly less susceptible to chlorantraniliprole as well. However, toxicity ratios (Calhoun/Rutgers) were low in all instances, and the highest ratio was 1.73 at LC90 for chlorantraniliprole. Overall, the two colonies responded similarly to these insecticides. Results reported here provide baseline data for future monitoring of resistance development. PMID- 21061986 TI - Effects of four nematode species on fitness costs of pink bollworm resistance to Bacillus thuringiensis toxin Cry1Ac. AB - Evolution of resistance by pests can reduce the efficacy oftransgenic crops that produce insecticidal toxins from the bacterium Bacillus thuringiensis Berliner (Bt). In conjunction with refuges of non-Bt host plants, fitness costs can delay the evolution of resistance. Furthermore, fitness costs often vary with ecological conditions, suggesting that agricultural landscapes can be manipulated to magnify fitness costs and thereby prolong the efficacy of Bt crops. In the current study, we tested the effects of four species of entomopathogenic nematodes (Steinernematidae and Heterorhabditidae) on the magnitude and dominance of fitness costs of resistance to Bt toxin CrylAc in pink bollworm, Pectinophora gossypiella (Saunders) (Lepidoptera: Gelechiidae). For more than a decade, field populations of pink bollworm in the United States have remained susceptible to Bt cotton Gossypium hirsutum L. producing CrylAc; however, we used laboratory strains that had a mixture of susceptible and resistant individuals. In laboratory experiments, dominant fitness costs were imposed by the nematode Steinernema riobrave Cabanillas, Poinar, and Raulston but no fitness costs were imposed by Steinernema carpocapsae Weiser, Steinernema sp. (ML18 strain), or Heterorhabditis sonorensis Stock, Rivera-Orduno, and Flores-Lara. In computer simulations, evolution of resistance to Cry1Ac by pink bollworm was substantially delayed by treating some non-Bt cotton refuge fields with nematodes that imposed a dominant fitness cost, similar to the cost observed in laboratory experiments with S. riobrave. Based on the results here and in related studies, we conclude that entomopathogenic nematodes could bolster insect resistance management, but the success of this approach will depend on selecting the appropriate species of nematode and environment, as fitness costs were magnified by only two of five species evaluated and also depended on environmental factors. PMID- 21061987 TI - Comparison of bacterial diversity in wheat bran and in the gut of larvae and newly emerged adult of Musca domestica (Diptera: Muscidae) by use of ethidium monoazide reveals bacterial colonization. AB - The objective of the current study is to investigate the bacterial colonization within the gut of the house fly, Musca domestica L. (Diptera: Muscidae), at the larval stage and the bacterial community of the gut of the house fly at the newly emerged adult stage. After using ethidium monoazide to inhibit recovery of nucleic acids from dead bacteria, three polymerase chain reaction (PCR)-amplified 16S rDNA libraries from wheat bran, larvae, and newly emerged adults was constructed, analyzed, and compared. In total, 24, 11, and four phylotypes in the 16S rDNA libraries of wheat bran and the gut of larvae and adults, respectively, were found and assigned to three phylogenetic phyla of the domain Bacteria: Firmicutes, Proteobacteria, and Bacteroidetes. In the wheat bran library, 76% of the total number of sequences were affiliated to the genera Pseudomonas, Halomonas, Providencia, and Ignatzschineria. The three genera Morganella (79.05%), Providencia (8.78%), and Ignatzschineria (9.46%) dominated the library of the larval gut. Compared with the wheat bran library, the relative abundance of Morganella morganii (Winslow) was significantly higher (79.05 versus 0.8%), whereas that of Ignatzschineria larvae and of Providencia spp. was similar. These results demonstrate that M. morganii, Providencia spp., and I. larvae colonized the gut of the house fly larvae. Live bacteria of M. morganii, Providencia spp., and Proteus spp. were found in the gut of newly emerged adults. Therefore, the bacteria M. morganii and Providencia spp. colonized the larval gut could survive in the gut from larval metamorphosis to adult eclosion of the house fly. PMID- 21061988 TI - Molecular taxonomic identification of Dacus and Ceratitis species from Sub Saharan Africa using mitochondrial haplotypes. AB - Several different taxa within the genera Dacus and Ceratitis (Diptera: Tephritidae) are important agricultural pests in Sub-Saharan Africa. Although the status of many of these taxa as distinct species and their phylogenetic relationships is unclear, it is clear that these pests use a wide range of host plants and are highly invasive. The great potential for economic damage inflicted by these pests requires the ability to make accurate and reliable taxonomic identification of specimens. However, many limitations and uncertainties are encountered when these species are examined using traditional approaches based on morphological identification techniques. We describe here the amplification and analysis of DNA sequences from the mitochondrial cytochrome oxidase II (COII) tRNA(lys)-tRNA(ASP) genes from individuals of various Dacus and Ceratitis species and populations from Sub-Saharan Africa. The variation detected in the DNA sequences of these individuals is used both for clarification of their taxonomic status and the analysis of phylogenetic relationships of these taxa. PMID- 21061989 TI - Prevalence of Wolbachia supergroups A and B in Bemisia tabaci (Hemiptera: Aleyrodidae) and some of its natural enemies. AB - Wolbachia, a bacterial symbiont, is maternally transmitted in arthropods and nematodes. We report a systematic survey of Wolbachia taxonomy in the sweetpotato whitefly, Bemisia tabaci (Gennadius) (Hemiptera: Aleyrodidae), and in some of its natural enemies. For the first time, Wolbachia infections in B. tabaci are correlated with various whitefly genetic groups, host plants, and natural enemies as well as with geographical regions. Polymerase chain reaction using 16S rDNA and fisZ genes revealed two Wolbachia supergroups, A and B, exist as single or double infections in B. tabaci as well as in some of its aphelinid parasitoids and predatory beetles. Approximately 89% of B. tabaci sampled were infected by Wolbachia, among which 34% were infected by A, 51% were infected by B, and 5% were infected by both A and B supergroups. These infection frequencies differed among B. tabaci genetic groups and locations. The invasive B. tabaci genetic group from the Middle East Asia Minor 1 (also referred as B biotype) and Mediterranean (also referred as Q biotype) was more likely to harbor A than B, whereas native genetic groups in AsiaI and AsiaII were more likely to harbor B than A. Although 60% of aphelinid parasitoids and 72% of coccinellid beetles also were infected by Wolbachia, they were more likely to host B than A. Furthermore, for the first time we report Wolbachia in B biotype from specimens collected outside of China. Construction of a phylogenetic tree clearly indicated that the Wolbachia sequences from different genetic groups of B. tabaci were not only similar to each other but also to sequences from beetles and parasitoids, which may provide evidence of coevolution and horizontal transmission of Wolbachia populations. PMID- 21061990 TI - Independence of resistance in Brachiaria spp. to nymphs or to adult spittlebugs (Hemiptera: Cercopidae): implications for breeding for resistance. AB - Both nymphal and adult spittlebugs (Hemiptera: Cercopidae) cause serious economic damage to susceptible brachiariagrass [genus Brachiaria (Trin.) Griseb], pastures in tropical America. Both life stages are xylem feeders: nymphs feed primarily on roots and stems, whereas the adults feed mainly on foliage. Numerous interspecific brachiariagrass hybrids with high levels of antibiosis resistance to nymphs of several important spittlebug species have been obtained. Recent studies revealed major inconsistencies between reaction to nymphs and reaction to adults on the same host genotype. Because both insect life stages can cause severe economic damage on susceptible brachiariagrass pastures, a cultivar development strategy must take into account resistance to both life stages. To assess the degree of association between resistance to spittlebug nymphs and to adult feeding, we tested 164 hybrids and six check genotypes for resistance to both life stages of three spittlebug species: Aeneolamia varia (F.), Aeneolamia reducta (Lallemand), and Zulia carbonaria (Lallemand). Most hybrids tested were classified as resistant to nymphs. On the contrary, for all three species, the overall mean damage score of the 164 hybrids did not differ from the mean score of the susceptible checks. None of the hybrids was classified as resistant to adult feeding damage. Correlations between percentage nymph survival and adult damage scores were consistently low (r = 0.0104-0.0191). Correlations between nymphal and adult damage scores were also low (0.109-0.271), suggesting that resistances to the different life stages are largely independent. Chi-square analyses comparing frequency distributions of responses of the 164 breeding hybrids to nymphs or adults confirmed essential genetic independence of these two traits. We conclude that attention to improving genetic resistance specifically to adult feeding damage is warranted. PMID- 21061991 TI - Resistance of cabbage (Brassica oleracea capitata group) crops to Mamestra brassicae. AB - Twenty-one cabbage (Brassica oleracea capitata group) varieties, including 16 local varieties and five commercial hybrids, were screened for resistance to the moth Mamestra brassicae L. under natural and artificial conditions in northwestern Spain. Resistance was assessed as the proportion of damaged plants and damaged leaves, leaf feeding injury, and number of larvae present. Correlation coefficients among damage traits showed that a visual scale (general appearance rating) should be a useful indicator of resistance. Most local varieties were highly susceptible to M. brassicae, whereas the commercial hybrids tested were resistant in terms of head foliage consumption and number of larvae per plant. Performance of varieties was similar under natural and artificial infestation although some of them performed differently at each year. Three local varieties (MBG-BRS0057, MBG-BRS0074, and MBG-BRS0452) were highly susceptible at both natural and artificial infestation conditions being MBG-BRS0074 the most damaged variety. Two local varieties (MBG-BRS0402 and MBG-BRS0535) and commercial hybrids were identified as resistant or moderately resistant to M. brassicae. Among them, 'Corazon de Buey' and 'Cabeza negra' were the most resistant and produced compact heads. These varieties could be useful sources of resistance to obtain resistant varieties to M. brassicae or as donors of resistance to other Brassica crops. The possible role of leaf traits, head compactness, and leaf glucosinolate content in relation to M. brassicae resistance is discussed. PMID- 21061992 TI - Host associations and incidence of Diuraphis spp. in the Rocky Mountain region of the United States, and pictorial key for their identification. AB - The Russian wheat aphid, Diuraphis noxia Kurdjumov, is an introduced species first identified in 1986 into the United States. It has since become a major pest of wheat, Triticum aestivum L., and other small grains in the western United States. Three other Diuraphis species, Diuraphis frequens (Walker), Diuraphis mexicana (McVicar Baker), and Diuraphis tritici (Gillette), were already endemic to the United States before the introduction of D. noxia. The objective of this study was to determine the occurrence and host associations of these four Diuraphis spp. in the Rocky Mountain region that borders the western Great Plains to better understand their distribution and ecological interactions. In addition, a key to these species with photographs of live or fresh preparations of specimens is presented to aid in their identification. D. noxia was the most widely distributed species in the study area spanning the Rocky Mountain areas of Wyoming, New Mexico, Utah, and Colorado. This species was most common in the cereal-producing areas of the Colorado Plateau ecoregion. D. frequens was found to be the predominant species in the Alpine/Aspen Mountain areas of the South Central Rockies and Colorado Rockies ecoregions. The other Diuraphis species were rarely encountered even though their plant hosts occurred in the ecoregions sampled. D. noxia shared common hosts and was found co-infesting grasses with other Diuraphis species. Therefore, the potential exists for D. noxia to impact the other native Diuraphis species. PMID- 21061993 TI - Effective sampling range of a synthetic protein-based attractant for Ceratitis capitata (Diptera: Tephritidae). AB - Studies were conducted in Honduras to determine effective sampling range of a female-targeted protein-based synthetic attractant for the Mediterranean fruit fly, Ceratitis capitata (Wiedemann) (Diptera: Tephritidae). Multilure traps were baited with ammonium acetate, putrescine, and trimethylamine lures (three component attractant) and sampled over eight consecutive weeks. Field design consisted of 38 traps (over 0.5 ha) placed in a combination of standard and high density grids to facilitate geostatistical analysis, and tests were conducted in coffee (Coffea arabica L.),mango (Mangifera indica L.),and orthanique (Citrus sinensis X Citrus reticulata). Effective sampling range, as determined from the range parameter obtained from experimental variograms that fit a spherical model, was approximately 30 m for flies captured in tests in coffee or mango and approximately 40 m for flies captured in orthanique. For comparison, a release recapture study was conducted in mango using wild (field-collected) mixed sex C. capitata and an array of 20 baited traps spaced 10-50 m from the release point. Contour analysis was used to document spatial distribution of fly recaptures and to estimate effective sampling range, defined by the area that encompassed 90% of the recaptures. With this approach, effective range of the three-component attractant was estimated to be approximately 28 m, similar to results obtained from variogram analysis. Contour maps indicated that wind direction had a strong influence on sampling range, which was approximately 15 m greater upwind compared with downwind from the release point. Geostatistical analysis of field-captured insects in appropriately designed trapping grids may provide a supplement or alternative to release-recapture studies to estimate sampling ranges for semiochemical-based trapping systems. PMID- 21061994 TI - Economics of integrated insect management in stored corn. AB - Insects can cause substantial damage to stored grain. In addition, consumers and therefore food processors are increasingly interested in chemical-free products. Integrated pest management (IPM) may increase farmers' profits while reducing their use of pesticides. This study uses a stochastic dynamic programming framework to model the economics of optimal insect control in corn, Zea mays L., stored on-farm with multiple controls conditional on the biophysical conditions of the grain in the bin. We find that for farmers who have a contract with a food processor, where there are quality premiums, the optimal management strategy depends on monitoring the biophysical conditions of the grain and the time period under consideration. For farmers who deliver to the commodity market, their current practices are optimal. PMID- 21061995 TI - Effect of high and low temperatures on the drugstore beetle (Coleoptera: Anobiidae). AB - The drugstore beetle, Stegobium paniceum (L.) (Coleoptera: Anobiidae), is a pest of stored medicinal and aromatic plants. Generally, mortality of each stage increased with an increase of temperature and exposure time. Heat tolerance for different stages from highest to lowest was young larvae, old larvae, eggs, adult, and pupae. The mortality after 7 h at 42 degrees C for young larvae, old larvae, eggs, adults, and pupae, respectively, was 16 +/- 5, 31 +/- 6, 48 +/- 3, 63 +/- 8, and 86 +/- 2% (mean +/- SEM). Similar trends for stage specific mortality were seen with the lethal time for 90% mortality (LT90) at 42 degrees C; 773, 144, 12, and 11 h for old larvae, eggs, adults, and pupa respectively. Mortality was too low with young larvae to estimate LT90. The LT90 for young larvae at 42, 45, 50, 55, and 60 degrees C was 25, 20, 3.9, 0.18, and 0.08 h, respectively. The cold tolerance of different stages at 0 degree C from highest to lowest was adults, old larvae, young larvae, pupae, and eggs. The LT90 at 0 degrees C was 298, 153, 151, 89, and 53 h, respectively. The LT90 for adults at 5, -5, -10, and -15 degrees C was 792, 58, 2, and 0.8 h, respectively. The supercooling point of adults was -15.2 +/- 2 degrees C; young larvae, -9.0 +/- 0.8 degrees C; old larvae, -6.5 +/- 0.5 degrees C; and pupae, -4.0 +/- 1.4 degrees C. Heat treatments that control young larvae should control all other stages of S. paniceum. Cold treatments that control adults should control all other stages of S. paniceum. Dried plants stored at 5 degrees C for 45 d or 42 degrees C for 30 h and then kept below 18 degrees C throughout the rest of the year, should remain pest-free without any chemical control. PMID- 21061996 TI - Pheromone production by male Tribolium castaneum (Coleoptera: Tenebrionidae) is influenced by diet quality. AB - Tribolium castaneum (Herbst) (Coleoptera: Tenebrionidae), the red flour beetle, is a common cosmopolitan pest exploiting a variety of stored products. We experimentally manipulated diet nutritional quality by using non-nutritive filler to examine how this influenced pheromone production and olfactory attractiveness of T. castaneum adult males. Volatiles released by individual males reared on high versus low nutrition diets were collected using solid phase microextraction, and gas chromatography coupled to mass spectrometry was used to identify and quantify the Tribolium aggregation pheromone 4, 8-dimethyldecanal (DMD). Males kept on high nutrition diet showed a three-fold increase in daily DMD production, which suggests the possibility that this pheromone could act as a condition dependent mating signal. In pitfall trap assays, there was no significant difference in the mean response of virgin females to discs kept with low versus high nutrition males, although discs carrying male cues were significantly more attractive than blank discs. These results suggest that DMD production rates by T. castaneum males will depend on the nutritional quality of various stored products, but such differences may not alter males' ability to attract females. PMID- 21061997 TI - Population growth and development of the psocid Liposcelis rufa (Psocoptera: Liposcelididae) at constant temperatures and relative humidities. AB - We investigated the effects of eight temperatures (22.5, 25.0, 27.5, 30.0, 32.5, 35.0, 37.5, and 40.0 degrees C) and four relative humidities (43, 55, 63, and 75%) on population growth and development of the psocid Liposcelis rufa Broadhead (Psocoptera: Liposcelididae). L. rufa did not survive at 43% RH, at all temperatures tested; at 55% RH, at the highest four temperatures; and at 63% RH and 40.0 degrees C. The greatest population growth was recorded at 35.0 degrees C and 75% RH (73-fold growth). At 40.0 degrees C, L. rufa populations declined or barely grew. L. rufa males have two to four nymphal instars, and the percentages of males with two, three, and four instars were 31, 54, and 15%, respectively. Female L. rufa have two to five instars, and the percentages of females with two, three, four, and five instars were 2, 44, 42, and 12%, respectively. The life cycle was shorter for males than females. We developed temperature-dependent developmental equations for male and female eggs, individual nymphal, combined nymphal, and combined immature stages. The ability of L. rufa to reproduce at a relative humidity of 55% and temperatures of 22.5-30.0 degrees C and at relative humidities of 63-75% and temperatures of 22.5-37.5 degrees C, in addition to being able to survive at 40.0 degrees C, suggests that this species would be expected to have a broader distribution than other Liposcelis species. These data provide a better understanding of L. rufa population dynamics and can be used to help develop effective management strategies for this psocid. PMID- 21061998 TI - Adult house fly (Diptera: Muscidae) activity and age of females near varying levels of (Z)-9-tricosene on a southern California dairy. AB - The number of adult male and female house flies, Musca domestica L. (Diptera: Muscidae), near varying levels of (Z)-9-tricosene alone (5, 50, or 100 micdrol) or combined (50 microl) with sugar was determined using conical screened traps on a dairy in southern California. Overall, significantly more males than females were collected in the traps. Significantly more flies (male and female) were collected in traps with (Z)-9-tricosene. There were no significant differences among doses of (Z)-9-tricosene alone, but numbers of both sexes were significantly higher in traps baited with (Z)-9-tricosene and sugar compared with the 5- and 50-microl doses without sugar. The age of female flies collected in traps was determined by pterin analysis. Mean female ages ranged from 94.7 to 99.6 degree-days (6.3-6.8 d of age) and did not differ significantly among treatments. Dissections of a subset of females from each treatment determined that collected females were primarily nongravid (86.3%). Proportions of gravid females that were collected did not differ among treatments. PMID- 21061999 TI - Selection for resistance to imidacloprid in the house fly (Diptera: Muscidae). AB - The house fly, Musca domestica L. (Diptera: Muscidae), continues to be a primary pest of livestock facilities worldwide. This pest also has shown a propensity for pesticide resistance development when under high selection pressures. In this study the house fly strain FDm was created by a 20% contribution from each of five colonies collected from dairies in Florida with known imidacloprid resistance. The FDm strain was used to evaluate the level ofimidacloprid resistance after five selections near the LC70 value of each selected generation. Overall, the mean selection mortality was 72.7, with males being considerably more susceptible than females. The unselected (F0) FDm strain showed considerable susceptibility to imidacloprid after its creation, compared with the five parental strains. Between 9500 and 14,000 virgin house flies were used in each selection. After the fifth and final selection, a 331-fold increase in imidacloprid resistance at the LC70 was observed over the parental FDm strain. In parallel studies, the FDm strain showed increasing tolerance of the commercial imidacloprid product QuickBayt. These results suggest that livestock producers should use caution when choosing pesticides and consider rotating fly baits, as is encouraged with other pesticide treatment regimes on farms. PMID- 21062000 TI - Facile strategy for synthesis of silica/polymer hybrid hollow nanoparticles with channels. AB - The silica/polymer hybrid hollow nanoparticles with channels and gatekeepers were successfully fabricated with a facile strategy by using thermoresponsive complex micelles of poly(ethylene glycol)-b-poly(N-isopropylacrylamide) (PEG-b-PNIPAM) and poly(N-isopropylacrylamide)-b-poly(4-vinylpyridine) (PNIPAM-b-P4VP) as the template. In aqueous solution, the complex micelles (PEG-b-PNIPAM/PNIPAM-b-P4VP) formed with the PNIPAM block as the core and the PEG/P4VP blocks as the mixed shell at 45 degrees C and pH 4.0. After shell cross-linking by 1,2-bis(2 iodoethoxyl)ethane (BIEE), tetraethylorthosilicate (TEOS) selectively well deposited on the P4VP block and processed the sol-gel reaction. When the temperature was decreased to 4 degrees C, the PNIPAM block became swollen and further soluble, and the PEG-b-PNIPAM block copolymer escaped from the hybrid nanoparticles as a result of swelled PNIPAM and weak interaction between PEG and silica at pH 4.0. Therefore, the hybrid hollow silica nanoparticles with inner thermoresponsive PNIPAM as gatekeepers and channels in the silica shell were successfully obtained, which could be used for switchable controlled drug release. In the system, the complex micelles, as a template, could avoid the formation of larger aggregates during the preparation of the hybrid hollow silica nanoparticles. The thermoresponsive core (PNIPAM) could conveniently control the hollow space through the stimuli-responsive phase transition instead of calcination or chemical etching. In the meantime, the channel in the hybrid silica shell could be achieved because of the escape of PEG chains from the hybrid nanoparticles. PMID- 21062001 TI - Mannosazide methyl uronate donors. Glycosylating properties and use in the construction of beta-ManNAcA-containing oligosaccharides. AB - Mannosazide methyl uronate donors equipped with a variety of anomeric leaving groups (beta- and alpha-S-phenyl, beta- and alpha-N-phenyltrifluoroacetimidates, hydroxyl, beta-sulfoxide, and (R(s))- and (S(s))-alpha-sulfoxides) were subjected to activating conditions, and the results were monitored by (1)H NMR. While the S phenyl and imidate donors all gave a conformational mixture of anomeric alpha triflates, the hemiacetal and beta- and alpha-sulfoxides produced an oxosulfonium triflate and beta- and alpha-sulfonium bistriflates, respectively. The beta-S phenyl mannosazide methyl uronate performed best in both activation experiments and glycosylation studies and provided the 1,2-cis mannosidic linkage with excellent selectivity. Consequently, an alpha-Glc-(1->4)-beta-ManN(3)A-SPh disaccharide, constructed by the stereoselective glycosylation of a 6-O-Fmoc protected glucoside and beta-S-phenyl mannosazide methyl uronate, was used as the repetitive donor building block in the synthesis of tri-, penta-, and heptasaccharide fragments corresponding to the Micrococcus luteus teichuronic acid. PMID- 21062002 TI - Probing the structural determinants for the function of intracellular loop 2 in structurally cognate G-protein-coupled receptors. AB - Intracellular loop 2 (IL2) in G-protein-coupled receptors (GPCRs) is functionally important, e.g., in binding to G-protein and beta-arrestin. Differences in secondary structure of IL2 in the crystal structures of the very similar beta(1)- and beta(2)-adrenergic receptors (beta(1)AR and beta(2)AR, respectively), i.e., an alpha-helix and an L-shaped strand, respectively, emphasize the need to understand the structural basis for IL2 functionality. We studied the properties of IL2 in the context of experimental data using a Monte Carlo-based ab initio method. The procedure was validated first by verifying that the IL2 structures in beta(1)AR and beta(2)AR crystals were correctly reproduced, even after conformational ensemble searches at >1200 K where most secondary structure had been lost. We found that IL2 in beta(1)AR and beta(2)AR sampled each other's conformation but adopted different energetically preferred conformations, consistent with the crystal structures. The results indicate a persistent contextual preference for the structure of IL2, which was conserved when the IL2 sequences were interchanged between the receptors. We conclude that the protein environment, more than the IL2 sequence, regulates the IL2 structures. We extended the approach to the molecular model of 5-HT(2A)R for which no crystal structure is available and found that IL2 is predominantly helical, similar to IL2 in beta(1)AR. Because the P3.57A mutation in IL2 had been shown to decrease beta-arrestin binding and internalization, we predicted the effects of the mutation and found that it decreased the propensity of IL2 to form helix, identifying the helical IL2 as a component of the GPCR active form. PMID- 21062003 TI - Berry marinades enhance oxidative stability of herring fillets. AB - Marinating herring fillets in a 50 g/L powder of elderberry, cranberry, or black currant inhibited the oxidation of lipids and proteins and also the degradation of tocopherol. Cranberry and black currant appeared to be more efficient than elderberry in inhibiting the degradation of tocopherol and the formation of ammonium. Elderberry marinades provided the most significant color changes. The injection of fillets with a 5% salt solution resulted in significantly increased levels of carbonyls, ammonium, and biogenic amines, whereas formation of the volatile lipid compounds propanal, hexanal, 2-penten-1-ol, and 1-penten-3-ol was lowest in fillets marinated in black currant following injection of the salt solution. All marinade treatments resulted in a significantly decreased liquid holding ability, coinciding with a lower muscle pH. It is concluded that marinating herring fillets in solutions containing berry powder can enhance the quality and shelf life of the fillets and simultaneously provide the fillets with natural antioxidants beneficial for consumers. PMID- 21062004 TI - Molecular cloning and characterization of an insecticidal toxin from Pseudomonas taiwanensis. AB - An insecticidal toxin gene, tccC, was cloned from the recently discovered novel species Pseudomonas taiwanensis using degenerate PCR and genomic walking. The DNA sequence of the tccC gene (2,940 bp) has an open reading frame encoding 980 amino acids with a calculated molecular weight of 107.93 kDa. The amino acid sequence alignment showed the highest sequence identity (41.2%) with the insecticidal toxin from Pseudomonas entomophila. To examine the insecticidal functionality of the tccC gene product, TccC was heterologously expressed in Escherichia coli as a recombinant His6 fusion protein and purified by immobilized metal ion-affinity chromatography. The recombinant TccC was fed to Drosophila larvae at a concentration of 350 ppm, which induced about 60% mortality within 72 h. The recombinant TccC was stable at pH 7.0 and at 37 degrees C. When the pH was less than 5.0 or greater than 9.0, or temperature was greater than 55 degrees C, less than 20% Drosophila larvae mortality was observed. These results prove that Pseudomonas taiwanensis could be used as a source for developing novel biopesticides. PMID- 21062005 TI - Acetic acid derivatives of 3,4-dihydro-2H-1,2,4-benzothiadiazine 1,1-dioxide as a novel class of potent aldose reductase inhibitors. AB - A series of novel benzothiadiazine 1,1-dioxide derivatives were synthesized and tested for their inhibitory activity against aldose reductase. Of these derivatives, 17 compounds, having a substituted N2-benzyl group and a N4-acetic acid group on the benzothiadiazine, were found to be potent and selective aldose reductase inhibitors in vitro with IC50 values ranging from 0.032 to 0.975 MUM. 9m proved to be the most active in vitro. The eight top-scoring compounds coming from the in vitro test for ALR2 inhibition activity were then tested in vivo, whereby three derivatives, 9i, 9j, and 9m, demonstrated a significantly preventive effect on sorbitol accumulation in the sciatic nerve in the 5-day streptozotocin-induced diabetic rats in vivo. Structure-activity relationship and molecular docking studies highlighted the importance of substitution features of N4-acetic acid group and halogen-substituted N2-benzyl group in the benzothiadiazine scaffold and indicated that substitution with hallogen at C-7 had a remarkably strong effect on ALR2 inhibition potency. PMID- 21062006 TI - Interaction between oxide nanoparticles and biomolecules of the bacterial cell envelope as examined by infrared spectroscopy. AB - The effects of Al(2)O(3), TiO(2), and ZnO nanoparticles (NPs) on bacteria cells and bacterial surface biomolecules were studied by Fourier transform infrared (FTIR) spectroscopy. All the examined biomolecules showed IR spectral changes after NP exposure. Lipopolysaccharide and lipoteichoic acid could bind to oxide NPs through hydrogen bonding and ligand exchange, but the cytotoxicity of NPs seemed largely related to the function-involved or devastating changes to proteins and phospholipids of bacteria. The three NPs decreased the intensity ratio of beta-sheets/alpha-helices, indicating protein structure change, which may affect cell physiological activities. The phosphodiester bond of L-alpha phosphatidylethanolamine was broken by ZnO NPs, forming phosphate monoesters and resulting in the highly disordered alkyl chain. Such damage to phospholipid molecular structure may lead to membrane rupture and cell leaking, which is consistent with the fact that ZnO is the most toxic of the three NPs. The cell surface biomolecular changes revealed by FTIR spectra provide a better understanding of the cytotoxicity of oxide NPs. PMID- 21062007 TI - Room temperature, aqueous post-polymerization modification of glycidyl methacrylate-containing polymer brushes prepared via surface-initiated atom transfer radical polymerization. AB - This manuscript reports on the post-polymerization modification of poly(glycidyl methacrylate) (PGMA) and PGMA-co-poly(2-(diethylamino)ethyl methacrylate) (PGMA(x)-co-PDEAEMA(y)) (co)polymer brushes prepared via surface-initiated atom transfer radical polymerization (SI-ATRP). The aim of this study was to evaluate the ability of tertiary amine groups incorporated in the polymer brush to accelerate the ring-opening of the epoxide groups by primary amines and to facilitate the aqueous, room temperature post-polymerization modification of the brushes. Using Fourier transform infrared (FTIR) spectroscopy to monitor the ring opening reaction of the epoxide groups, it was found that the incorporation of 2 (diethylamino)ethyl methacrylate (DEAEMA) groups in the PGMA brushes significantly accelerated the rate of the post-polymerization modification reaction with several model amines. The rate enhancement was dependent on the fraction of DEAEMA units incorporated in the copolymer brush. For example, whereas 24 h was necessary to obtain a conversion of approximately 40% for PGMA brushes immersed in a 1 M propylamine solution in water, the same conversion was reached, in identical reaction conditions, after 8 and 2 h with copolymer brushes containing 10 mol % and 25 mol % of DEAEMA along the copolymer chains, respectively. In a final series of proof-of-concept experiments, the feasibility of the glycidyl methacrylate containing brushes to act as substrates for protein immobilization was studied. Using FTIR spectroscopy and quartz crystal microbalance with dissipation (QCM-D) experiments, it could be demonstrated that the incorporation of DEAEMA units not only enhanced the rate of the protein immobilization reaction, but also resulted in higher protein binding capacities as compared to a PGMA homopolymer brush. These features make PGMA(x)-co PDEAEMA(y) brushes very attractive candidates for the development of protein microarrays, among others. PMID- 21062008 TI - Interaction of human beta-defensin 2 (HBD2) with glycosaminoglycans. AB - Human beta-defensin 2 (HBD2) is a member of the defensin family of antimicrobial peptides that plays important roles in the innate and adaptive immune system of both vertebrates and invertebrates. In addition to their direct bactericidal action, defensins are also involved in chemotaxis and Toll-like receptor activation. In analogy to chemokine/glycosaminoglycan (GAG) interactions, GAG defensin complexes are likely to play an important role in chemotaxis and in presenting defensins to their receptors. Using a gel mobility shift assay, we found that HBD2 bound to a range of GAGs including heparin/heparan sulfate (HS), dermatan sulfate (DS), and chondroitin sulfate. We used NMR spectroscopy of (15)N labeled HBD2 to map the binding sites for two GAG model compounds, a heparin/HS pentasaccharide (fondaparinux sodium; FX) and enzymatically prepared DS hexasaccharide (DSdp6). We identified a number of basic amino acids that form a common ligand binding site, which indicated that these interactions are predominantly electrostatic. The dissociation constant of the [DSdp6-HBD2] complex was determined by NMR spectroscopy to be 5 +/- 5 MUM. Binding of FX could not be quantified because of slow exchange on the NMR chemical shift time scale. FX was found to induce HBD2 dimerization as evidenced by the analysis of diffusion coefficients, (15)N relaxation, and nESI-MS measurements. The formation of FX-bridged HBD2 dimers exhibited features of a cooperative binding mechanism. In contrast, the complex with DSdp6 was found to be mostly monomeric. PMID- 21062009 TI - Design, synthesis, and structure-activity relationships of 3-ethynyl-1H-indazoles as inhibitors of the phosphatidylinositol 3-kinase signaling pathway. AB - A new series of 3-ethynyl-1H-indazoles has been synthesized and evaluated in both biochemical and cell-based assays as potential kinase inhibitors. Interestingly, a selected group of compounds identified from this series exhibited low micromolar inhibition against critical components of the PI3K pathway, targeting PI3K, PDK1, and mTOR kinases. A combination of computational modeling and structure-activity relationship studies reveals a possible novel mode for PI3K inhibition, resulting in a PI3Kalpha isoform-specific compound. Hence, by targeting the most oncogenic mutant isoform of PI3K, the compound displays antiproliferative activity both in monolayer human cancer cell cultures and in three-dimensional tumor models. Because of its favorable physicochemical, in vitro ADME and drug-like properties, we propose that this novel ATP mimetic scaffold could prove useful in deriving novel selecting and multikinase inhibitors for clinical use. PMID- 21062010 TI - Enhanced photoresponse in solid-state excitonic solar cells via resonant energy transfer and cascaded charge transfer from a secondary absorber. AB - We present a spiro-linked molecule 2,2',7,7'-tetrakis(3-hexyl-5-(7-(4 hexylthiophen-2-yl)benzo[c][1,2,5]thiadiazol-4-yl)thiophen-2-yl)-9,9' spirobifluorene which acts as a secondary absorber in solid-state excitonic solar cells. Blending with a hole-transporting material 2,2'7,7'-tetrakis(N,N-di-p methoxyphenyl-amine)-9,9'-spirobifluorene and used in conjunction with a near infrared dye (termed TT1) results in an extended spectral response which yields a notable increase in short-circuit current and power conversion efficiency. This enhancement is due to both exciton energy transfer and also nanoscale charge generation in the blend via the formation of an excited state spiro-complex with charge transfer character. PMID- 21062011 TI - Rapid biomimetic total synthesis of (+/-)-rossinone B. AB - A biomimetic total synthesis of (+/-)-rossinone B has been achieved through a highly efficient strategy featuring a series of rationally designed reactions, including a one-pot allylic rearrangement/oxidation reaction to generate the vinyl quinone 27, an intramolecular vinyl quinone Diels-Alder reaction to construct the linear 6-6-5 tricyclic core of 28, and a double conjugate addition/beta-elimination cascade to complete the total synthesis of 1. PMID- 21062012 TI - Electrochemical detection of amaranth in food based on the enhancement effect of carbon nanotube film. AB - Amaranth is widely added to food and can cause many adverse health effects when it is excessively consumed. Therefore, the monitoring of amaranth is quite important. Herein, an electrochemical sensor for the sensitive and rapid detection of amaranth was reported using multiwall carbon nanotube (MWNT) as the sensing film. Due to the large surface area and high accumulation efficiency, the MWNT sensor showed a strong enhancement effect on the oxidation of amaranth, and greatly increased the current signal. The detection conditions such as pH value, amount of MWNT, accumulation potential and time were optimized. The linear range is from 40 nM to 0.8 MUM, and the limit of detection is 35 nM. Finally, the new sensor was successfully employed to detect amaranth in soft drinks, and the results were tested by high-performance liquid chromatography. PMID- 21062013 TI - Colloidally stable germanium nanocrystals for photonic applications. AB - We report the development of a straightforward synthesis for colloidally stable germanium nanocrystals for use as a solution-processable precursor for the bottom up fabrication of functional thin films. SiO(2)-embedded germanium nanocrystals are produced by the reductive thermal processing of sol-gel glasses derived from mixtures of tetraethoxyorthogermanate (TEOG) and tetraethoxyorthosilicate (TEOS), and free-standing germanium nanocrystals are liberated from the encapsulating silicon dioxide through sequential chemical etching. The applicability of these germanium nanocrystals as a solution-processable thin film precursor is demonstrated by the fabrication of high refractive index thin films. PMID- 21062014 TI - Capillary ultrahigh performance liquid chromatography with elevated temperature for sub-one minute separations of basal serotonin in submicroliter brain microdialysate samples. AB - Improving the time resolution in microdialysis coupled to high performance liquid chromatography (HPLC) requires that the volume of the separation system be decreased. A low-volume separation permits smaller microdialysate volumes to be injected without suffering a sensitivity loss from dilution. Thus, improved time resolution can be achieved with offline analysis simply by decreasing the separations system volume. For online (near real-time) analysis, there is a further requirement. The separation speed must be at least as fast as the sampling time. Here, the combined use of high column pressures and temperatures, sub-2-MUm stationary phase particles, capillary columns, and sensitive, low dead volume detection resulted in a retention time for the neurotransmitter serotonin of less than 1 min in a 500 nL dialysate sample volume. Two sensitive detectors, photoluminescence following electron transfer (PFET) and electrochemical, were used for the detection of subnanomolar concentrations of serotonin in brain microdialysate samples. The general principles developed are applicable to a wide range of separations with the additional advantages of increases in sample throughput and decreases in mobile phase usage. PMID- 21062015 TI - Progress in faculty gender representation and performance. PMID- 21062016 TI - Comparison of the concentrations of long-chain alcohols (policosanol) in three Tunisian peanut varieties (Arachis hypogaea L.). AB - Policosanol (PC) is a mixture of high molecular weight aliphatic primary alcohols. Literature about the contents and compositions of PC derived from peanut varieties is scarce. Total PC composition and content in whole peanut grain samples from three varieties of peanut (two cultivars, AraC and AraT, and a wild one, AraA) were identified using a gas chromatograph system coupled with a mass spectrophotometer. The results show that, qualitatively, 21 components of peanut aliphatic alcohols were identified (C14-C30). Besides (C18=), the results exhibited a previously unreported mixture of PC compositions in the peanuts: the unsaturated PC (UPC), which are (C20=), (C21=), (C22=), and (C24=). The main components of total PC in Tunisian peanut kernels are docosanol (C22), (Z) octadec-9-en-1-ol (C18=), hexadecanol (C16), and octadecanol (C18). Quantitatively, the total PC content of the whole peanut samples varied from 11.18 to 54.19 mg/100 g of oil and was higher than those of beeswax and whole sugar cane, which are sources of dietary supplements containing policosanol. PMID- 21062017 TI - Fabrication of 3D copper oxide structure by holographic lithography for photoelectrochemical electrodes. AB - We fabricated three-dimensional copper oxide structure by holographic lithography and electroless deposition. A five-beam interference pattern defined a woodpile structure of SU-8. The surface modification of SU-8 structure was achieved by multilayer coating of polyelectrolyte, which is critical for activating the surface for the reduction of copper. Copper was deposited onto the surface of the structure by electroless deposition, and subsequent calcinations removed the SU-8 structure and simultaneously oxidized the copper into copper oxide. The porous copper oxide structure was used as a photoelectrochemical electrode. Because of the highly porous structure, our structure showed higher photocurrent efficiency. PMID- 21062018 TI - Sequential double alpha-arylation of N-allylureas by asymmetric deprotonation and N->C aryl migration. AB - On lithiation with lithium amides, N-allyl-N'-aryl ureas undergo rearrangement with transfer of the aryl ring from N to the allylic alpha carbon. From the alpha arylated products, a further aryl transfer under the influence of a chiral lithium amide allows the enantioselective construction of 1,1-diarylallylamine derivatives. Stereoselectivity in these reactions results from the enantioselective formation of a planar chiral allyllithium under kinetic control. PMID- 21062019 TI - Quantitative and wide-ranging profiling of phospholipids in human plasma by two dimensional liquid chromatography/mass spectrometry. AB - Normal-phase or reverse-phase liquid chromatography has been used in phospholipidomics for lipid separation prior to mass spectrometry analysis. However, separation using a single separation mode is often inadequate, as high abundance phospholipids can mask large numbers of low-abundance lipids of interest. In order to detect and quantify low-abundance phospholipids, we present a novel two-dimensional (2D) approach for sensitive and quantitative global analysis of phospholipids. The methodology monitors individual glycerolipids and phospholipids through the use of a new quantitative normal-phase, solid-phase extraction procedure, followed by molecular characterization and relative quantification using an ion-trap Orbitrap equipped with a reverse-phase liquid chromatograph, with data processing by MS++ software. The CV (%) of the peak area of each lipid standard was less than 15% with this extraction method. When the method was applied to a liver sample, we could detect more phosphatidylserine (PS) compared to the previous method. Finally, our developed method was applied to Alzheimer's disease (AD) plasma samples. Several hundred peaks were detected from a 60 MUL plasma sample. A partial-least-squares discriminant analysis (PLS DA) plot using peak area ratio gave a unique group of PLS scores which could distinguish plasma samples of Alzheimer's disease (AD) patients from those of age matched healthy controls. PMID- 21062021 TI - Effects of drying conditions of corn kernels and storage at an elevated humidity on starch structures and properties. AB - The objective of this study was to understand effects of sun drying (35 degrees C) and machine drying (80 degrees C) of corn kernels followed by storage at 27 degrees C and 85-90% relative humidity for up to 6 months on starch structures and properties. The peak viscosity and starch hydrolysis rate using porcine pancreatic alpha-amylase of finely ground samples decreased with storage of both sun-dried and machine-dried corn kernels. The rate of enzymatic hydrolysis of the isolated starch obtained from the sun-dried corn increased with storage time, but that from the machine-dried corn decreased. The gelatinization temperature, pasting temperature, and percentage crystallinity of the isolated starch increased but the gelatinization enthalpy-change and peak viscosity of the starch decreased with storage time. Numbers of damaged starch granules and starch granules with pinholes increased but the molecular weight of starch and long branch-chains of amylopectin decreased with storage time. The results indicated that endogenous enzyme activity remained after sun drying, which hydrolyzed starch and reduced viscosity. PMID- 21062022 TI - Quantification of global microRNA abundance by selective isotachophoresis. AB - We here present and demonstrate a novel technique based on isotachophoresis (ITP) for the quantification of global microRNA (miRNA) abundance in total RNA. We leverage the selectivity of ITP to concentrate miRNA and exclude longer RNA molecules from the focused zone. We designed a novel ITP strategy where we initially establish three contiguous zones of sieving polymer, electrolyte, and denaturant concentrations. This allows for successive preconcentration, selection, and detection of miRNA. We optimized chemistry in each zone for high sensitivity and exquisite selectivity for miRNA. This technique allows for the measurement of the total miRNA content in a sample and its comparison between different cell types and tissues. We demonstrated and validated the efficacy of this technique by comparing global miRNA abundance in subconfluent and confluent cell cultures. PMID- 21062023 TI - Polyamine-functional sterically stabilized latexes for covalently cross-linkable colloidosomes. AB - Sterically stabilized polystyrene latexes were prepared by aqueous emulsion polymerization using a poly(ethylene imine) (PEI) stabilizer in the presence of 4 vinylbenzyl chloride (4-VBC; 1.0 wt % based on styrene). Partial quaternization of the amine groups on the PEI chains by 4-VBC occurs in situ, hence producing a chemically grafted steric stabilizer. Such 4-VBC-modified PEI chains were grafted more efficiently onto the polystyrene particles than unmodified PEI, as judged by aqueous electrophoresis, XPS, and nitrogen microanalysis. Moreover, partially quaternized PEI gave significantly smaller polystyrene particles than those synthesized in the absence of any PEI stabilizer or those synthesized using unmodified PEI. The partially quaternized PEI-stabilized polystyrene latex proved to be an effective emulsifier at pH 9, forming stable oil-in-water Pickering emulsions when homogenized (12,000 rpm, 2 min, 20 degrees C) with four model oils, namely, n-dodecane, methyl myristate, isononyl isononanoate, and sunflower oil. The primary and/or secondary amine groups on the PEI stabilizer chains were successfully cross-linked using three commercially available polymeric reagents, namely, tolylene 2,4-diisocyanate-terminated poly(propylene glycol) (PPG-TDI), poly(propylene glycol) diglycidyl ether (PPG-DGE), or poly(ethylene glycol) diglycidyl ether (PEG-DGE). Cross-linking with the former reagent led to robust colloidosomes that survived the removal of the internal oil phase on washing with excess alcohol, as judged by optical microscopy and SEM. PPG-TDI reacted very rapidly with the PEI stabilizer chains, with cross-linking being achieved during homogenization. Well-defined colloidosomes could be formed only by using sunflower oil and isononyl isononanoate with this cross-linker at 20 degrees C. However, cooling to 0 degrees C allowed colloidosomes to be formed using n dodecane, presumably because of the slower rate of cross-linking at this reduced temperature. PPG-DGE proved to be a more generic cross-linker because it formed robust colloidosomes with all four model oils. However, cross-linking was much slower than that achieved using PPG-TDI, with intact colloidosomes being formed only after ~12 h at 20 degrees C. The PEG-DGE cross-linker allowed cross-linking to be conducted at 20 degrees C from the aqueous phase (rather from within the oil droplets for the oil-soluble PPG-TDI or PPG-DGE cross-linkers). In this case, well-defined colloidosomes were obtained at 50 vol % with surprisingly little intercolloidosome aggregation, as judged by laser diffraction studies. PMID- 21062024 TI - Fluorescently imaged particle counting immunoassay for sensitive detection of DNA modifications. AB - Modifications of genomic DNA may change gene expression and cause adverse health effects. Here we for the first time demonstrate a particle counting immunoassay for rapid and sensitive detection of DNA modifications using benzo[a]pyrenediol epoxide (BPDE)-DNA adducts as an example. The BPDE-adducted DNA is specifically captured by immunomagnetic particles and then isolated from unmodified DNA by applying an external magnetic field. By taking advantage of the fluorescence signal amplification through multiple labeling of captured DNA by OliGreen dye, the captured BPDE-DNA adducts can be quantified by particle counting from fluorescence imaging. This clearly demonstrates that the number of fluorescently countable particles is proportional to the modification content in genomic DNA. It is interesting to note that the background fluorescence signal caused by nonspecific adsorption of OliGreen dye can be more effectively quenched than that induced by the binding of OliGreen dye to ssDNA, allowing for significant reduction in the background fluorescence and further enhancing the detection sensitivity. The developed method can detect trace BPDE-DNA adducts as low as 180 fM in the presence of 1 billion times more normal nucleotides in genomic DNA and has a dynamic range over 4 orders of magnitude. By using anti-5-methylcytosine antibody, the method is extended to the detection of global DNA methylation. With high sensitivity and specificity, this rapid and easy-to-perform analytical method for DNA modifications shows a broad spectrum of potential applications in genotoxical and epigenetic analysis. PMID- 21062025 TI - Computational studies on the photophysical properties and NMR fluxionality of dinuclear platinum(II) A-frame alkynyl diphosphine complexes. AB - The structural geometry, electronic structure, photophysical properties, and the fluxional behavior of a series of A-frame diplatinum alkynyl complexes, [Pt(2)(MU dppm)(2)(MU-C=CR)(C=CR)(2)](+) [R = (t)Bu (1), C(6)H(5) (2), C(6)H(4)Ph-p (3), C(6)H(4)Et-p (4), C(6)H(4)OMe-p (5); dppm = bis(diphenylphosphino)methane], have been studied by density functional theory (DFT) and time-dependent TD-DFT associated with conductor-like polarizable continuum model (CPCM) calculations. The results show that the Pt...Pt distance strongly depends on the binding mode of the alkynyl ligands. A significantly shorter Pt...Pt distance is found in the symmetrical form, in which the bridging alkynyl ligand is sigma-bound to the two metal centers, than in the unsymmetrical form where the alkynyl ligand is sigma bound to one metal and pi-bound to another. For the two structural forms in 1-5, both the highest occupied molecular orbital (HOMO) and the lowest unoccupied molecular orbital (LUMO) energy levels show a dependence on the nature of the substituents attached to the alkynyl ligand. The energies of the HOMO and LUMO are found to increase and decrease, respectively, from R = (t)Bu to R = Ph and to R = C(6)H(4)Ph-p, because of the increase of the pi- conjugation of the alkynyl ligand. On the basis of the TDDFT/CPCM calculations, the low-energy absorption band consists of two types of transitions, which are ligand-to-ligand charge transfer (LLCT) [pi(alkynyl) -> sigma*(dppm)]/metal-centered MC [dsigma*(Pt(2)) > psigma(Pt(2))] transitions as well as interligand pi -> pi* transition from the terminal alkynyl ligands to the bridging alkynyl ligand mixed with metal-metal-to ligand charge transfer MMLCT [dsigma*(Pt(2)) -> pi*(bridging alkynyl)] transition. The latter transition is lower in energy than the former. The calculation also indicates that the emission for the complexes originates from the triplet interligand pi(terminal alkynyls) -> pi*(bridging alkynyl)/MMLCT [dsigma*(Pt(2)) -> pi*(bridging alkynyl)] excited state. In terms of the fluxional behavior, calculations have been performed to study the details of the mechanisms for the three fluxional processes, which are the sigma,pi-alkynyl exchange, the ring-flipping, and the bridging-to-terminal alkynyl exchange processes. PMID- 21062026 TI - Iron(II) complexes with tetradentate bis(aminophenolate) ligands: synthesis and characterization, solution behavior, and reactivity with O(2). AB - Tetradentate bis(aminophenolate) ligands H(2)salan(X) and H(2)bapen(X) (where X refers to the para-phenolate substituent = H, Me, F, Cl) react with [Fe{N(SiMe(3))(2)}(2)] to form iron(II) complexes, which in the presence of suitable donor ligands L (L = pyridine or THF) can be isolated as the complexes [Fe(salan(X))(L)(2)] and [Fe(bapen(X))(L)(2)]. In the absence of donor ligands, either mononuclear complexes, for example, [Fe(salan(tBu,tBu))], or dinuclear complexes of the type [Fe(salan(X))](2) are obtained. The dynamic coordination behavior in solution of the complexes [Fe(salan(F))(L)(2)] and [Fe(bapen(F))(L)(2)] has been investigated by VT (1)H and (19)F NMR spectroscopy, which has revealed equilibria between isomers with different ligand coordination topologies cis-alpha, cis-beta and trans. Exposure of the iron(II) salan(X) complexes to O(2) results in the formation of oxo-bridged iron(III) complexes of the type [{Fe(salan(X))}(2)(MU-O)] or [{Fe(salan(X))(L)}(2)(MU-O)]. The lack of catalytic activity of the iron(II) salan and bapen complexes in the oxidation of cyclohexane with H(2)O(2) as the oxidant is attributed to the rapid formation of stable and catalytically inactive oxo-bridged iron(III) complexes. PMID- 21062027 TI - Topologies of metal-organic frameworks based on pyrimidine-5-carboxylate and unexpected gas-sorption selectivity for CO(2). AB - A simple and multitopic ligand, pyrimidine-5-carboxylate (pmc), has been used to obtain a series of metal-organic frameworks (MOFs) based on Co(2+), Cd(2+), and Cu(2+). The networks possess well-defined topologies of body-centered-cubic, rutile, and interpenetrated NbO structures, respectively. Among those, [Cu(pmc)(2)] possesses a permanent porosity resulting from straight one dimensional channels of 5.5 A free passages. Unexpectedly, this porous MOF displays a highly selective sorption behavior for CO(2), and the sorptions of N(2), Ar, O(2), H(2), and CH(4) at two different temperatures are found to be negligible. The results of diffraction and spectroscopic analyses exclude framework dynamics or incomplete evacuation as the origin of the gas-sorption selectivity. PMID- 21062028 TI - Infrared irradiation in the collision cell of a hybrid tandem quadrupole/time-of flight mass spectrometer for declustering and cleaning of nanoelectrosprayed protein complex ions. AB - Herein we report the performance of a hybrid quadrupole time-of-flight tandem mass spectrometer with an improved designed for coaxial infrared laser introduction for the characterization and dissociation of large protein complex ions and their aggregates formed under nanoelectrospray ionization. The major improvement from the original design (Raspopov, S. A.; El-Faramawy, A.; Thomson, B. A.; Siu, K. W. M. Anal. Chem. 2006, 78, 4572-4577) involves the use of a hollow silica waveguide and physical isolation of the infrared laser. Large model protein complex ions and their aggregates examined include alcohol dehydrogenase, avidin, GroEL, and others. Gentle heating of these complexes with the infrared laser facilitated declustering and resulted in better resolved mass spectral peaks and more accurate molecular-weight measurements. PMID- 21062029 TI - Ultrasensitive and high-throughput fluorescence analysis of droplet contents with orthogonal line confocal excitation. AB - This paper describes a simple modification to traditional confocal fluorescence detection that greatly improves signal-to-noise (s/n) for the high-speed analysis of droplet streams. Rather than using the conventional epi geometry, illumination of the droplet was in the form of a line that is orthogonal to both the direction of flow and the light-collection objective. In contrast to the epi geometry where we observed high levels of scattering background from the droplets, we detected more than 10-fold less background (depending on the laser power used) when orthogonal-line-confocal illumination was used. We characterized this improvement using a standard microfluidic platform over a range of analyte concentrations and observed an improvement in limits of detection of greater than 10. Using this method, we were able to analyze picomolar concentrations of analytes contained within picoliter-volume droplets at a rate of greater than 350 droplets per second. PMID- 21062030 TI - Label-free porous silicon immunosensor for broad detection of opiates in a blind clinical study and results comparison to commercial analytical chemistry techniques. AB - In this work, we evaluate for the first time the performance of a label-free porous silicon (PSi) immunosensor assay in a blind clinical study designed to screen authentic patient urine specimens for a broad range of opiates. The PSi opiate immunosensor achieved 96% concordance with liquid chromatography-mass spectrometry/tandem mass spectrometry (LC-MS/MS) results on samples that underwent standard opiate testing (n = 50). In addition, successful detection of a commonly abused opiate, oxycodone, resulted in 100% qualitative agreement between the PSi opiate sensor and LC-MS/MS. In contrast, a commercial broad opiate immunoassay technique (CEDIA) achieved 65% qualitative concordance with LC MS/MS. Evaluation of important performance attributes including precision, accuracy, and recovery was completed on blank urine specimens spiked with test analytes. Variability of morphine detection as a model opiate target was <9% both within-run and between-day at and above the cutoff limit of 300 ng mL(-1). This study validates the analytical screening capability of label-free PSi opiate immunosensors in authentic patient samples and is the first semiquantitative demonstration of the technology's successful clinical use. These results motivate future development of label-free PSi technology to reduce complexity and cost of diagnostic testing particularly in a point-of-care setting. PMID- 21062031 TI - Yeast dynamic metabolic flux measurement in nutrient-rich media by HPLC and accelerator mass spectrometry. AB - Metabolic flux, the flow of metabolites through networks of enzymes, represents the dynamic productive output of cells. Improved understanding of intracellular metabolic fluxes will enable targeted manipulation of metabolic pathways of medical and industrial importance to a greater degree than is currently possible. Flux balance analysis (FBA) is a constraint-based approach to modeling metabolic fluxes, but its utility is limited by a lack of experimental measurements. Incorporation of experimentally measured fluxes as system constraints will significantly improve the overall accuracy of FBA. We applied a novel, two-tiered approach in the yeast Saccharomyces cerevisiae to measure nutrient consumption rates (extracellular fluxes) and a targeted intracellular flux using a (14)C labeled precursor with HPLC separation and flux quantitation by accelerator mass spectrometry (AMS). The use of AMS to trace the intracellular fate of (14)C glutamine allowed the calculation of intracellular metabolic flux through this pathway, with glutathione as the metabolic end point. Measured flux values provided global constraints for the yeast FBA model which reduced model uncertainty by more than 20%, proving the importance of additional constraints in improving the accuracy of model predictions and demonstrating the use of AMS to measure intracellular metabolic fluxes. Our results highlight the need to use intracellular fluxes to constrain the models. We show that inclusion of just one such measurement alone can reduce the average variability of model predicted fluxes by 10%. PMID- 21062032 TI - Activated-ion electron transfer dissociation improves the ability of electron transfer dissociation to identify peptides in a complex mixture. AB - Using a modified electron transfer dissociation (ETD)-enabled quadrupole linear ion trap (QLT) mass spectrometer, we demonstrate the utility of IR activation concomitant with ETD ion-ion reactions (activated-ion ETD, AI-ETD). Analyzing 12 strong cation exchanged (SCX) fractions of a LysC digest of human cell protein extract using ETD, collision-activated dissociation (CAD), and AI-ETD, we find that AI-ETD generates 13 405 peptide spectral matches (PSMs) at a 1% false discovery rate (1% FDR), surpassing both ETD (7 968) and CAD (10 904). We also analyze 12 SCX fractions of a tryptic digest of human cell protein extract and find that ETD produces 6 234 PSMs, AI-ETD 9 130 PSMs, and CAD 15 209 PSMs. Compared to ETD with supplemental collisional activation (ETcaD), AI-ETD generates ~80% more PSMs for the whole cell lysate digested with trypsin and ~50% more PSMs for the whole cell lysate digested with LysC. PMID- 21062034 TI - Reactivity of bis(2,2,5,5-tetramethyl-2,5-disila-1-azacyclopent-1-yl)tin with CO(2), OCS, and CS(2) and comparison to that of bis[bis(trimethylsilyl)amido]tin. AB - The heterocumulenes carbon dioxide (CO(2)), carbonyl sulfide (OCS), and carbon disulfide (CS(2)) were treated with bis(2,2,5,5-tetramethyl-2,5-disila-1 azacyclopent-1-yl)tin {[(CH(2))Me(2)Si](2)N}(2)Sn, an analogue of the well studied bis[bis(trimethylsilyl)amido]tin species [(Me(3)Si)(2)N](2)Sn, to yield an unexpectedly diverse product slate. Reaction of {[(CH(2))Me(2)Si](2)N}(2)Sn with CO(2) resulted in the formation of 2,2,5,5-tetramethyl-2,5-disila-1 oxacyclopentane, along with Sn(4)(MU(4)-O){MU(2) O(2)CN[SiMe(2)(CH(2))(2)]}(4)(MU(2)-N?C?O)(2) as the primary organometallic Sn containing product. The reaction of {[(CH(2))Me(2)Si](2)N}(2)Sn with CS(2) led to formal reduction of CS(2) to [CS(2)](2-), yielding [{[(CH(2))Me(2)Si](2)N}(2)Sn](2)CS(2){[(CH(2))Me(2)Si](2)N}(2)Sn, in which the [CS(2)](2-) is coordinated through C and S to two tin centers. The product [{[(CH(2))Me(2)Si](2)N}(2)Sn](2)CS(2){[(CH(2))Me(2)Si](2)N}(2)Sn also contains a novel 4-membered Sn-Sn-C-S ring, and exhibits a further bonding interaction through sulfur to a third Sn atom. Reaction of OCS with {[(CH(2))Me(2)Si](2)N}(2)Sn resulted in an insoluble polymeric material. In a comparison reaction, [(Me(3)Si)(2)N](2)Sn was treated with OCS to yield Sn(4)(MU(4)-O)(MU(2)-OSiMe(3))(5)(eta(1)-N?C?S). A combination of NMR and IR spectroscopy, mass spectrometry, and single crystal X-ray diffraction were used to characterize the products of each reaction. The oxygen atoms in the final products come from the facile cleavage of either CO(2) or OCS, depending on the reacting carbon dichalogenide. PMID- 21062033 TI - Synthesis and characterization of new porphyrazine-Gd(III) conjugates as multimodal MR contrast agents. AB - Magnetic resonance imaging (MRI) has long been used clinically and experimentally as a diagnostic tool to obtain three-dimensional, high-resolution images of deep tissues. These images are enhanced by the administration of contrast agents such as paramagnetic Gd(III) complexes. Herein, we describe the preparation of a series of multimodal imaging agents in which paramagnetic Gd(III) complexes are conjugated to a fluorescent tetrapyrrole, namely, a porphyrazine (pz). Zinc metalated pzs conjugated to one, four, or eight paramagnetic Gd(III) complexes are reported. Among these conjugates, Zn-Pz-8Gd(III) exhibits an ionic relaxivity four times that of the monomeric Gd(III) agent, presumably because of increased molecular weight and a molecular relaxivity that is approximately thirty times larger, while retaining the intense electronic absorption and emission of the unmodified pz. Unlike current clinical MR agents, Zn-Pz-1Gd(III) is taken up by cells. This probe demonstrates intracellular fluorescence by confocal microscopy and provides significant contrast enhancement in MR images, as well as marked phototoxicity in assays of cellular viability. These results suggest that pz agents possess a new potential for use in cancer imaging by both MRI and near infrared (NIR) fluorescence, while acting as a platform for photodynamic therapy. PMID- 21062035 TI - Structural changes of silica mesocellular foam supported amine-functionalized CO2 adsorbents upon exposure to steam. AB - Three classes of amine-functionalized mesocellular foam (MCF) materials are prepared and evaluated as CO(2) adsorbents. The stability of the adsorbents under steam/air and steam/nitrogen conditions is investigated using a Parr autoclave reactor to simulate, in an accelerated manner, the exposure that such adsorbents will see under steam stripping regeneration conditions at various temperatures. The CO(2) capacity and organic content of all adsorbents decrease after steam treatment under both steam/air and steam/nitrogen conditions, primarily due to structural collapse of the MCF framework, but with additional contributions likely associated with amine degradation during treatment under harsh conditions. Treatment with steam/air is found to have stronger effect on the CO(2) capacity of the adsorbents compared to steam/nitrogen. PMID- 21062036 TI - Surface plasmon enhanced fluorescence of cationic conjugated polymer on periodic nanoarrays. AB - The fluorescence from conjugated polymer assembled onto lithographically fabricated gold nanoarrays using genetically engineered peptides as molecular linkers is studied. A 16-fold increase in the photoluminescence of the conjugated polymer is observed when assembled on the optimized nanostructures due to surface plasmon enhanced fluorescence. This is achieved using a water-soluble cationic conjugated polymer, poly[(9,9-bis(6'-((N,N,N-trimethylammonium)hexyl)-2,7 fluorene)-co-4,7-di-2-thienyl-2,1,3-benzothiadiazole] dibromide (PFDBT-N(+)), systematically tuning the vertical distance of PFDBT-N(+) from the gold nanopillar surface using solid-specific peptide linkers and horizontally optimizing the localized surface plasmon resonance by varying the geometric arrangements of the patterned metal nanoarrays. The diameter and tip-to-tip spacing of the nanopillars along with vertically tuning the distance of PFDBT N(+) from the nanopillar affected the observed fluorescence enhancements. The collective optical properties of conjugated polymers combined with the photonic properties of nanoparticles provide a new means in the development of metal enhanced hybrid nanomaterials for biotechnology. PMID- 21062037 TI - Highly active heterogeneous palladium nanoparticle catalysts for homogeneous electrophilic reactions in solution and the utilization of a continuous flow reactor. AB - A highly active heterogeneous Pd-nanoparticle catalyst for the intramolecular addition of phenols to alkynes was developed and employed in a continuous flow reaction system. Running the reaction in flow mode revealed reaction kinetics, such as the activation energy and catalyst deactivation, and provides many potential practical advantages. PMID- 21062038 TI - Spatially-resolved structure and electronic properties of graphene on polycrystalline Ni. AB - We have used in situ low-energy electron microscopy (LEEM) to correlate the atomic and electronic structure of graphene films on polycrystalline Ni with nm scale spatial resolution. Spatially resolved electron scattering measurements show that graphene monolayers formed by carbon segregation do not support the pi plasmon of graphene, indicating strong covalent bonding to the Ni. Graphene bilayers have the Bernal stacking characteristic of graphite and show the expected plasmon loss at 6.5 eV. The experimental results, in agreement with first-principles calculations, show that the pi-band structure of free-standing graphene appears only in films with a thickness of at least two layers and demonstrate the sensitivity of the plasmon loss to the electronic structure. PMID- 21062039 TI - Diastereoselective syntheses of indoloquinolizidines by a Pictet Spengler/lactamization cascade. AB - An expedient diastereoselective synthesis of highly functionalized indolo[2,3 alpha]quinolizidines adopting a cis H2/H12b geometry has been realized by a Pictet-Spengler/lactamization cascade sequence. The absolute stereochemistry at C2, C3, and C12b was governed by the originally created chirality of the Michael adduct through organocatalyzed conjugate addition of dialkyl malonates to alpha,beta-unsaturated aldehydes. PMID- 21062040 TI - CdSe quantum dots for two-photon fluorescence thermal imaging. AB - The technological development of quantum dots has ushered in a new era in fluorescence bioimaging, which was propelled with the advent of novel multiphoton fluorescence microscopes. Here, the potential use of CdSe quantum dots has been evaluated as fluorescent nanothermometers for two-photon fluorescence microscopy. In addition to the enhancement in spatial resolution inherent to any multiphoton excitation processes, two-photon (near-infrared) excitation leads to a temperature sensitivity of the emission intensity much higher than that achieved under one-photon (visible) excitation. The peak emission wavelength is also temperature sensitive, providing an additional approach for thermal imaging, which is particularly interesting for systems where nanoparticles are not homogeneously dispersed. On the basis of these superior thermal sensitivity properties of the two-photon excited fluorescence, we have demonstrated the ability of CdSe quantum dots to image a temperature gradient artificially created in a biocompatible fluid (phosphate-buffered saline) and also their ability to measure an intracellular temperature increase externally induced in a single living cell. PMID- 21062041 TI - Binding of the hemopressin peptide to the cannabinoid CB1 receptor: structural insights. AB - Hemopressin, a bioactive nonapeptide derived from the alpha1 chain of hemoglobin, was recently shown to possess selective antagonist activity at the cannabinoid CB(1) receptor [Heimann, A. S., et al. (2007) Proc. Natl. Acad. Sci. U.S.A. 104, 20588-20593]. CB(1) receptor antagonists have been extensively studied for their possible therapeutic use in the treatment of obesity, drug abuse, and heroin addiction. In particular, many compounds acting as CB(1) receptor antagonists have been synthesized and subjected to experiments as possible anti-obesity drugs, but their therapeutic application is still complicated by important side effects. Using circular dichroism and nuclear magnetic resonance spectroscopy, this work reports the conformational analysis of hemopressin and its truncated, biologically active fragment hemopressin(1-6). The binding modes of both hemopressin and hemopressin(1-6) are investigated by molecular docking calculations. Our conformational data indicate that regular turn structures in the central portion of hemopressin and hemopressin(1-6) are critical for an effective interaction with the receptor. The results of molecular docking calculations, indicating similarities and differences in comparison to the most accepted CB(1) pharmacophore model, suggest the possibility of new chemical scaffolds for the design of new CB(1) antagonist lead compounds. PMID- 21062042 TI - Differential expression of flavonoid biosynthesis genes and accumulation of phenolic compounds in common buckwheat (Fagopyrum esculentum). AB - Common buckwheat (Fagopyrum esculentum) is a short-season grain crop that is a source of rutin and other phenolic compounds. In this study, we isolated the cDNAs of 11 F. esculentum enzymes in the flavonoid biosynthesis pathway, namely, phenylalanine ammonia lyase (PAL), cinnamate 4-hydroxylase (C4H), 4-coumarate:CoA ligase (4CL) 1 and 2, chalcone synthase (CHS), chalcone isomerase (CHI), flavone 3-hydroxylase (F3H), flavonoid 3'-hydroxylase (F3'H), flavonol synthase (FLS) 1 and 2, and anthocyanidin synthase (ANS). Quantitative real-time polymerase chain reaction analysis showed that these genes were most highly expressed in the stems and roots. However, high performance liquid chromatography analysis indicated that their flavonoid products, such as rutin and catechin, accumulated in the flowers and leaves. These results suggested that flavonoids may be transported within F. esculentum. In addition, light and dark growth conditions affected the expression levels of the biosynthesis genes and accumulation of phenolic compounds in F. esculentum sprouts. PMID- 21062043 TI - Inhibition kinetics of chlorobenzaldehyde thiosemicarbazones on mushroom tyrosinase. AB - 2-Chlorobenzaldehyde thiosemicarbazone (2-Cl-BT) and 4-chlorobenzaldehyde thiosemicarbazone (4-Cl-BT) were synthesized, and their inhibitory kinetics on the activity of mushroom tyrosinase were investigated. Results showed that these compounds exhibited significant inhibitory potency on both monophenolase activity and diphenolase activity of tyrosinase. For the monophenolase activity, both compounds could decrease the steady-state activity of the enzyme sharply, without any influence on the lag period. The IC50 values of them were estimated to be 15.4 MUM and 6.7 MUM, respectively. For the diphenolase activity, both compounds belonged to reversible inhibitors, but their mechanisms were different: 2-Cl-BT was a noncompetitive type inhibitor, while 4-Cl-BT was a mixed-type inhibitor. Their inhibition constants were determined and compared. PMID- 21062044 TI - Effect of Aeromonas hydrophila on reductive dechlorination of DDTs by zero-valent iron. AB - This study presents a reductive transformation method that combines zerovalent iron (ZVI) and Aeromonas hydrophila HS01 with iron oxide reduction property to degrade DDT (1,1-trichloro-2,2-bis(4-chlorophenyl)ethane) under anoxic conditions. The results suggest that HS01 has weak capability in terms of reducing DDT to DDD (1,1-dichloro-2,2-bis(p-chlorophenyl)ethane) and nearly failed to reduce DDD or its transformed intermediates. The coexistence of ZVI and HS01 results in a slight enhancement of DDT degradation compared with the ZVI system alone. The reduction of intermediates by ZVI, however, can be obviously accelerated in the presence of HS01, and the addition of anthraquinone-2,6 disulfonic disodium salt (AQDS) can accelerate the transformation rates further, especially for intermediate reduction. The analysis of the amount and electrochemical properties of Fe(III)/Fe(II) indicates that the presence of HS01 with or without AQDS is beneficial to the reduction of Fe(III) to Fe(II), resulting in the removal of passivating ferric precipitates on the ZVI surface. A mechanism and pathway that clarify the roles of ZVI, HS01, and AQDS in the ZVI + HS01 + AQDS system for DDT transformation are proposed. The quick removal of surface ferric precipitates is thought to be the reason for the enhancement of the transformation of DDT and its intermediates. PMID- 21062045 TI - Photocatalytic oxidation mechanism of As(III) on TiO2: unique role of As(III) as a charge recombinant species. AB - Using TiO(2) photocatalyst, arsenite, As(III), can be rapidly oxidized to arsenate, As(V), which is less toxic and less mobile in the aquatic environment. Therefore, the TiO(2)/UV process can be employed as an efficient pretreatment method for arsenic contaminated water. Since we first reported in 2002 that the superoxide (or hydroperoxyl radical) plays the role of main oxidant of As(III) in the TiO(2)/UV process, there has been much debate over the true identity of the major photooxidant among superoxides, holes, and OH radicals. The key issue is centered on why the much stronger OH radicals cannot oxidize As(III), and it has been proposed that the unique role of As(III) as an external charge recombination center on the UV-excited TiO(2) particle is responsible for this eccentric mechanism. Although the proposed mechanism has been supported by many experimental evidences, doubts on it were not clearly removed. In this study, we provided direct and undisputed evidence to support the role of As(III) in the charge recombination dynamics using time-resolved transient absorption spectroscopy. The presence of As(III) indeed mediated the charge recombination in TiO(2). Under this condition, the role of the OH radical is suppressed because of the null cycle, and the weaker oxidant, superoxide, should prevail. The role of the superoxide has been previously doubted on the basis of the observation that the addition of excess formic acid (hole scavenger that should enhance the production of superoxides) inhibited the photocatalytic oxidation of As(III). However, this study proved that this was due to the photogeneration of reducing radicals (HCO(2).) that recycle As(V)/As(IV) back to As(III). It was also demonstrated that the 4-chlorophenol/TiO(2) system under visible light that cannot generate neither OH radicals nor valence band holes converted As(III) to As(V) through the superoxide pathway. PMID- 21062046 TI - Effective self-purification of polynary metal electroplating wastewaters through formation of layered double hydroxides. AB - Heavy metal ions (Ni(2+), Zn(2+), and Cr(3+)) can be effectively removed from real polynary metal ions-bearing electroplating wastewaters by a carbonation process, with ~99% of metal ions removed in most cases. The synchronous formation of layered double hydroxide (LDH) precipitates containing these metal ions was responsible for the self-purification of wastewaters. The constituents of formed polynary metals-LDHs mainly depended on the Ni(2+):Zn(2+):Cr(3+) molar ratio in wastewaters. LDH was formed at pH of 6.0-8.0 when the Ni(2+)/Zn(2+) molar ratio >= 1 where molar fraction of trivalent metal in the wastewaters was 0.2-0.4, otherwise ZnO, hydrozincite, or amorphous precipitate was observed. In the case of LDH formation, the residual concentration of Ni(2+), Zn(2+), and Cr(3+) in the treated wastewaters was very low, about 2-3, ~2, and ~1 mg/L, respectively, at 20 80 degrees C and pH of 6.0-8.0, indicating the effective incorporation of heavy metal ions into the LDH matrix. Furthermore, the obtained LDH materials were used to adsorb azoic dye GR, with the maximum adsorption amount of 129-134 mg/g. We also found that the obtained LDHs catalyzed more than 65% toluene to decompose at 350 degrees C under ambient pressure. Thus the current research has not only shown effective recovery of heavy metal ions from the electroplating wastewaters in an environmentally friendly process but also demonstrated the potential utilization of recovered materials. PMID- 21062047 TI - Matrix-assisted energy conversion in nanostructured piezoelectric arrays. AB - We demonstrate an organic/inorganic hybrid energy-harvesting platform, based on nanostructured piezolelectric arrays embedded in an environmental-responsive polymer matrix, which can self-generate electrical power by scavenging energy from the environment. A proof of principle device is designed, fabricated, and tested using vertically aligned ZnO nanowires and heat as the local energy source. The device layout takes advantage of the collective stretching motion of piezoelectric ZnO NWs, induced by the shape-change of the matrix polymer, to convert the thermal energy into direct current with output power densities of ~20 nW/cm(2) at a heating temperature of ~65 degrees C. The responsive nature of polymeric matrices to various stimuli makes this nanostructured piezoelectric architecture a highly versatile approach to scavenging energy from a multitude of environments including fluid-based and chemical-rich systems. PMID- 21062048 TI - Design of ice-free nanostructured surfaces based on repulsion of impacting water droplets. AB - Materials that control ice accumulation are important to aircraft efficiency, highway and powerline maintenance, and building construction. Most current deicing systems include either physical or chemical removal of ice, both energy and resource-intensive. A more desirable approach would be to prevent ice formation rather than to fight its build-up. Much attention has been given recently to freezing of static water droplets resting on supercooled surfaces. Ice accretion, however, begins with the droplet/substrate collision followed by freezing. Here we focus on the behavior of dynamic droplets impacting supercooled nano- and microstructured surfaces. Detailed experimental analysis of the temperature-dependent droplet/surface interaction shows that highly ordered superhydrophobic materials can be designed to remain entirely ice-free down to ca. -25 to -30 degrees C, due to their ability to repel impacting water before ice nucleation occurs. Ice accumulated below these temperatures can be easily removed. Factors contributing to droplet retraction, pinning and freezing are addressed by combining classical nucleation theory with heat transfer and wetting dynamics, forming the foundation for the development of rationally designed ice preventive materials. In particular, we emphasize the potential of hydrophobic polymeric coatings bearing closed-cell surface microstructures for their improved mechanical and pressure stability, amenability to facile replication and large scale fabrication, and opportunities for greater tuning of their material and chemical properties. PMID- 21062049 TI - Novel use of polymer brushes in colloidal lithography to overcome lateral capillary force. AB - A general method has been developed for transferring interfacially trapped, submonolayer hexagonal arrays of silica particles for nano- and mesoscopic surface patterning. Poly(n-butyl acrylate) and poly(n-butyl acrylate-random-N,N diethylaminoethyl acrylate) brushes were grafted on the substrates via the "graft from" method using atom transfer radical polymerization. The polymer brush served as an adhesive promoter between the particles and the substrate and proved to be effective for locking the particles in the hexagonal lattice against the lateral capillary force arising from a thin layer of water attached to the surface of the substrate. Several parameters that influence preservation of the order of the particle arrays were examined. These include brush thickness, brush composition, interparticle distance, and particle diameter. PMID- 21062050 TI - Chemical alternatives assessment: enabling substitution to safer chemicals. PMID- 21062051 TI - Diastereoselective synthesis of (+/-)-heliotropamide by a one-pot, four-component reaction. AB - The first synthesis of heliotropamide is reported. The preparation of this 2 oxopyrrolidine (gamma-lactam) natural product relied on a diastereoselective one pot, four-component reaction (4CR) for the assembly of the core structure. On the basis of chemical shift correlation and NOESY experiments, the previously unknown alkene geometry of heliotropamide is assigned as E. PMID- 21062052 TI - Enantioselective Rh-catalyzed hydrogenation of 3-aryl-4-phosphonobutenoates with a P-stereogenic BoPhoz-type ligand. AB - A series of chiral 3-aryl-4-phosphonobutyric acid esters were synthesized in high enantioselectivities (93-98% ee) via the Rh-catalyzed asymmetric hydrogenation of the corresponding 3-aryl-4-phosphonobutenoates using a P-stereogenic BoPhoz-type phosphine-aminophosphine ligand. The methodology has been successfully applied to the asymmetric synthesis of a potential GABA(B) antagonist, (R)-phaclofen, in high enantioselectivity. PMID- 21062053 TI - Stereochemistry as a tool in deciphering the processes of a tandem iminium cyclization and Smiles rearrangement. AB - To understand the detailed mechanism of a recently reported tandem iminium cyclization and Smiles rearrangement, the reaction processes of a chiral substrate were investigated by monitoring its stereochemical courses. Under the tandem reaction conditions, chiral aldehyde 1 derived from l-prolinol led to two surprising results. First, the iminium cyclization gave a diastereomeric mixture with the cis-configured product as the predominant one. Second, Smiles rearrangement of both cis- and trans-2 led to the same product 3a directly derived from the trans isomer. The former was rationalized by the postulation of a Cram's chelate transition state leading to the cis product as kinetically favored. The latter was due to the equilibration between the trans/cis pair involving a carbocation intermediate and the steric hindrance, which prevented the cis isomer from undergoing the intramolecular nucleophilic substitution. This hypothesis was further supported by the results of a competition experiment in which the addition of 1 equiv of p-methoxyaniline in the rearrangement step led to a significant amount of anilinyl-exchanged rearrangement product. PMID- 21062054 TI - N-terminal deletion effects of human survivin on dimerization and binding to Smac/DIABLO in vitro. AB - Survivin, as an apoptosis suppressor, exists as a homodimer interfacing at the N terminal portion (residues 6-13) of its baculovirus IAP repeat (BIR) domain and a linker segment (residues 89-102). Here we expressed full-length human Survivin (SurF) and a series of its mutants, SurDeltaN7, SurDeltaN13, and SurDeltaN18 with significant truncations of the N-terminus, all of which could still dimerize in solution. Single-molecule force spectroscopy (SMFS) was used to quantitate the unbinding forces of full-length and the mutant homodimers and revealed that the N terminal residues up to Arg18 were not essential for dimerization. Meanwhile, the binding of SurDeltaN7 to Smac/DIABLO determined by ELISA was as efficient as the wild-type, but that of SurDeltaN13 was significantly reduced, and that of SurDeltaN18 was completely lost. Together, these findings provide direct evidence that the N-terminal sequence of Survivin is not critical for dimer formation but may contribute to correct folding and function of BIR. PMID- 21062055 TI - Anionic homopolymers efficiently target zerovalent iron particles to hydrophobic contaminants in sand columns. AB - The transport of microscale carbonyl iron powder suspensions modified with anionic homopolymers was studied in water-saturated sand columns containing well dispersed hydrophobic sand grains. Sand grains functionalized with hexadecyltrimethoxysilane were coated with a eutectic mixture of dichlorobenzenes that was solid at -10 degrees C and was mixed by grinding with unmodified sand grains. The dichlorobenzene coating liquefied at the temperature of the transport experiments, and the coated grains were thus mimetic of uniform droplets of dense nonaqueous phase liquid (DNAPL) contaminants. By comparing iron particle transport in uncontaminated columns with those that contained a small fraction of DNAPL-coated sand grains, sticking coefficients for both types of grains could be estimated. The anionic polyelectrolytes tested (polyacrylate, carboxymethylcellulose, alginate, and metasilicate) all gave low particle sticking coefficients (0.004-0.05) to unmodified sand, as expected from earlier studies. However, iron particles modified with the two moderately hydrophobic polymers (carboxymethylcellulose and polyacrylate) had 30-fold higher sticking coefficients (0.40 and 0.13, respectively) to the model DNAPL surface than they did to the sand surface. In contrast, no significant difference between the two kinds of collector grains was found with the more polar polymers (metasilicate and alginate). The trend in sticking coefficients was correlated with the surface energy of the polymer-modified iron surface as measured by the static contact angle method. From these data one can conclude that the hydrophobicity of the polymer dispersant is a key factor in targeting zerovalent iron to DNAPL source zones in soil and groundwater. PMID- 21062056 TI - Small molecule microarrays enable the discovery of compounds that bind the Alzheimer's Abeta peptide and reduce its cytotoxicity. AB - The amyloid-beta (Abeta) aggregation pathway is a key target in efforts to discover therapeutics that prevent or delay the onset of Alzheimer's disease. Efforts at rational drug design, however, are hampered by uncertainties about the precise nature of the toxic aggregate. In contrast, high-throughput screening of compound libraries does not require a detailed understanding of the structure of the toxic species, and can provide an unbiased method for the discovery of small molecules that may lead to effective therapeutics. Here, we show that small molecule microarrays (SMMs) represent a particularly promising tool for identifying compounds that bind the Abeta peptide. Microarray slides with thousands of compounds immobilized on their surface were screened for binding to fluorescently labeled Abeta. Seventy-nine compounds were identified by the SMM screen, and then assayed for their ability to inhibit the Abeta-induced killing of PC12 cells. Further experiments focused on exploring the mechanism of rescue for one of these compounds: Electron microscopy and Congo red binding showed that the compound enhances fibril formation, and suggest that it may rescue cells by accelerating Abeta aggregation past an early toxic oligomer. These findings demonstrate that the SMM screen for binding to Abeta is effective at identifying compounds that reduce Abeta toxicity, and can reveal potential therapeutic leads without the biases inherent in methods that focus on inhibitors of aggregation. PMID- 21062057 TI - Simultaneous de novo identification of molecules in chemical mixtures by doubly indirect covariance NMR spectroscopy. AB - The detailed characterization of complex molecular mixtures plays a key role in many areas of modern Chemistry. Here we report a novel NMR spectroscopic method that deconvolutes a complex mixture of organic molecules simultaneously into individual components and depicts their chemical structure without requiring physical separation of the components. Doubly indirect covariance spectroscopy is introduced and applied to 2D (13)C-(1)H HSQC and 2D (1)H-(1)H COSY spectra, which results in a (13)C-(13)C 2D spectrum with unprecedented high resolution. This reconstituted spectrum is indeed a carbon-connectivity map that can be directly analyzed with basic graph theory to obtain the skeletal structures of individual mixture components or their fragments. The method is demonstrated for a model mixture and a natural product mixture extracted from cancer cells. Its suitability for automation makes this approach attractive for the analysis of a broad range of mixtures of natural or synthetic products. PMID- 21062058 TI - Atomistic evidence of how force dynamically regulates thiol/disulfide exchange. AB - The intricate coupling of mechanical force and chemical reactivity has been increasingly revealed in recent years by force spectroscopy experiments on the thiol/disulfide exchange reaction. We here aimed at elucidating the underlying dynamic effects of force on the reaction center for the case of disulfide bond reduction by dithiothreitol at forces of 200-2000 pN, by combining transition path sampling and quantum/classical mechanical simulations. Reaction rates and their dependence on force as quantified by Deltax(r), the distance between reactant and transition state, are in good agreement with experiments but indicate a shift of the transition state structure at high forces. Indeed, while an associate S(N)2 mechanism prevails, force causes a move of the transition state to a longer length of the cleaving bond and a shorter length of the forming disulfide bond. Our results highlight the distribution of force into various degrees of freedom, which implies that care must be taken when correlating Deltax(r) with a single order parameter of the reaction. PMID- 21062059 TI - Synthesis, structural, and spectroscopic characterization and reactivities of mononuclear cobalt(III)-peroxo complexes. AB - Metal-dioxygen adducts are key intermediates detected in the catalytic cycles of dioxygen activation by metalloenzymes and biomimetic compounds. In this study, mononuclear cobalt(III)-peroxo complexes bearing tetraazamacrocyclic ligands, [Co(12-TMC)(O(2))](+) and [Co(13-TMC)(O(2))](+), were synthesized by reacting [Co(12-TMC)(CH(3)CN)](2+) and [Co(13-TMC)(CH(3)CN)](2+), respectively, with H(2)O(2) in the presence of triethylamine. The mononuclear cobalt(III)-peroxo intermediates were isolated and characterized by various spectroscopic techniques and X-ray crystallography, and the structural and spectroscopic characterization demonstrated unambiguously that the peroxo ligand is bound in a side-on eta(2) fashion. The O-O bond stretching frequency of [Co(12-TMC)(O(2))](+) and [Co(13 TMC)(O(2))](+) was determined to be 902 cm(-1) by resonance Raman spectroscopy. The structural properties of the CoO(2) core in both complexes are nearly identical; the O-O bond distances of [Co(12-TMC)(O(2))](+) and [Co(13 TMC)(O(2))](+) were 1.4389(17) A and 1.438(6) A, respectively. The cobalt(III) peroxo complexes showed reactivities in the oxidation of aldehydes and O(2) transfer reactions. In the aldehyde oxidation reactions, the nucleophilic reactivity of the cobalt-peroxo complexes was significantly dependent on the ring size of the macrocyclic ligands, with the reactivity of [Co(13-TMC)(O(2))](+) > [Co(12-TMC)(O(2))](+). In the O(2)-transfer reactions, the cobalt(III)-peroxo complexes transferred the bound peroxo group to a manganese(II) complex, affording the corresponding cobalt(II) and manganese(III)-peroxo complexes. The reactivity of the cobalt-peroxo complexes in O(2)-transfer was also significantly dependent on the ring size of tetraazamacrocycles, and the reactivity order in the O(2)-transfer reactions was the same as that observed in the aldehyde oxidation reactions. PMID- 21062060 TI - The Cys319 loop modulates the transition between dehydrogenase and hydrolase conformations in inosine 5'-monophosphate dehydrogenase. AB - X-ray crystal structures of enzyme-ligand complexes are widely believed to mimic states in the catalytic cycle, but this presumption has seldom been carefully scrutinized. In the case of Tritrichomonas foetus inosine 5'-monophosphate dehydrogenase (IMPDH), 10 structures of various enzyme-substrate-inhibitor complexes have been determined. The Cys319 loop is found in at least three different conformations, suggesting that its conformation changes as the catalytic cycle progresses from the dehydrogenase step to the hydrolase reaction. Alternatively, only one conformation of the Cys319 loop may be catalytically relevant while the others are off-pathway. Here we differentiate between these two hypotheses by analyzing the effects of Ala substitutions at three residues of the Cys319 loop, Arg322, Glu323, and Gln324. These mutations have minimal effects on the value of k(cat) (<=5-fold) that obscure large effects (>10-fold) on the microscopic rate constants for individual steps. These substitutions increase the equilibrium constant for the dehydrogenase step but decrease the equilibrium between open and closed conformations of a mobile flap. More dramatic effects are observed when Arg322 is substituted with Glu, which decreases the rates of hydride transfer and hydrolysis by factors of 2000 and 130, respectively. These experiments suggest that the Cys319 loop does indeed have different conformations during the dehydrogenase and hydrolase reactions as suggested by the crystal structures. Importantly, these experiments reveal that the structure of the Cys319 loop modulates the closure of the mobile flap. This conformational change converts the enzyme from a dehydrogenase into hydrolase, suggesting that the conformation of the Cys319 loop may gate the catalytic cycle. PMID- 21062061 TI - Cellular uptake of ribonuclease A relies on anionic glycans. AB - Bovine pancreatic ribonuclease (RNase A) can enter human cells, even though it lacks a cognate cell-surface receptor protein. Here, we report on the biochemical basis for its cellular uptake. Analyses in vitro and in cellulo revealed that RNase A interacts tightly with abundant cell-surface proteoglycans containing glycosaminoglycans, such as heparan sulfate and chondroitin sulfate, as well as with sialic acid-containing glycoproteins. The uptake of RNase A correlates with cell anionicity, as quantified by measuring electrophoretic mobility. The cellular binding and uptake of RNase A contrast with those of Onconase, an amphibian homologue that does not interact tightly with anionic cell-surface glycans. As anionic glycans are especially abundant on human tumor cells, our data predicate utility for mammalian ribonucleases as cancer chemotherapeutic agents. PMID- 21062062 TI - Determination of polycyclic aromatic hydrocarbons (PAHs) in shrimp. AB - A simple and rapid method for determining polycyclic aromatic hydrocarbons (PAHs) in shrimp is described. For sample preparation, the quick and simple QuEChERS procedure was used. Reverse-phase chromatography using an octadecyl silica (C18) column and water/acetonitrile gradient elution was used to separate analyte mixtures. After separation, PAHs were detected using liquid chromatography-tandem mass spectrometry (LC-MS/MS) equipped with the atmospheric pressure photoionization (PhotoSpray APPI) source operating in the positive-ion mode. In this methodology, all 16 common PAHs were used and toluene served as a charged dopant to efficiently ionize analyte molecules through secondary reactions. Spikes were performed at 0.2 and 1 MUg/g with and without primary and secondary amine (PSA) sorbent cleanup. Recoveries of PAHs were good, with ion ratios that agreed well between the spikes and standards. Without cleanup at 0.2 MUg/mL, seven compounds had relatively low recovery (49-69%) and one compound, naphthalene, had a somewhat high recovery of 129%. At 1 MUg/mL without cleanup, only three compounds had slightly lower recovery (66-67%). When PSA cleanup was performed, all PAH recoveries were within 75-125% at both spike levels. PMID- 21062063 TI - Preparation, structure, and imaging of luminescent SiO2 nanoparticles by covalently grafting surfactant-encapsulated europium-substituted polyoxometalates. AB - A novel route to the preparation of luminescent silica nanoparticles and coloration for living cells was demonstrated in this article. A europium substituted polyoxometalate was encapsulated by a hydroxyl-group-terminated double-chain quaternary ammonium cation through an ion replacement process, yielding an organic-inorganic complex with core-shell structure bearing hydroxyl groups located at the periphery. The introduction of -OH groups not only increased the solubility of the complex in polar solvents but also caused it to embed into the inner matrix of silica nanoparticles covalently and be well dispersed through an in situ sol-gel reaction with tetraethyl orthosilicate. Elemental analysis and spectral characterization confirmed the formation of prepared complexes with the anticipated chemical composition. Scanning and transmission electron microscopy images illustrated the size change of luminescent nanoparticles with smooth surfaces and well-dispersed polyoxometalate complexes inside of the silica matrix. X-ray photonic spectra and zeta-potential measurements revealed the chemical association between the silica matrix and the complex. Luminescent spectral characterization indicated the well-retained photophysical property of Eu-substituted polyoxometalate in silica nanoparticles. The surface amino-modified silica nanoparticles were applied to cell coloration, and the dyed Hela cells were observed through laser confocal fluorescence microscopy. PMID- 21062064 TI - Synthesis and spectroscopic properties of silica-dye-semiconductor nanocrystal hybrid particles. AB - We prepared silica-dye-nanocrystal hybrid particles and studied the energy transfer from semiconductor nanocrystals (= donor) to organic dye molecules (= acceptor). Multishell CdSe/CdS/ZnS semiconductor nanocrystals were adsorbed onto monodisperse Stober silica particles with an outer silica shell of thickness 2-23 nm containing organic dye molecules (Texas Red). The thickness of this dye layer has a strong effect on the energy transfer efficiency, which is explained by the increase in the number of dye molecules homogeneously distributed within the silica shell, in combination with an enhanced surface adsorption of nanocrystals with increasing dye amount. Our conclusions were underlined by comparison of the experimental results with numerically calculated FRET efficiencies and by control experiments confirming attractive interaction between the nanocrystals and Texas Red freely dissolved in solution. PMID- 21062065 TI - Interfacial molecular organization at aqueous solution surfaces of atmospherically relevant dimethyl sulfoxide and methanesulfonic Acid using sum frequency spectroscopy and molecular dynamics simulation. AB - The molecular organization at the aqueous dimethyl sulfoxide (DMSO) and methanesulfonic acid (MSA) surfaces was investigated using vibrational sum frequency generation (VSFG) spectroscopy and molecular dynamics (MD) simulation. The molecular orientation of surface DMSO and MSA is deduced based on the VSFG spectra of both C-H stretch and S-O stretch regions. The S-O stretch region was studied for the first time and is shown to be critical in molecular orientation determination. On average, the CH(3) groups of DMSO and MSA are preferentially pointing outward into the air. However, the DMSO S?O group points slightly inward away from the surface, while the SO(3) vector of dissociated MSA points nearly straight down. In addition, MD simulations reveal that the orientation distribution of surface DMSO is relatively broad in contrast with a narrow distribution of surface MSA, which agrees with the experiment findings. PMID- 21062067 TI - Characterization of the nanostructure of complexes formed by single- or double stranded oligonucleotides with a cationic surfactant. AB - We report the use of dynamic light scattering (DLS), small-angle neutron scattering (SANS), and small-angle X-ray scattering (SAXS) to characterize the nanostructure of complexes formed by either single- or double-stranded oligonucleotides with a cationic surfactant (cetyltrimethylammonium bromide, CTAB) in aqueous solution (1 mM Li(2)SO(4)). For single-stranded oligonucleotides 5'-A(20)-3' and 5'-CCCCATTCTAGCAGCCCGGG-3', both the appearance of two Bragg peaks (at 0.14 and 0.28 A(-1)) in SAXS spectra with a spacing of 1:2 and form factor fits to SANS spectra are consistent with the presence of multilamellar vesicles (with, on average, 6-9 layers with a periodicity of 45-48 A). Some samples showed evidence of an additional Bragg peak (at 0.20 A(-1)) associated with periodic packing (with a periodicity of 31 A) of the oligonucleotides within the lamellae of the nanostructure. The nucleotide composition of the single stranded oligonucleotides was also found to impact the number and size of the complexes formed with CTAB. In contrast to 5'-A(20)-3' and 5' CCCCATTCTAGCAGCCCGGG-3', 5'-T(20)-3' did not change the state of aggregation of CTAB (globular micelles) over a wide range of oligonucleotide:CTAB charge ratios. These results support the proposition that hydrophobic interactions, as well as electrostatics, play a central role in the formation of complexes between cationic amphiphiles and single-stranded oligonucleotides and thus give rise to nanostructures that depend on nucleotide composition. In contrast to the single stranded oligonucleotides, for double-stranded oligonucleotides mixed with CTAB, three Bragg peaks (0.13, 0.23, and 0.25 A(-1)) in SAXS spectra with a spacing ratio of 1:?3:?4 and characteristic changes in SANS spectra indicate formation of a hexagonal nanostructure. Also, the composition of the double-stranded oligonucleotides did not measurably impact the nanostructure of complexes formed with CTAB, suggesting that electrostatic interactions dominate the formation of these complexes. Overall, these results provide insights into the intermolecular interactions that occur between cationic amphiphiles and oligonucleotides and establish that single and double-stranded oligonucleotides form complexes with cationic surfactants that differ in nanostructure. The results also provide guidance for the design of oligonucleotide complexes with cationic amphiphiles. PMID- 21062066 TI - Iron acyl thiolato carbonyls: structural models for the active site of the [Fe] hydrogenase (Hmd). AB - Phosphine-modified thioester derivatives are shown to serve as efficient precursors to phosphine-stabilized ferrous acyl thiolato carbonyls, which replicate key structural features of the active site of the hydrogenase Hmd. The reaction of Ph(2)PC(6)H(4)C(O)SPh and sources of Fe(0) generates both Fe(SPh)(Ph(2)PC(6)H(4)CO)(CO)(3) (1) and the diferrous diacyl Fe(2)(SPh)(2)(CO)(3)(Ph(2)PC(6)H(4)CO)(2), which carbonylates to give 1. For the extremely bulky arylthioester Ph(2)PC(6)H(4)C(O)SC(6)H(3)-2,6-(2,4,6 trimethylphenyl)(2), oxidative addition is arrested and the Fe(0) adduct of the phosphine is obtained. Complex 1 reacts with cyanide to give Et(4)N[Fe(SPh)(Ph(2)PC(6)H(4)CO)(CN)(CO)(2)] (Et(4)N[2]). (13)C and (31)P NMR spectra indicate that substitution is stereospecific and cis to P. The IR spectrum of [2](-) in nu(CN) and nu(CO) regions very closely matches that for Hmd(CN). XANES and EXAFS measurements also indicate close structural and electronic similarity of Et(4)N[2] to the active site of wild-type Hmd. Complex 1 also stereospecifically forms a derivative with TsCH(2)NC, but the adduct is more labile than Et(4)N[2]. Tricarbonyl 1 was found to reversibly protonate to give a thermally labile derivative, IR measurements of which indicate that the acyl and thiolate ligands are probably not protonated in Hmd. PMID- 21062068 TI - Transient tertiary structure formation within the ribosome exit port. AB - The exit tunnel of the ribosome is commonly considered to be sufficiently narrow that co-translational folding can begin only when specific segments of nascent chains are fully extruded from the tunnel. Here we show, on the basis of molecular simulations and comparison with experiment, that the long-range contacts essential for initiating protein folding can form within a nascent chain when it reaches the last 20 A of the exit tunnel. We further show that, in this "exit port", a significant proportion of native and non-native tertiary structure can form without steric overlap with the ribosome itself, and provide a library of structural elements that our simulations predict can form in the exit tunnel and is amenable to experimental testing. Our results show that these elements of folded tertiary structure form only transiently and are at their midpoints of stability at the boundary region between the inside and the outside of the tunnel. These findings provide a framework for interpreting a range of recent experimental studies of ribosome nascent chain complexes and for understanding key aspects of the nature of co-translational folding. PMID- 21062069 TI - Mechanism of Ser88 phosphorylation-induced dimer dissociation in dynein light chain LC8. AB - Dynein light chain LC8 is a highly conserved, dimeric protein involved in a variety of essential cellular events. Phosphorylation at Ser88 was found to promote mammalian cell survival and regulate the dimer to monomer transition at physiological pH. Combining molecular dynamics (MD) simulation and free energy calculation methods, we explored the atomistic mechanism of the phosphorylation induced dimer dissociation. The MD simulation revealed that phosphorylation/phosphomimetic mutation at Ser88 opens an entrance into the dimer interface for water molecules, which disturb the hydrogen bond network around His55 and is expected to raise the pK(a) value and protonation ratio of His55 as well. The free energy calculations showed that the S88E mutation destabilized the dimer by 6.6 kcal/mol, in good agreement with the experimental value of 8.1 kcal/mol. The calculated destabilization upon phosphorylation is 50.8 kcal/mol, showing that phosphorylation definitely prevents dimer formation under physiological conditions. Further analysis of the calculated free energy changes demonstrated that the electrostatic contribution dominates the impact of phosphorylation on dimer dissociation. PMID- 21062070 TI - Ion mobility distributions during the initial stages of new particle formation by the ozonolysis of alpha-pinene. AB - An ion mobility spectrometer (IMS) was used to study gas phase compounds during nucleation and growth of secondary organic aerosols (SOA). In this study SOA particles were generated by oxidizing alpha-pinene with O(3) and OH in a 6 m(3) reaction chamber. Positive ion peaks with reduced mobilities of 1.59 cm(2)(Vs)( 1) and 1.05 cm(2)(Vs)(-1) were observed 7 min after alpha-pinene and ozone were added to the chamber. The detected compounds can be associated with low volatility oxidation products of alpha-pinene, which have been suggested to participate in new particle formation. This is the first time that IMS has been applied to laboratory studies of SOA formation. IMS was found suitable for continuous online monitoring of the SOA formation process, allowing for highly sensitive detection of gas phase species that are thought to initiate new particle formation. PMID- 21062071 TI - Increasing mercury in yellow perch at a hotspot in Atlantic Canada, Kejimkujik National Park. AB - In the mid-1990s, yellow perch (Perca flavescens) and common loons (Gavia immer) from Kejimkujik National Park and National Historic Site (KNPNHS), Nova Scotia, Canada, had among the highest mercury (Hg) concentrations across North America. In 2006 and 2007, we re-examined 16 lakes to determine whether there have been changes in Hg in the loon's preferred prey, yellow perch. Total Hg concentrations were measured in up to nine perch in each of three size classes (5-10 cm, 10-15 cm, and 15-20 cm) consumed by loons. Between 1996/97 and 2006/07, polynomial regressions indicated that Hg in yellow perch increased an average of 29% in ten lakes, decreased an average of 21% in three, and were unchanged in the remaining three lakes. In 2006/07, perch in 75% of the study lakes had Hg concentrations (standardized to 12-cm fish length) equal to or above the concentration (0.21 MUg.g(-1) ww) associated with a 50% reduction in maximum productivity of loons, compared with only 56% of these lakes in 1996/97. Mercury contamination currently poses a greater threat to loon health than a decade ago, and further reductions in anthropogenic emissions should be considered to reduce its impacts on ecosystem health. PMID- 21062072 TI - Biocompatible copper(I) catalysts for in vivo imaging of glycans. AB - The Cu(I)-catalyzed azide-alkyne cycloaddition (CuAAC) is the standard method for bioorthogonal conjugation. However, current Cu(I) catalyst formulations are toxic, hindering their use in living systems. Here we report that BTTES, a tris(triazolylmethyl)amine-based ligand for Cu(I), promotes the cycloaddition reaction rapidly in living systems without apparent toxicity. This catalyst allows, for the first time, noninvasive imaging of fucosylated glycans during zebrafish early embryogenesis. We microinjected embryos with alkyne-bearing GDP fucose at the one-cell stage and detected the metabolically incorporated unnatural sugars using the biocompatible click chemistry. Labeled glycans could be imaged in the enveloping layer of zebrafish embryos between blastula and early larval stages. This new method paves the way for rapid, noninvasive imaging of biomolecules in living organisms. PMID- 21062073 TI - Intrachain energy migration to weak charge-transfer state in polyfluorene end capped with naphthalimide derivative. AB - Polyfluorene end-capped with N-(2-benzothiazole)-1,8-naphthalimide (PF-BNI) is a highly fluorescent material with fluorescence emission modulated by solvent polarity. Its low energy excited state is assigned as a mixed configuration state between the singlet S(1) of the fluorene backbone (F) with the charge transfer (CT) of the end group BNI. The triexponential fluorescence decays of PF-BNI were associated with fast energy migration to form an intrachain charge-transfer (ICCT) state, polyfluorene backbone decay, and ICCT deactivation. Time-resolved fluorescence anisotropy exhibited biexponential relaxation with a fast component of 12-16 ps in addition to a slow one in the range 0.8-1.4 ns depending on the solvent, showing that depolarization occurs from two different processes: energy migration to form the ICCT state and slow rotational diffusion motion of end segments at a longer time. Results from femtosecond transient absorption measurements agreed with anisotropy decay and showed a decay component of about 16 ps at 605 nm in PF-BNI ascribed to the conversion of S(1) to the ICCT excited state. From the ratio of asymptotic and initial amplitudes of the transient absorption measurement, the efficiency of intrachain ICCT formation is estimated in 0.5, which means that, on average, half of the excited state formed in a BNI (F)(n)-BNI chain with n = 32 is converted to its low energy intrachain charge transfer (ICCT) state. PMID- 21062074 TI - Insight into the phase equilibrium phenomena of systems containing dienes and dicyanamide ionic liquids as a new potential application. AB - This work presents a systematic investigation into liquid-liquid phase equilibria for systems containing three various ionic liquids and four dienes as they have not been reported yet. The systems employed in this study containing dicyanamide based ionic liquids and dienes reveal the phase envelopes that have a similar shape to binodal curves with the upper critical solution temperature. Generally, 1-methyl-3-octylimidazolium dicyanamide ([C(8)mim][DCA]) was found to be a better solvent for nonpolar dienes. The 1-butyl-3-methylimidazolium dicyanamide ([C(4)mim][DCA]) ionic liquid is a much worse solvent for 1,5-cyclooctadiene, 1,3 cyclooctadiene, 1,5-hexadiene, and 1,7-octadiene compared to other ionic liquids studied. The miscibility gaps shrink for a less polar [C(8)mim][DCA] or even more for 1-dodecyl-3-methylimidazolium dicyanamide ([C(12)mim][DCA]). In the range of the studied temperatures, the solubility of dienes is significantly higher compared to the solubility of the ionic liquids containing the shorter alkyl chain in the cation. The solubility of the presented dienes in ([C(4)mim][DCA]) ionic liquid is also relatively high and may reach up to 0.19 mol fraction of the diene. The attained results demonstrate that nonpolar compounds can be dissolved to some extent in highly charged and polar solvents such as ionic liquids. PMID- 21062075 TI - Structure, bonding, and linear optical properties of a series of silver and gold nanorod clusters: DFT/TDDFT studies. AB - DFT/TDDFT calculations have been carried out for a series of silver and gold nanorod clusters (Ag(n), Au(n), n = 12-120) whose structures are of cigar-type. Pentagonal Ag(n) clusters with n = 49-121 and hexagonal Au(n) clusters with n = 14-74 were also calculated for comparison. Metal-metal distances, binding energies per atom, ionization potentials, and electron affinities were determined, and their trends with cluster size were examined. The TDDFT calculated excitation energies and oscillator strengths were fit by a Lorentz line shape modification, which gives rise to the simulated absorption spectra. The significant features of the experimental spectra for actual silver and gold nanorod particles are well reproduced by the calculations on the clusters. The calculated spectral patterns are also in agreement with previous theoretical results on different-type Ag(n) clusters. Many differences in the calculated properties are found between the Ag(n) and Au(n) clusters, which can be explained by relativistic effects. PMID- 21062076 TI - Ultrafast interfacial proton-coupled electron transfer. PMID- 21062077 TI - Ionic liquid viscosity effects on the functionalization of electrode material through the electroreduction of diazonium. AB - The electrochemical reduction of 4-nitrophenyl diazonium, NPD, in different ionic liquids presenting different viscosities has been investigated. The electrochemical studies show that the reduction of diazonium leading to the formation of its corresponding radical occurs whatever the viscosity of the grafting media. Following that, the presence of an organic layer attached to the electrode after electrochemical treatment was evidenced by cyclic voltammetry (CV) in acidic media thanks to the presence of nitro groups. Moreover, infrared spectroscopy (IR), X-ray photoelectron spectroscopy (XPS), and atomic force microscopy (AFM) confirm the presence of a nitrophenyl (NP) layer attached to the electrode material. Next, the examination of the electrochemical data through the measurement of the charge, corresponding to the reduction of the attached nitrophenyl (NP) moieties, shows that the surface concentration of NP, Gamma(NP), decreases when the viscosity, eta, of the grafting media increases. Additionally, in the case of the more viscous ionic liquid, N-tributyl-N-methylammonium bis(trifluoromethylsulfonyl)imide [Bu(3)MeN] [NTf(2)], a cosolvent has been added leading to fine decrease of the viscosity. The IR and CV investigations of the modified electrodes demonstrate the decrease of the amount of the attached molecules when the viscosity of the grafting media increases. In addition, a correlation between Gamma(NP) as function of 1/eta was observed. Finally, XPS and AFM experiments lead to an estimate of the thickness of the attached layer. As a result, both methods are in perfect agreement and thicknesses of 4 and 1 nm are measured after grafting in acetonitrile and in pure ionic liquid [Bu(3)MeN] [NTf(2)], respectively. By comparison with classical solvent, the use of viscous ionic liquid for the grafting leads to a decrease in the amount of the attached molecules and conduce to the formation of thinner or less dense layer. PMID- 21062078 TI - Cross-talk between the catalytic core and the regulatory domain in cystathionine beta-synthase: study by differential covalent labeling and computational modeling. AB - Cystathionine beta-synthase (CBS) is a modular enzyme which catalyzes condensation of serine with homocysteine. Cross-talk between the catalytic core and the C-terminal regulatory domain modulates the enzyme activity. The regulatory domain imposes an autoinhibition action that is alleviated by S adenosyl-l-methionine (AdoMet) binding, by deletion of the C-terminal regulatory module, or by thermal activation. The atomic mechanisms of the CBS allostery have not yet been sufficiently explained. Using pulse proteolysis in urea gradient and proteolytic kinetics with thermolysin under native conditions, we demonstrated that autoinhibition is associated with changes in conformational stability and with sterical hindrance of the catalytic core. To determine the contact area between the catalytic core and the autoinhibitory module of the CBS protein, we compared side-chain reactivity of the truncated CBS lacking the regulatory domain (45CBS) and of the full-length enzyme (wtCBS) using covalent labeling by six different modification agents and subsequent mass spectrometry. Fifty modification sites were identified in 45CBS, and four of them were not labeled in wtCBS. One differentially reactive site (cluster W408/W409/W410) is a part of the linker between the domains. The other three residues (K172 and/or K177, R336, and K384) are located in the same region of the 45CBS crystal structure; computational modeling showed that these amino acid side chains potentially form a regulatory interface in CBS protein. Subtle differences at CBS surface indicate that enzyme activity is not regulated by conformational conversions but more likely by different allosteric mechanisms. PMID- 21062079 TI - Characterization of kinetic and thermodynamic phases in the prefolding process of bovine pancreatic ribonuclease A coupled with fast SS formation and SS reshuffling. AB - In the redox-coupled oxidative folding of a protein having several SS bonds, two folding phases are usually observed, corresponding to SS formation (oxidation) with generation of weakly stabilized heterogeneous structures (a chain-entropy losing phase) and the subsequent intramolecular SS rearrangement to search for the native SS linkages (a conformational folding phase). By taking advantage of DHS(ox) as a highly strong and selective oxidant, the former SS formation phase was investigated in detail in the oxidative folding of RNase A. The folding intermediates obtained at 25 degrees C and pH 4.0 within 1 min (1S degrees -4S degrees ) showed different profiles in the HPLC chromatograms from those of the intermediates obtained at pH 7.0 and 10.0 (1S-4S). However, upon prolonged incubation at pH 4.0 the profiles of 1S degrees -3S degrees transformed slowly to those similar to 1S-3S intermediate ensembles via intramolecular SS reshuffling, accompanying significant changes in the UV and fluorescence spectra but not in the CD spectrum. Similar conversion of the intermediates was observed by pH jump from 4.0 to 8.0, while the opposite conversion from 1S-4S was observed by addition of guanidine hydrochloride to the folding solution at pH 8.0. The results demonstrated that the preconformational folding phase coupled with SS formation can be divided into two distinct subphases, a kinetic (or stochastic) SS formation phase and a thermodynamic SS reshuffling phase. The transition from kinetically formed to thermodynamically stabilized SS intermediates would be induced by hydrophobic nucleation as well as generation of the native interactions. PMID- 21062081 TI - Histamine H3 receptor as a drug discovery target. PMID- 21062080 TI - Seipin is a discrete homooligomer. AB - Seipin is a transmembrane protein that resides in the endoplasmic reticulum and concentrates at junctions between the ER and cytosolic lipid droplets. Mutations in the human seipin gene, including the missense mutation A212P, lead to congenital generalized lipodystrophy (CGL), characterized by the lack of normal adipose tissue and accumulation of fat in liver and muscles. In both yeast and CGL patient fibroblasts, seipin is required for normal lipid droplet morphology; in its absence droplets appear to bud abnormally from the ER. Here we report the first purification and physical characterization of seipin. Yeast seipin is in a large discrete protein complex. Affinity purification demonstrated that seipin is the main if not exclusive protein in the complex. Detergent sucrose gradients in H(2)O, and D(2)O and gel filtration were used to determine the size of the seipin complex and account for detergent binding. Both seipin-myc13 (seipin fused to 13 tandem copies of the myc epitope) expressed from the endogenous promoter and overexpressed seipin-mCherry form ~500 kDa proteins consisting of about 9 copies of seipin. The yeast orthologue of the human A212P allele forms only smaller complexes and is unstable; we hypothesize that this accounts for its null phenotype in humans. Seipin appears as a toroid by negative staining electron microscopy. We speculate that seipin plays at least a structural role in organizing droplets or in communication between droplets and ER. PMID- 21062082 TI - Synthesis of highly functionalized biaryls by condensation of 2-fluoro-1,3 bis(silyloxy) 1,3-dienes with 3-cyanochromones and subsequent domino "retro Michael/aldol/fragmentation". AB - The Me(3)SiOTf-mediated condensation of 1-ethoxy-2-fluoro-1,3 bis(trimethylsilyloxy) 1,3-dienes with 3-cyanochromones afforded 3-cyano-2-(4 ethoxy-3-fluoro-2,4-dioxobutyl)chroman-4-ones. Their reaction with triethylamine afforded fluorinated azaxanthones or biaryls. The product distribution depends on the structure of the diene. The formation of the biaryls can be explained by an unprecedented domino "retro-Michael/aldol/fragmentation" reaction. PMID- 21062083 TI - Targeting therapy with mitosomal daunorubicin plus amlodipine has the potential to circumvent intrinsic resistant breast cancer. AB - Intrinsic resistance of cancers is a major cause of failure in chemotherapy. We proposed here a strategy to overcome intrinsic resistance by constructing cancer cell mitochondria-specifically targeting drug-loaded liposomes, namely, mitosomal daunorubicin plus amlodipine. Anticancer agent daunorubicin and apoptotic inducer amlodipine were loaded together into the mitosomes, and targeting molecule dequalinium was modified on the surface. Evaluations were performed on the breast cancer MCF-7 and resistant MCF-7/adr cells and in animals. Mitosomal daunorubicin plus amlodipine were about 97 nm, selectively accumulated in mitochondria, induced the swelling and disruption of mitochondria, dissipated the mitochondrial membrane potential, released a large amount of cytochrome C by translocation, cleaved Bid, and initiated a cascade of caspase 8 and 3 reactions. A robust anticancer effect was evidenced in vivo. Mitochondria-specifically targeting drug loaded liposomes would provide a new strategy for treating resistant cancers. PMID- 21062084 TI - Fast molecular beacon hybridization in organic solvents with improved target specificity. AB - DNA hybridization is of tremendous importance in biology, bionanotechnology, and biophysics. Molecular beacons are engineered DNA hairpins with a fluorophore and a quencher labeled on each of the two ends. A target DNA can open the hairpin to give an increased fluorescence signal. To date, the majority of molecular beacon detections have been performed only in aqueous buffers. We describe herein DNA detection in nine different organic solvents, methanol, ethanol, isopropanol, acetonitrile, formamide, dimethylformamide (DMF), dimethyl sulfoxide (DMSO), ethylene glycol, and glycerol, varying each up to 75% (v/v). In comparison with detection in water, the detection in organic solvents showed several important features. First, the molecular beacon hybridizes to its target DNA in the presence of all nine solvents up to a certain percentage. Second, the rate of this hybridization was significantly faster in most organic solvents compared with water. For example, in 56% ethanol, the beacon showed a 70-fold rate enhancement. Third, the ability of the molecular beacon to discriminate single base mismatch is still maintained. Lastly, the DNA melting temperature in the organic solvents showed a solvent concentration-dependent decrease. This study suggests that molecular beacons can be used for applications where organic solvents must be involved or organic solvents can be intentionally added to improve the molecular beacon performance. PMID- 21062085 TI - Binding of Mg(2+) and Ca(2+) to palmitic acid and deprotonation of the COOH headgroup studied by vibrational sum frequency generation spectroscopy. AB - At the air/liquid interface, cation binding specificity of alkaline earth cations, Mg(2+) and Ca(2+), with the biologically relevant ligand carboxylate (COO(-)) using vibrational sum frequency generation spectroscopy is reported. The empirical evidence strongly supports that the ionic binding strength is much stronger for Ca(2+) to COO(-) than that for Mg(2+). We conclude that at a near neutral pH, the mechanism that governs Ca(2+) binding to COO(-) is accompanied by commensurate deprotonation of the carboxyl headgroup. In addition, surface molecular structure and ion concentration influence the cation binding behavior at the air/liquid interface. In a 0.1 M Ca(2+)(aq) solution, Ca(2+) initially favors forming ionic complexes in a 2:1 bridging configuration (2Ca(2+):1COO(-)) but 1:1 chelating bidentate complexes (1Ca(2+):1COO(-)) gradually emerge as secondary species as the system reaches equilibrium. As the Ca(2+) concentration rises to 0.3 M, the primary complexed species exists in the 2:1 bridging configuration. Unlike Ca(2+), Mg(2+) at 0.1 and 0.3 M favors a solvent-separated ionic complex with COO(-). PMID- 21062086 TI - Dynamic catalyst restructuring during carbon nanotube growth. AB - We study the restructuring of solid nickel catalyst nanoparticles during carbon nanotube growth by environmental transmission electron microscopy and multiscale modeling. Our molecular dynamics/continuum transport calculations of surface diffusion-mediated restructuring are in quantitative agreement with the experimentally observed catalyst shape evolutions. The restructuring time scale is determined by reduced Ni diffusion through the stepped Ni-C interface region where the catalyst surface strongly anchors to the growing nanotube. PMID- 21062087 TI - Improved synthesis of (E)-12-nitrooctadec-12-enoic acid, a potent PPARgamma activator. Development of a "buffer-free" enzymatic method for hydrolysis of methyl esters. AB - Endogenous nitro-fatty acids, acting as partial agonist of PPARgamma, are able to lower the insulin and glucose levels without the side effects associated with common antidiabetic drugs. (E)-12-Nitrooctadec-12-enoic acid, a potent activator of this peroxisome receptor, was synthesized in a very efficient sequence via a Henry-retro-Claisen ring fragmentation, followed by a novel enzymatic cleavage of methyl esters. The latter method was then applied in the last step of the synthesis of a few labile natural products, such as prostaglandins, isoprostanes, and phytoprostanes. PMID- 21062088 TI - A cationic Rh(III) complex that efficiently catalyzes hydrogen isotope exchange in hydrosilanes. AB - The synthesis and structural characterization of a mixed-sandwich (eta(5) C(5)Me(5))Rh(III) complex of the cyclometalated phosphine PMeXyl(2) (Xyl = 2,6 C(6)H(3)Me(2)) with unusual kappa(4)-P,C,C',C'' coordination (compound 1-BAr(f); BAr(f) = B(3,5-C(6)H(3)(CF(3))(2))(4)) are reported. A reversible kappa(4) to kappa(2) change in the binding of the chelating phosphine in cation 1(+) induced by dihydrogen and hydrosilanes triggers a highly efficient Si-H/Si-D (or Si-T) exchange applicable to a wide range of hydrosilanes. Catalysis can be carried out in an organic solvent solution or without solvent, with catalyst loadings as low as 0.001 mol %, and the catalyst may be recycled a number of times. PMID- 21062089 TI - Correlation of Car S(1) -> Chl with Chl -> Car S(1) energy transfer supports the excitonic model in quenched light harvesting complex II. AB - Recently, excitonic carotenoid-chlorophyll interactions have been proposed as a simple but effective model for the down-regulation of photosynthesis in plants. The model was proposed on the basis of quenching-correlated electronic carotenoid chlorophyll interactions (Car S(1) -> Chl) determined by Car S(1) two-photon excitation and red-shifted absorption bands. However, if excitonic interactions are indeed responsible for this effect, a simultaneous correlation of quenching with increased energy transfer in the opposite direction, Chl Q(y) -> Car S(1), should be observed. Here we present a systematic study on the correlation of Car S(1) -> Chl and Chl -> Car S(1) energy transfer with the occurrence of red shifted bands and quenching in isolated LHCII. We found a direct correlation between all four phenomena, supporting our conclusion that excitonic Car S(1)-Chl interactions provide low-lying states serving as energy traps and dissipative valves for excess excitation energy. PMID- 21062090 TI - Matrix metalloproteinase sensitive gold nanorod for simultaneous bioimaging and photothermal therapy of cancer. AB - Herein, we developed matrix metalloprotease (MMP) sensitive gold nanorods (MMP AuNR) for cancer imaging and therapy. It was feasible to absorb NIR laser and convert into heat as well as visualize MMP activity. We showed the possibility of gold nanorods as a hyperthermal therapeutic agent and MMP sensitive imaging agent both in vitro and in vivo condition. The results suggested potential application of MMP-AuNR for simultaneous cancer diagnosis and therapy. PMID- 21062091 TI - Macroelectronic integrated circuits using high-performance separated carbon nanotube thin-film transistors. AB - Macroelectronic integrated circuits are widely used in applications such as flat panel display and transparent electronics, as well as flexible and stretchable electronics. However, the challenge is to find the channel material that can simultaneously offer low temperature processing, high mobility, transparency, and flexibility. Here in this paper, we report the application of high-performance separated nanotube thin-film transistors for macroelectronic integrated circuits. We have systematically investigated the performance of thin-film transistors using separated nanotubes with 95% and 98% semiconducting nanotubes, and high mobility transistors have been achieved. In addition, we observed that while 95% semiconducting nanotubes are ideal for applications requiring high mobility (up to 67 cm(2) V(-1) s(-1)) such as analog and radio frequency applications, 98% semiconducting nanotubes are ideal for applications requiring high on/off ratios (>10(4) with channel length down to 4 MUm). Furthermore, integrated logic gates such as inverter, NAND, and NOR have been designed and demonstrated using 98% semiconducting nanotube devices with individual gating, and symmetric input/output behavior is achieved, which is crucial for the cascading of multiple stages of logic blocks and larger scale integration. Our approach can serve as the critical foundation for future nanotube-based thin-film macroelectronics. PMID- 21062092 TI - Interactions between polymers and single-walled boron nitride nanotubes: a molecular dynamics simulation approach. AB - In this work, we used a molecular dynamics (MD) simulation approach to investigate the interfacial binding of boron nitride nanotubes (BNNTs) with poly[m-phenylenevinylene-co-(2,5-dioctyloxy-p-phenylenevinylene)] (PmPV), polystyrene (PS), and polythiophene (PT). Quantum partial charges of BNNT-polymer composites were determined by density functional theory (DFT) calculations and then included in MD simulations. The interaction energy between nanotubes and polymer molecules was computed, and the morphology of polymers stacked onto the surface of the nanotubes was investigated based on the dihedral angle (theta). Our results confirm that the interaction energy is strongly influenced by the specific monomer structure of polymer and nanotube radius, but the influence of temperature is likely negligible. Among the investigated polymers, PT possesses the strongest adhesion to the BNNTs, followed by PmPV and PS. Moreover, the comparison of our results for BNNT-polymer composities with those of the similar carbon nanotube (CNT)-polymer composites reveals that the BNNT-polymer interactions are much stronger, which is the most important result of this work. This finding is also in good agreement with recent experimental observations. The higher values of interaction energy of BNNT-polymer composites suggest that the BNNTs could be more efficient nanofillers than the CNTs for nanocomposite reinforcement applications. PMID- 21062094 TI - Mining the plasma proteome for disease applications across seven logs of protein abundance. AB - The current state of proteomics technologies has sufficiently advanced to allow in-depth quantitative analysis of the plasma proteome and development of a related knowledge base. Here we review approaches that have been applied to increase depth of analysis by mass spectrometry given the substantial complexity of plasma and the vast dynamic range of protein abundance. Fractionation strategies resulting in reduced complexity of individual fractions followed by mass spectrometry analysis of digests from individual fractions has allowed well in excess of 1000 proteins to be identified and quantified with high confidence that span more than seven logs of protein abundance. Such depth of analysis has contributed to elucidation of plasma proteome variation in health and of protein changes associated with disease states. PMID- 21062093 TI - Limiting an antimicrobial peptide to the lipid-water interface enhances its bacterial membrane selectivity: a case study of MSI-367. AB - In a minimalist design approach, a synthetic peptide MSI-367 [(KFAKKFA)(3)-NH(2)] was designed and synthesized with the objective of generating cell-selective nonlytic peptides, which have a significant bearing on cell targeting. The peptide exhibited potent activity against both bacteria and fungi, but no toxicity to human cells at micromolar concentrations. Bacterial versus human cell membrane selectivity of the peptide was determined via membrane permeabilization assays. Circular dichroism investigations revealed the intrinsic helix propensity of the peptide, beta-turn structure in aqueous buffer and extended and turn conformations upon binding to lipid vesicles. Differential scanning calorimetry experiments with 1,2-dipalmitoleoyl-sn-glycero-3-phosphatidylethanolamine bilayers indicated the induction of positive curvature strain and repression of the fluid lamellar to inverted hexagonal phase transition by MSI-367. Results of isothermal titration calorimetry (ITC) experiments suggested the possibility of formation of specific lipid-peptide complexes leading to aggregation. (2)H nuclear magnetic resonance (NMR) of deuterated 1-palmitoyl-2-oleoyl-sn-glycero-3 phosphatidylcholine (POPC) multilamellar vesicles confirmed the limited effect of the membrane-embedded peptide at the lipid-water interface. (31)P NMR data indicated changes in the lipid headgroup orientation of POPC, 1-palmitoyl-2 oleoyl-sn-glycero-3-phosphatidylglycerol, and 1-palmitoyl-2-oleoyl-sn-glycero-3 phosphatidylethanolamine lipid bilayers upon peptide binding. Membrane-embedded and membrane-inserted states of the peptide were observed via sum frequency generation vibrational spectroscopy. Circular dichroism, ITC, and (31)P NMR data for Escherichia coli lipids agree with the hypothesis that strong electrostatic lipid-peptide interactions embrace the peptide at the lipid-water interface and provide the basis for bacterial cell selectivity. PMID- 21062095 TI - InCl3/CyNH2 cocatalyzed carbocyclization reaction: an entry to alpha disubstituted exo-methylene cyclopentanes. AB - An efficient and cheap synthetic approach to functionalized exo-methylene cyclopentanes has been developed from alpha-disubstituted formyl-alkynes by merging amine catalysis with the indium activation of alkynes. We uncovered the crucial role of the amine cocatalyst and the development of a new cooperative catalytic system allowed the cyclization of a broad range of substrates. A mechanistic study was realized in order to rationalize the determining influence of the amine cocatalyst. PMID- 21062096 TI - Introduction to solar photon conversion. PMID- 21062097 TI - Solar water splitting cells. PMID- 21062098 TI - Solar energy supply and storage for the legacy and nonlegacy worlds. PMID- 21062099 TI - Semiconductor-based photocatalytic hydrogen generation. PMID- 21062100 TI - Directed adhesion and patterning by ultraviolet irradiation of TiO2(110). AB - Forces of adhesion between a hydroxylated silicon oxide tip and a TiO(2)(110) surface, before and after irradiation of the surface with 254 nm light, were measured using atomic force microscopy. The work of adhesion before and after irradiation was 32 and 166 mJ/m(2), respectively, but a difference was observed only if ultraviolet light exposure was used in the presence of oxygen. The change in adhesion correlated strongly with decreasing water contact angle, which changed from ca. 70 to 0 degrees because of irradiation. The contrast in adhesion between irradiated and nonirradiated regions of the surface makes possible a simple method of patterning molecules with micrometer, and potentially nanoscale, resolution. As an example, fluorescein was selectively adsorbed onto hydrophilic regions of the surface by spin coating an ethanolic fluorescein solution onto TiO(2)(110) that had been irradiated through a photomask. PMID- 21062101 TI - Target-cancer-cell-specific activatable fluorescence imaging probes: rational design and in vivo applications. AB - Conventional imaging methods, such as angiography, computed tomography (CT), magnetic resonance imaging (MRI), and radionuclide imaging, rely on contrast agents (iodine, gadolinium, and radioisotopes, for example) that are "always on." Although these indicators have proven clinically useful, their sensitivity is lacking because of inadequate target-to-background signal ratio. A unique aspect of optical imaging is that fluorescence probes can be designed to be activatable, that is, only "turned on" under certain conditions. These probes are engineered to emit signal only after binding a target tissue; this design greatly increases sensitivity and specificity in the detection of disease. Current research focuses on two basic types of activatable fluorescence probes. The first developed were conventional enzymatically activatable probes. These fluorescent molecules exist in the quenched state until activated by enzymatic cleavage, which occurs mostly outside of the cells. However, more recently, researchers have begun designing target-cell-specific activatable probes. These fluorophores exist in the quenched state until activated within targeted cells by endolysosomal processing, which results when the probe binds specific receptors on the cell surface and is subsequently internalized. In this Account, we present a review of the rational design and in vivo applications of target-cell-specific activatable probes. In engineering these probes, researchers have asserted control over a variety of factors, including photochemistry, pharmacological profile, and biological properties. Their progress has recently allowed the rational design and synthesis of target-cell-specific activatable fluorescence imaging probes, which can be conjugated to a wide variety of targeting molecules. Several different photochemical mechanisms have been utilized, each of which offers a unique capability for probe design. These include self-quenching, homo- and hetero fluorescence resonance energy transfer (FRET), H-dimer formation, and photon induced electron transfer (PeT). In addition, the repertoire is further expanded by the option for reversibility or irreversibility of the signal emitted through these mechanisms. Given the wide range of photochemical mechanisms and properties, target-cell-specific activatable probes have considerable flexibility and can be adapted to specific diagnostic needs. A multitude of cell surface molecules, such as overexpressed growth factor receptors, are directly related to carcinogenesis and thus provide numerous targets highly specific for cancer. This discussion of the chemical, pharmacological, and biological basis of target-cell specific activatable imaging probes, and methods for successfully designing them, underscores the systematic, rational basis for further developing in vivo cancer imaging. PMID- 21062102 TI - Therapeutic considerations for severe nodular acne. AB - Severe nodular acne, defined as grade 4 or 5 acne on the Investigator's Static Global Assessment scale, is a skin condition characterized by intense erythema, inflammation, nodules, cysts, and scarring. Both the well known risk of physical scarring and the more recent recognition that acne can be a chronic, psychologically distressing disease with significant adverse effects on a patient's quality of life, have prompted earlier, more aggressive treatment with more effective medications, in the hope of preventing progression to more severe, nodular forms of the disease. Oral antibacterials, primarily tetracyclines, have long been the first-line therapy for severe nodular acne, which frequently remained refractory to therapy. However, concerns of antibacterial adverse effects, patient adherence, and antimicrobial resistance prompted the search for alternate therapies and combinations thereof in order to target the multifactorial pathogenesis of the disease. Isotretinoin, an oral retinoid introduced in 1982, has since become the gold standard therapy in severe acne and has revolutionized its treatment. Several adjunctive agents exist. Oral antibacterials are indicated as an alternative for patients with severe acne who cannot tolerate oral retinoids, or for whom a contraindication exists. In order to prevent bacterial resistance, antibacterials should always be used in combination with benzoyl peroxide, a nonantibiotic antimicrobial agent with anti inflammatory activity. Topical retinoids are often added to this regimen. In women, hormonal agents, which include oral contraceptives, spironolactone, and oral corticosteroids, and, in Europe, cyproterone acetate, may be used as monotherapy or concomitantly with isotretinoin. For rapid treatment of inflammatory nodules, intralesional corticosteroids are effective. These treatment modalities have been studied, refined, and combined in novel ways in order to target the multifactorial pathogenesis of the disease, and in this article we review each of their roles. PMID- 21062103 TI - Safety of recombinant human thrombopoietin in adults after related donor haploidentical haematopoietic stem cell transplantation: a pilot study. AB - BACKGROUND AND OBJECTIVE: Delayed recovery of platelets can be found in recipients of related donor haploidentical haematopoietic stem cell transplantation (HSCT). The objective of this study was to evaluate the safety of recombinant human thrombopoietin (rhTPO) administration prior to engraftment in patients who received related donor haploidentical HSCT. METHODS: Nineteen patients with haematological malignancies received rhTPO prior to platelet engraftment after related donor haploidentical HSCT. Safety and tolerability levels together with the influence of rhTPO on the outcome of transplantation were evaluated. RESULTS: Slight elevations in ALT and/or AST were observed in five patients; in two other patients, bilirubin levels increased slightly. No significant changes in routine examination findings and no allergic reactions were observed during the study period. Eighteen patients achieved platelet engraftment at a median of 16 (range 11-34) days. The cumulative +/- SD incidence of acute graft-versus-host disease (GVHD) grade 1-4 at +100 days was 68.4 +/- 10.7%, and that of chronic GVHD at 3 years was 24.7 +/- 10.7%. Nine (47.4%) patients survived free of relapse, with a median follow-up of 1631 (1550-1734) days after transplantation. CONCLUSION: Early administration of rhTPO prior to engraftment was safe and well tolerated in patients receiving related donor haploidentical HSCT. PMID- 21062104 TI - Value of information in the osteoarthritis setting: cost effectiveness of COX-2 selective inhibitors, traditional NSAIDs and proton pump inhibitors. AB - BACKGROUND: Recent National Institute for Health and Clinical Excellence (NICE) guidance recommended that when traditional NSAIDs or cyclo-oxygenase (COX)-2 selective inhibitors are used by people with osteoarthritis (OA), they should be prescribed along with a proton pump inhibitor (PPI). However, specific recommendations about the type of NSAID or COX-2 could not be made due to high levels of uncertainty in the economic evaluation. OBJECTIVE: To investigate the value of obtaining further evidence to inform the economic evaluation of NSAIDs, COX-2s and PPIs for people with OA. METHODS: An economic evaluation with an expected value of perfect information (EVPI) analysis was conducted, using a Markov model with data identified from a systematic review. The base-case model used adverse event data from the three largest randomized trials of COX-2 inhibitors, and we repeated the analysis using observational adverse event data. The model was run for a hypothetical population of people with OA, and subgroup analyses were conducted for people with raised gastrointestinal (GI) and cardiovascular (CV) risk. The EVPI was based upon the OA population in England - approximately 2.8 million people. Of these, 50% were assumed to use NSAIDs or COX 2 selective inhibitors for 3 months per year and 56% of these were assumed to be patients with raised GI and CV risk. RESULTS: The value of further information for this decision problem was very high. Population-level EVPI was L85.1 million in the low-risk group and L179.5 million in the high-risk group (2007-8 values). Expected value of partial perfect information (EVPPI) analysis showed that the groups of parameters for which further evidence was likely to be of most value were CV adverse event risks and all adverse event rates associated with the specific drugs celecoxib and ibuprofen. The value of perfect information remained high even when observational adverse event data were used. CONCLUSIONS: There is a very high value associated with obtaining further information on uncertain parameters for the economic evaluation of NSAIDs, COX-2 selective inhibitors and PPIs for people with OA. Obtaining further randomized or observational information on CV risks is likely to be particularly cost effective. PMID- 21062105 TI - Sleep disorders in patients with traumatic brain injury: a review. AB - Traumatic brain injury (TBI) is a global problem and causes long-term disability in millions of individuals. This is a major problem for both military- and civilian-related populations. The prevalence of sleep disorders in individuals with TBI is very high, yet mostly unrecognized. Approximately 46% of all chronic TBI patients have sleep disorders, which require nocturnal polysomnography and the Multiple Sleep Latency Test for diagnosis. These disorders include sleep apnoea (23% of all TBI patients), post-traumatic hypersomnia (11%), narcolepsy (6%) and periodic limb movements (7%). Over half of all TBI patients will have insomnia complaints, most often with less severe injury and after personal assault, and half of these may be related to a circadian rhythm disorder. Hypothalamic injury with decreased levels of wake-promoting neurotransmitters such as hypocretin (orexin) and histamine may be involved in the pathophysiology of excessive sleepiness associated with TBI. These sleep disorders result in additional neurocognitive deficits and functional impairment, which might be attributed to the original brain injury itself and thus be left without specific treatment. Most standard treatment regimens of sleep disorders appear to be effective in these patients, including continuous positive airway pressure for sleep apnoea, pramipexole for periodic limb movements and cognitive behavioural therapy for insomnia. The role of wake-promoting agents and CNS stimulants for TBI-associated narcolepsy, post-traumatic hypersomnia and excessive daytime sleepiness requires further study with larger numbers of patients to determine effectiveness and benefit in this population. Future research with multiple collaborating centres should attempt to delineate the pathophysiology of TBI associated sleep disorders, including CNS-derived hypersomnia and circadian rhythm disturbances, and determine definitive, effective treatment for associated sleep disorders. PMID- 21062106 TI - Localization by site-directed mutagenesis of a galantamine binding site on alpha7 nicotinic acetylcholine receptor extracellular domain. AB - Galantamine is an approved drug treatment for Alzheimer's disease. Initially identified as a weak cholinesterase inhibitor, we have established that galantamine mainly acts as an 'allosterically potentiating ligand (APL)' of nicotinic acetylcholine receptors (nAChR). Meanwhile other 'positive allosteric modulators (PAM)' of nAChR channel activity have been discovered, and for one of them a binding site within the transmembrane domain has been proposed. Here we show, by performing site-directed mutagenesis studies of ectopically expressed chimeric chicken alpha7/mouse 5-hydroxytryptamine 3 receptor-channel complex, in combination with whole-cell current measurements, in the presence and absence of galantamine, that the APL binding site is different from the proposed PAM binding site. We demonstrate that residues T197, I196, and F198 of beta-strand 10 represent major elements of the galantamine binding site. Residue K123, earlier suggested as being 'close to' the APL binding site, is not part of this site but rather appears to play a role in coupling of agonist binding to channel opening and closing. Our data confirm our earlier results that the galantamine binding site is different from the ACh binding site. Both sites are in close proximity and hence may influence each other in a synergistic fashion. Other interesting areas identified in the present study are a 'hinge' region around and containing residues F122, K123, and K143 possibly being involved in relaying the signal of agonist binding to gating of the transmembrane channel, and a 'folding centre', with P119 as the dominating residue, that crucially positions the agonist binding site with respect to the hinge region. PMID- 21062107 TI - Anticonvulsant activity of solasodine isolated from Solanum sisymbriifolium fruits in rodents. AB - CONTEXT: Solanum sisymbriifolium Lam. (Solanaceae), commonly known as sticky nightshade, is traditionally used for central nervous system (CNS) disorders. Although solasodine has been isolated from this plant, little is known about its anticonvulsant and CNS depressant actions. OBJECTIVE: We investigated anticonvulsant and CNS depressant effects of solasodine isolated from S. sisymbriifolium using several experimental models. MATERIALS AND METHODS: Swiss albino mice (n=6) were employed for pentylenetetrazole (PTZ) and picrotoxin (PCT) induced convulsions and thiopental-induced sleep time. Different groups of Wistar albino rats (n=6) were subjected to maximal electroshock (MES) test. Solasodine, a steroidal glycoalkaloid, was isolated from dried fruits of S. sisymbriifolium and identified by GC-MS. RESULTS: The results showed that intraperitoneal (i.p.) injection of solasodine (25 mg/kg) significantly delayed (p < 0.01) latency of hind limb tonic extensor (HLTE) phase in the PCT-induced convulsions. In the MES model, solasodine significantly reduced (p < 0.001) duration of HLTE at 25, 50, and 100 mg/kg, i.p. in a dose-dependent manner. Interestingly, solasodine did not produce any significant reduction in PTZ-induced convulsions. Prior treatment of solasodine (25, 50, and 100 mg/kg, i.p.) significantly potentiated thiopental provoked sleep in a dose-dependent manner (p < 0.001). DISCUSSION AND CONCLUSION: Our study, for the first time, shows potent anticonvulsant and CNS depressant activities of solasodine. It is likely that solasodine, in part, is responsible for the anticonvulsant and sedative properties of S. sisymbriifolium. The future study should focus on the exact mechanism of action of solasodine. PMID- 21062108 TI - Direct measurement of toxicants inhaled by water pipe users in the natural environment using a real-time in situ sampling technique. AB - While narghile water pipe smoking has become a global phenomenon, knowledge regarding its toxicant content and delivery, addictive properties, and health consequences is sorely lagging. One challenge in measuring toxicant content of the smoke in the laboratory is the large number of simplifying assumptions that must be made to model a "typical" smoking session using a smoking machine, resulting in uncertainty over the obtained toxicant yields. In this study, we develop an alternative approach in which smoke generated by a human water pipe user is sampled directly during the smoking session. The method, dubbed real-time in situ sampling (RINS), required developing a self-powered portable instrument capable of automatically sampling a fixed fraction of the smoke generated by the user. Instrument performance was validated in the laboratory, and the instrument was deployed in a field study involving 43 ad libitum water pipe use sessions in Beirut area cafes in which we measured inhaled nicotine, carbon monoxide (CO), and water pipe ma'ssel-derived "tar." We found that users drew a mean of 119 L of smoke containing 150 mg of CO, 4 mg of nicotine, and 602 mg of ma'ssel-derived "tar" during a single use session (mean duration = 61 min). These first direct measurements of toxicant delivery demonstrate that ordinary water pipe use involves inhaling large quantities of CO, nicotine, and dry particulate matter. Results are compared with those obtained using the Beirut method smoking machine protocol. PMID- 21062109 TI - Expression of Xanthomonas campestris pv. vesicatoria type III effectors in yeast affects cell growth and viability. AB - The gram-negative bacterium Xanthomonas campestris pv. vesicatoria is the causal agent of spot disease in tomato and pepper. X. campestris pv. vesicatoria pathogenicity depends on a type III secretion system delivering effector proteins into the host cells. We hypothesized that some X. campestris pv. vesicatoria effectors target conserved eukaryotic cellular processes and examined phenotypes induced by their expression in yeast. Out of 21 effectors tested, 14 inhibited yeast growth in normal or stress conditions. Viability assay revealed that XopB and XopF2 attenuated cell proliferation, while AvrRxo1, XopX, and XopE1 were cytotoxic. Inspection of morphological features and DNA content of yeast cells indicated that cytotoxicity caused by XopX and AvrRxo1 was associated with cell cycle arrest at G0/1. Interestingly, XopB, XopE1, XopF2, XopX, and AvrRxo1 that inhibited growth in yeast also caused phenotypes, such as chlorosis and cell death, when expressed in either host or nonhost plants. Finally, the ability of several effectors to cause phenotypes in yeast and plants was dependent on their putative catalytic residues or localization motifs. This study supports the use of yeast as a heterologous system for functional analysis of X. campestris pv. vesicatoria type III effectors, and sets the stage for identification of their eukaryotic molecular targets and modes of action. PMID- 21062110 TI - Networks in plant epidemiology: from genes to landscapes, countries, and continents. AB - There is increasing use of networks in ecology and epidemiology, but still relatively little application in phytopathology. Networks are sets of elements (nodes) connected in various ways by links (edges). Network analysis aims to understand system dynamics and outcomes in relation to network characteristics. Many existing natural, social, and technological networks have been shown to have small-world (local connectivity with short-cuts) and scale-free (presence of super-connected nodes) properties. In this review, we discuss how network concepts can be applied in plant pathology from the molecular to the landscape and global level. Wherever disease spread occurs not just because of passive/natural dispersion but also due to artificial movements, it makes sense to superimpose realistic models of the trade in plants on spatially explicit models of epidemic development. We provide an example of an emerging pathosystem (Phytophthora ramorum) where a theoretical network approach has proven particularly fruitful in analyzing the spread of disease in the UK plant trade. These studies can help in assessing the future threat posed by similar emerging pathogens. Networks have much potential in plant epidemiology and should become part of the standard curriculum. PMID- 21062111 TI - Multiple mid-Atlantic field experiments show no economic benefit to fungicide application when fungal disease is absent in winter wheat. AB - Strobilurin fungicides produce intensified greening and delayed senescence in plants, and have been claimed to enhance yields of field crops in the absence of disease. To help evaluate this claim, available publicly sponsored tests of fungicides on soft red winter wheat in Virginia and North Carolina (n = 42) were analyzed for the period 1994 to 2010. All tests were replicated and had a randomized complete block, split-plot, or split-block design. Each test included 1 to 32 cultivars and one to five fungicides (two strobilurins, one triazole, and two strobilurin-triazole mixtures). There was a total of 311 test-cultivar fungicide treatment comparisons, where a comparison was the reported yield difference between sprayed and unsprayed treatments of a given cultivar in a given test. Parameters used to calculate the economic benefit or loss associated with fungicide application included a grain price range of $73.49 to 257.21 Mg( 1) ($2 to 7 bu(-1)), a total fungicide application cost of $24.71 to 74.13 ha(-1) ($10 to 30 acre(-1)), and a 0.14 to 0.21 Mg ha(-1) (2.3 to 3.4 bu acre(-1)) loss in yield from driving over wheat during application (with a sprayer 27.4 or 18.3 m [90 or 60 feet] wide, respectively). The yield increase needed to pay for a fungicide application at each combination of cost and price was calculated, and the cumulative probability function for the fungicide yield-response data was modeled. The model was used to predict the probability of achieving a break-even yield, and the probabilities were graphed against each cost-price combination. Tests were categorized as "no-disease" or "diseased" based on reports of the researchers rating the tests. Subsets of the data were analyzed to assess the profitability of the triazole fungicide and the strobilurin-containing fungicides separately in no-disease versus diseased experiments. From the results, it was concluded that, with routine fungicide application based solely on wheat growth stage, total fungicide application costs had to be <$24.71 ha(-1) ($10 acre(-1)) in order to average a >= 50% probability of breaking even or making a profit (compared with not spraying). By contrast, if fungicides were applied when fungal disease was present, total application costs of <= $47 ha(-1) ($19 acre(-1)) for strobilurins and <= $72 ha(-1) ($29 acre(-1)) for propiconazole alone were associated with a >= 50% probability of breaking even or making a profit at a wheat price of $184 Mg(-1). The results do not support the application of strobilurin or triazole fungicides to mid-Atlantic wheat crops for "plant health" in the absence of disease. Rather, they support basing the decision to apply fungicide on observation of disease, if an economic return for the input is desired. PMID- 21062112 TI - Silencing of host basal defense response-related gene expression increases susceptibility of Nicotiana benthamiana to Clavibacter michiganensis subsp. michiganensis. AB - Clavibacter michiganensis subsp. michiganensis is an actinomycete, causing bacterial wilt and canker disease of tomato (Solanum lycopersicum). We used virus induced gene silencing (VIGS) to identify genes playing a role in host basal defense response to C. michiganensis subsp. michiganensis infection using Nicotiana benthamiana as a model plant. A preliminary VIGS screen comprising 160 genes from tomato known to be involved in defense-related signaling identified a set of 14 genes whose suppression led to altered host-pathogen interactions. Expression of each of these genes and three additional targets was then suppressed in larger-scale VIGS experiments and the effect of silencing on development of wilt disease symptoms and bacterial growth during an N. benthamiana-C. michiganensis subsp. michiganensis compatible interaction was determined. Disease susceptibility and in planta bacterial population size were enhanced by silencing genes encoding N. benthamiana homologs of ubiquitin activating enzyme, snakin-2, extensin-like protein, divinyl ether synthase, 3 hydroxy-3-methylglutaryl-coenzyme A reductase 2, and Pto-like kinase. The identification of genes having a role in the host basal defense-response to C. michiganensis subsp. michiganensis advances our understanding of the plant responses activated by C. michiganensis subsp. michiganensis and raises possibilities for devising novel and effective molecular strategies to control bacterial canker and wilt in tomato. PMID- 21062113 TI - Determination of adipose-derived stem cell application on photo-aged fibroblasts, based on paracrine function. AB - BACKGROUND AIMS: Adipose-derived stem cells (ASC) are known to be able to restore injured tissue via differentiation and paracrine effects. In this study, we investigated the effect of ASC on photo-aged human dermal fibroblasts (HDF) based on paracrine function. In particular, we wanted to determine a more effective method of ASC application and the fate of the photo-aged fibroblasts. METHODS: We compared two application methods of ASC: transwell and conditioned medium culture with photo-aged fibroblasts. Proliferation rate, collagen synthesis, matrix metalloproteinase (MMP) production and expression of p16 were measured by real time polymerase chain reaction (PCR) after culture. Flow cytometry for apoptosis assay was also conducted to determine the fate of the photo-aged fibroblasts. RESULTS: ASC induced proliferation of photo-aged HDF and type I collagen production and decreased MMP-1 production and expression of p16. In an apoptosis assay, ASC converted necrotic or late apoptotic cells to early apoptotic cells. These results were similar in both experimental groups. CONCLUSIONS: The results indicate that the paracrine effects of ASC may have a role that is as important as cell-to-cell communication between ASC and fibroblasts. We believe that conditioned medium may be a useful material for anti-aging skin therapy instead of cell therapy. Also, ASC might have an anti-aging effect on photo-aged fibroblasts even at a genetic level. PMID- 21062114 TI - Intra-operative preparation of autologous bone marrow-derived CD34-enriched cellular products for cardiac therapy. AB - BACKGROUND AND AIMS: With the advent of regenerative therapy, there is renewed interest in the use of bone marrow as a source of adult stem and progenitor cells, including cell subsets prepared by immunomagnetic selection. Cell selection must be rapid, efficient and performed according to current good manufacturing practices. In this report we present a methodology for intra operative preparation of CD34(+) selected autologous bone marrow for autologous use in patients receiving coronary artery bypass grafts or left ventricular assist devices. METHODS AND RESULTS: We developed a rapid erythrocyte depletion method using hydroxyethyl starch and low-speed centrifugation to prepare large scale (mean 359 mL) bone marrow aspirates for separation on a Baxter Isolex 300i immunomagnetic cell separation device. CD34 recovery after erythrocyte depletion was 68.3 +/- 20.2%, with an average depletion of 91.2 +/- 2.8% and an average CD34 content of 0.58 +/- 0.27%. After separation, CD34 purity was 64.1 +/- 17.2%, with 44.3 +/- 26.1% recovery and an average dose of 5.0 +/- 2.7 * 10(6) CD34(+) cells/product. In uncomplicated cases CD34-enriched cellular products could be accessioned, prepared, tested for release and administered within 6 h. Further analysis of CD34(+) bone marrow cells revealed a significant proportion of CD45( ) CD34(+) cells. CONCLUSIONS: Intra-operative immunomagnetic separation of CD34 enriched bone marrow is feasible using rapid low-speed Hetastarch sedimentation for erythrocyte depletion. The resulting CD34-enriched product contains CD45(-) cells that may represent non-hematopoietic or very early hematopoietic stem cells that participate in tissue regeneration. PMID- 21062115 TI - A pilot study of botulinum toxin A for male chronic pelvic pain syndrome. AB - OBJECTIVE: Male chronic pelvic pain syndrome (CPPS) has been the subject of numerous clinical trials, but so far, no uniformly effective treatment has been identified. A commonly reported tender spot in men with CPPS is the bulbospongiosus muscle. A randomized placebo controlled pilot trial of botulinum toxin A (BTX-A) injection into the perineal skeletal musculature for the treatment CPPS was conducted. MATERIAL AND METHODS: Twenty-nine men with CPPS were identified from a urology clinic. Symptom evaluation was performed using a Global Response Assessment (GRA) and the Chronic Prostatitis Symptom Index (CPSI). All subjects were randomized to receive either BTX-A 100 U or normal saline injected into the perineal body and bulbospongiosus muscle. RESULTS: BTX-A injection was administered in 13 men. At the 1 month follow-up there was a 30% response rate for BTX-A treatment compared with 13% for placebo (p = 0.0002), based on GRA results. Total CPSI score did not reach significance in the BTX-A treated group, compared with controls. The CPSI pain subdomain score reached statistical significance in the BTX-A patients compared with controls (p = 0.05). The injections were well tolerated. There were no complications from the injections and no patients reported side-effects. CONCLUSIONS: BTX-A injection into the perineal body and bulbospongiosus muscle results in a modest response rate on the GRA compared with placebo for overall symptoms associated with CPPS. The treatment is well tolerated and safe. BTX-A use may enhance polytherapeutic pain management. PMID- 21062116 TI - Adeno-associated viral vector-mediated expression of NT4-ADNF-9 fusion gene protects against aminoglycoside-induced auditory hair cell loss in vitro. AB - CONCLUSION: The present study suggests that adeno-associated viral vector AAV2 mediated expression of activity-dependent neurotrophic factor-9 (ADNF-9) in the cochlea could be a promising approach to protect the cochlea from aminoglycoside induced impairment, although a further in vivo study is needed. OBJECTIVES: To construct vectors over-expressing ADNF-9 to overcome its short half-life and investigate the effect of ADNF-9 on aminoglycoside-induced hair cell impairment. METHODS: We ligated ADNF-9 cDNA to the signal and leader peptides of neurotrophin 4 (NT4) and the fusion gene was named NT4-ADNF-9. NT4-ADNF-9 was subcloned into the prokaryotic expression vector pBV220 to obtain pBV220/NT4-ADNF-9. The induced recombinant ADNF-9 proteins were added into the dorsal root ganglia (DRG) cultures of embryonic day 8 chickens. In addition, we constructed the recombinant vector rAAV-NT4-ADNF-9 and transfected rat neonatal organ of Corti explants in the presence of aminoglycoside G418. RESULTS: Our data showed that the induced expression of ADNF-9 protein could promote cultured DRG neuronal survival and neurite outgrowth. In addition, transfection of rAAV-NT4-ADNF-9 could prevent hair cell loss induced by G418 treatment in the rat organ of Corti. PMID- 21062117 TI - Localization of prostanoid receptors in the mouse inner ear. AB - CONCLUSION: EP4, EP2, and IP prostanoid receptors exert an otoprotective function and FP may be important for fluid homeostasis in the inner ear. OBJECTIVE: To investigate the expression of prostanoid receptors in the normal mouse inner ear. METHODS: CBA/J mice were used in this study. The localization of prostanoid receptors, i.e. DP, EP1, EP2, EP3, EP4, FP, IP, and TP, in the inner ear, i.e. the cochlea, vestibular end organs, endolymphatic sac, was studied by immunohistochemical techniques. RESULTS: The EP4, IP, and FP prostanoid receptors were found to be abundantly distributed in many inner ear structures, i.e. stria vascularis, inner and outer hair cells, spiral ganglion cells, vestibular sensory and ganglion cells, and the endolymphatic sac. EP2 and EP3 are also localized in the inner ear whereas DP, EP1, and TP are only weakly expressed. PMID- 21062118 TI - Epithelial barrier and antigen uptake in lymphoepithelium of human adenoids. AB - Invasion of antigens through the mucosal surface can be prevented by the common mucosal immune system, including Peyer's patches (PPs) and nasopharyngeal associated lymphoreticular tissue (NALT). The adenoids (nasopharyngeal tonsils) comprise one of the NALTs and constitute the major part of Waldeyer's lymphoid ring in humans. However, the role of the lymphoepithelium, including M cells and dendritic cells (DCs), in the adenoids is unknown compared with the epithelium of PPs. NALTs also have unique functions such as the barrier of epithelial cells and uptake of antigens by M cells and DCs, and may play a crucial role in airway mucosal immune responses. The lymphoepithelium of adenoids has well-developed tight junctions that play an important role in the barrier function, the same as nasal epithelium but not palatine tonsillar epithelium. Tight junction molecules are expressed in both M cells and DCs as well as epithelial cells, and various antigens may be sampled, transported, and released to lymphocytes through the cells while they maintain the integrity of the epithelial barrier. This review summarizes the recent progress in our understanding of how M cells and DCs control the epithelial barrier in the adenoids. PMID- 21062119 TI - Relationship of body position, upper airway morphology, and severity of obstructive sleep apnea/hypopnea syndrome among Chinese patients. AB - CONCLUSIONS: This study has shown that the body mass index (BMI), neck circumference, and modified Mallanpati grade (MMP) are predictive of severity of obstructive sleep apnea/hypopnea syndrome (OSAHS). The neck circumference and MMP were revealed to be important morphological features in the responders whose apnea/hyoponea index (AHI) was substantially decreased by positioning during sleep. OBJECTIVE: The aim of this study was to assess the predictive power of routine physical examination of the upper airway by an otorhinolaryngologist to identify OSAHS in Chinese patients, and to clarify the interaction of lateral and supine sleeping positions with upper airway morphology in patients with OSAHS. METHODS: In all, 103 consecutive patients with OSAHS (48 men and 65 women) underwent polysomnography and upper airway morphological examination. Upper airway morphology and anthropometric variables were evaluated to determine different severity of OSAHS in the responders and nonresponders. RESULTS: The neck circumference, BMI, and MMP showed statistical correlations with AHI and decreased AHI for changed sleeping position. Multiple logistic regression analysis showed that the neck circumference and MMP were significant predictors for the responders (p = 0.023, odds ratio = 1.180 and p = 0.006, odds ratio = 1.922, respectively). PMID- 21062120 TI - Sleep-related deglutition in patients with OSAHS under CPAP therapy. AB - CONCLUSION: Continuous positive airway pressure (CPAP) therapy improved not only apnea-hypopnea during sleep and sleep structure but also sleep-related deglutition, especially respiratory phase patterns associated with deglutition. OBJECTIVES: Sleep-related deglutition and related respiratory phase patterns in patients with obstructive sleep apnea-hypopnea syndrome (OSAHS) under CPAP therapy were investigated. METHODS: Deglutition during sleep was examined in 10 patients who had severe OSAHS under CPAP therapy via time-matched recordings of polysomnography and surface electromyography. RESULTS: The mean number of swallows per hour during the total sleep time was 1.6 +/- 1.3. The mean period of the longest absence of deglutition was 66.4 +/- 19.6 min. Deglutition was related to the sleep stage. The mean number of swallows per hour was 6.8 +/- 8.4 during stage 1 sleep, 1.1 +/- 0.8 during stage 2 sleep, 0.1 +/- 0.4 during stage 3 sleep, and 0 during stage 4 sleep. The deeper the sleep stage, the lower the mean deglutition frequency. The mean number of swallows per hour was 0.8 +/- 0.5 during REM sleep. Most deglutition occurred in association with spontaneous electroencephalographic arousal. Swallows followed by inspiration were markedly reduced. Under CPAP therapy, sleep-related deglutition and its respiratory phase pattern had normalized. PMID- 21062121 TI - Spectral measures of the effects of Friedreich's ataxia on speech. AB - This study identifies two measures of the effects of Friedreich's ataxia (FRDA) on speech motor control. Speech samples of 17 healthy controls and 37 speakers with dysarthria associated with FRDA were recorded during one structured and one unstructured speaking task. Two measures of spectral variation were used that relate to the rate and range of changes that occur in the spectral envelope. Linear mixed models revealed significant effects of GROUP, TASK, and GROUP*TASK. FRDA speech samples had slower rate of spectral change and reduced spectral range. Healthy speakers produced faster rates of spectral change in read text compared to conversation, but speakers with dysarthria did not. The results suggest that structured speaking tasks which demand large spectral variation may be particularly useful in assessing the dysarthria. It is concluded that the rate of spectral change is a useful measure of dysarthria associated with FRDA. PMID- 21062122 TI - Do patients improve after short psychiatric admission?: a cohort study in Italy. AB - BACKGROUND: Information on outcomes of acute inpatient care in routine psychiatric practice is scant. In particular, it is uncertain to what extent short hospitalization can produce clinically meaningful changes. AIM: Our aim was to estimate the symptomatic outcome in a representative sample of patients admitted for short treatment to general hospital psychiatric units in Italy. METHODS: Patients were assessed at admission and discharge using 24-item Brief Psychiatric Rating Scale (BPRS). Reliable change index was calculated to estimate the proportion of change attributable to measurement error and a cut-off score of 38 was adopted to identify the patients who showed clinically significant change. RESULTS: Average length of stay was 5.7 days. Mean BPRS score dropped from 53.2 on admission to 41.5 at discharge, showing statistically significant improvement with an effect size of 0.80. However, reliable change was achieved by 24.7% of patients and clinically meaningful change by 13.6%. CONCLUSIONS: Reliance on statistical significance and effect size overestimates treatment effects, whereas reliable and clinically significant change index provides a conservative way to assess outcome. Few patients showed relevant improvement after a brief admission. PMID- 21062123 TI - Obsessive-compulsive disorder in children and adolescents: symptom dimensions in a naturalistic setting. AB - BACKGROUND: Obsessive-compulsive disorder (OCD) is a frequent and clinically heterogeneous disorder. The complex clinical presentation can be summarized using a few consistent and temporally stable symptom dimensions. Only few studies in children and adolescents have examined the importance of symptom dimensions. AIMS: This retrospective study was undertaken to describe the relation between symptom dimensions, pattern of comorbidity and family disposition, in a sample of Danish children and adolescents with OCD assessed in a naturalistic setting. METHODS: A retrospective study of children and adolescents (n=83) diagnosed with OCD in the period 1998-2004, at the Psychiatric Hospital for Children and Adolescents, Risskov, Denmark. Information from clinical records was systematically collected and Children's Yale-Brown Obsessive-compulsive Scale (CY BOCS) scores and symptom checklists were obtained. RESULTS: High scores on the symmetry/ordering dimension were related to OCD in first-degree relatives and high scores on the aggressive/checking dimension were associated to comorbidity with tic disorders. We showed a correlation between limited insight and younger age. CONCLUSION: This is one of the first studies to examine symptom dimensions in children and adolescents in naturalistic settings. The results were comparable with those in adult studies, showing an association between the occurrence of the symmetry/ordering dimension and family OCD and the occurrence of the aggressive/checking dimension and comorbid tic disorders. In small children, insight into symptoms may be limited. PMID- 21062124 TI - The relationship between cognitive functions, somatization and behavioural coping in patients with multiple functional somatic symptoms. AB - BACKGROUND AND AIMS: Patients with multiple functional somatic symptoms (MFS) often express cognitive complaints. The aim of this descriptive study was to investigate whether these patients have cognitive deficits and whether the patients' cognitive functioning relates to their experience of physical and psychological distress and to their use of pain-related coping strategies. METHODS: Neuropsychological assessment of verbal ability, psychomotor speed, attention, working memory, perceptual organization and memory, was conducted on 22 MFS patients and 27 healthy age- and gender-matched controls. Psychological distress, health anxiety, health status and pain coping were measured with questionnaires [Symptom Checklist 90-Revised (SCL-somatization, SCL-depression, SCL-anxiety), the Whiteley-7 scale, the Short Form (SF-36) and the Coping Strategies Questionnaire (CSQ)]. RESULTS: For patients, a high score on the SCL somatization subscale was associated with poor performance on tests of attention and psychomotor speed, and with a high score on the coping scale Increasing behavioural activities. A high score on this coping scale was associated with poor performance on tests of verbal ability and executive functioning. After controlling for years of education, controls performed significantly better than patients on verbal as well as performance IQ scales but not on tests of memory. CONCLUSION: In the present study, we found that physical complaints are related to deficits in attention and psychomotor speed. Moreover, our results suggest that poor verbal skills may play a role in the development of MFS because of an increased tendency to apply behavioural/avoidant coping strategies. We suggest that treatment of MFS should involve training of attention as well as practicing the use of cognitive coping strategies. PMID- 21062125 TI - Isolated central nervous system histoplasmosis in an immunocompetent patient: 53 month hiatus to diagnosis and treatment. AB - Chronic meningitis may result from a wide range of etiologies, both infectious and noninfectious, and is often diagnostically challenging. In most series, tuberculosis remains the most common recognized cause. Of the fungal diseases resulting in chronic meningitis, Cryptococcus is the most common. When untreated, the infectious meningitides typically exhibit an inexorably progressive course with high morbidity and mortality. We report a patient with chronic meningitis due to Histoplasma capsulatum who exhibited a remarkably benign course despite being untreated for the disorder for more than 4 years. PMID- 21062126 TI - Bioelectromagnetic field effects on cancer cells and mice tumors. AB - We present possibilities and trends of ELF bioelectromagnetic effects in the mT amplitude range on cancer cells and on mice bearing tumors. In contrast to invasive electrochemotherapy and electrogenetherapy, using mostly needle electrodes and single high-amplitude electropulses for treatment, extremely low frequency (ELF) pulsating electromagnetic fields (PEMF) and sinusoidal electromagnetic fields (SEMF) induce tumor cell apoptosis, inhibit angiogenesis, impede proliferation of neoplastic cells, and cause necrosis non invasively, whereas human lymphocytes are negligibly affected. Our successful results in killing cancer cells-analyzed by trypan blue staining or by flow cytometry-and of the inhibition of MX-1 tumors in mice by 15-20 mT, 50 Hz treatment in a solenoid coil also in the presence of bleomycin are presented in comparison to similar experimental results from the literature. In conclusion, the synergistic combinations of PEMF or SEMF with hyperthermia (41.5 degrees C) and/or cancerostatic agents presented in the tables for cells and mice offer a basis for further development of an adjuvant treatment for patients suffering from malignant tumors and metastases pending the near-term development of suitable solenoids of 45-60 cm in diameter, producing >20 mT in their cores. PMID- 21062127 TI - Osteopontin expression and relation to streptococcal disease severity in mice. AB - Osteopontin (OPN) is a phosphorylated glycoprotein that has been implicated in a number of infectious diseases. However, the role of OPN in Streptococcus pyogenes infection is unknown. To investigate whether OPN is involved in S. pyogenes infection, we first examined the plasma OPN levels after local injection of S. pyogenes. OPN expression was significantly increased at 2 h post-infection and increased thereafter. A correlation was found between plasma OPN levels and the development of S. pyogenes infection. The plasma OPN level in severe S. pyogenes infection was higher than during a normal infection. Levels of OPN were found to correlate with the severity of S. pyogenes infection. We also found that OPN production was suppressed by interleukin-6 and enhanced by tumour necrosis factor alpha in immunocompetent cells. Collectively, these findings demonstrate that the OPN level may provide clues to the severity of S. pyogenes infection in the early phase of the infection. PMID- 21062128 TI - Mesenchymal stem cells for cardiac cell therapy. AB - Despite refinements of medical and surgical therapies, heart failure remains a fatal disease. Myocardial infarction is the most common cause of heart failure, and only palliative measures are available to relieve symptoms and prolong the patient's life span. Because mammalian cardiomyocytes irreversibly exit the cell cycle at about the time of birth, the heart has traditionally been considered to lack any regenerative capacity. This paradigm, however, is currently shifting, and the cellular composition of the myocardium is being targeted by various regeneration strategies. Adult progenitor and stem cell treatment of diseased human myocardium has been carried out for more than 10 years (Menasche et al., 2001; Stamm et al., 2003), and it has become clear that, in humans, the regenerative capacity of hematopoietic stem cells and endothelial progenitor cells, despite potent proangiogenic effects, is limited (Stamm et al., 2009). More recently, mesenchymal stem cells (MSCs) and related cell types are being evaluated in preclinical models of heart disease as well as in clinical trials (see Published Clinical Trials, below). MSCs have the capacity to self-renew and to differentiate into lineages that normally originate from the embryonic mesenchyme (connective tissues, blood vessels, blood-related organs) (Caplan, 1991; Prockop, 1997; Pittenger et al., 1999). The current definition of MSCs includes plastic adherence in cell culture, specific surface antigen expression (CD105(+)/CD90(+)/CD73(+), CD34(-)/CD45(-)/CD11b(-) or CD14(-)/CD19(-) or CD79alpha(-)/HLA-DR1(-)), and multilineage in vitro differentiation potential (osteogenic, chondrogenic, and adipogenic) (Dominici et al., 2006 ). If those criteria are not met completely, the term "mesenchymal stromal cells" should be used for marrow-derived adherent cells, or other terms for MSC-like cells of different origin. For the purpose of this review, MSCs and related cells are discussed in general, and cell type-specific properties are indicated when appropriate. We first summarize the preclinical data on MSCs in models of heart disease, and then appraise the clinical experience with MSCs for cardiac cell therapy. PMID- 21062129 TI - Albendazole and mebendazole administered alone or in combination with ivermectin against Trichuris trichiura: a randomized controlled trial. AB - BACKGROUND: Single-dose albendazole and mebendazole show limited efficacy in the treatment of trichuriasis. The combination of albendazole with ivermectin improves efficacy, but a mebendazole-ivermectin combination has not been previously investigated. METHODS: We performed a randomized controlled trial in 2 schools in Zanzibar, Tanzania, to assess the efficacy and safety of albendazole (400 mg) plus placebo, albendazole plus ivermectin (200 MUg/kg), mebendazole (500 mg) plus placebo, and mebendazole plus ivermectin in children with a parasitologically confirmed Trichuris trichiura infection. Cure rate (CR) and egg reduction rate were assessed by intent-to-treat analysis. Adverse events were monitored within 48 h after treatment. RESULTS: Complete data records were available for 548 children. The highest CR against T. trichiura was achieved with a mebendazole-ivermectin combination (55%). Low CRs were observed with albendazole-ivermectin (38%), mebendazole (19%), and albendazole (10%). Compared with placebo, the use of ivermectin statistically significantly increased the CRs from 14% to 47% (odds ratio, 0.19; 95% confidence interval [CI], 0.12-0.28). The highest egg reduction rate (97%; 95% CI, 95%-98%) was observed using the mebendazole-ivermectin combination, followed by albendazole-ivermectin (91%; 95% CI, 87%-94%), mebendazole (67%; 95% CI, 52%-77%), and albendazole (40%; 95% CI, 22%-56%). The adverse events, reported by 136 children, were generally mild, with no significant difference between the treatment arms. CONCLUSIONS: Addition of ivermectin improves the therapeutic outcomes of both albendazole and mebendazole against T. trichiura and may be considered for use in soil-transmitted helminth control programs and individual patient management. TRIAL REGISTRATION: isrctn.org Identifier: ISRCTN08336605. PMID- 21062130 TI - Noninvasive MR characterization of structural and functional components of reperfused infarct. AB - BACKGROUND: left ventricular (LV) remodeling is a highly complex phenomenon that starts soon after infarction and progresses to extensive regional and global architectural changes over time. PURPOSE: to noninvasively comprehensively characterize transient (edema, hemorrhage, microvascular obstruction (MO)) and persistent structural (infarct size) components of reperfused infarct up to 10 weeks and to determine their relation to LV function. MATERIAL AND METHODS: farm pigs were used for the study. Under fluoroscopy the left anterior descending (LAD) coronary artery was occluded for 90 min. MR imaging was performed at 3 days (n=14 pigs), 5 weeks (n=10), and 10 weeks (n=6) after reperfusion. The following MR imaging sequences were used: (i) cine; (ii) T2-weighted turbo spin echo; (iii) T2*-weighted turbo spin echo; (iv) tagged; (v) phase-contrast velocity-encoded; (vi) first-pass perfusion; and (vii) delayed contrast-enhanced (DE-MR imaging). After imaging, animals were euthanized at 3 days (n=4), 5 weeks (n=4), and 10 weeks (n=6) and hearts were stained with triphenyltetrazolium chloride to define acute, subacute, and scar infarct and interstitial hemorrhage. RESULTS: T2, T2*, and DE-MR imaging demonstrated transient interstitial edema, interstitial hemorrhage, and MO, respectively. MO was observed in 85% of animals and 60% of these showed hemorrhages. Cine, tagged, and phase-contrast velocity-encoded images documented the persistent impairment in 3D strain of infarcted segments, which on first-pass perfusion showed persistent perfusion deficit. MR imaging demonstrated the progressive increase in LV volumes and decreased ejection fraction over time. The changes in LV between 5 and 10 weeks were not related to the presence of interstitial edema, interstitial hemorrhage, MO or increase in infarct size. CONCLUSION: the various MR sequences described in this study allowed the demonstration of transient and persistent components of reperfused infarct. The progressive deterioration of global LV function was not related to the transient reperfusion components (edema, hemorrhage or MO) or change in infarct size. The results imply that MR imaging has the potential to identify progressive deterioration of LV function unrelated to reperfusion injury components or infarct size. PMID- 21062131 TI - Is the location of the Wrisberg ligament related to frequent complete discoid lateral meniscus tear? AB - BACKGROUND: a discoid lateral meniscus is a relatively common anatomic variant in Korean and Japanese subjects that presents with snapping knee syndrome. PURPOSE: to evaluate the relationship between the location of the Wrisberg ligament and the frequency of lateral meniscus tear in patients with complete discoid lateral meniscus. MATERIAL AND METHODS: a total of 109 consecutive patients with arthroscopically proven complete discoid lateral menisci and another 100 patients with non-discoid lateral menisci were evaluated retrospectively. We reviewed the MR images to determine the attachment level of the Wrisberg ligament on the medial femoral condyle, the thickness of the Wrisberg ligament, and the presence and type of lateral meniscus tear. The relationship between the frequency of lateral meniscus tear according to the attachment level on the medial femoral condyle and the thickness of the Wrisberg ligament on both groups was analyzed. RESULTS: among them, 153 patients had Wrisberg ligament, 76 patients had complete lateral discoid menisci, and 77 patients had non-discoid lateral menisci. Lateral meniscus tears were found in 115 patients; 83 tears were in the Wrisberg ligament group (n=153) and 32 tears were in the non-Wrisberg ligament group (n =56). The presence of Wrisberg ligament alone was not significantly related to lateral meniscus tear (P=0.755). Among 83 lateral meniscus tears in the Wrisberg ligament group, 58 were identified in complete discoid lateral meniscus with high-riding Wrisberg ligament. The frequency of a lateral meniscus tear was lower in patients with low-riding Wrisberg ligament on complete discoid lateral meniscus (P = 0.020). CONCLUSION: in patients with complete discoid lateral meniscus, lateral meniscus tear is seen less often with low- than high-riding Wrisberg ligament. PMID- 21062133 TI - Retrospective respiratory triggering renal perfusion MRI. AB - BACKGROUND: artifacts of respiratory motion are one of the well-known limitations of dynamic contrast-enhanced MRI (DCE-MRI) of the kidney. PURPOSE: to propose and evaluate a retrospective triggering approach to minimize the effect of respiratory motion in DCE-MRI of the kidney. MATERIAL AND METHODS: nine consecutive patients underwent renal perfusion measurements. Data were acquired with a 2D saturation-recovery TurboFLASH sequence. In order to test the dependence of the results on size and location of the manually drawn triggering regions of interest (ROIs), three widely differing triggering regions were defined by one observer. Mean value, standard deviation, and variability of the renal function parameters plasma flow (F(P)), plasma volume (V(P)), plasma transit time (T(P)), tubular flow (F(T)), tubular volume (V(T)), and tubular transit time (T(T)) were calculated on a per-patient basis. RESULTS: the results show that triggered data have adequate temporal resolution to measure blood flow. The overall average values of the function parameters were: 152.77 (F(P)), 15.18 (V(P)), 6,73 (T(P)), 18.50 (F(T)), 35.36 (V(T)), and 117.67 (T(T)). The variability (calculated in % SD from the mean value) for three different respiratory triggering regions defined on a per-patient basis was between 0.81% and 9.87% for F(P), 1.45% and 8.19% for V(P), 0% and 9.63% for T(P), 2.15% and 12.23% for T(F), 0.8% and 17.28% for V(T), and 1.97% and 12.87% for T(T). CONCLUSION: triggering reduces the oscillations in the signal curves and produces sharper parametric maps. In contrast to numerically challenging approaches like registration and segmentation it can be applied in clinical routine, but a (semi) automatic approach to select the triggering ROI is desirable to reduce user dependence. PMID- 21062136 TI - Retrospective real-world comparison of medical visits, costs, and adherence between nilotinib and dasatinib in chronic myeloid leukemia. AB - OBJECTIVE: To compare healthcare resource utilization, costs, and treatment adherence associated with dasatinib versus nilotinib treatment as second-line therapies in chronic myeloid leukemia (CML) patients. METHODS: Two large retrospective claims databases (01/1999-06/2009) were combined to identify CML patients (ICD-9 code 205.1x) who received one or more prescriptions of dasatinib or nilotinib. Studied patients had continuous enrollment >= 1 month prior to and after the index date, defined as the first prescription for dasatinib or nilotinib. Patients were followed for up to 6 months from the index date to the earliest of the termination of healthcare plan enrollment or end of data availability. Patients with bone marrow or stem cell transplant during the study period were excluded. Poisson regression models were used to compare healthcare resource utilization between the two groups. Results were reported as incidence rate ratios (IRR). Healthcare cost differences were estimated for each cost component using generalized linear models or two-part models. Treatment adherence was measured by the proportion of days covered (PDC) and compared using generalized linear models. Multivariate regressions were used to control for potential confounding factors. RESULTS: A total of 521 CML patients receiving second-line tyrosine kinase inhibitors (TKI) (452 dasatinib and 69 nilotinib) were studied. During the study period, dasatinib patients were estimated to have more than twice as many inpatient days (IRR = 2.44; p < 0.001) and nearly double the number of inpatient admissions (IRR = 1.99; p = 0.047) compared to nilotinib patients. Over the follow-up period, dasatinib patients incurred $8828 more in total medical service costs (p < 0.001); cost differences were mainly driven by an adjusted inpatient cost difference of $8520 (p = 0.003). Dasatinib patients were less adherent, with a PDC value approximately 13% lower compared to nilotinib patients (p = 0.009). CONCLUSIONS: Among CML patients treated with second-line TKIs, nilotinib patients were more adherent and experienced lower healthcare resource utilization, resulting in medical service cost savings compared to dasatinib patients. PMID- 21062137 TI - Long-term safety and efficacy of aliskiren and valsartan combination with or without the addition of HCT in patients with hypertension. AB - OBJECTIVE: To assess the long-term safety and antihypertensive efficacy of aliskiren/valsartan 300/320 mg combination. METHODS: This was a 54-week, multicenter, open-label study (core phase), followed by a 26-week extension phase. Efficacy variables were change in msDBP and msSBP from baseline to endpoint (54-week and 80-week). Safety was assessed by monitoring and recording adverse events (AEs). ClinicalTrials.gov Identifier: NCT00386607 RESULTS: A total of 601 patients (msDBP >= 90 and <110 mmHg) entered the 54-week core study. Optional add-on HCT was allowed at week 10 onwards if BP was >= 140/90 mmHg at two consecutive visits. Of the 486 patients completed the core study, 180 patients entered the extension phase and received aliskiren/valsartan and add-on HCT (12.5 or 25 mg). Overall the combination of aliskiren/valsartan was well tolerated and the majority of AEs were mild-to-moderate in severity. The incidence of SAEs was low (core phase: n = 22 [3.7%]; extension phase: n = 4 [2.2%]). Elevated serum potassium (>5.5 mmol/L at any time during the study) was observed in 21 (3.6%) patients. The majority of these elevations were transient and returned to normal in subsequent visits, and the discontinuation rate due to elevated serum potassium was low (0.3% [n = 2]). Decreased serum potassium levels (<3.5 mmol/L at any time during the study) was observed in 26 (4.4%) patients, mainly in patients receiving aliskiren/valsartan/HCT (n = 22; 7.1%). At the 54 week endpoint, a mean BP reduction of 20.5/13.4 mmHg from baseline (baseline BP: 152.9/97.0 mmHg) was observed and 66.9% (n = 398/595) of patients achieved BP control with aliskiren/valsartan with or without HCT. At the end of the extension phase (80-week endpoint), additional reduction in BP was obtained (overall, 28.8/18.3 mmHg) and 86.6% (n = 155/179) of patients achieved BP control with aliskiren/valsartan/HCT. A limitation is the absence of an active comparator group. CONCLUSION: Long-term treatment with the combination of aliskiren/valsartan with or without HCT provided clinically meaningful BP reductions and high rates of BP control and was well-tolerated. PMID- 21062139 TI - Evaluation of Bacillus thuringiensis pathogenicity for a strain of the tick, Rhipicephalus microplus, resistant to chemical pesticides. AB - The pathogenicity of four native strains of Bacillus thuringiensis against Rhipicephalus (Boophilus) microplus (Canestrine) (Acari: Ixodidae) was evaluated. A R. microplus strain that is resistant to organophosphates, pyrethroids, and amidines, was used in this study. Adult R. microplus females were bioassayed using the immersion test of Drummond against 60 B. thuringiensis strains. Four strains, GP123, GP138, GP130, and GP140, were found to be toxic. For the immersion test, the total protein concentration for each bacterial strain was 1.25 mg/ml. Mortality, oviposition, and egg hatch were recorded. All of the bacterial strains had significant effects compared to the controls, but no significant differences were seen between the 4 strains. It is evident that these B. thuringiensis strains have a considerable detrimental effect on the R. microplus strain that is resistant to pesticides. PMID- 21062140 TI - Bioactivity of Argentinean essential oils against permethrin-resistant head lice, Pediculus humanus capitis. AB - Infestation with the head louse, Pediculus humanus capitis De Geer (Phthiraptera: Pediculidae), is one of the most common parasitic infestation of humans worldwide. Traditionally, the main treatment for control of head lice is chemical control that is based in a wide variety of neurotoxic synthetic insecticides. The repeated overuse of these products has resulted in the selection of resistant populations of head lice. Thus, plant-derived insecticides, such as the essential oils seem to be good viable alternatives as some have low toxicity to mammals and are biodegradable. We determined the insecticidal activity of 25 essential oils belonging to several botanical families present in Argentina against permethrin resistant head lice. Significant differences in fumigant activity against head lice were found among the essential oils from the native and exotic plant species. The most effective essential oils were Cinnamomum porphyrium, followed by Aloysia citriodora (chemotype 2) and Myrcianthes pseudomato, with KT(50) values of 1.12, 3.02 and 4.09; respectively. The results indicate that these essential oils are effective and could be incorporated into pediculicide formulations to control head lice infestations once proper formulation and toxicological tests are performed. PMID- 21062141 TI - Progressive provisioning by the females of the earwig, Anisolabis maritima, increases the survival rate of the young. AB - Provisioning the young is an important form of insect parental care and is believed to improve the survival and growth of the young. Anisolabis maritima Bonelli (Dermaptera: Anisolabididae) is a cosmopolitan species of earwig that shows sub-social behavior in which the females tend clutches of eggs in soil burrows. The defensive and provisioning behaviors of these females were examined in this study. When disturbed, maternal individuals abandoned the nest less than non-maternal individuals. Females brought food to the nest after their eggs hatched, and the survival of the nymphs was increased by provisioning. Even when mothers were removed, providing food to the nymphs increased survival as well as when the nymphs were provisioned by the mother. These results show that A. maritima mothers provision the nymphs and that this provisioning improves their survival. PMID- 21062142 TI - Observations on the nesting and prey of the solitary wasp, Tachysphex inconspicuus, with a review of nesting behavior in the T. obscuripennis species group. AB - The nesting behaviors of 10 females of Tachysphex inconspicuus (Kirby) (Hymenoptera: Crabronidae) were studied on a sandy, mowed lawn at the La Selva Biological Station in northeastern Costa Rica on 27-29 April 1980. Twenty-four completed nests were observed, excavated, and measured. The nests had oblique, short burrows leading to one or two shallow cells. Prey cockroaches belonging to 11 species of Chorisoneura and Riatia fulgida (Saussure) (Blattaria: Blattellidae), all tropical wet forest canopy indicator species, were removed from the cells, weighed, and identified. The cockroaches consisted mainly of adult females, selectively preyed upon over adult males and nymphs due to their larger sizes. The aggregate prey mass in cells was separable into prospective larger (heavier) female and smaller (lighter) male cells. Wasps usually oviposited on the heaviest cockroach in a cell, in most cases an adult female. Atypical genus behavior included (1) prey being carried to one side of the wasp and perhaps grasped by a hindleg during removal of the temporary entrance closure and nest entry and (2) wasp's egg being laid affixed to a forecoxal corium and extending backward in a longitudinally posteriad position across the prey's ventral thorax. A comparison with the nesting behavior of other species in the Tachysphex obscuripennis species group is made. PMID- 21062143 TI - Synergistic and antagonistic effects of piperonyl butoxide in fipronil susceptible and resistant rice stem borrers, Chilo suppressalis. AB - Using the phenylpyrazole insecticide, fipronil for selection in the laboratory, a resistant Wenzhou strain of the rice stem borer, Chilo suppressalis (Walker) (Lepidoptera: Crambidae) had an LD(50) at least 45.3 times greater than the susceptible Anhui strain. The realized resistant heritability (h(2)) of 0.213 showed that the tolerant phenotype was moderately heritable and had potential to develop higher tolerance to fipronil. Piperonyl butoxide decreased the effects of fipronil on the mortality of the susceptible larvae with 0.27-0.44 times synergistic rates, but increased the toxicity of fipronil on the resistant larvae with 1.85-2.53 times synergistic rates as compared to that of fipronil alone. The inhibitory effect of piperonyl butoxide on the activity of microsomal O demethylase was greater in susceptible larvae than in the resistant larvae. The differential synergism of fipronil by piperonyl butoxide in the susceptible and resistant C. suppressalis may be caused by the reduced penetration of fipronil in the lab-selected Wenzhou strain. PMID- 21062144 TI - Geographic patterns of inversion polymorphism in the second chromosome of the cactophilic Drosophila buzzatii from northeastern Argentina. AB - The inversion polymorphisms of the cactophilic Drosophila buzzatti Patterson and Wheeler (Diptera: Drosophilidae) were studied in new areas of its distribution in Argentina. A total of thirty-eight natural populations, including 29 from previous studies, were analyzed using multiple regression analyses. The results showed that about 23% of total variation was accounted for by a multiple regression model in which only altitude contributed significantly to population variation, despite the fact that latitude and longitude were also included in the model. Also, inversion frequencies exhibited significant associations with mean annual temperature, precipitation, and atmospheric pressure. In addition, expected heterozygosity exhibited a negative association with temperature and precipitation and a positive association with atmospheric pressure. The close similarity of the patterns detected in this larger dataset to previous reports is an indication of the stability of the clines. Also, the concurrence of the clines detected in Argentina with those reported for colonizing populations of Australia suggests the involvement of natural selection as the main mechanism shaping inversion frequencies in D. buzzatii. PMID- 21062145 TI - The origin and dispersal of the domesticated Chinese oak silkworm, Antheraea pernyi, in China: a reconstruction based on ancient texts. AB - Sericulture is one of the great inventions of the ancient Chinese. Besides the mulberry silkworm (Bombyx mori), Chinese farmers developed rearing of the Chinese oak silkworm (Antheraea pernyi) about 400 years ago. In this paper, the historic records of the origins and dispersal of the domesticated Chinese oak silkworm in China are summarized. The first document with clearly recorded oak silkworm artificial rearing appeared in 1651, although Chinese oak silkworm was documented in about 270 AD. All of the evidence in the available historic records suggests that the domesticated Chinese oak silkworm originated in central and southern areas of Shandong Province in China around the 16th century, and then was introduced directly and indirectly by human commerce into the present habitations in China after the late 17th century. The results strongly support the hypothesis that only one geographically distinct event occurred in domestication of the modern Chinese oak silkworm. PMID- 21062146 TI - Inhibition of Sunn pest, Eurygaster integriceps, alpha-amylases by alpha-amylase inhibitors (T-alphaAI) from Triticale. AB - The effect of triticale alpha-amylases inhibitors on starch hydrolysis catalyzed by the Sunn pest, Eurygaster integriceps Puton (Hemiptera: Scutelleridae) midgut amylases was examined. Biochemical studgawies showed that inhibitors from Triticale (a hybrid of wheat and rye) had inhibitiory effects on E. integriceps alpha-amylases. The effects of the triticale alpha-amylase inhibitor (T-alphaAI) on alpha-amylase of E. integriceps showed a dose dependent manner of inhibition, e.g. less inhibition of enzyme activity (around 10%) with a lower dose (0.25 mg protein) and high inhibition of enzyme activity (around 80%) when a high dose of inhibitor was used (1.5 mg protein). The enzyme kinetic studies using Michaelis Menten and Lineweaver-Burk equations showed the K(m) remained constant (0.58%) but the maximum velocity (V(max)) decreased in the presence of a crude extract of Triticale inhibitors, indicating mixed inhibition. The temperature giving 50% inactivation of enzyme (T(50)) during a 30-min incubation at pH 7.0 was 73 degrees C. The maximum inhibitory activity was achieved at 35 degrees C and pH 5.0. Gel assays showed the meaningful inhibition of E. integriceps alpha-amylases by various concentrations of Triticale inhibitors. Based on the data presented in this study, it could be said that the T-alphaAI has good inhibitory activity on E. integriceps gut alpha-amylase. PMID- 21062147 TI - Distribution of the long-horned beetle, Dectes texanus, in soybeans of Missouri, Western Tennessee, Mississippi, and Arkansas. AB - The long-horned beetle, Dectes texanus LeConte (Coleoptera: Cerambycidae), is a stem-boring pest of soybeans, Glycine max (L.) Merrill (Fabales: Fabaceae). Soybean stems and stubble were collected from 131 counties in Arkansas, Mississippi, Missouri, and Tennessee and dissected to determine D. texanus infestation rates. All states sampled had D. texanus present in soybeans. Data from Tennessee and Arkansas showed sample infestations of D. texanus averaging nearly 40%. Samples from Missouri revealed higher infestation in the twelve southeastern counties compared to the rest of the state. Data from Mississippi suggested that D. texanus is not as problematic there as in Arkansas, Missouri, and Tennessee. Infestation rates from individual fields varied greatly (0-100%) within states. In Tennessee, second crop soybeans (i.e. soybeans planted following winter wheat) had lower infestations than full season soybeans. A map of pest distribution is presented that documents the extent of the problem, provides a baseline from which changes can be measured, contributes data for emergency registration of pesticides for specific geographic regions, and provides useful information for extension personnel, crop scouts, and growers. PMID- 21062148 TI - Differences in foliage affect performance of the lappet moth, Streblote panda: implications for species fitness. AB - Implications for adults' fitness through the foliage effects of five different host plants on larval survival and performance of the lappet moth, Streblote panda Hubner (Lepidoptera: Lasiocampidae), as well as their effect on species fitness were assayed. Larvae were reared under controlled laboratory conditions on excised foliage. Long-term developmental experiments were done using first instar larvae to adult emergence, and performance experiments were done using fifth instar larvae. Survival, development rates, and food use were measured. Foliar traits analysis indicated that leaves of different host plants varied, significantly affecting larvae performance and adult fitness. Pistacia lentiscus L. (Sapindales: Anacardiaceae), Arbutus unedo L. (Ericales: Ericaceae), and Retama sphaerocarpa (L.) Boiss. (Fabales: Fabaceae) were the most suitable hosts. Larvae fed on Tamarix gallica L. (Caryophyllales: Tamaricaceae) and Spartium junceum L. (Fabales: Fabaceae) showed the lowest survival, rates of development and pupal and adult weight. In general, S. panda showed a relatively high capacity to buffer low food quality, by reducing developmental rates and larvae development thereby reaching the minimum pupal weight that ensures adult survival. Less suitable plants seem to have indirect effects on adult fitness, producing smaller adults that could disperse to other habitats. PMID- 21062149 TI - Baubles, bangles, and biotypes: a critical review of the use and abuse of the biotype concept. AB - Pest species of insects are notoriously prone to escape the weapons deployed in management efforts against them. This is particularly true in herbivorous insects. When a previously successful tactic fails the insect population has apparently adapted to it and is often considered to be a new or distinct entity, and given the non-formal category 'biotype'. The entities falling under the umbrella term 'biotype' are not consistent either within or between biotypes, and their underlying genetic composition and origins, while generally unknown, are likely heterogeneous within and variable between biotypes. In some cases race or species may be more appropriate referents. Some examples of applications of the concept in the context of host plant resistance are discussed. It is argued here that the term 'biotype' and its applications are overly simplistic, confused, have not proved useful in current pest management, and lack predictive power for future management. PMID- 21062150 TI - Ultraviolet a light: potential underlying causes of melanoma. PMID- 21062151 TI - Cancer genome sequencing and potential application in oncology. PMID- 21062153 TI - ASA404: a tumor vascular-disrupting agent with broad potential for cancer therapy. AB - ASA404 (5,6-dimethylxanthenone-4-acetic acid) was developed as an analogue of flavone acetic acid and found to induce hemorrhagic necrosis of experimental tumors. ASA404 simultaneously targets at least two cell types - vascular endothelial cells and macrophages - within the tumor microenvironment. In murine tumors, ASA404 induces coordinated decreases in tumor blood flow, increases in vascular permeability and increases in vascular endothelial apoptosis, all occurring within 1 h of administration. Over a slightly longer time scale, ASA404 induces an increase in tumor concentrations of TNF and a number of other cytokines. Phase I clinical trials confirmed its vascular effects in humans and Phase II trials demonstrated its activity in combination with the cytotoxic agents carboplatin and paclitaxel. While the molecular target of its action is not yet identified, current results suggest that ASA404 has the potential to augment the antitumor effects of other agents in cancer treatment. Studies of changes in tumor tissue following treatment with ASA404 either alone or combined and other agents will provide new insights into the dynamics of the tumor microenvironment. PMID- 21062154 TI - Effect of capsaicin on prostate cancer cells. AB - In recent years, natural products have emerged as modulators of many cellular responses, with potential applications as therapeutic drugs in many disorders. Among them, capsaicin, the pungent agent in chili peppers, has been demonstrated to have a role as a tumor suppressor for prostate cancer. Capsaicin potently suppresses the growth of human prostate carcinoma cells in vitro and in vivo. The antiproliferative activity of capsaicin correlates with oxidative stress induction and apoptosis. Capsaicin also induces ceramide accumulation and endoplasmic reticulum stress in androgen-resistant prostate cells. In androgen sensitive prostate cancer cells, capsaicin exerts a biphasic effect, promoting growth at low doses and inducing apoptosis at doses over 200 uM. This article will draw upon multiple lines of evidence to provide a comprehensive description on the current state of knowledge that implicates the effect of capsaicin on prostate cancer cells. PMID- 21062155 TI - Best use of experimental data in cancer informatics. AB - The welcome attitude of the 'omics community, journals and funders of research towards data sharing, coupled with successful implementations of data standards, has resulted in resource dissemination and a better understanding of many diseases, including cancer. Sharing experiment data is beneficial in terms of knowledge generation, allowing reproduction and validation of results. An adherence to a reporting guideline enables full-value extraction from costly data; this is an inexpensive method to increased quality without incurring disproportionate costs. For therapy data in particular, easy access to the range of new approaches and the ability to perform valid comparisons between these approaches would be especially useful. We discuss initiatives that support resource sharing and summarize three reporting guidelines for experiment data that have been adopted successfully. Finally, we introduce a new guideline that encompasses the diverse data types in therapeutic experiments, which is intended to be of use to the cancer therapeutics community. PMID- 21062157 TI - Immunotherapy of MHC class I-deficient tumors. AB - MHC class I downregulation is a general mechanism by which tumor cells can escape from T-cell-mediated immunity. This downregulation also represents a serious obstacle to the development of effective antitumor immunotherapy or vaccination. Therefore, successful immunotherapeutic and vaccination protocols should be optimized against tumors with distinct cell surface expression of the MHC class I molecules. Mechanisms leading to protective immunity may vary in different models with respect to the particular tumors (e.g., in their levels of residual expression of the MHC class I molecules on tumor cells or inducibility of MHC class I expression). Notably, both CD8(+) cell-mediated immunity and MHC class I unrestricted mechanisms can take place against MHC class I-deficient tumors. Since MHC class I downregulation is frequently reversible by cytokines and also by the activation of epigenetically silenced genes, an attractive strategy is to elicit specific cell-mediated immunity combined with restoration of MHC class I expression on tumor cells. PMID- 21062156 TI - Are cancer stem cells radioresistant? AB - Based on findings that cancer cell clonogens exhibit stem cell features, it has been suggested that cancer stem-like cells are relatively radioresistant owing to different intrinsic and extrinsic factors, including quiescence, activated radiation response mechanisms (e.g., enhanced DNA repair, upregulated cell cycle control mechanisms and increased free-radical scavengers) and a surrounding microenvironment that enhances cell survival mechanisms (e.g., hypoxia and interaction with stromal elements). However, these radiosensitivity features are probably dynamic in nature and come into play at different times during the course of chemo/radiotherapy. Therefore, different molecularly targeted radiosensitization strategies may be needed at different stages of therapy. This article describes potential sensitization approaches based on the dynamics and changing properties of cancer stem-like cells during therapy. PMID- 21062158 TI - Improving the radiosensitivity of radioresistant and hypoxic glioblastoma. AB - In spite of increasing attention on targeted therapeutics in the treatment of glioblastoma multiforme, radiation therapy remains the most clinically effective treatment modality. However, radiotherapy only offers palliation, with hypoxia representing a major mechanism of tumor resistance. Traditional strategies to overcome the therapeutic barrier to irradiation imposed by tumor tissue hypoxia consist of improving tumor oxygenation and administering agents that increase the tumor cell sensitivity to irradiation (radiosensitizers). There is also increasing evidence that tumor tissue is composed of diverse populations of cells with heterogeneous sensitivities to irradiation. The radioresistant tumor initiating CD133-positive glioblastoma cancer stem cells are preferentially expanded in hypoxic conditions. Therefore, identifying therapies that can specifically target the glioblastoma cancer stem cells will lead to more durable responses to radiation therapy. PMID- 21062159 TI - Sphingolipids and cancer: ceramide and sphingosine-1-phosphate in the regulation of cell death and drug resistance. AB - Sphingolipids have emerged as bioeffector molecules, controlling various aspects of cell growth and proliferation in cancer, which is becoming the deadliest disease in the world. These lipid molecules have also been implicated in the mechanism of action of cancer chemotherapeutics. Ceramide, the central molecule of sphingolipid metabolism, generally mediates antiproliferative responses, such as cell growth inhibition, apoptosis induction, senescence modulation, endoplasmic reticulum stress responses and/or autophagy. Interestingly, recent studies suggest de novo-generated ceramides may have distinct and opposing roles in the promotion/suppression of tumors, and that these activities are based on their fatty acid chain lengths, subcellular localization and/or direct downstream targets. For example, in head and neck cancer cells, ceramide synthase 6/C(16) ceramide addiction was revealed, and this was associated with increased tumor growth, whereas downregulation of its synthesis resulted in ER stress-induced apoptosis. By contrast, ceramide synthase 1-generated C(18)-ceramide has been shown to suppress tumor growth in various cancer models, both in situ and in vivo. In addition, ceramide metabolism to generate sphingosine-1-phosphate (S1P) by sphingosine kinases 1 and 2 mediates, with or without the involvement of G protein-coupled S1P receptor signaling, prosurvival, angiogenesis, metastasis and/or resistance to drug-induced apoptosis. Importantly, recent findings regarding the mechanisms by which sphingolipid metabolism and signaling regulate tumor growth and progression, such as identifying direct intracellular protein targets of sphingolipids, have been key for the development of new chemotherapeutic strategies. Thus, in this article, we will present conclusions of recent studies that describe opposing roles of de novo-generated ceramides by ceramide synthases and/or S1P in the regulation of cancer pathogenesis, as well as the development of sphingolipid-based cancer therapeutics and drug resistance. PMID- 21062161 TI - Integrative genomic approaches in cervical cancer: implications for molecular pathogenesis. AB - Cervical cancer (CC) as a single diagnostic entity exhibits differences in clinical behavior and poor outcomes in response to therapy in advanced tumors. Although infection of high-risk human papillomavirus is recognized as an important initiating event in cervical tumorigenesis, stratification of CC into subclasses for progression and response to treatment remains elusive. Existing knowledge of genetic, epigenetic and transcriptional alterations is inadequate in addressing the issues of diagnosis, progression and response to treatment. Recent technological advances in high-throughput genomics and the application of integrative approaches have greatly accelerated gene discovery, facilitating the identification of molecular targets. In this article, we discuss the results obtained by preliminary integrative analysis of DNA copy number increases and gene expression, utilizing the two most common copy number-gained regions of 5p and 20q in identifying gene targets in CC. These analyses provide insights into the roles of genes such as RNASEN, POLS and SKP2 on 5p, KIF3B, RALY and E2F1 at 20q11.2 and CSE1L, ZNF313 and B4GALT5 at 20q13.13. Future integrative applications using additional datasets, such as mutations, DNA methylation and clinical outcomes, will raise the promise of accomplishing the identification of biological pathways and molecular targets for therapies for patients with CC. PMID- 21062162 TI - Spectral signatures of colonic malignancies in the mid-infrared region: from basic research to clinical applicability. AB - The process of carcinogenesis in the colon progresses through several overlapping stages, making the evaluation process challenging, as well as subjective. Owing to the complexity of colonic tissues and the search for a technique that is rapid and foolproof for precise grading and evaluation of biopsies, many spectroscopic techniques have been evaluated in the past few decades for their efficiency and clinical compatibility. Fourier-transform infrared spectroscopy, being quantitative and objective, has the capacity for automation and relevance to cancer diagnosis. This article highlights investigations on the application of Fourier-transform infrared spectroscopy (particularly microscopy) in colon cancer diagnosis and parallel developments in data analysis techniques for the characterization of spectral signatures of malignant tissues in the colon. PMID- 21062160 TI - Intraperitoneal therapy for peritoneal cancer. AB - Cancers originating from organs in the peritoneal cavity (e.g., ovarian, pancreatic, colorectal, gastric and liver) account for approximately 250,000 new cancer cases annually in the USA. Peritoneal metastases are common owing to locoregional spread and distant metastases of extraperitoneal cancers. A logical treatment is intraperitoneal therapy, as multiple studies have shown significant targeting advantage for this treatment, including significant survival benefits in stage III, surgically debulked ovarian cancer patients. However, the clinical use of intraperitoneal therapy has been limited, in part, by toxicity, owing to the use of indwelling catheters or high drug exposure, by inadequate drug penetration into bulky tumors (>1 cm) and by the lack of products specifically designed and approved for intraperitoneal treatments. This article provides an overview on the background of peritoneal metastasis, clinical research on intraperitoneal therapy, the pharmacokinetic basis of drug delivery in intraperitoneal therapy and our development of drug-loaded tumor-penetrating microparticles. PMID- 21062170 TI - Comparison of the surface coat proteins of the pine wood nematode appeared during host pine infection and in vitro culture by a proteomic approach. AB - Pine wilt disease, caused by the pine wood nematode (PWN), Bursaphelenchus xylophilus, has become of worldwide quarantine concern in recent years. Here, we disclosed the surface coat (SC) proteins of the PWN which are thought to be one of the key components in pine wilt development. This is the first report that focused on the SC proteins and thoroughly identified those proteins of a plant parasitic nematode using the proteomic approach. In this study, SC protein profiles were compared for PWNs grown on the fungus Botrytis cinerea and in host pine seedlings. The results demonstrated that the gross amount of PWN SC proteins drastically increased during infection of the host pine. Thirty-seven protein bands showed significant quantity differences between fungus-grown and host origin PWNs, and were used for identification by matrix-assisted laser desorption ionization time of flight mass spectrometry analysis. These included several proteins that are presumed to be involved in the host immune response; for example, regulators of reactive oxygen species (ROS) and a ROS scavenger. These results might suggest that the PWN SC proteins are crucial in modulating or evading host immune response. Our data provide a new insight into the mechanism of pine wilt disease and the biological role of the SC proteins of plant parasitic nematodes. PMID- 21062171 TI - Genetic analysis and molecular mapping of quantitative trait loci in common bean against Pythium ultimum. AB - Pythium ultimum is a soil pathogen that can cause seed decay and damage to roots in common bean. In this study, the response of a set of 40 common bean genotypes to P. ultimum and inheritance of the resistance in the 92 F7 recombinant inbred lines (RIL) developed from a cross between Xana and Cornell 49242 was investigated by using emergence rate and seedling vigor. Emergence of the 40 genotypes showed a significant association between white seed coat and response to this pathogen. Among these, 11 common bean genotypes, all with colored seeds, exhibited a high percentage of emergence and seedling vigor not significantly different (P > 0.05) to noninoculated plants. Response of the RIL population revealed both qualitative and quantitative modes of inheritance. A major gene (Py 1) controlling the emergence rate was mapped in the region of the gene P, a basic color gene involved in control of seed coat color, located on LG 7. Using the RIL subpopulation with colored seeds, a significant quantitative trait loci (QTL) associated with the emergence rate (ER3(XC)) and another with seedling vigor (SV6(XC)) were identified on the LG 3 and 6, respectively. QTL SV6(XC) was mapped in the region of the gene V, another gene involved the genetic control of color. QTLs associated with seed traits were mapped in the same relative position as regions involved in responses to P. ultimum suggesting the possible implication of avoidance mechanisms in the response to this pathogen. PMID- 21062172 TI - Dynamics of ascospore maturation and discharge in Erysiphe necator, the causal agent of grape powdery mildew. AB - Dynamics of ascocarp development, ascospore maturation, and dispersal in Erysiphe necator were studied over a 4-year period, from the time of ascocarp formation to the end of the ascosporic season at the end of June in the following spring. Naturally dispersed chasmothecia were collected from mid-August to late November (when leaf fall was complete); the different collections were used to form three to five cohorts of chasmothecia per year, with each cohort containing ascocarps formed in different periods. Chasmothecia were exposed to natural conditions in a vineyard and periodically sampled. Ascocarps were categorized as containing mature or immature ascospores, or as empty; mature ascospores inside chasmothecia were enumerated starting from late February. Ascospore discharge was determined using silicone-coated slides that were placed 3 to 4 cm from sections of the vine trunk holding the chasmothecia. Before complete leaf fall, 34% of the chasmothecia had mature ascospores, 48% had immature ascospores, and 18% were empty; in the same period, the trapped ascospores represented 56% of the total ascospores trapped in an ascosporic season (i.e., from late summer until the next spring or early summer). The number of viable chasmothecia diminished over time; 11 and 5% of chasmothecia had mature ascospores between complete leaf fall and bud break and after bud break, respectively. These ascocarps discharged ~2 and 42% of the total ascospores, respectively. All the ascocarp cohorts released ascospores in autumn, survived the winter, and discharged viable ascospores in spring; neither ascospore numbers nor their pattern of temporal release was influenced by the time when chasmothecia were collected and exposed in the vineyard. Abundance of mature ascospores in chasmothecia was expressed as a function of degree-days (DD) (base 10 degrees C) accumulated before and after bud break through a Gompertz equation (R2 = 0.92). Based on this equation, 90% of the ascospores were mature when 153 DD (confidence interval, 100 to 210 DD) had accumulated after bud break. Most ascospores were trapped in periods with >2 mm of rain; however, a few ascospores were airborne with <2 mm of rain and, occasionally, in wet periods of >=3.5 h not initiated by rain. PMID- 21062173 TI - The snf1 gene of Ustilago maydis acts as a dual regulator of cell wall degrading enzymes. AB - Many fungal plant pathogens are known to produce extracellular enzymes that degrade cell wall elements required for host penetration and infection. Due to gene redundancy, single gene deletions generally do not address the importance of these enzymes in pathogenicity. Cell wall degrading enzymes (CWDEs) in fungi are often subject to carbon catabolite repression at the transcriptional level such that, when glucose is available, CWDE-encoding genes, along with many other genes, are repressed. In Saccharomyces cerevisiae, one of the main players controlling this process is SNF1, which encodes a protein kinase. In this yeast, Snf1p is required to release glucose repression when this sugar is depleted from the growth medium. We have employed a reverse genetic approach to explore the role of the SNF1 ortholog as a potential regulator of CWDE gene expression in Ustilago maydis. We identified U. maydis snf1 and deleted it from the fungal genome. Consistent with our hypothesis, the relative expression of an endoglucanase and a pectinase was higher in the wild type than in the Deltasnf1 mutant strain when glucose was depleted from the growth medium. However, when cells were grown in derepressive conditions, the relative expression of two xylanase genes was unexpectedly higher in the Deltasnf1 strain than in the wild type, indicating that, in this case, snf1 negatively regulated the expression of these genes. Additionally, we found that, contrary to several other fungal species, U. maydis Snf1 was not required for utilization of alternative carbon sources. Also, unlike in ascomycete plant pathogens, deletion of snf1 did not profoundly affect virulence in U. maydis. PMID- 21062175 TI - Fibrofatty changes in failed pediatric cardiac allografts. AB - The pathogenesis of right ventricular fibrofatty changes can be broadly divided into genetic or acquired. The genetic cause is termed arrhythmogenic right ventricular dysplasia, an inherited cardiomyopathy characterized by fibrofatty replacement of the right ventricular myocardium, and represents an underdiagnosed cardiac entity leading to syncope, recurrent ventricular tachycardias, heart failure, and sudden death. Our study demonstrates that fibrofatty changes can also be seen in pediatric cardiac allografts. Conversely, fat replacement without fibrosis may be seen secondary to infectious myocarditis, chronic inflammation, and ischemia and as part of the aging process. We examined 29 failed cardiac allografts to identify the etiology of graft failure. In this study, 4 patients (13%) had severe right ventricular fibrofatty changes, and when compared with control patients, those with fibrofatty changes had a shorter interval from transplant to graft failure, 2.75 years vs 5.45 years (P = 0.029). Neither body mass index nor other physiologic parameters found on electrocardiography, echocardiography, or cardiac catherization were different between groups. Furthermore, arrhythmias indicative of arrhythmogenic right ventricular dysplasia were not observed in the study group. This study suggests the fibrofatty infiltration in cardiac allografts is a clinically different entity from arrhythmogenic right ventricular dysplasia and has an unknown etiology. Our study findings suggest that identifying fibrofatty infiltrates in cardiac transplant patients during routine right ventricular biopsy can be a predictive factor for shortened life of the pediatric cardiac allograft. PMID- 21062176 TI - Sodium replacement and plasma sodium drop during exercise in the heat when fluid intake matches fluid loss. PMID- 21062178 TI - Frequency and location of head impact exposures in individual collegiate football players. AB - CONTEXT: Measuring head impact exposure is a critical step toward understanding the mechanism and prevention of sport-related mild traumatic brain (concussion) injury, as well as the possible effects of repeated subconcussive impacts. OBJECTIVE: To quantify the frequency and location of head impacts that individual players received in 1 season among 3 collegiate teams, between practice and game sessions, and among player positions. DESIGN: Cohort study. SETTING: Collegiate football field. PATIENTS OR OTHER PARTICIPANTS: One hundred eighty-eight players from 3 National Collegiate Athletic Association football teams. INTERVENTION(S): Participants wore football helmets instrumented with an accelerometer-based system during the 2007 fall season. MAIN OUTCOME MEASURE(S): The number of head impacts greater than 10 g and location of the impacts on the player's helmet were recorded and analyzed for trends and interactions among teams (A, B, or C), session types, and player positions using Kaplan-Meier survival curves. RESULTS: The total number of impacts players received was nonnormally distributed and varied by team, session type, and player position. The maximum number of head impacts for a single player on each team was 1022 (team A), 1412 (team B), and 1444 (team C). The median number of head impacts on each team was 4.8 (team A), 7.5 (team B), and 6.6 (team C) impacts per practice and 12.1 (team A), 14.6 (team B), and 16.3 (team C) impacts per game. Linemen and linebackers had the largest number of impacts per practice and per game. Offensive linemen had a higher percentage of impacts to the front than to the back of the helmet, whereas quarterbacks had a higher percentage to the back than to the front of the helmet. CONCLUSIONS: The frequency of head impacts and the location on the helmet where the impacts occur are functions of player position and session type. These data provide a basis for quantifying specific head impact exposure for studies related to understanding the biomechanics and clinical aspects of concussion injury, as well as the possible effects of repeated subconcussive impacts in football. PMID- 21062179 TI - Emergency face-mask removal effectiveness: a comparison of traditional and nontraditional football helmet face-mask attachment systems. AB - CONTEXT: Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. OBJECTIVE: To compare the efficiency of face mask removal between newly designed and traditional football helmets. DESIGN: Controlled laboratory study. SETTING: Applied biomechanics laboratory. PARTICIPANTS: Twenty-five certified athletic trainers. INTERVENTION(S): The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. MAIN OUTCOME MEASURE(S): Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (alpha = .05) with follow-up comparisons to test for differences. RESULTS: Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F(4,20) = 48.87, P = .001), with times ranging from 33.96 +/- 14.14 seconds for QR to 99.22 +/- 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F(4,20) = 16.25, P = .001), with range of motion from 10.10 degrees +/- 3.07 degrees for QR to 16.91 degrees +/- 5.36 degrees for TradAlt. Differences also were detected in RPE during face-mask removal (F(4,20) = 43.20, P = .001), with participants reporting average perceived difficulty ranging from 1.44 +/- 1.19 for QR to 3.68 +/- 1.70 for TradAlt. CONCLUSIONS: The QR and Trad trials resulted in superior results. When trials required cutting loop straps, results deteriorated. PMID- 21062180 TI - Transcranial magnetic stimulation and volitional quadriceps activation. AB - CONTEXT: Quadriceps-activation deficits have been reported after meniscectomy. Transcranial magnetic stimulation (TMS) in conjunction with maximal contractions affects quadriceps activation in patients after meniscectomy. OBJECTIVE: To determine the effect of single-pulsed TMS on quadriceps central activation ratio (CAR) in patients after meniscectomy. DESIGN: Randomized controlled clinical trial. SETTING: University laboratory. PATIENTS OR OTHER PARTICIPANTS: Twenty participants who had partial meniscectomy and who had a CAR less than 85% were assigned randomly to the TMS group (7 men, 4 women; age = 38.1 +/- 16.2 years, height = 176.8 +/- 11.5 cm, mass = 91.8 +/- 27.5 kg, postoperative time = 36.7 +/- 34.9 weeks) or the control group (7 men, 2 women; age = 38.2 +/- 17.5 years, height = 176.5 +/- 7.9 cm, mass = 86.2 +/- 15.3 kg, postoperative time = 36.6 +/- 37.4 weeks). INTERVENTION(S): Participants in the experimental group received TMS over the motor cortex that was contralateral to the involved leg and performed 3 maximal quadriceps contractions with the involved leg. The control group performed 3 maximal quadriceps contractions without the TMS. MAIN OUTCOME MEASURE(S): Quadriceps activation was assessed using the CAR, which was measured in 70 degrees of knee flexion at baseline and at 0, 10, 30, and 60 minutes posttest. The CAR was expressed as a percentage of full activation. RESULTS: Differences in CAR were detected over time (F(4,72) = 3.025, P = .02). No interaction (F(4,72) = 1.457, P = .22) or between-groups differences (F(1,18) = 0.096, P = .76) were found for CAR. Moderate CAR effect sizes were found at 10 (Cohen d = 0.54, 95% confidence interval [CI] = -0.33, 1.37) and 60 (Cohen d = 0.50, 95% CI = -0.37, 1.33) minutes in the TMS group compared with CAR at baseline. Strong effect sizes were found for CAR at 10 (Cohen d = 0.82, 95% CI = -0.13, 1.7) and 60 (Cohen d = 1.06, 95% CI = 0.08, 1.95) minutes in the TMS group when comparing percentage change scores between groups. CONCLUSIONS: No differences in CAR were found between groups at selected points within a 60 minute time frame, yet moderate to strong effect sizes for CAR were found at 10 and 60 minutes in the TMS group, indicating increased activation after TMS. PMID- 21062181 TI - Time to stabilization of anterior cruciate ligament-reconstructed versus healthy knees in National Collegiate Athletic Association Division I female athletes. AB - CONTEXT: Jump landing is a common activity in collegiate activities, such as women's basketball, volleyball, and soccer, and is a common mechanism for anterior cruciate ligament (ACL) injury. It is important to better understand how athletes returning to competition after ACL reconstruction are able to maintain dynamic postural control during a jump landing. OBJECTIVE: To use time to stabilization (TTS) to measure differences in dynamic postural control during jump landing in ACL-reconstructed (ACLR) knees compared with healthy knees among National Collegiate Athletic Association Division I female athletes. DESIGN: Case control study. SETTING: University athletic training research laboratory. PATIENTS OR OTHER PARTICIPANTS: Twenty-four Division I female basketball, volleyball, and soccer players volunteered and were assigned to the healthy control group (n = 12) or the ACLR knee group (n = 12). Participants with ACLR knees were matched to participants with healthy knees by sport and by similar age, height, and mass. INTERVENTION(S): At 1 session, participants performed a single-leg landing task for both limbs. They were instructed to stabilize as quickly as possible in a single-limb stance and remain as motionless as possible for 10 seconds. MAIN OUTCOME MEASURE(S): The anterior-posterior TTS and medial-lateral TTS ground reaction force data were used to calculate resultant vector of the TTS (RVTTS) during a jump landing. A 1-way analysis of variance was used to determine group differences on RVTTS. The means and SDs from the participants' 10 trials in each leg were used for the analyses. RESULTS: The ACLR group (2.01 +/- 0.15 seconds, 95% confidence interval [CI] = 1.91, 2.10) took longer to stabilize than the control group (1.90 +/- 0.07 seconds, 95% CI = 1.86, 1.95) (F(1,22) = 4.28, P = .05). This result was associated with a large effect size and a 95% CI that did not cross zero (Cohen d = 1.0, 95% CI = 0.91, 1.09). CONCLUSIONS: Although they were Division I female athletes at an average of 2.5 years after ACL reconstruction, participants with ACLR knees demonstrated dynamic postural-control deficits as evidenced by their difficulty in controlling ground reaction forces. This increased TTS measurement might contribute to the established literature reflecting differences in single-limb dynamic control. Clinicians might need to focus rehabilitation efforts on stabilization after jump landing. Further research is needed to determine if TTS is a contributing factor in future injury. PMID- 21062182 TI - Isometric knee-extension and knee-flexion torque production during early follicular and postovulatory phases in recreationally active women. AB - CONTEXT: Acute decreases in strength have been associated with risky biomechanical strategies that might predispose one to injury. Whether acute changes in thigh muscle torque occur across the menstrual cycle remains equivocal. OBJECTIVE: We compared maximal voluntary isometric contraction (MVIC) torque of the knee flexors and extensors between the early follicular (EF) and either the early luteal (EL) or midluteal (ML) phases, which were confirmed by serum hormone concentrations. We expected that MVIC torques would increase from the EF to the EL phase after estradiol peaked and before increased exposure to progesterone. DESIGN: Cohort study. SETTING: Applied Neuromechanics Research Laboratory. PATIENTS OR OTHER PARTICIPANTS: Seventy-one recreationally active women (age range, 18-30 years). INTERVENTION(S): The MVICs were measured 1 day during menses and 1 day during the 8 days after ovulation. Participants were grouped by the hormone profile of their luteal test days as EL phase, ML phase, or anovulatory cycle. MAIN OUTCOME MEASURE(S): The MVIC torque of knee flexors and extensors (Nm/kg), estradiol (pg/mL), progesterone (ng/mL), and testosterone (ng/dL). RESULTS: We tested 29 women during their EL phases, 32 during their ML phases, and 10 during anovulatory cycles. Although we observed relatively large individual changes in sex hormone concentrations and MVIC torques across the 2 test sessions, we observed no difference in MVIC torque between test phases (F(1,68) = 1.17, P = .28) or among groups by test phase (F(2,68) = 0.31, P = .74). CONCLUSIONS: Thigh MVIC torque did not change from time of menses (when estradiol and progesterone were lowest) to time in the luteal phase after an unopposed estradiol rise or combined estradiol and progesterone rise. However, these findings were limited to MVIC torque production measured at 2 different times, and further research examining these relationships at multiple times and using other measures of neuromuscular function is needed. PMID- 21062183 TI - Core-temperature sensor ingestion timing and measurement variability. AB - CONTEXT: Telemetric core-temperature monitoring is becoming more widely used as a noninvasive means of monitoring core temperature during athletic events. OBJECTIVE: To determine the effects of sensor ingestion timing on serial measures of core temperature during continuous exercise. DESIGN: Crossover study. SETTING: Outdoor dirt track at an average ambient temperature of 4.4 degrees C +/- 4.1 degrees C and relative humidity of 74.1% +/- 11.0%. PATIENTS OR OTHER PARTICIPANTS: Seven healthy, active participants (3 men, 4 women; age = 27.0 +/ 7.5 years, height = 172.9 +/- 6.8 cm, body mass = 67.5 +/- 6.1 kg, percentage body fat = 12.7% +/- 6.9%, peak oxygen uptake [Vo(2peak)] = 54.4 +/- 6.9 mL*kg-1*min-1) completed the study. INTERVENTION(S): Participants completed a 45-minute exercise trial at approximately 70% Vo(2peak). They consumed core-temperature sensors at 24 hours (P1) and 40 minutes (P2) before exercise. MAIN OUTCOME MEASURE(S): Core temperature was recorded continuously (1 minute intervals) using a wireless data logger worn by the participants. All data were analyzed using a 2-way repeated-measures analysis of variance (trial * time), Pearson product moment correlation, and Bland-Altman plot. RESULTS: Fifteen comparisons were made between P1 and P2. The main effect of time indicated an increase in core temperature compared with the initial temperature. However, we did not find a main effect for trial or a trial * time interaction, indicating no differences in core temperature between the sensors (P1 = 38.3 degrees C +/- 0.2 degrees C, P2 = 38.3 degrees C +/- 0.4 degrees C). CONCLUSIONS: We found no differences in the temperature recordings between the 2 sensors. These results suggest that assumed sensor location (upper or lower gastrointestinal tract) does not appreciably alter the transmission of reliable and repeatable measures of core temperature during continuous running in the cold. PMID- 21062184 TI - Gastric emptying after pickle-juice ingestion in rested, euhydrated humans. AB - CONTEXT: Small volumes of pickle juice (PJ) relieve muscle cramps within 85 seconds of ingestion without significantly affecting plasma variables. This effect may be neurologic rather than metabolic. Understanding PJ's gastric emptying would help to strengthen this theory. OBJECTIVE: To compare gastric emptying and plasma variables after PJ and deionized water (DIW) ingestion. DESIGN: Crossover study. SETTING: Laboratory. PATIENTS OR OTHER PARTICIPANTS: Ten men (age = 25.4 +/- 0.7 years, height = 177.1 +/- 1.6 cm, mass = 78.1 +/- 3.6 kg). INTERVENTION(S): Rested, euhydrated, and eunatremic participants ingested 7 mL.kg-1 body mass of PJ or DIW on separate days. MAIN OUTCOME MEASURE(S): Gastric volume was measured at 0, 5, 10, 20, and 30 minutes postingestion (using the phenol red dilution technique). Percentage changes in plasma volume and plasma sodium concentration were measured preingestion (-45 minutes) and at 5, 10, 20, and 30 minutes postingestion. RESULTS: Initial gastric volume was 624.5 +/- 27.4 mL for PJ and 659.5 +/- 43.8 mL for DIW (P > .05). Both fluids began to empty within the first 5 minutes (volume emptied: PJ = 219.2 +/ 39.1 mL, DIW = 305.0 +/- 40.5 mL, P < .05). Participants who ingested PJ did not empty further after the first 5 minutes (P > .05), whereas in those who ingested DIW, gastric volume decreased to 111.6 +/- 39.9 mL by 30 minutes (P < .05). The DIW group emptied faster than the PJ group between 20 and 30 minutes postingestion (P < .05). Within 5 minutes of PJ ingestion, plasma volume decreased 4.8% +/- 1.6%, whereas plasma sodium concentration increased 1.6 +/- 0.5 mmol.L-1 (P < .05). Similar changes occurred after DIW ingestion. Calculated plasma sodium content was unchanged for both fluids (P > .05). CONCLUSIONS: The initial decrease in gastric volume with both fluids is likely attributable to gastric distension. Failure of the PJ group to empty afterward is likely due to PJ's osmolality and acidity. Cardiovascular reflexes resulting from gastric distension are likely responsible for the plasma volume shift and rise in plasma sodium concentration despite nonsignificant changes in plasma sodium content. These data support our theory that PJ does not relieve cramps via a metabolic mechanism. PMID- 21062185 TI - Accuracy and reliability of peer assessment of athletic training psychomotor laboratory skills. AB - CONTEXT: Peer assessment is defined as students judging the level or quality of a fellow student's understanding. No researchers have yet demonstrated the accuracy or reliability of peer assessment in athletic training education. OBJECTIVE: To determine the accuracy and reliability of peer assessment of athletic training students' psychomotor skills. DESIGN: Cross-sectional study. SETTING: Entry-level master's athletic training education program. PATIENTS OR OTHER PARTICIPANTS: First-year (n = 5) and second-year (n = 8) students. MAIN OUTCOME MEASURE(S): Participants evaluated 10 videos of a peer performing 3 psychomotor skills (middle deltoid manual muscle test, Faber test, and Slocum drawer test) on 2 separate occasions using a valid assessment tool. Accuracy of each peer assessment score was examined through percentage correct scores. We used a generalizability study to determine how reliable athletic training students were in assessing a peer performing the aforementioned skills. Decision studies using generalizability theory demonstrated how the peer-assessment scores were affected by the number of participants and number of occasions. RESULTS: Participants had a high percentage of correct scores: 96.84% for the middle deltoid manual muscle test, 94.83% for the Faber test, and 97.13% for the Slocum drawer test. They were not able to reliably assess a peer performing any of the psychomotor skills on only 1 occasion. However, the phi increased (exceeding the 0.70 minimal standard) when 2 participants assessed the skill on 3 occasions (phi = 0.79) for the Faber test, with 1 participant on 2 occasions (phi = 0.76) for the Slocum drawer test, and with 3 participants on 2 occasions for the middle deltoid manual muscle test (phi = 0.72). CONCLUSIONS: Although students did not detect all errors, they assessed their peers with an average of 96% accuracy. Having only 1 student assess a peer performing certain psychomotor skills was less reliable than having more than 1 student assess those skills on more than 1 occasion. Peer assessment of psychomotor skills could be an important part of the learning process and a tool to supplement instructor assessment. PMID- 21062186 TI - Transient disablement in the physically active with musculoskeletal injuries, part I: a descriptive model. AB - CONTEXT: Disablement theory has been characterized as the sequence of events that occurs after an injury, but little research has been conducted to establish how disablement is experienced and described by physically active persons. OBJECTIVE: To describe the disablement process in physically active persons with musculoskeletal injuries. DESIGN: Concurrent, embedded mixed-methods study. For the qualitative portion, interviews were conducted to create descriptive disablement themes. For the quantitative portion, frequencies analysis was used to identify common terminology. SETTING: National Collegiate Athletic Association Division I collegiate and club sports, collegiate intramural program, large high school athletics program, and outpatient orthopaedic center. PATIENTS OR OTHER PARTICIPANTS: Thirty-one physically active volunteers (15 males, 16 females; mean age = 21.2 years; range, 14-53 years) with a current injury (18 lower extremity injuries, 13 upper extremity injuries) participated in individual interviews. Six physically active volunteers (3 males, 3 females; mean age = 22.2 years; range, 16-28 years) participated in the group interview to assess trustworthiness. DATA COLLECTION AND ANALYSIS: We analyzed interviews through a constant-comparison method, and data were collected until saturation occurred. Common limitations were transformed into descriptive themes and were confirmed during the group interview. Disablement descriptors were identified with frequencies and fit to the themes. RESULTS: A total of 15 overall descriptive themes emerged within the 4 disablement components, and descriptive terms were identified for each theme. Impairments were marked by 4 complaints: pain, decreased motion, decreased muscle function, and instability. Functional limitations were denoted by problems with skill performance, daily actions, maintaining positions, fitness, and changing directions. Disability consisted of problems with participation in desired activities. Lastly, problems in quality of life encompassed uncertainty and fear, stress and pressure, mood and frustration, overall energy, and altered relationships. A preliminary generic outcomes instrument was generated from the findings. CONCLUSIONS: Our results will help clinicians understand how disablement is described by the physically active. The findings also have implications for how disablement outcomes are measured. PMID- 21062187 TI - The Disablement in the Physically Active Scale, part II: the psychometric properties of an outcomes scale for musculoskeletal injuries. AB - CONTEXT: Outcomes assessment is an integral part of ensuring quality in athletic training, but few generic instruments have been specifically designed to measure disablement in the physically active. OBJECTIVE: To assess the psychometric properties of the Disablement in the Physically Active Scale (DPA), a patient report, generic outcomes instrument. DESIGN: Observational study. SETTING: We collected data in 5 settings with competitive and recreational athletes. Participants entered into the study at 3 distinct points: (1) when healthy and (2) after an acute injury, or (3) after a persistent injury. PATIENTS OR OTHER PARTICIPANTS: Measures were obtained from 368 baseline participants (202 females, 166 males; age = 20.1 +/- 3.8 years), 54 persistent participants (32 females, 22 males; age = 22.0 +/- 8.3 years), and 28 acutely injured participants (8 females, 20 males; age = 19.8 +/- 1.90 years). MAIN OUTCOME MEASURE(S): We assessed internal consistency with a Cronbach alpha and test-retest reliability with intraclass correlation (2,1) values. The scale's factor structure was assessed with a hierarchical confirmatory factor analysis. Concurrent validity was assessed with a Pearson correlation. Responsiveness was calculated using a receiver operating characteristic curve and a minimal clinically important difference value. RESULTS: The Cronbach alpha scores for the DPA were 0.908 and 0.890 in acute and persistent groups, respectively. The intraclass correlation (2,1) value of the DPA was 0.943 (95% confidence interval = 0.885, 0.972). The fit indices values were 1.89, 0.852, 0.924, 0.937, and 0.085 (90% confidence interval = 0.066, 0.103) for the minimum sample discrepancy divided by degrees of freedom, goodness-of-fit index, Tucker-Lewis Index, comparative fit index, and root mean square error of approximation, respectively. The DPA scores accounted for 51% to 56.4% of the variation in global functioning scores. The area under the curve was statistically significant, and the minimally clinically important difference values were established. CONCLUSIONS: The DPA is a reliable, valid, and responsive instrument. PMID- 21062188 TI - Temperature measurement reliability and validity with thermocouple extension leads or changing lead temperature. AB - CONTEXT: Thermocouples' leads are often too short, necessitating the use of an extension lead. OBJECTIVE: To determine if temperature measures were influenced by extension-lead use or lead temperature changes. DESIGN: Descriptive laboratory study. SETTING: Laboratory. OTHER PARTICIPANTS: Experiment 1: 10 IT-21 thermocouples and 5 extension leads. Experiment 2: 5 IT-21 and PT-6 thermocouples. METHODS: In experiment 1, temperature data were collected on 10 IT 21 thermocouples in a stable water bath with and without extension leads. In experiment 2, temperature data were collected on 5 IT-21 and PT-6 thermocouples in a stable water bath before, during, and after ice-pack application to extension leads. RESULTS: In experiment 1, extension leads did not influence IT 21 validity (P = .45) or reliability (P = .10). In experiment 2, postapplication IT-21 temperatures were greater than preapplication and application measures (P < .05). CONCLUSIONS: Extension leads had no influence on temperature measures. Ice application to leads may increase measurement error. PMID- 21062189 TI - Autoimmune dysfunction and subsequent renal insufficiency in a collegiate female athlete: a case report. AB - OBJECTIVE: To present the case of a female collegiate basketball player who was diagnosed with Wegener granulomatosis of the eyes and immunoglobulin A (IgA) nephropathy. BACKGROUND: A 19-year-old female collegiate basketball player presented to a rheumatologist, urologist, and nephrologist with severe eye pain and was diagnosed with Wegener granulomatosis and IgA nephropathy. At age 20, during routine follow-up testing, urine protein levels were found to be 3 times normal values (0-8 mg/dL), prompting the need for a kidney biopsy, which showed IgA nephropathy, another autoimmune disorder. DIFFERENTIAL DIAGNOSIS: Sinus infection, scleritis, lymphomatoid granulomatosis, Churg-Strauss syndrome, lupus erythematosus, general granulomatosis. TREATMENT: Initial assessment revealed signs and symptoms, particularly in the patient's eyes, consistent with a sinus infection and scleritis. Her corneas were examined by a specialist, who prescribed various medications, including prednisone, for the relief of symptoms. When the dosage of prednisone was reduced, symptoms returned. Further tests revealed the presence of anti-neutrophil cytoplasmic antibody, a protein associated with Wegener granulomatosis, which helped confirm the diagnosis. The following year, a routine urinalysis showed abnormal levels of protein in her urine. A kidney biopsy revealed that IgA nephropathy also was present. At the time of this case report, the athlete continues to be monitored by an ophthalmologist who specializes in Wegener granulomatosis, a rheumatologist, and a nephrologist. UNIQUENESS: This athlete presented with 2 rare autoimmune disorders at an early stage of life. The medications used to treat the disorders left the athlete fatigued on a daily basis. Additionally, she was placed on 3 immunosuppressant drugs, which increased her risk for further health complications, yet she was able to successfully compete in athletics at the collegiate level. No family history of renal disease or other autoimmune disorders was discovered, further adding to the complexity and uniqueness of this case. CONCLUSIONS: Autoimmune disorders, such as Wegener granulomatosis, can present with a variety of common signs and symptoms. As athletic trainers, we encounter a host of unusual signs and symptoms; however, in cases such as this, further investigation into the cause of the chief complaints can go a long way toward restoring or managing an athlete's health. Excellent communication among the sports medicine team helped this athlete manage her potentially life threatening condition while allowing her to remain active in her sport. PMID- 21062190 TI - Anthropomorphic thyroidopathies? PMID- 21062191 TI - Awards of the thyroid societies and thyroid awards at the fourteenth international thyroid congress. PMID- 21062192 TI - Thyroid pathophysiology: reflections on physician-scientist careers in thyroidology. PMID- 21062193 TI - The prevalence of polycystic thyroid disease in hypothyroid patients with negative thyroid autoantibodies. AB - BACKGROUND: We previously reported that multiple thyroid cysts may be a cause of hypothyroidism in patients with a relatively high iodine intake and we termed it polycystic thyroid disease (PCTD). The aim of this study was to investigate the prevalence of PCTD in patients who visited our thyroid center. We hypothesized that patients with PCTD are not rare in a region with a high iodine intake. METHODS: We retrospectively studied the cause of hypothyroidism based on medical histories and ultrasonography in new patients to our hospital from April 2008 to March 2009 whose serum tests for antithyroglobulin antibodies (TgAb) and thyroid peroxidase antibodies (TPOAb) were negative. Serum thyroid hormones, thyrotropin (TSH), TgAb, and TPOAb were measured in 8243 patients. Patients with four or more thyroid cysts, negative tests for TgAb and TPOAb, no evidence for thyroid diseases other than their thyroid cysts, and no exposure to thyroid-perturbing influences were defined as having PCTD. RESULTS: Three hundred seven patients had overt hypothyroidism (TSH level above 10.0 MUU/mL and free thyroxine level below 0.7 ng/dL), of whom 71 patients were both TgAb and TPOAb negative. There were 546 patients with subclinical hypothyroidism (TSH level above 5.0 MUU/mL and normal free thyroxine), of whom 193 patients were both TgAb and TPOAb negative. There were 24 patients with overt hypothyroidism and PCTD, accounting for 7.8% (24/307) of all causes of overt hypothyroidism. There were 42 patients with subclinical hypothyroidism and PCTD, accounting for 7.7% (42/543) of all causes of subclinical hypothyroidism. PCTD was more common among elderly people than young people. CONCLUSIONS: PCTD appears to be a minor but not negligible cause of hypothyroidism, at least in iodine-rich regions. PCTD with hypothyroidism may have been misdiagnosed as thyroid antibody-negative Hashimoto's thyroiditis in many reports. PMID- 21062194 TI - TSH regulation dynamics in central and extreme primary hypothyroidism. AB - BACKGROUND: Thyrotropin (TSH) changes in extreme primary hypothyroidism include increased secretion, slowed degradation, and diminished or absent TSH circadian rhythms. Diminished rhythms are also observed in central hypothyroid patients and have been speculated to be a cause of central hypothyroidism. We examined whether TSH secretion saturation, previously suggested in extreme primary hypothyroidism, might explain diminished circadian rhythms in both disorders. METHODS: We augmented and extended the range of our published feedback control system model to reflect nonlinear changes in extreme primary hypothyroidism, including putative TSH secretion saturation, and quantified and validated it using multiple clinical datasets ranging from euthyroid to extreme hypothyroid (postthyroidectomy). We simulated central hypothyroidism by reducing overall TSH secretion and also simulated normal TSH secretion without circadian oscillation, maintaining plasma TSH at constant normal levels. We also utilized the validated model to explore thyroid hormone withdrawal protocols used to prepare remnant ablation in thyroid cancer patients postthyroidectomy. RESULTS: Both central and extreme primary hypothyroidism simulations yielded low thyroid hormone levels and reduced circadian rhythms, with simulated daytime TSH levels low-to-normal for central hypothyroidism and increased in primary hypothyroidism. Simulated plasma TSH showed a rapid rise immediately following triiodothyronine (T(3)) withdrawal postthyroidectomy, compared with a slower rise after thyroxine withdrawal or postthyroidectomy without replacement. CONCLUSIONS: Diminished circadian rhythms in central and extreme primary hypothyroidism can both be explained by pituitary TSH secretion reaching maximum capacity. In simulated remnant ablation protocols using the extended model, TSH shows a more rapid rise after T(3) withdrawal than after thyroxine withdrawal postthyroidectomy, supporting the use of replacement with T(3) prior to (131)I treatment. PMID- 21062195 TI - The effectiveness of radioactive iodine for treatment of low-risk thyroid cancer: a systematic analysis of the peer-reviewed literature from 1966 to April 2008. AB - BACKGROUND: Radioactive iodine (RAI) remnant ablation has been used to eliminate normal thyroid tissue and may also facilitate monitoring for persistent or recurrent thyroid carcinoma. The use of RAI for low-risk patients who we define as those under age 45 with stage I disease or over age 45 with stage I or II disease based on American Joint Committee on Cancer (AJCC) 6th edition, or low risk under the metastases, age, completeness of resection, invasion, size (MACIS) staging system (value <6) is controversial. In this extensive literature review, we sought to analyze the evidence for use of RAI treatment to improve mortality and survival and to reduce recurrence in patients of various stages and disease risk, particularly for those patients who are at low risk for recurrence and death from thyroid cancer. METHODS: A MEDLINE search was conducted for studies published between January 1966 and April 2008 that compared the effectiveness of administering versus not administering RAI for treatment of differentiated thyroid cancer (DTC). Studies were grouped A through D based on their methodological rigor (best to worst). An analysis, focused on group A studies, was performed to determine whether treatment with RAI for DTC results in decreased recurrences and improved survival rates. RESULTS: The majority of studies did not find a statistically significant improvement in mortality or disease-specific survival in those low-risk patients treated with RAI, whereas improved survival was confirmed for high-risk (AJCC stages III and IV) patients. Evidence for RAI decreasing recurrence was mixed with half of the studies showing a significant relationship and half showing no relationship. CONCLUSIONS: We propose a management guideline based on a patient's risk-very low, low, moderate, and high-for clinicians to use when delineating those patients who should undergo RAI treatment for initial postoperative management of DTC. A majority of very low risk and low-risk patients, as well as select cases of patients with moderate risk do not demonstrate survival or disease-free survival benefit from postoperative RAI treatment, and therefore we recommend against postoperative RAI in these cases. PMID- 21062196 TI - Projected reduction in healthcare costs in Belgium after optimization of iodine intake: impact on costs related to thyroid nodular disease. AB - BACKGROUND: Several surveys in the last 50 years have repeatedly indicated that Belgium is affected by mild iodine deficiency. Within the framework of the national food and health plan in Belgium, a selective, progressive, and monitored strategy was proposed in 2009 to optimize iodine intake. The objective of the present study was to perform a health economic evaluation of the consequences of inadequate iodine intake in Belgium, focusing on undisputed and measurable health outcomes such as thyroid nodular disease and its associated morbidity (hyperthyroidism). METHODS: For the estimation of direct, indirect, medical, and nonmedical costs related to thyroid nodular diseases in Belgium, data from the Federal Public Service of Public Health, Food Chain Safety and Environment, the National Institute for Disease and Disability Insurance (RIZIV/INAMI), the Information Network about the prescription of reimbursable medicines (FARMANET), Intercontinental Marketing Services, and expert opinions were used. These costs translate into savings after implementation of the iodization program and are defined as costs due to thyroid nodular disease throughout the article. Costs related to the iodization program are referred to as program costs. Only figures dating from before the start of the intervention were exploited. Only adult and elderly people (>=18 years) were taken into account in this study because thyroid nodular diseases predominantly affect this age group. RESULTS: The yearly costs due to thyroid nodular diseases caused by mild iodine deficiency in the Belgian adult population are ~?38 million. It is expected that the iodization program will result in additional costs of ~?54,000 per year and decrease the prevalence of thyroid nodular diseases by 38% after a 4-5-year period. The net savings after establishment of the program are therefore estimated to be at least ?14 million a year. CONCLUSIONS: Optimization of iodine intake in Belgium should be quite cost effective, if only considering its impact on nodular thyroid disease. There are likely added benefits relating to more optimal thyroid hormone influenced brain development that are more difficult to estimate but may be even more important. PMID- 21062198 TI - Renal metastases from thyroid carcinoma. PMID- 21062199 TI - In memoriam David Victor Becker (1923-2010). PMID- 21062201 TI - Self-reported changes in providers' hormone therapy prescribing and counseling practices after the Women's Health Initiative. AB - BACKGROUND: Prescribing and counseling practices in hormone therapy (HT) since publication of the Women's Health Initiative (WHI) trials have changed. Our objective was to compare changes by practice field and region. METHODS: Between December 2005 and May 2006, we mailed surveys to 938 practitioners from two large integrated health systems in the Northeastern and Northwestern United States. We received 736 responses and excluded 144 who do not prescribe/counsel about HT, leaving 592. Data included prescriber characteristics, knowledge about HT trials, and self-reported HT counseling and prescribing changes. We compared provider characteristics and HT counseling and prescribing by region and practice field (obstetrician/gynecology [OB/GYN] or primary care). RESULTS: Respondents included 79 OB/GYNs and 513 primary care providers. OB/GYNs were more likely, than primary care providers to consider themselves experts regarding the Heart and Estrogen/progestin Replacement Study (HERS) and WHI trials (30.4% vs. 8.2%, p < 0.001). The majority (87%) were cautious about HT use, especially primary care providers (p < 0.01 compared to OB/GYNs). Respondents reported prescribing less oral unopposed estrogen (64%) and combination estrogen/progestin (81%) post-WHI. OB/GYNs were less likely to report decreases in oral unopposed estrogen use (p = 0.006). Use of lower-dose and transdermal products (low-dose estrogen, vaginal estrogen, estradiol vaginal ring) increased, especially by OB/GYNs. CONCLUSIONS: Our study highlights numerous HT prescribing and counseling differences between primary care and OB/GYN providers. Reasons for these differences are unknown but may be related to self-reported WHI/HERS knowledge. HT formulations used in the WHI trials are being replaced by low-dose and alternate formulations. Studies to support this practice are needed. PMID- 21062202 TI - Food marketing on children's television in two different policy environments. AB - OBJECTIVE: To examine the differences in exposure to food marketing on television between English children in Ontario, and French and English children in Quebec as each group is influenced by different advertising policies. METHODS: In total, 428 children aged 10-12 completed television viewing diaries for 7 days. During the same week, 32 television stations were recorded between 6 am and 12 am. A content analysis of advertisements, contests and sponsorship announcements that aired during children's 90 hours of preferred programming was then undertaken. RESULTS: Twenty-six percent of advertisements, 18% of contests and 22% of sponsorships were food/beverage related. Similar rates of food marketing were seen across all three population groups. French Quebec subjects were exposed to significantly more beverage promotions and fewer grain products, candy and snack food promotions. French Quebec children were targeted less frequently, and media characters/celebrities were used less often than in the English groups. CONCLUSION: The Quebec advertising ban does not appear to be limiting the amount of food/beverage advertising seen by children aged 10-12. However, food categories and marketing techniques used differ in the preferred viewing of French Quebec children. PMID- 21062203 TI - A long slit-like entrance promotes ventilation in the mud nesting social wasp, Polybia spinifex: visualization of nest microclimates using computational fluid dynamics. AB - Polybia spinifex Richards (Hymenoptera: Vespidae) constructs mud nests characterized by a long slit-like entrance. The ventilation and thermal characteristics of the P. spinifex nest were investigated to determine whether the nest microclimate is automatically maintained due to the size of the entrance. In order to examine this hypothesis, a numerical simulation was employed to predict the effects of the entrance length. The calculations were performed with 3D-virtual models that simulated the P. spinifex nest conditions, and the reliability of the simulations was experimentally examined by using gypsum-model nests and a P. spinifex nest. The ventilation effect was determined by blowing air through the nest at 1-3 m/s (airflow conditions); the airspeed was found to be higher in models with a longer entrance. The ventilation rate was also higher in models with longer entrances, suggesting that the P. spinifex nest is automatically ventilated by natural winds. Next, the thermal effect was calculated under condition of direct sunlight. Under a calm condition (airflow, 0 m/s), thermal convection and a small temperature drop were observed in the case of models with a long entrance, whereas the ventilation and thermoregulation effects seemed small. Under airflow conditions, the temperature at the mid combs steeply dropped due to the convective airflow through the entrance at 1-2 m/s, and at 3 m/s, most of the heat was eliminated due to high thermal conductivity of the mud envelope, rather than convection. PMID- 21062204 TI - Effect of tillage and planting date on seasonal abundance and diversity of predacious ground beetles in cotton. AB - A 2-year field study was conducted in the southern High Plains region of Texas to evaluate the effect of tillage system and cotton planting date window on seasonal abundance and activity patterns of predacious ground beetles. The experiment was deployed in a split-plot randomized block design with tillage as the main-plot factor and planting date as the subplot factor. There were two levels for each factor. The two tillage systems were conservation tillage (30% or more of the soil surface is covered with crop residue) and conventional tillage. The two cotton planting date window treatments were early May (normal planting) and early June (late planting). Five prevailing predacious ground beetles, Cicindela sexguttata F., Calosoma scrutator Drees, Pasimachus spp., Pterostichus spp., and Megacephala Carolina L. (Coleoptera: Carabidae), were monitored using pitfall traps at 2-week intervals from June 2002 to October 2003. The highest total number of ground beetles (6/trap) was observed on 9 July 2003. Cicindela sexguttata was the dominant ground dwelling predacious beetle among the five species. A significant difference between the two tillage systems was observed in the abundances of Pterostichus spp. and C. sexguttata. In 2002. significantly more Pterostichus spp. were recorded from conventional plots (0.27/trap) than were recorded from conservation tillage plots (0.05/trap). Significantly more C. sexguttata were recorded in 2003 from conservation plots (3.77/trap) than were recorded from conventional tillage plots (1.04/trap). There was a significant interaction between year and tillage treatments. However, there was no significant difference in the abundances of M. Carolina and Pasimachus spp. between the two tillage practices in either of the two years. M. Carolina numbers were significantly higher in late-planted cotton compared with those observed in normal-planted cotton. However, planting date window had no significant influence on the activity patterns of the other species. Ground beetle species abundance, diversity, and species richness were significantly higher in conservation tillage plots. This suggests that field conditions arising from the practice of conservation tillage may support higher predacious ground beetle activity than might be observed under field conditions arising from conventional tillage practices. PMID- 21062205 TI - Expression and RNA interference of salivary polygalacturonase genes in the tarnished plant bug, Lygus lineolaris. AB - Three genes encoding polygalacturonase (PG) have been identified in Lygus lineolaris (Palisot de Beauvois) (Miridae: Hemiptera). Earlier studies showed that the three PG gene transcripts are exclusively expressed in the feeding stages of L. lineolaris. In this report, it is shown that all three transcripts are specifically expressed in salivary glands indicating that PGs are salivary enzymes. Transcriptional profiles of the three PGs were evaluated with respect to diet, comparing live cotton plant material to artificial diet. PG2 transcript levels were consistently lower in cotton-fed insects than those reared on artificial diet. RNA interference was used to knock down expression of PG1 mRNA in adult salivary glands providing the first demonstration of the use of this method in the non-model insect, L. lineolaris. PMID- 21062206 TI - Formicidae of the Andaman and Nicobar islands (Indian Ocean: Bay of Bengal). AB - Ants on the Andaman and Nicobar Islands, India were surveyed. These collections doubled the number of ant species recorded from these islands (from 59 to 125). Records include five endemic species, but no endemic genera. The surveys were fairly superficial, and it is likely many species remain to be discovered on these islands. PMID- 21062207 TI - Expression analysis and knockdown of two antennal odorant-binding protein genes in Aedes aegypti. AB - The presence and expression of odorant-binding proteins (OBPs) in the olfactory organs suggest that they play an important role in mosquito olfaction. However, no direct evidence has been found for their involvement in the host-seeking behavior of mosquitoes. It is important to establish a method in which a loss-of function test can be performed to determine the possible role of these genes in olfaction. In this study, a double subgenomic Sindbis virus expression system was used to reduce the expression of two Obp genes in Aedes aegypti L (Diptera: Culicidae), AaegObp1 and AaegObp2. Quantitative real-time PCR analysis showed predominant expression of both genes in the female antennae, the primary olfactory tissue of mosquitoes. Moreover, at 11 days post virus-inoculation, the mRNA levels of AaegObp1 and AaegObp2 were significantly reduced in olfactory tissues of recombinant virus-inoculated female mosquitoes compared to that of controls by approximately 8 and 100-fold, respectively. These data suggest that the double subgenomic Sindbis virus expression system can be efficiently used to knockdown Obp gene expression in olfactory tissues of mosquitoes. We discuss the potential for a systematic analysis of the molecular players involved in mosquito olfaction using this newly developed technique. Such analysis will provide an important step to interfere with the host-seeking behavior of mosquitoes to prevent the transmission of diseases. PMID- 21062208 TI - Resistance to the whitefly, Aleurotrachelus socialis, in wild populations of cassava, Manihot tristis. AB - The levels of resistance in the wild species of cassava, Manihot tristis Muell Arg. (Malpighiales: Euphorbiaceae), to the whitefly, Aleurotrachelus socialis Bondar (Hemiptera: Alelyrodidae), the most important economic pest in cassava, Manihot esculenta Crantz (Malpighiales: Euphorbiaceae) crops in South America, were estimated under glasshouse conditions. The parameters of the life history of A. socialis were studied on TST-26 and TST-18 accessions of the wild parent and compared with the susceptible (CMC-40) and resistant (MEcu-72) cultivars. The average longevity on the wild accessions (TST-26, 4.1; TST-18, 4.6 days) and oviposition rates (TST-26, 2.0; TST-18, 1.6 eggs/female/2 days) of the A. socialis females were not significantly different from those of MEcu-72 (5.1 days and 3.4 eggs/female/2 days). The longevity and oviposition rates on CMC-40 were highest (11 days and 8.6 eggs/female/2 days). Analyses of the demographic parameters (Ro, r(m); DT) showed a significant impact of the M. tristis accessions on the potential growth of A. socialis. The average survival time of adults that fed on TST-26, TST-18, and MEcu-72 were significantly different from those recorded on the susceptible genotype. Results from this study revealed important levels of resistance to the whitefly A. socialis on the TST-26 and TST 18 accessions due to the marked differences found for longevity and reproduction, which influenced and were consistent with the differences found in the net reproduction rate (Ro), intrinsic growth rate (r(m)) and population doubling time (DT). The combined effect of these parameters indicated that M. tristis accessions were inappropriate hosts for A. socialis. PMID- 21062209 TI - New contributions to Pseudonapomyza (Diptera: Agromyzidae) from Spain: addition of three new species. AB - The genus Pseudonapomyza (Diptera: Agromyzidae) includes the main leafminer pests for monocots. Three new species are described that were captured using Malaise traps in "Tinenca de Benifassa", "Font Roja" and "Lagunas de La Mata-Torrevieja" (Spain) Natural Parks: Pseudonapomyza curvata n. sp., P. longitata n. sp., and P. sicicornis n. sp. Systematics. Ecological data are discussed. PMID- 21062210 TI - Detection and analysis of the bacterium, Xylella fastidiosa, in glassy-winged sharpshooter, Homalodisca vitripennis, populations in Texas. AB - The glassy-winged sharpshooter, Homalodisca vitripeninis Germar (Hemiptera: Cicadellidae), is a xylophagous insect that is an endemic pest of several economically important plants in Texas. H. vitripennis is the main vector of Xylella fastidiosa Wells (Xanthomonadales: Xanthomonadaceae), the bacterium that causes Pierce's disease of grapevine and can travel long distances putting much of Texas grape production at risk. Understanding the movement of H. vitripennis populations capable of transmitting X. fastidiosa into Pierce's-disease-free areas is critical for developing a management program for Pierce's disease. To that end, the USDA-APHIS has developed a program to sample vineyards across Texas to monitor populations of H. vitripennis. From this sampling, H vitripennis collected during 2005 and 2006 over the months of May, June, and July from eight vineyards in different regions of Texas were recovered from yellow sticky traps and tested for the presence of X. fastidiosa. The foregut contents were vacuum extracted and analyzed using RT-PCR to determine the percentage of H. vitripennis within each population that harbor X. fastidiosa and have the potential to transmit this pathogen. H. vitripennis from vineyards known to have Pierce's disease routinely tested positive for the presence of X. fastidiosa. While almost all H. vitripennis collected from vineyards with no history of Pierce's disease tested negative for the presence of the pathogen, three individual insects tested positive. Furthermore, all three insects were determined, by DNA sequencing, to be carrying a strain of X. fastidiosa homologous to known Pierce's disease strains, signifying them as a risk factor for new X. fastidiosa infections. PMID- 21062211 TI - A comparative study on the functional response of Wolbachia-infected and uninfected forms of the parasitoid wasp Trichogramma brassicae. AB - Trichogramma species (Hymenoptera: Trichogrammatidae) are haplo-diploid egg parasitoids that are frequently used as biological control agents against lepidopteran pests. These wasps display two reproductive modes, including arrhenotoky (bisexuality) and thelytoky (unisexuality). Thelytokous forms are often associated with the presence of endosymbiotic Wolbachia bacteria. The use of thelytokous wasps has long been considered as a way to enhance the efficacy of biological control. The present study investigates the potential of a thelytokous Wolbachia-infected and an arrhenotokous uninfected Trichogramma brassicae Bezdenko strain as inundative biocontrol agents by evaluating their functional response towards different egg densities of the factitious host, the Angoumois grain moth, Sitotroga cerealella (Olivier) (Lepidoptera: Gelechiidae). The results revealed a type II functional response for both strains in which parasitism efficiency decreases with host egg density because of an increasing host handling time. A model with an indicator variable was used to compare the parameters of Holling's disc equation in different data sets. It was demonstrated that the two strains did not differ in host attack rate. However, the Wolbachia infected strain did have an increased host handling time when compared to the bisexual strain. Some applied aspects of the findings are discussed. PMID- 21062213 TI - Manganese superoxide dismutase dimorphism relationship with severity and prognosis in cardiogenic shock due to dilated cardiomyopathy. AB - The aim was to determine (a) Ala-16Val-SOD2 dimorphisms; (b) allelic frequency and phenotype of a common Pro-Leu polymorphism in GPx1, in a cohort of patients with a cardiogenic shock (CS) due to dilated cardiomyopathy without acute coronary syndrome. Consecutive patients with de novo CS that worsened a dilated (DCM) or ischemic (ICM) cardiomyopathy. Congenital heart disease, pacemaker and other shock aetiologies were excluded. To determine oxidative stress (OS), this study evaluated lipid peroxidation, protein oxidation and erythrocyte GPx, SOD and catalase activities. Ala16Val-SOD2 (dbSNP: rs4880) and Pro198Leu-GPx1 (dbSNP: rs1050450) polymorphisms were studied by allelic discrimination using fluorogenic probes and the 5'nuclease (TaqMan) assay. Twenty-four patients (with ICM (n = 8) or DCM (n = 16), age = 57.5 +/- 10.7 years, LVEF = 25.3 +/- 8.5%, NT-proBNP levels = 8540 +/- 1703 ng/L) were included during a 15 month follow-up. OS parameters were significantly higher in patients than in controls. Distribution of MnSOD genotypes was 47% Val/Val-variant, 29.5% Ala/Val and 23.5% Ala/Ala variants. Severity of CS was more important in patients with Val/Val-variant and can be put in parallel with NT-proBNP levels (Val/Val-variant: 11 310 +/- 3875 ng/L vs Ala/Ala-variant: 6486 +/- 1375 ng/L and Ala/Val-variant: 6004 +/- 2228 ng/L; p < 0.05) and hemodynamic support duration (144.6 vs Ala/Val-variant: 108.8 h and Ala/Ala-variant: 52.5 h; p < 0.05) with a positive correlation (Spearman rho = 0.72, p < 0.05). Moreover, Val/Val-variant significantly influenced the mortality (Spearman rho = 0.67, p < 0.05), but not the morbidity (p = 0.3). Distribution of GPx genotypes was 64% Pro/Pro, 18% Pro/Leu and 18% Leu/Leu. GPx variants influenced neither GPx activities nor cardiac events. In conclusion, CS was associated with markers of increased OS. GPx polymorphism did not influence the GPx activity. Only the Val-encoding MnSOD allele was significantly correlated with the severity and prognosis of CS. PMID- 21062214 TI - An assay for RNA oxidation induced abasic sites using the Aldehyde Reactive Probe. AB - There have been several reports describing elevation of oxidized RNA in ageing or age-related diseases, however RNA oxidation has been assessed solely based on 8 hydroxy-guanosine levels. In this study, Aldehyde Reactive Probe (ARP), which was originally developed to detect DNA abasic sites, was used to assess RNA oxidation. It was found that ARP reacted with depurinated tRNA(Phe) or chemically synthesized RNA containing abasic sites quantitatively to as little as 10 fmoles, indicating that abasic RNA is recognized by ARP. RNA oxidized by Fenton-type reactions, gamma-irradiation or peroxynitrite increased ARP reactivity dose dependently, indicating that ARP is capable of monitoring oxidized RNA mediated by reactive oxygen species or reactive nitrogen species. Furthermore, oxidative stress increased levels of ARP reactive RNA in cultured cells. These results indicate the versatility of the assay method for biologically relevant oxidation of RNA. Thus, this study developed a sensitive assay for analysis of oxidized RNA. PMID- 21062215 TI - Improvements in cognitive function following cardiac rehabilitation for older adults with cardiovascular disease. AB - Cognitive impairment is common in persons with cardiovascular disease (CVD). Cardiac rehabilitation (CR) improves many aspects of CVD linked to cognitive impairment. The current study explored whether CR may improve cognitive function. Potential mechanisms for cognitive changes were also examined through exploratory analyses, including changes in cardiovascular fitness and cerebral blood flow. Fifty-one older adults with CVD underwent neuropsychological assessment at baseline and discharge from a 12-week CR program. Cardiovascular fitness (i.e., metabolic equivalents [METs]) was estimated from a symptom-limited volitional stress test. Transcranial doppler quantified mean cerebral blood flow velocity and pulsatility indexes for the middle cerebral artery and anterior cerebral artery (ACA). Repeated measures ANOVA showed improvements in global cognition, attention-executive-psychomotor function, and memory. Exploratory analyses revealed improvement in METs and changes in ACA flow velocity, but only improvement in METs was related to improved verbal recall. CVD patients exhibited improvements in multiple cognitive domains following a 12-week CR program, suggesting that cognitive impairment is modifiable in this population. Although other studies are needed to elucidate underlying mechanisms, exploratory analyses suggest that cognitive improvements may be better explained by physiological processes other than improved cardiovascular fitness and cerebral blood flow. PMID- 21062216 TI - Age-related degeneration of the fornix in the human brain: a diffusion tensor imaging study. AB - As a part of the Papez circuit, the fornix carries information on episodic memory. Several diffusion tensor imaging (DTI) studies have reported on changes in the fornix that occur with aging; however, these studies have been controversial. Using DTI, we attempted to investigate age-related changes of the fornix in the human brain. Sixty subjects (30 males, 30 females; mean age, 49.2 years; range, 20-78 years) were recruited. We categorized subjects into three groups, including young (20-39 years), middle-aged (40-59 years), and older (60 79 years) adults. DTIs were acquired using a sensitivity-encoding head coil on a 1.5 T. We divided the whole fornix into three parts (column, body, and crus) and constructed tractography for each part. We measured fractional anisotropy (FA), apparent diffusion coefficient (ADC), and tract number for each part of the fornix. In all three parts of the fornix, the FA value and tract number decreased, whereas ADC value increased with aging. In addition, a linear regression model was fitted to all three DTI parameters in each part of the fornix. Degenerative change of the fornix in the human brain appears to have occurred at a near constant rate from the 20s to the30s throughout the lifespan. PMID- 21062217 TI - Use of primary culture of human fibroblasts in gingiva augmentation procedure. AB - BACKGROUND: The aim of this study was to assess gingival aesthetic after usage of an own method of primary culture of human fibroblasts derived from the connective tissue of oral cavity keratinized gingiva on collagenous carrier in gingival augmentation procedures. MATERIALS AND METHODS: Procedures were performed on 10 patients (7 females, 3 males) aged 18-35 years. In total, 34 teeth in the anterior part of the maxilla and the mandible underwent treatment. The protocol consisted of (1) preparing the patient for tissue biopsy, (2) biopsy of keratinized tissue, (3) laboratory tissue culture, (4) application of expanded cells into the recipient site, and (5) post-procedure management. Aesthetic index, pocket depth (PD), and plaque (PI1) and after surgery complications were examined. RESULTS: There was post-procedure aesthetic improvement in all 34 cases compared with the pre-procedure condition (grade 1), and furthermore a significant decrease in PD and PI1 were revealed. CONCLUSION: Use of own method of primary culture of human fibroblasts on a collagenous carrier for gingival augmentation is an aesthetic method of mucogingival complex repair. PMID- 21062218 TI - Antithrombin deficiency and its laboratory diagnosis. AB - Antithrombin (AT) belongs to the serpin family and is a key regulator of the coagulation system. AT inhibits active clotting factors, particularly thrombin and factor Xa; its absence is incompatible with life. This review gives an overview of the protein and gene structure of AT, and attempts to explain how glucosaminoglycans, such as heparin and heparan sulfate accelerate the inhibitory reaction that is accompanied by drastic conformational change. Hypotheses on the regulation of blood coagulation by AT in physiological conditions are discussed. Epidemiology of inherited thrombophilia caused by AT deficiency and its molecular genetic background with genotype-phenotype correlations are summarized. The importance of the classification of AT deficiencies and the phenotypic differences of various subtypes are emphasized. The causes of acquired AT deficiency are also included in the review. Particular attention is devoted to the laboratory diagnosis of AT deficiency. The assay principles of functional first line laboratory tests and tests required for classification are discussed critically, and test results expected in various AT deficiency subtypes are summarized. The reader is provided with a clinically oriented algorithm for the correct diagnosis and classification of AT deficiency, which could be useful in the practice of routine diagnosis of thrombophilia. PMID- 21062219 TI - Pharmacogenetics guided anticoagulation. AB - Advances in the field of human genetics has made it possible to develop prevention strategies for rare genetic disorders and to tailor pharmacotherapeutic approaches to anticoagulation and certain cancers. However, it is still not clear how genetic variations influence the risk and outcome of common diseases. Data from genome-wide association studies is just beginning to answer these questions. In contrast, pharmacogenetic knowledge is frequently not yet translated into clinical practice, even though in some cases, particularly regarding drugs used in treatment of thrombotic diseases (e.g., coumarines, platelet aggregation inhibitors), it is already known that testing for genetic variants prior to pharmacotherapy may help to prevent severe adverse drug reactions or avoid therapeutic failure. In this review, we address the potential impact that genetic alterations in the genes for vitamin K epoxide reductase and some cytochrome P450 variants may have on therapeutic strategies in anticoagulation. PMID- 21062220 TI - Pediatric thrombosis. AB - Thrombotic risk factors and thrombosis in children has been receiving increased attention. True idiopathic thrombosis is extremely rare in children. Most patients have a significant underlying medical condition and the presence of a central catheter is the most important risk factor. Children are more likely than adults to have one or more significant genetic abnormality or coagulation deficiency. This review discusses problems concerning the heterogeneity of thrombotic states in children and highlights the importance of understanding the concept of developmental hemostasis. Issues regarding step-wise test selection and the interpretation of results are addressed, as well as basic monitoring of anticoagulant drug effects. PMID- 21062221 TI - Special issue of the 10th EFCC Continuous Postgraduate Course in Clinical Chemistry: "New trends in classification, diagnosis and management of thrombophilia", October 2010, Dubrovnik, Croatia. PMID- 21062222 TI - Carbon nanotubes in animal models: a systematic review on toxic potential. AB - Amongst the engineered nanomaterials, especially carbon nanotubes (CNTs) have received considerable attention for application in tissue engineering scaffolds. CNTs are considered promising on behalf of their physicochemical properties, yet such nanomaterials also have been associated with potentially hazardous effects on human health. To gain insight into the toxicity aspects of CNTs in vivo, the present study presents a systematic review of literature. After screening of literature through defined inclusion and exclusion criteria, and subsequent data extraction, it can be concluded that pulmonary administered CNTs have the capacity to induce toxicity in the lung area. However, conclusions for other organs, or on systemic toxicity, are yet premature. In addition, the carcinogenic potential of CNTs is also still ambiguous, because contradictive results are presented. Intrinsic factors, such as material characteristics, and associated distribution and agglomeration patterns influence the toxic potential of CNTs. Similarly, environmental factors such as the exposure route, preexisting allergies, pathological infections, or air pollutant exposure are significant. Despite the many reports published currently, more studies will be required to gain full understanding of the toxic potential of CNTs and especially the underlying mechanisms. For this end, development of standardized protocols and reliable nanodetection techniques will form prerequisites. PMID- 21062223 TI - Phylogenetic analysis of full-length pol gene from Korean hemophiliacs and plasma donors infected with Korean subclade B of HIV type 1. AB - There was an outbreak of HIV-1 transmission among 20 out of 122 Korean hemophiliacs from 1990. We assessed the genetic relationships among HIV-1 viruses found in three cash-paid plasma donors whose preseroconversion plasma was used to produce Korean-made clotting factor, 20 hemophiliacs infected with HIV-1 in Korea, three hemophiliacs infected with HIV-1 from clotting factor manufactured outside Korea, and 71 local control patients infected with the Korean subclade of HIV-1 subtype B (KSB). Full-length pol gene sequences (2841 bp) of viruses from frozen stored serum, samples obtained 1-3 years after diagnosis, were amplified by RT-PCR and sequenced by direct DNA sequencing. Phylogenetic and signature pattern analyses were used to investigate the relationships among the sequences. Donors O and P were associated with two clusters, of 8 and 12 hemophiliacs, respectively, which were demarcated from the 71 KSB-infected local control patients and donor R. These data confirm that HIV-1 transmission to 20 hemophiliacs occurred through infusion of Korean-made clotting factor. PMID- 21062224 TI - Epithelial-mesenchymal interactions as a working concept for oral mucosa regeneration. AB - Oral mucosa consists of two tissue layers, the superficial epithelium and the underlying lamina propria. Together, oral mucosa functions as a barrier against exogenous substances and pathogens. In development, interactions of stem/progenitor cells of the epithelium and mesenchyme are crucial to the morphogenesis of oral mucosa. Previous work in oral mucosa regeneration has yielded important clues for several meritorious proof-of-concept approaches. Tissue engineering offers a broad array of novel tools for oral mucosa regeneration with reduced donor site trauma and accelerated clinical translation. However, the developmental concept of epithelial-mesenchymal interactions (EMIs) is rarely considered in oral mucosa regeneration. EMIs in postnatal oral mucosa regeneration likely will not be a simple recapitulation of prenatal oral mucosa development. Biomaterial scaffolds play an indispensible role for oral mucosa regeneration and should provide a conducive environment for pivotal EMIs. Autocrine and paracrine factors, either exogenously delivered or innately produced, have rarely been and should be harnessed to promote oral mucosa regeneration. This review focuses on a working concept of epithelial and mesenchymal interactions in oral mucosa regeneration. PMID- 21062226 TI - An appraisal of statistical procedures used in derivation of reference intervals. AB - When conducting studies to derive reference intervals (RIs), various statistical procedures are commonly applied at each step, from the planning stages to final computation of RIs. Determination of the necessary sample size is an important consideration, and evaluation of at least 400 individuals in each subgroup has been recommended to establish reliable common RIs in multicenter studies. Multiple regression analysis allows identification of the most important factors contributing to variation in test results, while accounting for possible confounding relationships among these factors. Of the various approaches proposed for judging the necessity of partitioning reference values, nested analysis of variance (ANOVA) is the likely method of choice owing to its ability to handle multiple groups and being able to adjust for multiple factors. Box-Cox power transformation often has been used to transform data to a Gaussian distribution for parametric computation of RIs. However, this transformation occasionally fails. Therefore, the non-parametric method based on determination of the 2.5 and 97.5 percentiles following sorting of the data, has been recommended for general use. The performance of the Box-Cox transformation can be improved by introducing an additional parameter representing the origin of transformation. In simulations, the confidence intervals (CIs) of reference limits (RLs) calculated by the parametric method were narrower than those calculated by the non parametric approach. However, the margin of difference was rather small owing to additional variability in parametrically-determined RLs introduced by estimation of parameters for the Box-Cox transformation. The parametric calculation method may have an advantage over the non-parametric method in allowing identification and exclusion of extreme values during RI computation. PMID- 21062227 TI - An outline for a vocabulary of nominal properties and examinations--basic and general concepts and associated terms. AB - Scientists of disciplines in clinical laboratory sciences have long recognized the need for a common language for efficient and safe request of investigations, reporting of results, and communication of experience and scientific achievements. Widening the scope, most scientific disciplines, not only clinical laboratory sciences, rely to some extent on various nominal examinations, in addition to measurements. The 'International vocabulary of metrology--Basic and general concepts and associated terms' (VIM) is designed for metrology, science of measurement. The aim of the proposed vocabulary is to suggest definitions and explanations of concepts and terms related to nominal properties, i.e., properties that can be compared for identity with other properties of the same kind-of-property, but that have no magnitude. PMID- 21062228 TI - Development of a candidate secondary reference procedure (immunoassay based measurement procedure of higher metrological order) for cardiac troponin I: I. Antibody characterization and preliminary validation. AB - In this study, the first steps in the development of a secondary reference measurement procedure (RMP) 'higher metrological order measurement procedure' to support the cardiac troponin I (cTnI) standardization initiative is described. The RMP should be used to assign values to serum-based secondary reference materials (RMs) without analytical artifacts causing bias. A multiplexed bead based assay and sodium dodecyl sulfate polyacrylamide gel electrophoresis (SDS PAGE) were used to identify the optimum monoclonal antibody pair (clones 560 and 19C7) for the RMP. Using these antibodies, an ELISA-based procedure was developed to accurately measure the main cTnI forms present in blood. The proposed RMP appears to show no bias when tested on samples containing various troponin complexes, phosphorylated and dephosphorylated forms, and heparin. The candidate assay displayed suitable linearity and sensitivity (limit of detection, 0.052 MUg/L) for the measurement of the proposed cTnI secondary RMs. Preliminary comparison data on patient samples with a commercial cTnI assay are also provided to support the suitability of RMP for value assignment to RMs. Full validation and final assessment of the RMP will be performed through transferability and inter-comparison studies. PMID- 21062229 TI - Functional neovascularization in tissue engineering with porcine acellular dermal matrix and human umbilical vein endothelial cells. AB - Endothelial cells-matrix interactions play an important role in promoting and controlling network formation. In this study, porcine acellular dermal matrix (PADM) was used to guide human umbilical vein endothelial cells (HUVECs) adhesion and proliferation as a potential system for vascularization of engineered tissues. We fabricated PADM using a modified protocol and assessed their composition and ultrastructures. Subsequently, the viability of HUVECs and the formation of capillary-like networks were evaluated by seeding cells directly on PADM scaffolds or PADM digests in vitro. We further investigated the function of the HUVECs seeded on the PADM scaffolds after subcutaneous transplantation in athymic mice. Moreover, the function of the neovessels formed in the PADM scaffolds was assessed by implantation into cutaneous wounds on the backs of mice. The results showed that PADM scaffolds significantly increased proliferation of HUVECs, and the PADM digest induced HUVECs formed many tube-like structures. Moreover, HUVECs seeded on the PADM scaffolds formed numerous capillary-like networks and some perfused vascular structures after implantation into mice. PADM seeded with HUVECs and fibroblasts were also able to form many capillary-like networks in vitro. Further, these neovessels could inosculate with the murine vasculature after implantation into cutaneous wounds in mice. The advantage of this method is that the decellularized matrix not only provides signals to maintain the viability of endothelial cells but also serves as the template structure for regenerated tissue. These findings indicate that PADM seeded with HUVECs may be a potential system for successful engineering of large, thick, and complex tissues. PMID- 21062230 TI - Biological versatility of crotamine--a cationic peptide from the venom of a South American rattlesnake. AB - IMPORTANCE OF THE FIELD: Molecules isolated from animals, insects, plants or microorganisms can provide prototypes for design of biopharmaceutical products. Some venom toxins and their derivatives are used in medicine, while others provide templates for development of new drugs. AREAS COVERED IN THIS REVIEW: The mild toxin, crotamine, a small basic low-molecular-weight polypeptide purified from the venom of a South American rattlesnake, Crotalus durissus terrificus. Crotamine was discovered more than 50 years ago and only in the past six years has its exceptional biological versatility been demonstrated. Particularly, its cell-penetrating ability, which allows crotamine to cross cell membranes and to accumulate in the nucleus; its use for intracellular vesicle tracking and as a cell cycle marker and its capability for delivering DNA into replicating mammalian cells. Both antimicrobial action and potential selective antitumor activity of crotamine have also been found. WHAT THE READER WILL GAIN: Multidisciplinary approaches and pathways of discovery placed crotamine in a rare category of versatile biomolecules, in which concentration, molecular target preference, structural ancestry and specificity toward biological membranes play an integral role. TAKE HOME MESSAGE: Crotamine is a druggable peptide with high potential for use as an imaging agent for detecting dividing cells, for intracellular delivery of hydrophilic biomolecules, and as an alternative chemotherapeutic compound against aggressive types of cancer. PMID- 21062231 TI - The multivalent activity of the tissue factor-thrombin pathway in thrombotic and non-thrombotic disorders as a target for therapeutic intervention. AB - IMPORTANCE OF THE FIELD: Tissue factor (TF) is the key initiator of the coagulation cascade. The exposure of subendothelial TF after vessel injury to blood is a critical step in hemostasis and in the pathogenesis of arterial and venous thrombotic disorders. Moreover, an additional role for TF overexpression and subsequent generation of TF:FVIIa complex, FXa and thrombin have been recently emerged, contributing in non-thrombotic manifestations such as inflammation, cancer growth and fibrosis. AREAS COVERED IN THIS REVIEW: The multivalent role of TF and the above mentioned proteases in disease is reviewed, with focus on their implication in non-thrombotic disorders, as suggested by clinical and experimental data. Moreover, potential therapeutic interventions using anticoagulation agents are discussed. WHAT THE READER WILL GAIN: A better understanding of the pathogenic role of the TF-thrombin pathway in the pathogenesis of disease and the effect of anticoagulants in the treatment of such disorders. TAKE HOME MESSAGE: The TF-thrombin pathway, apart from the initiation of hemostasis and thrombosis, exert intracellular signaling activity through protease-activated receptors, participating in inflammation and tumor biology. Both low-molecular-weight heparins and recently developed anticoagulants rise as candidates for the modification of biological functions associated with disorders like sepsis, ischemia-reperfusion or cancer growth and metastasis. PMID- 21062232 TI - Development of sustained release formulation of an antithrombotic drug and application of Fuzzy logic. AB - Clopidogrel bisulphate has quite low bioavailability (40-50%). It was aimed to increase its bioavailability by designing a controlled release dosage form of clopidogrel, which is different from available current dosage forms in the market. There are also some attempts to overcome patent protection of clopidogrel by combination of active substances or preparation of controlled release tablets. Therefore, it was also aimed to determine in vitro and in vivo properties of controlled release clopidogrel tablets. The amounts of releases from formulations were subjected computer program and effects of components in the formulation on release were investigated (INFORM v.3.7 and FORMRULES, Intelligensys Ltd). Two sustained release formulations and innovator product were selected and their effectiveness was compared by in vivo tests in rabbits. In conclusion, proposed controlled release formulations were found to be an alternative and to be more effective for longer periods than the commercial one. PMID- 21062233 TI - The inconstancy of telecommunications. PMID- 21062234 TI - Access to mobile communication technology and willingness to participate in automated telemedicine calls among chronically ill patients in Honduras. AB - OBJECTIVES: patients in underdeveloped countries may be left behind by advances in telehealthcare. We surveyed chronically ill patients with low incomes in Honduras to measure their use of mobile technologies and willingness to participate in mobile disease management support. MATERIALS AND METHODS: 624 chronically ill primary care patients in Honduras were surveyed. We examined variation in telephone access across groups defined by patients' sociodemographic characteristics, diagnoses, and access to care. Logistic regression was used to identify independent correlates of patients' interest in automated telephonic support for disease management. RESULTS: participants had limited education (mean 4.8 years), and 65% were unemployed. Eighty-four percent had telephone access, and 78% had cell phones. Most respondents had voicemail (61%) and text messaging (58%). Mobile technologies were particularly common among patients who had to forego clinic visits and medications due to cost concerns (each p < 0.05). Most patients (>80%) reported that they would be willing to receive automated calls focused on appointment reminders, medication adherence, health status monitoring, and self-care education. Patients were more likely to be willing to participate in automated telemedicine services if they had to cancel a clinic appointment due to transportation problems or forego medication due to cost pressures. CONCLUSIONS: even in this poor region of Honduras, most chronically ill patients have access to mobile technology, and most are willing to participate in automated telephone disease management support. Given barriers to in-person care, new models of mobile healthcare should be developed for chronically ill patients in developing countries. PMID- 21062235 TI - Identification and immunolocalization of the innate immune receptor CD14 in hypertrophic adenoids and tonsils. AB - The purpose of this study is to determine the expression of CD14 as a marker of the innate immunity in hypertrophic adenoids and tonsils. Twenty-four pediatric patients (age <12 years) with obstructive adenotonsillar hypertrophy, confirmed by sleep study were included in this study. Intensity and expression of positive CD14 infiltrating cells was assessed by immunohistochemistry in specific histologic areas. In tonsils, CD14 immunoreactivity was demonstrated in intraepithelial lymphocytes located in the basal layer of the stratified squamous mucoepithelium. CD14 expression was significantly higher in mucosal layers and inter-follicular areas of tonsils than adenoid tissues [(p < 0.001), (p = 0.021), respectively]. CD14 expression was significantly higher in the submucosal layers of adenoids than tonsil tissues (p = 0.002). Hypertrophic adenoids and tonsils from children with OSA are prominent sites of innate defense, with over expression of CD14. The enhanced expressions of CD14 cells in adenoids and tonsils may be an important factor for the development and persistence of adenoids and tonsils enlargement causing OSA in children. CD14 expression in adenoids and tonsils illustrates an important immunological sentinel function of the innate immunity of the upper airway. PMID- 21062236 TI - Identification of HLA-DRB1 alleles associated with Graves' disease in Koreans by sequence-based typing. AB - The human leukocyte antigen (HLA) region, particularly class II genes, plays a primary role in the susceptibility to development of GD. We investigated the allelic polymorphism of HLA class II DRB1 genes to examine its association with GD in Koreans. We performed the high resolution polymerase chain reaction sequence based typing (PCR-SBT) of HLA-DRB1 in 133 patients with GD and 200 healthy controls. Compared to healthy controls, the patients with GD had increased frequencies of DRB1*030101 (4.9% vs.1.8%, p = 0.034), DRB1*080201 (5.3% vs. 2.3%, p = 0.050) and DRB1*140301 (3.4% vs. 1.0%, p = 0.043). In contrast, the frequencies of DRB1*070101 (3.0% vs. 7.3%, p = 0.024) and DRB1*130201 (4.1% vs. 9.0%, p = 0.010) were decreased in the patients with GD. However, the corrected p values were not significant in above all alleles. Patients with DRB1*040301 were significantly older than controls (45 years vs. 35 years, p = 0.017). DRB1*040301, DRB1*150201, DRB1*120101 and DRB1*120201 were associated with male predominance, strong familial associations, thyroid ophthalmopathy and radioactive iodine therapy, respectively. In conclusion, there were no significant HLA-DRB1 alleles associated with GD in Koreans, although some alleles were correlated with the clinical characteristics. PMID- 21062237 TI - Immunohistochemical study of netrin-1 in the spinal cord with rat experimental autoimmune encephalomyelitis. AB - To investigate whether netrin-1 is involved in autoimmune injury of the central nervous system, the expression of netrin-1 protein was analyzed in the spinal cord of Lewis rats with experimental autoimmune encephalomyelitis (EAE). Western blot analysis revealed significantly increased content of netrin-1 in the spinal cords of rats at the peak stage of EAE, as compared with the levels in normal control animals (p < 0.01). Immunohistochemistry detected the netrin-1 protein in neurons, oligodendrocytes, astrocytes and vascular endothelial cells in the spinal cords of normal controls. In EAE-affected spinal cords, netrin-1 immunoreactivity was detected in infiltrating inflammatory cells at the peak stage as well as in neurons, oligodendrocytes and astrocytes. These results suggest that netrin-1 is transiently increased in rat EAE lesions, where it contributes to the modulation of rat acute EAE. PMID- 21062238 TI - Nano anti-cancer drugs: pros and cons and future perspectives. AB - For last one decade, scientists are working for developing nano anti-cancer drugs with claim of ideal ones due to their targeted chemotherapic nature. These drugs have many beneficial properties such as targeted drug delivery and gene therapy modalities with minimum side effects. This article describes pros and cons and future perspectives of nano anti-cancer drugs. Efforts have been made to address importance, special features, toxicities (general, blood identities, immune system and environmental) and future perspectives of nano anti-cancer drugs. It was concluded that nano anti-cancer drugs may be magic bullet drugs for cancer treatment leading to bright future of the whole world. PMID- 21062239 TI - High therapeutic potential for systemic delivery of a liposome-conjugated herpes simplex virus. AB - PURPOSE: Oncolytic viral therapy is a newly developed modality to treat tumors. Many clinical trials worldwide have examined the efficacy of locally injected oncolytic viruses. However, systemic intravascular injections are limited by the humoral immune response, which dramatically decreases the level of infection. To overcome this limitation, we encapsulated the oncolytic virus in liposomes. METHODS: The infectious properties of the herpes simplex virus type 1 (HSV-1) mutant, hrR3, with or without liposomes in the presence of neutralizing antibodies were evaluated using replication and cytotoxicity assays in vitro. To evaluate the efficacy of intravascular virus therapy with liposomes in the presence of neutralizing antibodies, immunized mice bearing multiple liver metastases were intraportally or peritoneally administered hrR3 or hrR3 complexed with liposomes. RESULTS: Anti-HSV antibodies attenuated the infectiousness and cytotoxicity of hrR3, whereas hrR3/liposome complexes were not attenuated by these anti-HSV antibodies. Although the survival rate of non-immunized mice treated with hrR3 alone was similar to that of mice treated with the hrR3/liposome complexes, the survival rates of immunized mice treated with hrR3 alone were significantly reduced compared to mice treated with the hrR3/liposome complexes. CONCLUSIONS: This systemic intravascular delivery of hrR3/liposome complexes in the presence of pre-existing neutralizing antibodies is effective to treat multiple liver metastases. PMID- 21062240 TI - Chronic vaccination with a therapeutic EGF-based cancer vaccine: a review of patients receiving long lasting treatment. AB - Therapeutic vaccines continue to be one of the most active fields in cancer research. However, despite clear evidence of antitumor effect in laboratory animals, and despite the ability of current vaccine candidates to elicit tumor specific antibodies and T-cells in humans, objective responses in the clinical trials are rare. The role of therapeutic vaccines in advanced cancer patients, if any, would be to decrease the rate of disease progression and to increase survival and quality of life. Due to the redundant regulatory loops contracting the immune response to antigens that cannot be eliminated, such a role would require chronic vaccination, which is at first sight at odds with the classic experience of vaccinology. During the last decade our team has been developing a therapeutic vaccine for advanced lung cancer, which consists in human recombinant Epidermal Growth Factor (EGF) chemically conjugated to a carrier protein from Neisseria meningitides. Several clinical trials have been carried out, showing increase in anti-EGF antibody titters, decrease in plasma EGF concentration and survival advantage in vaccinated patients. In the present paper we review data from 58 patients who were vaccinated monthly for more than one or two years. Long term vaccination was feasible and safe, and there was no evidence of cumulative toxicity. Patients kept high anti-EGF antibody titters during all the time of vaccination, without evidence of immune response exhaustion. Continued vaccination increased the probability to get a high antibody response, which has been previously shown to be, in turn, associated with a better survival. Observations done in this series of patients suggest that long term therapeutic vaccination is a feasible strategy, worth to be further explored in the aim of transforming advanced cancer into a chronic disease. PMID- 21062241 TI - Cancer vaccines in phase II/III clinical trials: state of the art and future perspectives. AB - The topic of this review covers a very important branch of cancer research, cancer vaccination. The growing knowledge in tumor immunology has evolved rapidly, starting from nonspecific generic stimulation of the immune system to more specific approaches based on the availability of tumor antigens. The review covers molecular and cell biology, and pharmaceutical technology of cancer vaccines. Particularly, it is aimed at highlighting the results of cancer vaccines from phase II and III clinical trials, an issue that is of relevance to better understand how cancer vaccines can successfully complement antitumor therapy, including conventional chemotherapy and the recently developed target based drugs. PMID- 21062242 TI - Current treatment concepts of Philadelphia-negative MPN. AB - Since William Dameshek has described the concept of "myeloproliferative disorders (MPD)" by identifying common clinical characteristics (i.e. hemorrhage, thrombosis and leukemic transformation) of polycythemia vera (PV), essential thrombocythemia (ET), and primary myelofibrosis (PMF), the advent of molecular biology has provided substantial molecular insight into the pathobiology of myeloproliferative neoplasia (MPN). Recently, the description of the gain-of function mutation of JAK2 (JAK2V617F) has been identified in classical Philadelphia (Ph)-negative MPN, thus providing a rational target for novel innovative treatment strategies. In addition, molecular characterization of atypical Ph-negative MPN (e.g. the KITD816V mutation in mastocytosis and PDGF receptor rearrangements in hypereosinophilic syndromes/chronic eosinophilic leukemia) complement the molecular knowledge of this heterogeneous disease family. Currently, clinical studies testing various JAK2-inhibitors in PV, ET as well as in primary and secondary myelofibrosis (MF) are under way. Interestingly, first data indicate that despite marked clinical activity in terms of spleen size reduction and improvement of constitutional symptoms, these inhibitors might not sufficiently reduce disease burden. Thus, alternative and well established treatment strategies, such as inhibition of thrombocyte aggregation by low dose aspirin, cytotoxics (e.g. hydroxyurea), immuno- and stroma-modifying therapy with interferon, tyrosine kinase inhibitors and, in selected cases, allogeneic stem cell transplantation are still important treatment options for patients suffering from MPN, which will be discussed in detail in this review. PMID- 21062243 TI - Targeting of leukemia-initiating cells to develop curative drug therapies: straightforward but nontrivial concept. AB - The concept of leukemic stem cells (LSC) is increasingly employed to explain the biology of various myeloid neoplasms and to screen for essential targets, with the hope to improve drug therapy through elimination of disease-initiating cells. Although the stem cell hypothesis may apply to all neoplasms, leukemia-initiating cells have so far only been characterized in some detail in advanced acute (AML) and chronic myeloid leukemia (CML). An intriguing observation is that although expressing various targets, LSC often remain unresponsive against most drugs, presumably because of 'intrinsic' resistance. Moreover, LSC represent heterogeneous populations of cells, grow in separate subclones, and acquire numerous defects, which points to substantial genetic instability and stem cell plasticity. The situation is complicated by the fact that stem cell evolution is a step-wise process with variable latency periods, so that many LSC-derived subclones remain small (undetectable) at diagnosis, but later, during therapy, may expand to a dominant clone and clinically overt relapsing disease. Finally the interaction between LSC and the microenvironment may contribute to stem cell function and LSC resistance. Taking all these considerations into account, the application of broadly acting targeted drugs and of drug combinations has been proposed in order to better suppress or even eliminate LSC in AML and CML. The current article provides a summary of our knowledge on LSC in various myeloid neoplasms with special reference to novel arising treatment concepts. PMID- 21062244 TI - Molecular pathogenesis of Philadelphia-positive chronic myeloid leukemia - is it all BCR-ABL? AB - CML is characterized by the presence of the Philadelphia chromosome, which is the product of a reciprocal translocation between chromosomes 9 and 22 that results in the formation of BCR-ABL1. Apart from its diagnostic importance in CML patients BCR-ABL1 it is a potent oncogene. The natural evolution of CML is to progress into accelerated phase and blast crisis after a rather indolent chronic phase. Clinical experience shows that long term remissions can be achieved at a high rate at least in chronic phase by specific inhibition of BCR-ABL1. This underlines the importance of BCR-ABL1 at this stage of the disease. However, in accelerated phase and blast crisis the effect of these substances is of inferior importance as relapses are the rule rather than the exception. Treatment failure in advanced disease is frequent in patients without detectable resistance mechanisms such as BCR-ABL1-mutations, which suggests that the previously BCR ABL1 dependent pathways probably become autonomous. Such pathways include signal transduction as well as DNA damage surveillance and repair. Especially the latter appear to be crucial for disease progression by causing genetic instability, accumulation of mutations and additional chromosomal alterations leading to the loss of tumor suppressors. How is BCR-ABL1 organized on the genetic level, is there a genetic precursor lesion as discussed for Philadelphia-negative myeloproliferative diseases, what is its role in pathogenesis and progression of CML and what is its role in the CML-stem cell? These questions will be discussed in this review. PMID- 21062245 TI - Current treatment concepts of CML. AB - The elucidation of the triggering molecular mechanism of chronic myeloid leukemia gave rise to the development of imatinib, a tyrosine kinase inhibitor and a prototype of target-oriented drugs. Imatinib led to impressing response and survival rates and now represents the standard therapy of CML. However, a significant proportion of patients do not tolerate or fail to respond to imatinib treatment. Alternative therapies can be offered to those patients. The particular challenge of CML patient management is to recognize an impending imatinib failure by adequate surveillance and to know about therapeutic options to prevent progression of the disease to accelerated phase or blast crisis since these are more difficult to control. Targeted therapy with second-generation tyrosine kinase inhibitors should be used in synopsis with mutational analysis and the patients' history. In this review we present current knowledge of diagnosis, monitoring and therapy strategies of patients with CML. PMID- 21062246 TI - Molecular pathogenesis of Philadelphia chromosome negative chronic myeloproliferative neoplasms. AB - Clonal hematopoiesis triggered by somatic mutations plays a central role in the pathogenesis of Philadelphia chromosome negative chronic myeloproliferative neoplasms (MPNs). After the discovery of JAK and MPL mutations, continual technological advances have led to the identification of increasing numbers of genetic defects in MPN patients, most of them chromosomal aberrations such as deletions and acquired uniparental disomies. Although efforts to map the genetic lesions to single genes resulted in the discovery of defects in the TET2 and CBL genes, most of the target genes comprised in the chromosomal lesions still remain to be identified. In this review, the different genetic defects found in MPN and their relationships to each other and to disease pathogenesis are critically evaluated. At present, most of the evidence points to a random acquisition of phenotypic and non phenotypic mutations contributing to clonal heterogeneity in MPN. The origin of genetic instability in context of hereditary factors and the common JAK2 haplotype predisposing for the disease are discussed. Furthermore, we address how the choice of therapeutic approaches could be influenced by the genetic complexity. PMID- 21062247 TI - Immunotherapy for myeloproliferative neoplasms (MPN). AB - The four major entities that form the group of myeloproliferative neoplasms (MPN) are BCR-ABL positive chronic myeloid leukaemia (CML), chronic idiopathic myelofibrosis (CIMF), essential thrombocythemia (ET) and polycythemia vera (PV). All four are clonal diseases of the haematopoietic stem or precursor cell, they are of a chronic nature and potentially aggravate to myelofibrosis or transform into acute leukaemia. Several strategies are pursued in the treatment of MPN. On the one hand, targeted therapies such as tyrosine kinase inhibitors (imatinib, dasatinib, nilotinib) and JAK2-inhibitors are adopted in MPN as well as rather unspecific treatment with interferon-alpha and with the newer group of immunomodulatory drugs (IMIDs). On the other hand, cellular immunotherapeutical options as allogeneic haematopoietic stem cell transplantation (HSCT) and donor lymphocyte infusion (DLI) are exerted in patients with MPN. Evidence resulting from graft-versus-leukaemia (GvL) effect was the key to develop more specific immunotherapies for patients with haematologic malignancies. In this context, CML is a model for immunotherapeutic approaches, and therefore, vaccination trials using peptides derived from leukaemia-associated antigens (LAAs) to stimulate specific T cells are currently under investigation. But also in BCR-ABL-negative MPNs, antigens have been identified and immunomodulatory treatment strategies have been performed. All of the current immunotherapeutical options in patients with MPN will be discussed throughout this review. PMID- 21062248 TI - Neuronal histamine and its receptors: implication of the pharmacological treatment of obesity. AB - Obesity is the effect of imbalance between energy intake and expenditure and forms a fundamental basis of the metabolic syndrome. A number of substances implicated in the regulation of energy metabolism represent opportunities for anti-obesity drug development. Neuronal histamine and its receptors have been shown to regulate energy metabolism and are considered as anti-obesity targets. Several histamine receptor subtypes have been identified; of these, histamine H1 and H3 receptors (H1-R and H3-R) have been specifically recognized as mediators of energy intake and expenditure. In addition, several histamine drugs related to H1-R and H3-R, have been shown to attenuate body weight gain both in rodent and human. These results provide the reagents for histamine receptors biology and may find applications in the treatment of obesity and related metabolic disorders. In this review, the development of agonists and antagonists of histamine receptors are provided. PMID- 21062249 TI - Anti-platelet therapy and aspirin resistance - clinically and chemically relevant? AB - Platelets play a central role in the pathogenesis of the atherothrombosis which ultimately causes myocardial infarction, stroke and peripheral vascular disease. Commonly used oral anti-platelet drugs include aspirin (an irreversible inhibitor of cyclo-oxygenase), clopidogrel (an ADP receptor antagonist), other thienopyridines such as ticlopidine and prasgruel, and dipyridamole (an inhibitor of adenosine reuptake and platelet phosphodiesterase). Newer agents are in development and one, ticagrelor, a reversible ADP receptor antagonist has shown promise. Despite their proven benefit, recurrent vascular events still occur in those taking anti-platelet drugs. This has led to the concept of anti-platelet resistance, most commonly aspirin resistance as this drug is the cornerstone of most regimens. The causes of aspirin resistance are numerous but potential mechanisms include lack of patient adherence, non COX-1 mediated thromboxane A2 synthesis, increased activity of alternate platelet activation pathways, interference of aspirin action by other drugs and probably pharmacogenetic factors. Measurement of platelet response to aspirin is made possible using a number of in-vitro laboratory assays of platelet function which include measurement of thromboxane A2 metabolites as well as newer point-of-care assays of platelet aggregation. The phenomenon of aspirin resistance is important as it raises the possibility of developing strategies to identify those who respond best to a particular anti-platelet regimen, or to development of newer anti platelet therapies to which more patients respond. This review discusses important aspects of aspirin resistance both in terms of clinical medicine, alternative anti-platelet strategies, and the potential to overcome its various causes. PMID- 21062250 TI - Nanoparticles: functionalization and multifunctional applications in biomedical sciences. AB - Rapid innovations in nanomedicine have increased the likelihood that engineered nanomaterials will eventually come in contact with humans and the environment. The advent of nanotechnology has created strong interest in many fields such as biomedical sciences and engineering field. Central to any significant advances in nanomaterial based applications will be the development of functionalized nanoparticles, which are believed to hold promise for use in fields such as pharmaceutical and biomedical sciences. Early clinical results have suggested that functionalization of nanoparticles with specific recognition chemical moieties indeed yields multifunctional nanoparticles with enhanced efficacy, while simultaneously reducing side effects, due to properties such as targeted localization in tumors and active cellular uptake. A prerequisite for advancing this area of research is the development of chemical methods to conjugate chemical moieties onto nanoparticles in a reliable manner. In recent years a variety of chemical methods have been developed to synthesize functionalized nanoparticles specifically for drug delivery, cancer therapy, diagnostics, tissue engineering and molecular biology, and the structure-function relationship of these functionalized nanoparticles has been extensively examined. With the growing understanding of methods to functionalize nanoparticles and the continued efforts of creative scientists to advance this technology, it is likely that functionalized nanoparticles will become an important tool in the above mentioned areas. Therefore, the aim of this review is to provide basic information on nanoparticles, describe previously developed methods to functionalize nanoparticles and discuss their potential applications in biomedical sciences. The information provided in this review is important in regards to the safe and widespread use of functionalized nanoparticles particularly in the biomedicine field. PMID- 21062251 TI - The recent medicinal chemistry development of Jak2 tyrosine kinase small molecule inhibitors. AB - Since the discovery of the Jak2-V617F mutation as the causative agent in a large number of myeloproliferative neoplasms (MPNs), there has been a drive to develop Jak2 specific inhibitors that can be used in therapy for MPN patients and other Jak2-related pathologies. Over the past few years, a number of research groups have sought to develop Jak2 tyrosine kinase inhibitors. These compounds are currently in pre-clinical or clinical trials. Unfortunately, there is still a need for more potent, specific, and orally bioavailable drugs to treat these diseases. Within the past twelve months, a variety of medicinal chemistry techniques have produced several lead compounds that exhibit promising Jak2 inhibitory properties. The majority of these inhibitors target the Jak2 kinase domain in general and the ATP-binding pocket in particular. In this review, we summarize these studies and discuss the structure activity relationship (SAR) properties of several compounds. As we learn more about the key structural components that provide potency and specificity in Jak2 inhibition, we will come closer to finding suitable treatment options for individuals suffering from Jak2 mediated pathologies. PMID- 21062252 TI - Organotypic cultures as tools for testing neuroactive drugs - link between in vitro and in-vivo experiments. AB - The development of neuroactive drugs is a time consuming procedure. Candidate drugs must be run through a battery of tests, including receptor studies and behavioural tests on animals. As a rule, numerous substances with promising properties as assessed in receptor studies must be eliminated from the development pipeline in advanced test phases because of unforeseen problems like intolerable side-effects or unsatisfactory performance in the whole organism. Clearly, test systems of intermediate complexity would alleviate this inefficiency. In this review, we propose cultured organotypic brain slices as model systems that could bridge the 'interpolation gap' between receptors and the brain, with a focus on the development of new general anaesthetics with lesser side effects. General anaesthesia is based on the modulation of neurotransmitter receptors and other conductances located on neurons in diverse brain regions, including cerebral cortex and spinal cord. It is well known that different components of general anaesthesia, e.g. hypnosis and immobility, are produced by the depression of neuronal activity in distinct brain regions. The ventral horn of the spinal cord is an important structure for the induction of immobility. Thus, the potentially immobilizing effects of a newly designed drug can be estimated from its depressant effect on neuronal network activity in cultured spinal slices. A drug's sedative and hypnotic potential can be examined in cortical cultures. Combined with genetically engineered mice, this approach can point to receptor subtypes most relevant to the drug's intended net effect and in return can help in the design of more selective drugs. In conclusion, the use of organotypic cultures permits predictions of neuroactive properties of newly designed drugs on an intermediate level, and should therefore open up avenues for a more creative and economic drug development process. PMID- 21062253 TI - Pharmacodynamic studies of Chinese medicine at levels of whole animal, cell and molecular models. AB - Traditional Chinese medicine (TCM) has undergone a long history of clinical practice, which can arrive at ideal therapeutic effects by regulating the body's overall function. However, the complex nature of TCM determines a difficult study on the mechanism and material base of TCM. The current investigations of TCM indicate that the development of modern biotechnology will offer a strong arm in the process of the study. This review focused on the application of the modern biotechnology, including transgenic, gene knockout, cell membrane chromatography (CMC), molecular biochromatography (MBC), gene chips, proteomics, etc. in the research of pharmacodynamic effects of TCM at levels of whole animal, cell and molecular models over the past decade. The whole animal models established by the transgenic and gene knockout technology can truly reflect the characteristics of the target gene activity. Thereby the created animal model could share the pathology of maximum degree of approximation. Cellular models are especially suitable for the situation that functional proteins, enzymes, or drug targets are difficult to separate, or the characteristics of the drugs are unidentified. The utilization of MBC can not only achieve high-throughput screening, but also directly detect the chemical composition of the active components relative to the receptors. Based on the remarkable progress of genomics and proteomics and the technique of gene chips, the bioactive components of TCM can be screened through observing the changes of genes or proteins before and after the compounds acting on the cells. PMID- 21062254 TI - Inflammation, adiponectin, obesity and cardiovascular risk. AB - The development of atherosclerotic lesions leading to myocardial infarction (MI) or stroke encompasses a cascade of cellular and molecular events that can well be characterized as a chronic immune-mediated inflammation occurring preferentially in the biologic surrounding of the so called metabolic syndrome. Adipokines, chemokines, cytokines, and their receptors are critically involved in the initiation and perpetuation of atherosclerosis, and they play important roles at all levels in the pathogenesis of this disease. Metabolic risk profiles associated with sedentary lifestyle, obesity, especially intra-abdominal fat accumulation, insulin resistance, and dyslipidemia pave the way for a chronic, immune-mediated vascular inflammation around vascular lipid deposits. In the present article, the impact of adiponectin, monocyte and T-cell associated cytokines (with emphasis on Neopterin), individual adipose tissue - distribution and pleiotropic drug effects on the individual course of atherosclerosis and associated cardiovascular disease are reviewed. PMID- 21062255 TI - Stem cell therapy for spinal cord injury. AB - Spinal cord injury (SCI) damages axons and disrupts myelination interrupting sensory and motor neuronal transmission to and from the brain. Patients suffering from SCI although continue to survive, are often left chronically disabled and with no promise of a cure. Advances in stem cell biology has opened up doors for the use of human embryonic, adult neural and induced pluripotent stem cell strategies for SCI. Despite great promise from animal research, clinical trials have been limited and the jury is still out on its safety and efficacy. This review discusses the advantages and disadvantages of the various stem cell types, barriers hindering translation from animal to humans, and the need for established guidelines for standardization of clinical trials ensuring subsequent implementation. Ultimately, unrealistic expectations of stem cell therapy (SCT) as the elixir for SCI should be managed. The success of SCT for SCI lies in the network of research scientists, medical professionals and patients working cooperatively to build up a knowledge-intensive platform for a comprehensive risk benefit assessment of SCT for SCI. PMID- 21062256 TI - Computational simulation of drug delivery at molecular level. AB - The field of drug delivery is advancing rapidly. By controlling the precise level and/or location of a given drug in the body, side effects are reduced, doses are lowered, and new therapies are possible. Nonetheless, substantial challenges remain for delivering specific drugs into specific cells. Computational methods to predict the binding and dynamics between drug molecule and its carrier are increasingly desirable to minimize the investment in drug design and development. Significant progress in computational simulation is making it possible to understand the mechanism of drug delivery. This review summarizes the computational methods and progress of four categories of drug delivery systems: dendrimers, polymer micelle, liposome and carbon nanotubes. Computational simulations are particularly valuable in designing better drug carriers and addressing issues that are difficult to be explored by laboratory experiments, such as diffusion, dynamics, etc. PMID- 21062257 TI - Basic mechanisms involved in the anti-cancer effects of melatonin. AB - It is commonly accepted that melatonin (N-acetyl-5-methoxytryptamine), the most relevant pineal secretory product, has oncostatic properties in a wide variety of tumors and, especially, in those identified as being hormonedependent. The objective of the present article is to offer a global and integrative view of the mechanisms involved in the oncostatic actions of this indoleamine. Due to the wide spectrum of melatonin's actions, the mechanisms that may be involved in its ability to counteract tumor growth are varied. These include: a) antioxidant effects; b) regulation of the estrogen receptor expression and transactivation; c) modulation of the enzymes involved in the local synthesis of estrogens; d) modulation of cell cycle and induction of apoptosis; e) inhibition of telomerase activity; f) inhibition of metastasis; g) prevention of circadian disruption; h) antiangiogenesis; i) epigenetic effects; j) stimulation of cell differentiation; and k) activation of the immune system. The data supporting each of these oncostatic actions of melatonin are summarized in this review. Moreover, the list of actions described may not be exhaustive in terms of how melatonin modulates tumor growth. PMID- 21062258 TI - The crosstalk between the matrix metalloprotease system and the chemokine network in acute myeloid leukemia. AB - Matrix metalloproteinases (MMPs) comprise a large family of zinc-dependent endopeptidases, which are best known for their ability to degrade essentially all components of the extracellular matrix (ECM). By breaking down ECM, MMPs may remove physical barriers, thus allowing cells to migrate and potentially invade other tissues. Recent evidence, however, shows that the proteolytic activities of MMPs also affect several fundamental physiological processes. Primary human acute myeloid leukemia (AML) cells often show constitutive release of several MMPs and chemokines, and there seems to be a crosstalk between the MMP system and the chemokine network. Firstly, the nuclear factor-kappaB (NF-kappaB) system represents a common regulator at the transcriptional level both for MMPs (e.g. MMP-1 and MMP-9) and for the constitutive release of several chemokines (CCL2 4/CXCL1/8) by primary human AML cells. Secondly, the crosstalk at the molecular level probably includes MMP-mediated structural alteration and activation of constitutively released chemokines involved in AML cell migration (e.g. CXCL12) and stimulation of bone marrow angiogenesis (e.g. CXCL8). Thirdly, at a functional level the two systems interact because the chemokine network plays a role in similar physiological processes as the MMPs, including AML cell proliferation and migration and local regulation of angiogenesis. Both the chemokine system and MMPs are currently being evaluated as targets in anti angiogenesis/cancer therapy and may also have potential therapeutic implications in AML. This review introduces the different members of the MMP family and describes their interactions with the chemokine network and the possible involvement of MMPs together with chemokines in leukemogenesis and chemosensitivity in AML. PMID- 21062259 TI - PI3K/AKT/mTOR inhibitors in ovarian cancer. AB - Phisiological activation of PI3K pathway is necessary for cells to regulate many different physiological processes such as transcription, protein synthesis, metabolic responses and membrane trafficking. Abnormal activation of the PI3K pathway leads to an increased activity resulting in tumor onset, maintenance, progression and invasion. Both genetic and epigenetic alterations could affect the normal pathway's activation. Ovarian cancer is the leading cause of death from gynaecological malignancies in the western world. PI3K pathway has been recorded as one of the most deregulated signalling pathway in many tumors, including ovarian ones. So it could be considered an attractive target to be investigated with the various classes of chemical compounds already present or in development. In this rewiew we'll try to discuss the published data of the inhibitors targeting members of the PI3K/ akt/ mTOR pathway in the ovarian cancer setting from a preclinical and clinical point of view, with particular emphasis on drugs combination and strategies of administration. Relevant issues and limitations to the use of particular compounds will be also addressed. PMID- 21062260 TI - miRNA: small molecules as potential novel biomarkers in cancer. AB - Four different types of small RNAs functionally associated with gene silencing have been discovered in animals including small interfering RNAs (siRNAs), microRNAs (miRNAs), and Piwi-interacting RNAs (piRNAs). Experimental evidence suggests that miRNAs regulate the expression of more than 30% of protein-coding genes. These molecules can also act as oncogenes or tumor suppressors. Expression profiling has revealed characteristic miRNA signatures not only in human cancers but also in serum and blood cells of cancer patients. Numerous human miRNA genes map to chromosomal regions which are susceptible to amplification, deletion or translocation in the process of tumor development. Despite the pivotal role of miRNA in cancer precise mechanisms of action are yet to be elucidated. This review is focused on recent findings related to the emerging field of miRNA serving as novel potential biomarkers in cancer diagnosis, prognosis and possibly, therapies. PMID- 21062261 TI - Establishing a lung cancer stem cell culture using autologous intratumoral fibroblasts as feeder cells. AB - Human LCSCs (lung cancer stem cells) were first isolated from lung cancer patients and cultured using serum-free culture methods. To recreate the intratumoural microenvironment to sustain LCSC growth, autologous intratumoral fibroblasts were used as feeder cells. In this study, we investigated the growth and maintenance of pluripotency in prolonged LCSCs culture on autologous intratumoural fibroblasts. LCSCs isolated from three clinical samples all showed vigorous growth on feeder cells for 16 weeks of continuous cultures with a doubling time of 41-47 h. The cells continued expressing stem cell marker CD133 and remained undifferentiated. Pluripotency was demonstrated by tumour formation in immunodeficient mice. In a feeder-free culture system, growth of LCSCs spheres was retarded and would cease when the diameter reached 100 MUm if immediate passage was not performed. Moreover, spontaneous differentiation was more frequently seen in a serum-free culture system. In conclusion, we have successfully established a culture system using autologous intratumoural fibroblast cells as feeder cells for prolonged culture of undifferentiated LCSCs in vitro. PMID- 21062262 TI - Bioimaging for the monitoring of the in vivo distribution of infused mesenchymal stem cells in a mouse model of the graft-versus-host reaction. AB - Cell therapy using MSCs (mesenchymal stem cells) might be effective treatment for refractory GVHD (graft-versus-host disease). However, the fate and distribution of MSCs after transplantation remains unclear. In this study, an animal model was developed to monitor the dynamic distribution of MSCs in mice with GVHD. A GVHD mouse model was established by transplanting C57BL/6 donor bone marrow cells and C57BL/6 EGFP (enhanced green fluorescent protein) splenocytes into lethally irradiated BALB/c nude recipient mice. Donor MSCs were obtained from MHC identical C57BL/6 RFP (red fluorescent protein) mice and infused into the recipient mice on the same transplantation day. In vivo movement of the donor splenocytes (EGFP) and MSCs (RFP) were evaluated by measuring the biofluorescence (IVIS-Xenogen system). Donor splenocytes and MSCs reached the lungs first, and then the gastrointestinal tract, lymph nodes and skin, in that order; the transit time and localization site of these cells were very similar. In the recipient mouse with GVHD, the number of detectable cells declined with time, as assessed by biofluorescence imaging and confirmed by RT (real-time)-PCR. This bioimaging system might be useful for preclinical testing and the design of therapeutic strategies for monitoring the dynamic distribution of MSCs with GVHD. PMID- 21062263 TI - Altered expression of Bcl-2 and Bax in follicles within dehydroepiandrosterone induced polycystic ovaries in rats. AB - PCOS (polycystic ovary syndrome) is a heterogeneous disease characterized by hyperandrogenaemia, hirsutism, oligo- or amenorrhea, insulin resistance and anovulation. The aim of the present study was to evaluate if the balance between the ovarian expression of Bax (proapoptotic protein) and Bcl-2 (antiapoptotic protein) is altered in a PCOS model developed in rats by DHEA (dehydroepiandrosterone) administration. In addition, the ovarian morphology and the circulating progesterone levels were evaluated. Histological studies confirmed the presence of follicular cysts, atretic follicles and the absence of corpora lutea in the ovaries from the PCOS group and a significant decrease in circulating progesterone levels. Immunohistochemical studies showed that the expression of Bcl-2 and Bax were mainly localized in granulosa cells of AFs (antral follicles) in both groups. Bax expression was greater in preantral and AFs from PCOS ovarian sections than in the controls. In contrast, intense Bcl-2 immunostaining was observed in the control AFs, while Bcl-2 protein was either absent in PFs (preantral follicles) or weakly expressed in AFs from PCOS rats. These results were partially confirmed by Western studies. Data revealed that the ovarian level of Bcl-2 protein was lower in PCOS than in the control and that there were no differences in Bax ovarian levels between groups. However, Bax/Bcl 2 ratio was significantly higher in PCOS group than in the control group. In conclusion, an increase in ovarian apoptosis through an imbalance among the Bcl-2 family members may be involved in the transformation of growing follicles in cystic follicles in the ovaries from DHEA-induced PCOS rats. PMID- 21062264 TI - A novel amino acid supplementation strategy based on a stoichiometric model to enhance human IL-2 (interleukin-2) expression in high-cell-density Escherichia coli cultures. AB - A novel amino acid supplementation strategy was developed for enhancing the production of IL-2 (interleukin-2; as a model protein) by recombinant Escherichia coli BL21 (pET21a-hil2) in fed-batch high-cell-density cultures. The amino acids most needed and their amounts were determined using a stoichiometric model, and full factorial design experiments were conducted to determine the effects of single amino acids and amino acid mixtures on production. One of the most effective amino acid mixtures was found to be leucine, aspartic acid and glycine. This amino acid mixture was utilized for the production of IL-2 in batch and fed batch fermentations. The amount of IL-2 produced increased from 403 to 722 mg/l and from 5.15 * 103 to 8.08 * 103 mg/l in batch and fed-batch cultures respectively. The results also revealed that the above amino acid mixture specifically increases IL-2 concentration in the cells. PMID- 21062265 TI - Multiple miliary osteoma cutis is a distinct disease entity: four case reports and review of the literature. AB - BACKGROUND: Multiple miliary osteoma cutis (MMOC) is a rare nodular skin disease characterized by tiny bone nodules which usually form on the facial skin, typically in middle age. The aetiology of this phenomenon is poorly understood. OBJECTIVES: To search for possible bone formation progenitors and to look for a possible association with mutations in the GNAS gene (encoding the G-protein alpha-stimulatory subunit) and related hormonal parameters in patients with MMOC. We also reviewed the literature and discuss the aetiology and pathogenesis of adult-onset primary osteomas. METHODS: We report four cases of MMOC. Histological samples were analysed for bone morphogenetic protein (BMP)-2, BMP-4 and oestrogen receptor-alpha known to be involved in bone formation. Endocrinological laboratory investigations and hand X-rays were performed to exclude a systemic disease. The GNAS gene was sequenced from DNA extracted from peripheral blood in all four patients and from a skin sample in one patient to exclude somatic mutations. RESULTS: Histological analyses revealed intramembranous cutaneous bone formation resembling the findings seen in GNAS gene-based osteoma cutis disorders. However, we did not find any germline or somatic GNAS gene mutations in our patients and all laboratory investigations gave normal results. BMP-2 and 4 were expressed normally in MMOC samples, but oestrogen receptor-alpha was not expressed. Altogether 47 MMOC cases, 41 female and six male, have been published between 1928 and 2009. Of these cases, 55% had a history of pre-existing acne and only 15% had extrafacial osteomas. CONCLUSIONS: MMOC is a rare but distinct disease entity of unknown aetiology. Histologically, the tiny nodular osteomas show intramembranous superficial ossification but the aetiology appears to be different from GNAS-related disorders. The osteomas seem to increase slowly in number after appearing in middle age. PMID- 21062266 TI - Dermatological findings in 61 mutation-positive individuals with cardiofaciocutaneous syndrome. AB - BACKGROUND: The RASopathies are a class of human genetic syndromes that are caused by germline mutations in genes which encode components of the Ras/mitogen activated protein kinase (MAPK) pathway. Cardiofaciocutaneous (CFC) syndrome is characterized by distinctive craniofacial features, congenital heart defects, and abnormalities of the skin and hair. OBJECTIVES: Systematically to characterize the spectrum of dermatological findings in mutation-positive individuals with CFC syndrome. METHODS: Dermatological surveys were designed by the authors and distributed to the study participants through CFC International or directly by the authors (K.A.R. and D.H.S.) between July 2006 and August 2009. A second follow-up survey was collected between December 2007 and August 2009. When available, digital images and medical records of the participants were obtained. Study participants included individuals with CFC syndrome who have a mutation in BRAF, MAP2K1, MAP2K2 or KRAS. RESULTS: Individuals with CFC syndrome have a variety of dermatological manifestations caused by dysregulation of the MAPK pathway in development. Numerous acquired melanocytic naevi were one of the most striking features: more than 50 naevi were reported by 23% (14/61) of participants and of those, more than 100 naevi were reported by 36% (5/14). Keratosis pilaris was reported in 80% (49/61) of cases. Ulerythema ophryogenes was common, occurring in 90% (55/61). Infantile haemangiomas occurred at a greater frequency, 26% (16/61), as compared with the general population. CONCLUSIONS: CFC syndrome has a complex dermatological phenotype with many cutaneous features, some of which allow it to be differentiated from the other Ras/MAPK pathway syndromes. Multiple cafe-au-lait macules and papillomas were not identified in this CFC cohort, helping to distinguish CFC from other RASopathies such as neurofibromatosis type 1 and Costello syndrome. PMID- 21062267 TI - Adiponectin as an anti-inflammatory factor in the pathogenesis of psoriasis: induction of elevated serum adiponectin levels following therapy. PMID- 21062268 TI - Imiquimod 5% cream induced psoriasis: a case report, summary of the literature and mechanism. PMID- 21062269 TI - Monitoring of human papillomavirus vaccination. AB - Persistent infection with oncogenic human papillomavirus (HPV) is a necessary causal factor in the development of cervical cancer. Moreover, HPV, predominately type 16 and to a lesser degree type 18, is linked causally to varying proportions of other anogenital cancers (vulva, vagina, penis, anus) as well as cancers elsewhere in the body (oropharynx, larynx, conjunctiva). HPV types 6 and 11 cause most of genital warts and recurrent respiratory papillomatosis. Effective prophylactic vaccines have been developed. In this review, we address briefly the immunological aspects of HPV infection and the results of HPV vaccination trials. Internationally standardized monitoring and evaluation of prophylactic HPV vaccination programmes will be essential for arriving at the most cost-effective strategies for cancer control. PMID- 21062270 TI - DNA vaccination targeting macrophage migration inhibitory factor prevents murine experimental colitis. AB - Previous studies have shown that neutralization of macrophage migration inhibitory factor (MIF) by anti-MIF antibody reduces intestinal inflammation in mice. In this study we tested whether or not anti-MIF autoantibody induced by DNA vaccine targeting MIF protects mice against experimental colitis. Mice were administered a MIF-deoxyribonucleic acid (DNA) vaccine by introducing oligonucleotides encoding helper T epitope into the cDNA sequence of murine MIF by in vivo electroporation. Preventive effects of this method against dextran sulphate sodium-induced (DSS) colitis were evaluated. Mice administered with MIF DNA vaccine raised values of autoantibody significantly. The clinical and histological findings of colitis induced by 3.0% DSS solution were ameliorated significantly in mice treated with MIF-DNA vaccine compared with saline or pCAGGS treated mice given DSS. Myeloperoxidase activity, infiltration of F4/80-positive staining cells and the levels of proinflammatory cytokines were suppressed in the colon of MIF-DNA vaccine treated mice compared with saline or pCAGGS-treated mice exposed to DSS. Our results suggest that immunization with helper T epitope DNA vaccine targeting MIF may be a useful approach for the treatment of colitis including inflammatory bowel diseases. PMID- 21062271 TI - Do ribosomopathies explain some cases of common variable immunodeficiency? AB - The considerable clinical heterogeneity of patients with common variable immunodeficiency disorders (CVID) shares some similarity with bone-marrow failure disorders such as Diamond-Blackfan anaemia (DBA) and Shwachman-Diamond syndrome (SDS), now recognized as defects in ribosome biogenesis or ribosomopathies. The recognition of a patient with DBA who subsequently developed CVID lends support to our previous finding of a heterozygous mutation in the SBDS gene of SBDS in another CVID patient, suggesting that ribosome biogenesis defects are responsible for a subset of CVID. Genetic defects in the ribosomal translational machinery responsible for various bone marrow failure syndromes are recognized readily when they manifest in children, but diagnosing these in adults presenting with complex phenotypes and hypogammaglobulinaemia can be a challenge. In this perspective paper, we discuss our clinical experience in CVID patients with ribosomopathies, and review the immunological abnormalities in other conditions associated with ribosomal dysfunction. With genetic testing available for various bone marrow failure syndromes, our hypothesis that ribosomal abnormalities may be present in patients with CVID could be proved in future studies by testing for mutations in specific ribosomal genes. New knowledge might then be translated into novel therapeutic strategies for patients in this group of immunodeficiency disorders. PMID- 21062272 TI - Female Hunter syndrome caused by a single mutation and familial XCI skewing: implications for other X-linked disorders. AB - Familial X-chromosome inactivation (XCI) skewing was investigated in a family in which a female mucopolysaccharidosis type II (MPS II) (Hunter syndrome, an X linked genetic disease) occurred. Among eight related females aged under 60 years from three generations who were tested, four revealed a non-random pattern of XCI. Detailed genetic analysis failed to find mutations in genes that were previously reported as important for the XCI process. Haplotype analysis excluded linkage of non-random XCI with genes localized on the X-chromosome. We propose that analysis of the XCI pattern should be taken into consideration when assessing risk factors for X-linked recessive genetic disorders. PMID- 21062273 TI - Paternal mosaicism of an STXBP1 mutation in OS. AB - Ohtahara syndrome (OS) is one of the most severe and earliest forms of epilepsy. We have recently identified that the de novo mutations of STXBP1 are important causes for OS. Here we report a paternal somatic mosaicism of an STXBP1 mutation. The affected daughter had onset of spasms at 1 month of age, and interictal electroencephalogram showed suppression-burst pattern, leading to the diagnosis of OS. She had a heterozygous c.902+5G>A mutation of STXBP1, which affects donor splicing of exon 10, resulting in 138-bp insertion of intron 10 sequences in the transcript. The mutant transcript had a premature stop codon, and was degraded by nonsense-mediated mRNA decay in lymphoblastoid cells derived from the patient. High-resolution melting analysis of clinically unaffected parental DNAs suggested that the father was somatic mosaic for the mutation, which was also suggested by sequencing. Cloning of PCR products amplified with the paternal DNA samples extracted from blood, saliva, buccal cells, and nails suggested that 5.3%, 8.7%, 11.9%, and 16.9% of alleles harbored the mutation, respectively. This is a first report of somatic mosaicism of an STXBP1 mutation, which has implications in genetic counseling of OS. PMID- 21062274 TI - Association of novel variants in the hepatocyte nuclear factor 4A gene with maturity onset diabetes of the young and early onset type 2 diabetes. AB - Variants in hepatocyte nuclear factor 4A (HNF4A) cause maturity onset diabetes of the young (MODY 1). The objective of the study was to screen the coding and the promoter regions of HNF4A mutations in 87 unrelated South Indian subjects with clinically diagnosed MODY with severe forms of diabetes referred to a tertiary diabetes centre. In addition, we looked at the association of common polymorphisms in HNF4 A gene in subjects with MODY (n = 199), early onset type 2 diabetes (T2DM) (n = 505), late onset T2DM (n = 287) and normal glucose tolerance (NGT) (n = 247). We identified three novel mutations in the P2 promoter region of HNF4A, namely -1009 G/C, -129 T/C and -79 C/T. Co-segregation with diabetes was noted with the -1009 G/C and -129 T/C in one MODY family. We also studied eight single nucleotide polymorphisms (SNPs) of HNF4A gene. The frequency of the minor allele of the rs2144908 was significantly higher in subjects with MODY (p < 0.01) and that of rs736823 was significantly higher in early onset T2DM (p = 0.001). Minor allele frequency of rs1884614 and rs2071197 was significantly lower in early onset T2DM when compared to NGT subjects (p < 0.01). Minor allele frequency of Val255Met was significantly lower in MODY, early onset T2DM and late onset T2DM compared to NGT subjects (p < 0.01). This is the first report of MODY 1 mutations from India and shows that 3.4% of clinically diagnosed MODY subjects have MODY 1. In addition, we report SNPs of HNF4A that are both susceptible to, and protective against, MODY and early onset T2DM. PMID- 21062275 TI - Fitness variation and local distribution limits in an annual plant population. AB - Understanding how genetic variation shapes species' distributions involves examining how variation is distributed across a species' range as well as how it responds to underlying environmental heterogeneity. We examined patterns of fitness variation across the local distribution of an annual composite (Lasthenia fremontii) spanning a small-scale inundation gradient in a California vernal pool wetland. Using seeds collected from the center and edge of a population, paternal half-sib families were generated and transplanted back to the center and edge of the original population. All transplants were adapted to the conditions at the center of the population. The effect of the environment on the opportunity for selection depended on the model of selection assumed. Under a model of hard selection, variance in absolute fitness was lower among transplants at the edge of the population than at the center. Under a model of soft selection, the variance in relative fitness was similar between center and edge microhabitats. Given that this population is likely well-mixed, differences in habitat quality between center and edge microhabitats will likely cause selection at the center of the population to dominate the evolutionary trajectory of this population. PMID- 21062276 TI - Ecology and evolution of the diaspore "burial syndrome". AB - Hygroscopically active awns or "bristles" have long intrigued scientists. Experimental evidence shows that they are important for diaspore burial in the correct orientation, thereby increasing successful seed germination and seedling survival. Despite these ecological advantages, 38 of the 280 species of grasses in Danthonioideae lack awns. We provide the first study of awns in a phylogenetic context and show that although the awnless state has arisen ca. 25 times independently, the ecological disadvantage of not having an awn also applies in an evolutionary context. Only in Tribolium and Schismus have awnless ancestors diversified to form a clade of primarily awnless descendents. Several of the awnless species in these genera are annual and we find a significant correlation between the evolution of awns and the evolution of life history. A suite of other diaspore traits accompany the awned or awnless states. We interpret the awn as being the visible constituent of a compound "burial syndrome," the two ecological extremes of which may explain the correlation between awns and life history and provide an explanation why awnless species in Tribolium and Schismus persist. PMID- 21062277 TI - Coexistence of cooperation and defection in public goods games. AB - The production of public goods by the contribution of individual volunteers is a social dilemma because an individual that does not volunteer can benefit from the public good produced by the contributions of others. Therefore it is generally believed that public goods can be produced only in the presence of repeated interactions (which allow reciprocation, reputation effects and punishment) or relatedness (kin selection). Cooperation, however, often occurs in the absence of iterations and relatedness. We show that when the production of a public good is a Volunteer's Dilemma, in which a fixed number of cooperators is necessary to produce the public good, cooperators and defectors persist in a mixed equilibrium, without iterations and without relatedness. This mixed equilibrium is absent in the N-person Prisoner's Dilemma, in which the public good is a linear function of the individual contributions. We also show that the Prisoner's Dilemma and the Volunteer's Dilemma are the two opposite extremes of a general public goods game, and that all intermediate cases can have a mixed equilibrium like the Volunteer's Dilemma. The coexistence of cooperators and defectors, therefore, is a typical outcome of most social dilemmas, which requires neither relatedness nor iterations. PMID- 21062278 TI - Medea selfish genetic elements as tools for altering traits of wild populations: a theoretical analysis. AB - One strategy for controlling transmission of insect-borne disease involves replacing the native insect population with transgenic animals unable to transmit disease. Population replacement requires a drive mechanism to ensure the rapid spread of linked transgenes, the presence of which may result in a fitness cost to carriers. Medea selfish genetic elements have the feature that when present in a female, only offspring that inherit the element survive, a behavior that can lead to spread. Here, we derive equations that describe the conditions under which Medea elements with a fitness cost will spread, and the equilibrium allele frequencies are achieved. Of particular importance, we show that whenever Medea spreads, the non-Medea genotype is driven out of the population, and we estimate the number of generations required to achieve this goal for Medea elements with different fitness costs and male-only introduction frequencies. Finally, we characterize two contexts in which Medea elements with fitness costs drive the non-Medea allele from the population: an autosomal element in which not all Medea bearing progeny of a Medea-bearing mother survive, and an X-linked element in species in which X/Y individuals are male. Our results suggest that Medea elements can drive population replacement under a wide range of conditions. PMID- 21062279 TI - Coevolution of phenotypic plasticity in predator and prey: why are inducible offenses rarer than inducible defenses? AB - Inducible defenses of prey and inducible offenses of predators are drastic phenotypic changes activated by the interaction between a prey and predator. Inducible defenses occur in many taxa and occur more frequently than inducible offenses. Recent empirical studies have reported reciprocal phenotypic changes in both predator and prey. Here, we model the coevolution of inducible plasticity in both prey and predator, and examine how the evolutionary dynamics of inducible plasticity affect the population dynamics of a predator-prey system. Under a broad range of parameter values, the proportion of predators with an offensive phenotype is smaller than the proportion of prey with a defensive phenotype, and the offense level is relatively lower than the defense level at evolutionary end points. Our model also predicts that inducible plasticity evolves in both species when predation success depends sensitively on the difference in the inducible trait value between the two species. Reciprocal phenotypic plasticity may be widespread in nature but may have been overlooked by field studies because offensive phenotypes are rare and inconspicuous. PMID- 21062280 TI - Experimentally induced life-history evolution in a killifish in response to the introduction of guppies. AB - Life-history theory predicts that increased predation on juvenile age/size classes favors delayed maturation and decreased reproductive investment. Although this theory has received correlative support, experimental tests in nature are rare. In 1976 and 1981, guppies (Poecilia reticulata) were transplanted into localities that previously only contained a killifish, Rivulus hartii. This situation presents an opportunity to experimentally test this life-history prediction because guppies prey upon young Rivulus. We evaluated the response to selection in Rivulus by measuring phenotypic and genotypic divergence between introduction and upstream "control" localities that lack guppies. Contrary to expectations, Rivulus from the introduction sites evolved earlier maturation and increased reproductive investment within 25 years. Such evolutionary changes parallel previous investigations on natural communities of Rivulus, but do not comply with predictions of age/size-specific theory. Guppies also caused reduced densities and increased growth rates of Rivulus, which are hypothesized indirect effects of predation. Additional life-history theories show that changes in density and growth can interact with predator-induced mortality to alter the predicted trajectory of evolution. We discuss how these latter frameworks improve the fit between theory and evolution in Rivulus. PMID- 21062281 TI - Genetic architecture of sexual dimorphism in a subdioecious plant with a proto sex chromosome. AB - The rise of sexual dimorphism is thought to coincide with the evolution of sex chromosomes. Yet because sex chromosomes in many species are ancient, we lack empirical evidence of the earliest stages of this transition. We use QTL analysis to examine the genetic architecture of sexual dimorphism in subdioecious octoploid Fragaria virginiana. We demonstrate that the region housing the male function locus controls the majority of quantitative variation in proportion fruit set, confirming the existence of a proto-sex chromosome, and houses major QTL for eight additional sexually dimorphic traits, consistent with theory and data from animals and plants with more advanced sex chromosomes. We also detected autosomal QTL, demonstrating contributions to phenotypic variation in sexually dimorphic traits outside the sex-determining region. Moreover, for proportion seed set we found significant epistatic interactions between autosomal QTL and the male-function locus, indicating sex-limited QTL. We identified linked QTL reflecting trade-offs between male and female traits expected from theory and positive integration of male traits. These findings indicate the potential for the evolution of greater sexual dimorphism. Involvement of linkage groups homeologous to the proto-sex chromosome in these correlations reflects the polyploid origin of F. virginiana and raises the possibility that chromosomes in this homeologous group were predisposed to become the sex chromosome. PMID- 21062282 TI - Functional anatomy of the cheetah (Acinonyx jubatus) hindlimb. AB - The cheetah is capable of a top speed of 29 ms(-1) compared to the maximum speed of 17 ms(-1) achieved by the racing greyhound. In this study of the hindlimb and in the accompanying paper on the forelimb we have quantified the musculoskeletal anatomy of the cheetah and greyhound and compared them to identify any differences that may account for this variation in their locomotor abilities. Specifically, bone length, mass and mid-shaft diameter were measured, along with muscle mass, fascicle lengths, pennation angles and moment arms to enable estimates of maximal isometric force, joint torques and joint rotational velocities to be calculated. Surprisingly the cheetahs had a smaller volume of hip extensor musculature than the greyhounds, and we therefore propose that the cheetah powers acceleration using its extensive back musculature. The cheetahs also had an extremely powerful psoas muscle which could help to resist the pitching moments around the hip associated with fast accelerations. The hindlimb bones were proportionally longer and heavier, enabling the cheetah to take longer strides and potentially resist higher peak limb forces. The cheetah therefore possesses several unique adaptations for high-speed locomotion and fast accelerations, when compared to the racing greyhound. PMID- 21062283 TI - Craniofacial biomechanics: an overview of recent multibody modelling studies. AB - Multibody modelling is underutilised in craniofacial analyses, particularly when compared to other computational methods such as finite element analysis. However, there are many potential applications within this area, where bony movements, muscle forces, joint kinematics and bite forces can all be studied. This paper provides an overview of recent, three-dimensional, multibody modelling studies related to the analysis of skulls. The goal of this paper is not to offer a critical review of past studies, but instead intends to inform the reader of what has been achieved with multibody modelling. PMID- 21062284 TI - In vivo regulation of amyloid precursor protein neuronal splicing by microRNAs. AB - The beta-amyloid peptide that accumulate in Alzheimer's disease (AD) brain derive from proteolytic processing of the amyloid precursor protein (APP). Recent evidence suggest that microRNAs (miRNAs) participate in the post-transcriptional regulation of APP expression. Because gene dosage effects of the APP gene can cause genetic AD, dysregulation of the miRNA network could contribute significantly to disease. Here, we present evidence that, besides APP expression regulation, miRNAs are equally involved in the regulation of neuronal APP mRNA alternative splicing. Lack of miRNAs in post-mitotic neurons in vivo is associated with APP exons 7 and 8 inclusion, while ectopic expression of miR-124, an abundant neuronal-specific miRNA, reversed these effects in cultured neurons. Similar results were obtained by depletion of endogenous polypyrimidine tract binding protein 1 (PTBP1) in cells, a recognized miR-124 target gene. Furthermore, PTBP1 levels correlate with the presence of APP exons 7 and 8, while PTBP2 levels correlate with the skipping of these exons during neuronal differentiation. Finally, we show that miR-124 is down-regulated in AD brain. In sum, our results suggest that specific miRNAs are involved in the fine-tuning of APP alternative splicing in neurons. Since abnormal neuronal splicing of APP affects beta-amyloid peptide production, these results could contribute to the understanding of the implication of miRNAs in brain health and disease. PMID- 21062285 TI - Regulation of TDP-43 aggregation by phosphorylation and p62/SQSTM1. AB - TAR DNA-binding protein-43 (TDP-43) proteinopathy has been linked to several neurodegenerative diseases, such as frontotemporal lobar degeneration with ubiquitin-positive inclusions and amyotrophic lateral sclerosis. Phosphorylated and ubiquitinated TDP-43 C-terminal fragments have been found in cytoplasmic inclusions in frontotemporal lobar degeneration with ubiquitin-positive inclusions and amyotrophic lateral sclerosis patients. However, the factors and pathways that regulate TDP-43 aggregation are still not clear. We found that the C-terminal 15 kDa fragment of TDP-43 is sufficient to induce aggregation but the aggregation phenotype is modified by additional sequences. Aggregation is accompanied by phosphorylation at serine residues 409/410. Mutation of 409/410 to phosphomimetic aspartic acid residues significantly reduces aggregation. Inhibition of either proteasome or autophagy dramatically increases TDP-43 aggregation. Furthermore, TDP-43 aggregates colocalize with markers of autophagy and the adaptor protein p62/SQSTM1. Over-expression of p62/SQSTM1 reduces TDP-43 aggregation in an autophagy and proteasome-dependent manner. These studies suggest that aggregation of TDP-43 C-terminal fragments is regulated by phosphorylation events and both the autophagy and proteasome-mediated degradation pathways. PMID- 21062286 TI - The time course of adenosine, nitric oxide (NO) and inducible NO synthase changes in the brain with sleep loss and their role in the non-rapid eye movement sleep homeostatic cascade. AB - Both adenosine and nitric oxide (NO) are known for their role in sleep homeostasis, with the basal forebrain (BF) wakefulness center as an important site of action. Previously, we reported a cascade of homeostatic events, wherein sleep deprivation (SD) induces the production of inducible nitric oxide synthase (iNOS)-dependent NO in BF, leading to enhanced release of extracellular adenosine. In turn, increased BF adenosine leads to enhanced sleep intensity, as measured by increased non-rapid eye movement sleep EEG delta activity. However, the presence and time course of similar events in cortex has not been studied, although a frontal cortical role for the increase in non-rapid eye movement recovery sleep EEG delta power is known. Accordingly, we performed simultaneous hourly microdialysis sample collection from BF and frontal cortex (FC) during 11 h SD. We observed that both areas showed sequential increases in iNOS and NO, followed by increases in adenosine. BF increases began at 1 h SD, whereas FC increases began at 5 h SD. iNOS and Fos-double labeling indicated that iNOS induction occurred in BF and FC wake-active neurons. These data support the role of BF adenosine and NO in sleep homeostasis and indicate the temporal and spatial sequence of sleep homeostatic cascade for NO and adenosine. PMID- 21062287 TI - Pre-synaptic adenosine A2A receptors control cannabinoid CB1 receptor-mediated inhibition of striatal glutamatergic neurotransmission. AB - An interaction between adenosine A(2A) receptors (A(2A) Rs) and cannabinoid CB(1) receptors (CB(1) Rs) has been consistently reported to occur in the striatum, although the precise mechanisms are not completely understood. As both receptors control striatal glutamatergic transmission, we now probed the putative interaction between pre-synaptic CB(1) R and A(2A) R in the striatum. In extracellular field potentials recordings in corticostriatal slices from Wistar rats, A(2A) R activation by CGS21680 inhibited CB(1) R-mediated effects (depression of synaptic response and increase in paired-pulse facilitation). Moreover, in superfused rat striatal nerve terminals, A(2A) R activation prevented, while A(2A) R inhibition facilitated, the CB(1) R-mediated inhibition of 4-aminopyridine-evoked glutamate release. In summary, the present study provides converging neurochemical and electrophysiological support for the occurrence of a tight control of CB(1) R function by A(2A) Rs in glutamatergic terminals of the striatum. In view of the key role of glutamate to trigger the recruitment of striatal circuits, this pre-synaptic interaction between CB(1) R and A(2A) R may be of relevance for the pathogenesis and the treatment of neuropsychiatric disorders affecting the basal ganglia. PMID- 21062288 TI - Crassulacean acid metabolism enhances underwater photosynthesis and diminishes photorespiration in the aquatic plant Isoetes australis. AB - * Underwater photosynthesis by aquatic plants is often limited by low availability of CO(2), and photorespiration can be high. Some aquatic plants utilize crassulacean acid metabolism (CAM) photosynthesis. The benefits of CAM for increased underwater photosynthesis and suppression of photorespiration were evaluated for Isoetes australis, a submerged plant that inhabits shallow temporary rock pools. * Leaves high or low in malate were evaluated for underwater net photosynthesis and apparent photorespiration at a range of CO(2) and O(2) concentrations. * CAM activity was indicated by 9.7-fold higher leaf malate at dawn, compared with at dusk, and also by changes in the titratable acidity (MUmol H(+) equivalents) of leaves. Leaves high in malate showed not only higher underwater net photosynthesis at low external CO(2) concentrations but also lower apparent photorespiration. Suppression by CAM of apparent photorespiration was evident at a range of O(2) concentrations, including values below air equilibrium. At a high O(2) concentration of 2.2-fold the atmospheric equilibrium concentration, net photosynthesis was reduced substantially and, although it remained positive in leaves containing high malate concentrations, it became negative in those low in malate. * CAM in aquatic plants enables higher rates of underwater net photosynthesis over large O(2) and CO(2) concentration ranges in floodwaters, via increased CO(2) fixation and suppression of photorespiration. PMID- 21062289 TI - Aquatic adventitious roots of the wetland plant Meionectes brownii can photosynthesize: implications for root function during flooding. AB - * Many wetland plants produce aquatic adventitious roots from submerged stems. Aquatic roots can form chloroplasts, potentially producing endogenous carbon and oxygen. Here, aquatic root photosynthesis was evaluated in the wetland plant Meionectes brownii, which grows extensive stem-borne aquatic roots during submergence. * Underwater photosynthetic light and CO(2) response curves were determined for aquatic-adapted leaves, stems and aquatic roots of M. brownii. Oxygen microelectrode and (14)CO(2)-uptake experiments determined shoot inputs of O(2) and photosynthate into aquatic roots. * Aquatic adventitious roots contain a complete photosynthetic pathway. Underwater photosynthetic rates are similar to those of stems, with a maximum net photosynthetic rate (P(max)) of 0.38 MUmol O(2) m(-2) s(-1); however, this is c. 30-fold lower than that of aquatic-adapted leaves. Under saturating light with 300 mmol m(-3) dissolved CO(2), aquatic roots fix carbon at 0.016 MUmol CO(2) g(-1) DM s(-1). Illuminated aquatic roots do not rely on exogenous inputs of O(2). * The photosynthetic ability of aquatic roots presumably offers an advantage to submerged M. brownii as aquatic roots, unlike sediment roots, need little O(2) and carbohydrate inputs from the shoot when illuminated. PMID- 21062290 TI - Red blood cell (RBC) survival determined in humans using RBCs labeled at multiple biotin densities. AB - BACKGROUND: Safe, accurate methods permitting simultaneous and/or repeated measurement of red blood cell (RBC) survival (RCS) are important to investigate pathophysiology and therapy of anemia. Methods using chromium 51 ((51) Cr) labeled RBCs are unacceptable for infants, children, and pregnant women. We report RCS measured in vivo using RBCs labeled with several densities of biotin (BioRBCs). STUDY DESIGN AND METHODS: Aliquots of autologous RBCs from eight healthy adult subjects were labeled separately at four discrete biotin densities, mixed, and infused. The proportion of each population of BioRBCs circulating was determined serially by flow cytometry over 20 weeks. For each population, RCS was assessed by the following: 1) posttransfusion BioRBC recovery at 24 hours (PTR(24) ); 2) time to decrease to 50% of the enrichment at 24 hours (T(50) ); and 3) mean potential lifespan (MPL). RESULTS: Among the four BioRBC densities, no significant differences in PTR(24) were observed. T(50) and MPL were similar for the two lowest BioRBC densities. In contrast, the two highest BioRBC densities demonstrated progressively decreased T(50) and MPL. CONCLUSIONS: RBCs labeled at four biotin densities can be used to independently and accurately measure PTR(24 ) and two lowest biotin densities can accurately quantitate long term RCS. This method provides a tool for investigating anemia in infants, fetuses, and pregnant women with the following advantages over the standard (51) Cr method: 1) study subjects are not exposed to radiation; 2) small blood volumes (e.g., 20 uL) are required; and 3) multiple independent RCS measurements can be made simultaneously in the same individual. PMID- 21062291 TI - Cell signaling. AB - This review explores advances in our understanding of dynamicism in cellular signaling. Areas highlighted include the role of stochasticity in producing diversity in analogous signaling circumstances; population desynchronization's effect in masking newly appreciated repetitive bursts in protein phosphorylation and messenger RNA production; double-positive feedback interactions and their ability to synchronize multiple signal transduction pathways; scaffolding proteins control over signaling feedback; and frequency-responsive transcriptional regulation as an example of dynamicism in signaling. PMID- 21062292 TI - A systems approach to bone pathophysiology. AB - With evolving interest in multiscalar biological systems one could assume that reductionist approaches may not fully describe biological complexity. Instead, tools such as mathematical modeling, network analysis, and other multiplexed clinical- and research-oriented tests enable rapid analyses of high-throughput data parsed at the genomic, proteomic, metabolomic, and physiomic levels. A physiomic-level approach allows for recursive horizontal and vertical integration of subsystem coupling across and within spatiotemporal scales. Additionally, this methodology recognizes previously ignored subsystems and the strong, nonintuitively obvious and indirect connections among physiological events that potentially account for the uncertainties in medicine. In this review, we flip the reductionist research paradigm and review the concept of systems biology and its applications to bone pathophysiology. Specifically, a bone-centric physiome model is presented that incorporates systemic-level processes with their respective therapeutic implications. PMID- 21062293 TI - Recent advances in the biology and therapy of muscle wasting. AB - The recent advances in our understanding of the biology of muscle, and how anabolic and catabolic stimuli interact to control muscle mass and function, have led to new interest in pharmacological treatment of muscle wasting. Loss of muscle occurs as a consequence of many chronic diseases (cachexia), as well as normal aging (sarcopenia). Although anabolic effects of exercise on muscle have been know for many years, the development of pharmacological treatment for muscle loss is in its infancy. However, there is growing excitement among researchers in this field that developments may yield new treatments for muscle wasting in the future. PMID- 21062294 TI - Mechanical loading, cartilage degradation, and arthritis. AB - Joint tissues are exquisitely sensitive to their mechanical environment, and mechanical loading may be the most important external factor regulating the development and long-term maintenance of joint tissues. Moderate mechanical loading maintains the integrity of articular cartilage; however, both disuse and overuse can result in cartilage degradation. The irreversible destruction of cartilage is the hallmark of osteoarthritis and rheumatoid arthritis. In these instances of cartilage breakdown, inflammatory cytokines such as interleukin-1 beta and tumor necrosis factor-alpha stimulate the production of matrix metalloproteinases (MMPs) and aggrecanases (ADAMTSs), enzymes that can degrade components of the cartilage extracellular matrix. In order to prevent cartilage destruction, tremendous effort has been expended to design inhibitors of MMP/ADAMTS activity and/or synthesis. To date, however, no effective clinical inhibitors exist. Accumulating evidence suggests that physiologic joint loading helps maintain cartilage integrity; however, the mechanisms by which these mechanical stimuli regulate joint homeostasis are still being elucidated. Identifying mechanosensitive chondroprotective pathways may reveal novel targets or therapeutic strategies in preventing cartilage destruction in joint disease. PMID- 21062295 TI - Bone loss in anorexia nervosa: leptin, serotonin, and the sympathetic nervous system. AB - Anorexia nervosa (AN), a disorder characterized by the refusal to sustain a healthy weight, has the highest mortality of any psychiatric disorder. This review presents a model of AN that ties together advances in our understanding of how leptin, serotonin, and hypogonadism are brought about in AN and how they influence bone mass. Serotonin (5-hydroxytryptamine) is a key regulator of satiety and mood. The primary disturbance in AN results from alterations in serotonin signaling. AN patients suffer from serotonergic hyperactivity of Htr1a dependent pathways that causes dysphoric mood and promotes restrictive behavior. By limiting carbohydrate ingestion, anorexics decrease their serotonin levels. Reduced serotonergic signaling in turn suppresses appetite through Htr1a/2b, decreases dysphoric mood through Htr1a/2a, and activates the sympathetic nervous system (SNS) through Htr2c receptors in the ventromedial hypothalamus. Activation of the SNS decreases bone mass through beta2-adrenergic signaling in osteoblasts. Additional topics reviewed here include osteoblastic feedback of metabolism in anorexia, mechanisms whereby dietary changes exacerbate bone loss, the role of caloric restriction and Sirt1 in bone metabolism, hypothalamic hypogonadism's effects on bone mass, and potential treatments. PMID- 21062296 TI - Bone and muscle loss after spinal cord injury: organ interactions. AB - Spinal cord injury (SCI) results in paralysis and marked loss of skeletal muscle and bone below the level of injury. Modest muscle activity prevents atrophy, whereas much larger--and as yet poorly defined--bone loading seems necessary to prevent bone loss. Once established, bone loss may be irreversible. SCI is associated with reductions in growth hormone, IGF-1, and testosterone, deficiencies likely to exacerbate further loss of muscle and bone. Reduced muscle mass and inactivity are assumed to be contributors to the high prevalence of insulin resistance and diabetes in this population. Alterations in muscle gene expression after SCI share common features with other muscle loss states, but even so, show distinct profiles, possibly reflecting influences of neuromuscular activity due to spasticity. Changes in bone cells and markers after SCI have similarities with other conditions of unloading, although after SCI these changes are much more dramatic, perhaps reflecting the much greater magnitude of unloading. Adiposity and marrow fat are increased after SCI with intriguing, though poorly understood, implications for the function of skeletal muscle and bone cells. PMID- 21062297 TI - Bone physiology and therapeutics in chronic critical illness. AB - Modern medical practices allow patients to survive acute insults and be sustained by machinery and medicines for extended periods of time. We define chronic critical illness as a later stage of prolonged critical illness that requires tracheotomy. These patients have persistent elevations of inflammatory cytokines, diminished hypothalamic-pituitary function, hypercatabolism, immobilization, and malnutrition. The measurement of bone turnover markers reveals markedly enhanced osteoclastic bone resorption that is uncoupled from osteoblastic bone formation. We review the mechanisms by which these factors contribute to the metabolic bone disease of chronic critical illness and suggest potential therapeutics. PMID- 21062298 TI - Integrative physiology of the aging bone: insights from animal and cellular models. AB - Age-related bone loss is a common worldwide phenomenon in the aging population, placing them at an increased risk of fractures. Fortunately, basic and translational studies have been pivotal in providing us with a mechanistic understanding of the cellular and molecular pathophysiology of this condition. This review focuses on the current concepts and paradigms of age-related bone loss and how various animal and cellular models have broadened our understanding in this fascinating but complex area. Changes in hormonal, neuronal, and biochemical cues with age and their effect on bone have been discussed. This review also outlines recent studies on the relationship between bone and fat in the marrow, as well as the fate of the marrow mesenchymal stromal cell population, which can give rise to either bone-forming osteoblasts or fat-forming adipocytic cells as a function of age. PMID- 21062299 TI - The crossover of bisphosphonates to cancer therapy. AB - Bisphosphonates form a class of drugs commonly used to treat disorders of osteoclastic bone resorption, including osteoporosis, Paget's disease of the bone, rheumatoid arthritis, and bone metastases. Although long established as the therapy of choice to treat such disorders, bisphosphonates' potential in treating cancer is garnering interest. Bisphosphonates have been demonstrated to inhibit tumor growth and metastasis, induce apoptosis in tumor cells, and encourage immune reactions against tumor cells. Current applications of bisphosphonates in cancer treatment include their use to treat skeletal metastases and as an adjuvant to endocrine therapy. This review explores bisphosphonates' current clinical utility and potential as a crossover cancer therapy. PMID- 21062300 TI - Molecular physiology of cardiac regeneration. AB - Heart disease is the leading cause of death in the industrialized world. This is partially attributed to the inability of cardiomyocytes to divide in a significant manner, and therefore the heart responds to injury through scar formation. One of the challenges of modern medicine is to develop novel therapeutic strategies to facilitate regeneration of cardiac muscle in the diseased heart. Numerous methods have been studied and a wide variety of cell types have been considered. To date, bone marrow stem cells, endogenous populations of cardiac stem cells, embryonic stem cells, and induced pluripotent stem cells have been investigated for their ability to regenerate infarcted myocardium, although stem cell transplantation has produced ambiguous results in human clinical trials. Several studies support another approach that seems very appealing: enhancing the limited endogenous regenerative capacity of the heart. The recent advances in stem cell and regenerative biology are giving rise to the view that cardiac regeneration, although not quite ready for clinical treatment, may translate into therapeutic reality in the not too distant future. PMID- 21062301 TI - Genetic regulation of human brain development: lessons from Mendelian diseases. AB - One of the fundamental goals in human genetics is to link gene function to phenotype, yet the function of the majority of the genes in the human body is still poorly understood. This is especially true for the developing human brain. The study of human phenotypes that result from inherited, mutated alleles is the most direct evidence for the requirement of a gene in human physiology. Thus, the study of Mendelian central nervous system (CNS) diseases can be an extremely powerful approach to elucidate such phenotypic/genotypic links and to increase our understanding of the key components required for development of the human brain. In this review, we highlight examples of how the study of inherited neurodevelopmental disorders contributes to our knowledge of both the "normal" and diseased human brain, as well as elaborate on the future of this type of research. Mendelian disease research has been, and will continue to be, key to understanding the molecular mechanisms that underlie human brain function, and will ultimately form a basis for the design of intelligent, mechanism-specific treatments for nervous system disorders. PMID- 21062302 TI - Testosterone deficiency in testicular germ-cell cancer patients is not influenced by oncological treatment. AB - The aim of the study was to investigate prospectively the prevalence of testosterone deficiency (TD) in patients with testicular germ-cell cancer (TGCC) using longitudinal data. A total of 376 TGCC patients were evaluated for serum testosterone levels before, during and after the following therapies: cisplatin based polychemotherapy, carboplatin monotherapy, radiotherapy or surgery only. Complete serial hormone analyses were performed on 160 patients (age: 33.8+/ 9.1years, mean+/-SD). All patients received treatment according to the guidelines of the 'German Testicular Cancer Study Group' and the 'European Germ Cell Cancer Consensus Group' or within studies performed by the 'European Organisation for Research and Treatment of Cancer' and the 'Deutsche Krebsgesellschaft'. Main outcome measurements were sexual hormone profiles over time. Statistical analysis of 1831 testosterone serum levels over time revealed a persistent TD in 23.9% of seminoma and 26.2% of non-seminoma patients. TD was associated with subnormal residual testicular volumes (<12mL). In conclusion, TD rates are high in testis cancer patients. This is present at primary diagnosis and most likely related to testicular dysgenesis or atrophy. Our longitudinal evaluation indicates that treatment modalities have minor influence and effect on the persistently high rates of TD in TGCC patients. PMID- 21062303 TI - Cytochrome P450-mediated hepatic metabolism of new fluorescent substrates in cats and dogs. AB - This study aimed to investigate the biotransformation of cat liver microsomes in comparison to dogs and humans using a high throughput method with fluorescent substrates and classical inhibitors specific for certain isozymes of the human cytochrome P450 (CYP) enzyme family. The metabolic activities associated with CYP1A, CYP2B, CYP2C, CYP2D, CYP2E and CYP3A were measured. Cat liver microsomes metabolized all substrates selected for the assessment of cytochrome P450 activity. The activities associated with CYP3A and CYP2B were higher than the activities of the other measured CYPs. Substrate selectivity could be demonstrated by inhibition studies with alpha-naphthoflavone (CYP1A), tranylcypromine/quercetine (CYP2C), quinidine (CYP2D), diethyldithiocarbamic acid (CYP2E) and ketoconazole (CYP3A) respectively. Other prototypical inhibitors used for characterization of human CYP activities such as furafylline (CYP1A), tranylcypromine (CYP2B) and sulfaphenazole (CYP2C) did not show significant effects in cat and dog liver microsomes. Moreover, IC50-values of cat CYPs differed from dog and human CYPs underlining the interspecies differences. Gender differences were observed in the oxidation of 7-ethoxy-4-trifluoromethylcoumarin (CYP2B) and 3-[2-(N, N-diethyl-N-methylamino)ethyl]-7-methoxy-4-methylcoumarin (CYP2D), which were significantly higher in male cats than in females. Conversely, oxidation of the substrates dibenzylfluorescein (CYP2C) and 7-methoxy 4-trifluoromethylcoumarin (CYP2E) showed significant higher activities in females than in male cats. Overall CYP-activities in cat liver microsomes were lower than in those from dogs or humans, except for CYP2B. The presented difference between feline and canine CYP-activities are useful to establish dose corrections for feline patients of intensively metabolized drugs licensed for dogs or humans. PMID- 21062304 TI - Proposed new nomenclature for Bos taurus cytochromes P450 involved in xenobiotic drug metabolism. AB - The cytochrome P450 (CYP) superfamily of drug metabolizing enzymes (DMEs) plays a central role in the oxidative metabolism of xenobiotics to which living organisms are exposed. In Bos taurus (cattle), a definitive nomenclature for CYP proteins is still lacking, and to unambiguously settle cattle nomenclature a phylogenetic analysis of proteins belonging to CYP 1-4 families was performed. Sequences collected from GenBank and Dr Nelson's P450 homepage databases were analyzed according to the maximum likelihood method. Phylogenetic outputs showed that CYPs sharing the same name and collected from different species did not form, in several instances, monophyletic groups. Some cattle CYPs did not group with their supposed human orthologous counterparts, thus requiring a new nomenclature. Name changes mostly mirrored the orthologous counterparts established for other species, and new names were created when no clear orthologous sequences were identified. The new nomenclature will allow a more appropriate investigation of biochemical and molecular mechanisms involved in the expression and regulation of these DMEs. PMID- 21062305 TI - Phase 1 and phase 2 metabolic activities along the small intestine in adult male sheep. AB - Metabolic activities of several xenobiotic metabolizing enzymes were evaluated in both hepatic and enteric subcellular fractions obtained from Corriedale * Merino crossbreed rams by using a biochemical approach. Microsomes obtained from the different segments of sheep small intestinal mucosa displayed cytochrome P450 (CYP)-dependent N-demethylations but not O-deethylase activities apparently occurred. CYP-mediated N-demethylations neither decreased nor increased along the small intestinal mucosa. Percentages of activity for erythromycin N-demethylase in the small intestine were between 29% (duodenum) and 45% (ileum) from that measured in the liver, whereas those determined for triacetyl-oleandomycin N demethylation ranged between 10% (duodenum) and 15% (jejunum) of the same hepatic activity. Conversely, metabolic rates for aminopyrine and chlorfeniramine N demethylations in the gut mucosa ranged between 3% and 7% compared to their respective hepatic enzyme activities. Sheep enteric mucosa also displayed metabolic reactions typically mediated by flavin-containing monooxygenases (FMOs), carbonyl reductases (CBRs), carboxylesterases (CES), glutathione S transferases (GSTs) and uridine diphosphoglucuronyltransferases (UGTs). The FMO mediated sulfoxidation of methimazole was 2.6-fold higher (P < 0.01) in the ileal compared to the duodenal mucosa. Percentages of activity for the microsomal CBR dependent biotransformation of menadione were between 12% (ileum) and 19% (duodenum-jejunum) of the total activity measured in the liver; metabolic rates measured in duodenum and jejunum were ~1.7-fold higher (P < 0.05) than that observed in the ileum. The microsomal CES activity (using p-nitrophenyl acetate as substrate) was around twofold higher in duodenum (P < 0.05) and jejunum (P < 0.01) in comparison to the ileum. Cytosolic GST-dependent activities (toward 1 chloro, 2,4-dinitrobenzene) were similar in the mucosa of duodenum, jejunum and ileum. Microsomal UGT activities (toward 1-naphthol) in duodenum and jejunum were three- and fourfold higher, respectively, compared to that measured in the ileum. The small intestinal mucosa may play a critical defensive role due to its involvement in the detoxification of toxic compounds prior to absorption. In addition, gut metabolic reactions may contribute to the presystemic metabolism of orally administered drugs. These results are a further contribution to the understanding of the relevance of the extra-hepatic metabolism of xenobiotics in ruminant species. PMID- 21062306 TI - Inhibition of CYP3A mRNA and protein expression, and enzymatic activity, by enrofloxacin in chickens. AB - This study was to investigate the effect of enrofloxacin (EF) on CYP3A in chicken by using quantitative reverse transcription-polymerase chain reaction and immunodetected. The treated chickens were given 5, 25 and 125 mg/kg of EF while the control chickens were treated with the same volume saline. There was no significant difference between the low dose group and controls in the concentration of hepatic microsome protein and total CYP content, while the middle and high dose EF caused the down regulation. Depression of the CYP3A activity, mRNA and protein were observed in treated chickens, and the inhibition degree was different from each group. It was concluded that EF caused the inhibition of CYP3A both in genetic transcription and protein levels. But the inhibition metabolism still needs further researches. PMID- 21062307 TI - Effects of ionophores on liver CYP1A and 3A in male broilers. AB - The effects of ionophore antibiotics on the enzyme activity, protein and mRNA expression levels of cytochrome P450 (CYP) isoenzymes were investigated in liver from male Arbor Acres (AA) broiler chicks. Monensin, salinomycin and maduramycin at the dosage of 120, 60, and 5 mg/kg were administered in feed for 14 days. CYP1A and CYP3A activities were quantitated using cocktail probe drugs and a high performance liquid chromatographic (HPLC) assay at the 15th day; the protein expressions of CYP1A and CYP3A were detected by Western blot. CYP1A4, CYP1A5 and CYP3A37 mRNA levels were detected by real-time polymerase chain reaction (real time PCR). Monensin, salinomycin and maduramycin had no effect on caffeine metabolism, protein expression and mRNA expression, but did induce dapsone metabolism, increasing CYP3A protein expression. However, there was no change in CYP3A37 mRNA expression as compared with the control group. It is suggested that ionophore antibiotics may have an induction effect on CYP3A expression and enzyme activity and that such effect might be related to the posttranscriptional regulation of its protein expression. Consideration of the enhanced metabolism of other drugs used simultaneously with ionophores is therefore recommended. PMID- 21062308 TI - Effects of the beta2 -agonist clenbuterol on testicular steroidogenic acute regulatory protein mRNA expression in adult rats. AB - This study was carried out to investigate the effects of clenbuterol (CLB) on the testicular (steroidogenic acute regulatory, StAR) protein mRNA expression in rats. Thirty adult male rats were administered CLB by gavage daily at the doses of 0.4, 2.0 and 18.5 mg/kg bw for 14 days in the subacute experiment, whereas 20 rats received a single treatment with CLB at the doses of 20 and 40 mg/kg bw in the acute experiment and 20 rats were treated with 0.9% NaCl solution as vehicle groups. Testicular tissues were collected and snap-frozen in liquid nitrogen and stored at -70 degrees C until use. The levels of StAR mRNA were detected by RT PCR. The levels of StAR mRNA were markedly increased (P < 0.05) at both dosages of 20 and 40 mg/kg bw but the effects were not dose-dependent and the mRNA levels of StAR were returned to near normal level after 7 days of CLB withdrawal, compared with the control animals. In the subacute experiment, CLB induced a dose dependent but no statistical significant reduction (P > 0.05) in the expression levels of StAR mRNA, and the mRNA levels were recovered to near normal level in the groups treated with CLB at dosages of 0.4 and 2.0 mg/kg bw/day following a 7 day withdrawal period, compared with the control animals. The mRNA levels of StAR showed a significant decrease in the groups treated with CLB at the dosage of 18.5 mg/kg bw/day (P < 0.05) after a 1- or 7-day withdrawal period with respect to the control animals. These results demonstrated transient stimulative effects of CLB on testicular StAR mRNA levels and inhibitory effects after treatment with CLB for 14 consecutive days. PMID- 21062309 TI - Pharmacodynamics of tepoxalin, sodium-salicylate and ketoprofen in an intravenous lipopolysaccharide inflammation model in broiler chickens. AB - The pharmacodynamic properties of tepoxalin, Na-salicylate and ketoprofen were determined in an intravenous lipopolysaccharide (LPS) inflammation model in broiler chickens. The drugs were administered orally at a dose of 30, 50 and 3 mg/kg, respectively. LPS administration induces an increase in the intracellular expression of interleukin (IL)-1beta and IL-6 and the secreted IL-6 plasma concentration. Furthermore, an elevation in body temperature is noted. Despite pretreatment with a single dose of the drugs and LPS administration on the T(max) of the drug after a second dose, no decrease was seen in systemic IL-6 levels. The intracellular expression of IL-1beta in the heterophils was slightly decreased if LPS was administered in combination with each of the three drugs. Tepoxalin and Na-salicylate administration had no significant effect on the LPS induced increase in prostaglandin E(2) plasma concentration, in contrast to ketoprofen. None of the three drugs were able to influence the elevation in body temperature after LPS administration. The pharmacokinetic properties of Na salicylate and ketoprofen were not altered in combination with LPS administration. However, LPS significantly decreased the AUC(0->6 h) of the active metabolite of tepoxalin, RWJ-20142, indicating a perfusion-limited elimination for this molecule. PMID- 21062310 TI - Barazone decreases skin lesions and pruritus and increases quality of life in dogs with atopic dermatitis: a randomized, blinded, placebo-controlled trial. AB - A randomized, blinded, placebo-controlled study was conducted to assess the efficacy of a new 0.025% budesonide leave-on-conditioner (Barazone) in controlling the clinical signs of canine atopic dermatitis (AD). Twenty-nine dogs with AD were randomly allocated to receive 3 weeks of once-weekly treatment with either Barazone or Placebo and then were crossed-over to receive the alternative treatment for a further 3 weeks. At the start and end of each treatment phase, referring veterinarians performed a dermatological and general physical examination on each dog, assigned a Lesional Score, collected blood for haematological and biochemical analyses and rated the dog's overall tolerance to the preceding treatment. Owners assessed their dog's level of pruritus and quality of life (QoL) daily, using visual analogue scales labelled with behavioural descriptors. Barazone improved skin lesions (P = 0.02) and QoL (P < 0.001) and reduced pruritus (P <= 0.002) compared with treatment with Placebo. There were no significant differences in the tolerance scores and only minor differences in the general physical examination findings and haematological and biochemical parameters between dogs receiving Barazone or Placebo. This study demonstrated that Barazone, applied once weekly at 1 g/kg for 3 weeks, was an efficacious treatment for the control of the clinical signs of AD in dogs. PMID- 21062311 TI - Bone demineralization in the lumbar spine of dogs submitted to prednisone therapy. AB - Glucocorticoids are drugs widely used in veterinary medicine; however, besides their clinical benefits, their use can trigger undesirable effects. A clinical trial was performed on eight healthy dogs with the intent of evaluating possible alterations in the bone mineral density after therapy with prednisone using a helical computed tomography. All animals received prednisone orally at a dose of 2 mg/kg of weight for 30 days. The bone mineral density was determined by obtaining the vertebral body radiodensity of the second lumbar vertebra values immediately before and after the administration of the medication. The experimental protocol allowed for the characterization of a significant (P < 0.01) reduction of the vertebral body radiodensity of the second lumbar vertebra. At the end of the experiment, it was characterized by a loss of bone mass of approximately 14%. None of the animals presented pathologic fracture at the end of the administration of the medication. This study verified that the alterations in the bone metabolism of the dogs submitted to the therapy with prednisone in a dosage of 2 mg/kg occur rapidly, which recommends a monitoring of the patients for the prevention of pathologic fractures. PMID- 21062312 TI - Pharmacokinetics and toxicity of ciprofloxacin in adult horses. AB - Using a randomized, cross-over study design, ciprofloxacin was administered i.g. to eight adult mares at a dose of 20 mg/kg, and to seven of the eight horses at a dose of 5 mg/kg by bolus i.v. injection. The mean C(0) was 20.5 MUg/mL (+/-8.8) immediately after i.v. administration. The C(max) was 0.6 MUg/mL (+/-0.36) at T(max) 1.46 (+/-0.66) h after the administration of oral ciprofloxacin. The mean elimination half-life after i.v. administration was 5.8 (+/-1.6) h, and after oral administration the terminal half-life was 3.6 (+/-1.7) h. The overall mean systemic availability of the oral dose was 10.5 (+/-2.8)%. Transient adverse effects of mild to moderate severity included agitation, excitement and muscle fasciculation, followed by lethargy, cutaneous edema and loss of appetite developed in all seven horses after i.v. administration. All seven horses developed mild transient diarrhea at 36-48 after i.v. dosing. All eight horses dosed intragastrically experienced adverse events attributable to ciprofloxacin administration. Adverse events included mild transient diarrhea to severe colitis, endotoxemia and laminitis necessitating euthanasia of three horses on humane grounds. The high incidences of adverse events preclude oral and rapid i.v. push administration of ciprofloxacin. PMID- 21062313 TI - Absorption of enrofloxacin and marbofloxacin after oral and subcutaneous administration in diseased koalas (Phascolarctos cinereus). AB - Koalas (n = 43) were treated daily for up to 8 weeks with enrofloxacin: 10 mg/kg subcutaneously (s.c.), 5 mg/kg s.c., or 20 mg/kg per os (p.o.); or marbofloxacin: 1.0-3.3 mg/kg p.o., 10 mg/kg p.o. or 5 mg/kg s.c. Serial plasma drug concentrations were determined on day 1 and again at approximately 2 weeks, by liquid chromatography. The median (range) plasma maximum concentrations (C(max) ) for enrofloxacin 5 mg/kg s.c. and 10 mg/kg s.c. were 0.83 (0.68-1.52) and 2.08 (1.34-2.96) MUg/mL and the median (range) T(max) were 1.5 h (1-2) and 1 h (1-2) respectively. Plasma concentrations of orally dosed marbofloxacin were too low to be quantified. Oral administration of enrofloxacin suggested absorption rate limited disposition pharmacokinetics; the median (range) C(max) for enrofloxacin 20 mg/kg p.o. was 0.94 (0.76-1.0) MUg/mL and the median (range) T(max) was 4 h (2 8). Oral absorption of both drugs was poor. Plasma protein binding for enrofloxacin was 55.4 +/- 1.9% and marbofloxacin 49.5 +/- 5.3%. Elevations in creatinine kinase activity were associated with drug injections. Enrofloxacin and marbofloxacin administered at these dosage and routes are unlikely to inhibit the growth of chlamydial pathogens in vivo. PMID- 21062314 TI - Progesterone milk residues in goats treated with CIDR-G((r)) inserts. AB - Progesterone (P4)-impregnated intravaginal controlled internal drug-releasing devices (CIDRs) have been used worldwide for estrus synchronization in ruminants. CIDRs serve to place all treated animals in the luteal phase of the estrous cycle. The objectives of this study were to compare P4 concentrations in milk from normal reproductively cycling, CIDR-treated, and pregnant goats. CIDRs were placed in treatment goats on day 0 and removed on day 19. Milk was collected daily from day 0 to day 21 from control and CIDR-treated goats and for 5 consecutive days between 40 and 60 days of gestation from pregnant does. Milk P4 was plotted against time (in days) for each individual, and the area under the curve (AUC) was calculated as an estimate of total milk P4. The AUC(day 0-21) for control and CIDR-treated goats were 29.5 +/- 11.9 and 33.7 +/- 6.6 d.ng/mL, respectively (P = 0.77). The highest single-day and highest 5-day average P4 values for each animal were also compared among groups. Single-day peak P4 levels were 4.8 +/- 1.5, 4.0 +/- 1.0, and 6.0 +/- 0.4 ng/mL for control, CIDR-treated, and pregnant goats (P = 0.42). The highest 5-day average P4 concentrations were 3.6 +/- 1.3, 2.9 +/- 1.8, and 4.2 +/- 0.3 for control, CIDR-treated, and pregnant goats (P = 0.56). The results of this study show that intravaginal P4 CIDR devices inserted for 19 days in healthy goats resulted in milk P4 levels similar to or less than those endogenously produced during diestrus or pregnancy. PMID- 21062315 TI - Cold stress contributes to aberrant cytokinesis during male meiosis I in a wheat thermosensitive genic male sterile line. AB - The male sterility of a wheat thermosensitive genic male sterile (TGMS) line is strictly controlled by temperature. When the TGMS line BS366 was exposed to 10 degrees C from the pollen mother cell stage to the meiosis stage, a few pollen grains were formed and devoid of starch. We report here a large-scale transcriptomic study using the Affymetrix wheat GeneChip to follow gene expression in BS366 line anthers in response to cold stress. Notably, many cytoskeletal signaling components were gradually induced in response to cold stress in BS366 line anthers. However, the cytoskeleton-associated genes that play key roles in the dynamic organization of the cytoskeleton were dramatically repressed. Histological studies revealed that the separation of dyads occurred abnormally during male meiosis I, indicating defective male meiotic cytokinesis. Fluorescence labelling and subcellular histological observations revealed that the phragmoplast was defectively formed and the cell plate was abnormally assembled during meiosis I under cold stress. Based on the transcriptomic analysis and observations of characterized histological changes, our results suggest that cold stress repressed transcription of cytoskeleton dynamic factors and subsequently caused the defective cytokinesis during meiosis I. The results may explain the male sterility caused by low temperature in wheat TGMS lines. PMID- 21062316 TI - Plasma membrane Ca2+ transporters mediate virus-induced acquired resistance to oxidative stress. AB - This paper reports the phenomenon of acquired cross-tolerance to oxidative stress in plants and investigates the activity of specific Ca2+ transport systems mediating this phenomenon. Nicotiana benthamiana plants were infected with Potato virus X (PVX) and exposed to oxidative [either ultraviolet (UV-C) or H2O2] stress. Plant adaptive responses were assessed by the combined application of a range of electrophysiological (non-invasive microelectrode ion flux measurements), biochemical (Ca2+- and H+-ATPase activity), imaging (fluorescence lifetime imaging measurements of changes in intracellular Ca2+ concentrations), pharmacological and cytological transmission electrone microscopy techniques. Virus-infected plants had a better ability to control UV-induced elevations in cytosolic-free Ca2+ and prevent structural and functional damage of chloroplasts. Taken together, our results suggest a high degree of crosstalk between UV and pathogen-induced oxidative stresses, and highlight the crucial role of Ca2+ efflux systems in acquired resistance to oxidative stress in plants. PMID- 21062317 TI - Dual Delta13C/delta18O response to water and nitrogen availability and its relationship with yield in field-grown durum wheat. AB - The combined use of stable carbon and oxygen isotopes in plant matter is a tool of growing interest in cereal crop management and breeding, owing to its relevance for assessing the photosynthetic and transpirative performance under different growing conditions including water and N regimes. However, this method has not been applied to wheat grown under real field conditions. Here, plant growth, grain yield (GY) and the associated agronomic components, carbon isotope discrimination (Delta13C) plus oxygen isotope composition (delta18O) as well as leaf and canopy gas exchange were measured in field-grown wheat subjected to different water and N availabilities. Water limitation was the main factor affecting yield, leaf and canopy gas exchange and Delta13C and delta18O, whereas N had a smaller effect on such traits. The combination of Delta13C and delta18O gave a clear advantage compared with gas exchange measurements, as it provides information on the instantaneous and the long-term plant photosynthetic and transpirative performance and are less labour intensive than gas exchange measurements. In addition, the combination of plant Delta13C and delta18O predicted differences in GY and related agronomical parameters, providing agronomists and breeders with integrative traits for selecting crop management practices and/or genotypes with better performance under water-limiting and N limiting conditions. PMID- 21062318 TI - Involvement of extracellular oxidative burst in salicylic acid-induced stomatal closure in Arabidopsis. AB - Salicylic acid (SA), a ubiquitous phenolic phytohormone, is involved in many plant physiological processes including stomatal movement. We analysed SA-induced stomatal closure, production of reactive oxygen species (ROS) and nitric oxide (NO), cytosolic calcium ion ([Ca2+](cyt)) oscillations and inward-rectifying potassium (K+(in)) channel activity in Arabidopsis. SA-induced stomatal closure was inhibited by pre-treatment with catalase (CAT) and superoxide dismutase (SOD), suggesting the involvement of extracellular ROS. A peroxidase inhibitor, SHAM (salicylhydroxamic acid) completely abolished SA-induced stomatal closure whereas neither an inhibitor of NADPH oxidase (DPI) nor atrbohD atrbohF mutation impairs SA-induced stomatal closures. 3,3'-Diaminobenzidine (DAB) and nitroblue tetrazolium (NBT) stainings demonstrated that SA induced H2O2 and O2- production. Guard cell ROS accumulation was significantly increased by SA, but that ROS was suppressed by exogenous CAT, SOD and SHAM. NO scavenger 2-(4-carboxyphenyl) 4,4,5,5-tetramethylimidazoline-1-oxyl-3-oxide (cPTIO) suppressed the SA-induced stomatal closure but did not suppress guard cell ROS accumulation whereas SHAM suppressed SA-induced NO production. SA failed to induce [Ca2+](cyt) oscillations in guard cells whereas K+(in) channel activity was suppressed by SA. These results indicate that SA induces stomatal closure accompanied with extracellular ROS production mediated by SHAM-sensitive peroxidase, intracellular ROS accumulation and K+(in) channel inactivation. PMID- 21062319 TI - Effect of soil acidity, soil strength and macropores on root growth and morphology of perennial grass species differing in acid-soil resistance. AB - It is unclear whether roots of acid-soil resistant plants have significant advantages, compared with acid-soil sensitive genotypes, when growing in high strength, acid soils or in acid soils where macropores may allow the effects of soil acidity and strength to be avoided. The responses of root growth and morphology to soil acidity, soil strength and macropores by seedlings of five perennial grass genotypes differing in acid-soil resistance were determined, and the interaction of soil acidity and strength for growth and morphology of roots was investigated. Soil acidity and strength altered root length and architecture, root hair development, and deformed the root tip, especially in acid-soil sensitive genotypes. Root length was restricted to some extent by soil acidity in all genotypes, but the adverse impact of soil acidity on root growth by acid-soil resistant genotypes was greater at high levels of soil strength. Roots reacted to soil acidity when growing in macropores, but elongation through high-strength soil was improved. Soil strength can confound the effect of acidity on root growth, with the sensitivity of acid-resistant genotypes being greater in high strength soils. This highlights the need to select for genotypes that resist both acidity and high soil strength. PMID- 21062320 TI - Enhanced formation of methane in plant cell cultures by inhibition of cytochrome c oxidase. AB - The claim of methane (CH4) formation in plants has caused much controversy and debate within the scientific community over the past 4 years. Here, using both stable isotope and concentration measurements, we demonstrate that CH4 formation occurs in plant cell cultures that were grown in the dark under sterile conditions. Under non-stress conditions the plant cell cultures produced trace amounts [0.3-0.6 ng g-1 dry weight (DW) h-1] of CH4 but these could be increased by one to two orders of magnitude (up to 12 ng g-1 DW h-1) when sodium azide, a compound known to disrupt electron transport flow at the cytochrome c oxidase (complex IV) in plant mitochondria, was added to the cell cultures. The addition of other electron transport chain (ETC) inhibitors did not result in significant CH4 formation indicating that a site-specific disturbance of the ETC at complex IV causes CH4 formation in plant cells. Our study is an important first step in providing more information on non-microbial CH4 formation from living plants particularly under abiotic stress conditions that might affect the electron transport flow at the cytochrome c oxidase in plant mitochondria. PMID- 21062321 TI - Repair of an incompetent urethral sphincter in a mare. AB - OBJECTIVE: To describe successful surgical treatment of urinary incontinence caused by a ruptured and/or transected urethral sphincter in a mare. STUDY DESIGN: Clinical report. ANIMAL: A 7-year-old, Swedish Warmblood mare with urinary incontinence. METHODS: The urethral sphincter, which had been damaged during removal of a cystic urolith, was repaired by apposing the ends of the disrupted urethralis muscle and tunica muscularis. RESULTS: The mare was no longer incontinent after repair of the defect by apposition of the ends of the urethralis muscle and tunica muscularis. CONCLUSIONS: Transection and/or rupture of the urethral sphincter of a mare may result in urinary incontinence. CLINICAL RELEVANCE: Apposition of the ends of the ruptured or transected urethralis muscle and tunica muscularis can correct urinary incontinence caused by this defect. PMID- 21062322 TI - Occurrence of incisional complications and associated risk factors using a right ventral paramedian celiotomy incision in 159 horses. AB - OBJECTIVE: To evaluate the occurrence of, and variables associated with, incisional complications after right ventral paramedian celiotomy in horses. STUDY DESIGN: Case series. ANIMALS: Horses (n=159). METHODS: Occurrence of incisional complications after right ventral paramedian celiotomy was determined in 159 horses (161 celiotomies) that survived at least 30 days after surgery at a private equine hospital (2003-2007). Follow-up information for 121 horses was obtained >=90 days after surgery. Univariate analysis and multivariate logistic regression was performed to evaluate variables associated with incisional complications after celiotomy. RESULTS: Of 161 celiotomies, >=1 incisional complications occurred in 27 (16.8%) during hospitalization and/or after discharge, including: drainage (15.5%), skin dehiscence (3.7%), noticeable cutaneous scarring (1.9%), and herniation (0.6%). Variables significantly associated with incisional complications after multivariate analysis included: Quarter horse-type breed (odds ratio [OR]: 3.9, 95% confidence interval [95% CI]: 1.3-11.7); use of an abdominal bandage (OR: 9.5, 95% CI: 2.9-30.8); and >4 postoperative febrile (>38.3 degrees C) days (OR: 12.9, 95% CI: 2.8-58.2). CONCLUSIONS: Overall occurrence of incisional complications after right paramedian ventral celiotomy compared favorably to those reported for ventral median celiotomies. Several variables were associated with, but not necessarily predictive for, the occurrence of incision complications. PMID- 21062323 TI - Effects of bilateral arytenoid cartilage stenting on canine laryngeal resistance ex vivo. AB - OBJECTIVE: To evaluate the effect of Nitinol stents for bilateral arytenoid lateralization on canine laryngeal resistance. STUDY DESIGN: Ex vivo experimental study. ANIMALS: Canine cadaver larynges (n=7). METHODS: Laryngeal resistance was calculated in all specimens with the epiglottis in open and closed positions. Bilateral arytenoid stenting was performed, rima glottidis width measured, and laryngeal resistance calculated. The effects of stenting on laryngeal resistance were evaluated by repeated measures ANOVA. RESULTS: Calculated laryngeal resistance in the 3 stented groups, 2 cm (0.034+/-0.059 cmH(2) O/L/s), 3 cm (0.034+/-0.059 cmH(2) O/L/s), and 4 cm (0.034+/-0.059 cm H(2) O/L/s), was significantly decreased versus the control (unstented) group (0.947+/-0.624 cmH(2) O/L/s; P=.0098) with an epiglottis in the normal position. Calculated laryngeal resistance in the 3 stented groups, 2 cm (43.407+/-17.348 cm H(2) O/L/s), 3 cm (70.659+/-34.705 cmH(2) O/L/s), and 4 cm (92.637+/-44.509 cm H(2) O/L/s), was significantly increased versus the control (unstented) group (29.561+/-14.499 cm H(2) O/L/s) (P=.0185) with an epiglottis in the closed position. The width of the rima glottidis correlated with the size of the stent (r=0.95, P<.001). CONCLUSIONS: Bilateral arytenoid stenting significantly reduced calculated laryngeal resistance with an open epiglottis. Stenting resulted in a significant increase in laryngeal resistance versus the control with a closed epiglottis. Use of bilateral arytenoid stenting in clinical cases of laryngeal paralysis may provide an adequate decrease in open-epiglottis airway resistance to alleviate clinical signs, while increasing closed-epiglottis airway resistance. This could potentially lead to a decrease in the risk of postoperative aspiration pneumonia. PMID- 21062324 TI - A preliminary study on the effect of wounding on transforming growth factor-beta1 and cartilage oligomeric matrix protein concentrations in the skin of horses. AB - OBJECTIVE: To evaluate whether wound type or site influence the production of cartilage oligomeric matrix protein (COMP) and transforming growth factor beta1 (TGF-beta1), and determine if there is a correlation between TGF-beta1and COMP during healing. STUDY DESIGN: Experimental. ANIMALS: Standardbred horses (n=6), 4 8 years old. METHODS: Six, standardized, full-thickness skin wounds (open, straight, and elliptical) were surgically created on the neck (n=3) and metacarpus (3) on each horse. Wounds were randomly allocated to site and side. Tissue samples were collected before creating wounds and on days 7, 14, and 42. COMP concentration (MUg/g dry weight of tissue) was determined using a standard competitive ELISA and TGF-beta1 (ng/g dry weight of tissue) was determined using a commercially available sandwich ELISA. RESULTS: COMP concentrations were higher in intact skin on the neck compared with the metacarpus (P=.02). There was no difference in COMP and TGF-beta1 concentrations between the different wound types or sites during healing. There was no correlation between TGF-beta1 and COMP during healing. CONCLUSIONS: Within the limitations of the study design, production of COMP during healing of skin wounds does not appear to be influenced by wound type or anatomic site, nor does it appear to be correlated with TGF beta1 concentrations. PMID- 21062325 TI - Use of a vessel sealant device for splenectomy in dogs. AB - OBJECTIVE: To (1) describe a technique for splenic vessel hemostasis and (2) report complications and outcome after use of bipolar sealant device during splenectomy in dogs. STUDY DESIGN: Case series. ANIMALS: Dogs (n=27) with naturally occurring splenic disease. METHODS: Between January 2006 and March 2008, splenectomy was performed using a vessel sealant device in 27 dogs with naturally occurring splenic disease. Number of sutures needed for splenectomy and complications were recorded. Splenic artery diameter was measured using a caliper. Intraoperative hemostasis, device ease of use, postoperative hemorrhage, and short-term survival were evaluated. RESULTS: Splenectomy was performed successfully in 27 dogs with the vessel sealant device; none of the dogs required vessel ligation with suture. The splenic artery was dissected and adequately sealed in each dog. One dog was readmitted 4 days after surgery with hemoabdomen. Abdominal exploration revealed splenic pedicle hemorrhage and pancreatitis, the vessel sealant device was used to coagulate splenic pedicle bleeding. The dog was alive at suture removal. CONCLUSION: In dogs, a vessel sealant device may be used to achieve efficient and safe hemostasis of the splenic vascular pedicle without sutures. PMID- 21062326 TI - Halomonas and Marinobacter ecotypes from hydrothermal vent, subseafloor and deep sea environments. AB - Moderately halophilic and euryhaline bacteria are routinely found in cool to warm hydrothermal vent and nearby cold, deep-sea environments. To elucidate the diversity of these microorganisms - with the goal of determining which among them constitute ecotypes specifically associated with hydrothermal vent and subseafloor habitats - PCR primers were designed to detect natural populations of euryhaline Gammaproteobacteria belonging to the cosmopolitan genera Halomonas and Marinobacter. The distribution patterns of 16S rRNA gene sequence data revealed that Halomonas group 2A comprised a subseafloor population at Axial Seamount on the Juan de Fuca Ridge. Complementary biogeographic and physiological data suggested that other Halomonas clades include members that are cold adapted (Halomonas group 2B) or associated with massive sulfide deposits (Halomonas group 2C). Similarly, a monophyletic Marinobacter clade may represent Fe(2+) -oxidizing facultative chemoautotrophs based on the phylogenetic data presented here and previously reported phenotypic characterizations. The biogeographic distributions of Halomonas and Marinobacter isolates and clones reveal that these are cosmopolitan genera, commonly found in the deep sea and in hydrothermal vent settings. As such, they are good candidates for further laboratory investigations into the biogeochemical processes in these environments. PMID- 21062327 TI - Bacterial communities in the 'petola' microbial mat from the Secovlje salterns (Slovenia). AB - The Secovlje saltern is one of the few remaining solar salterns for traditional, seasonal salt production. The bottom of the crystallizer ponds is covered with a microbial mat, known as the 'petola', that has continuously been cultivated from medieval times. Outside the salt production season, the petola is fertilized with anoxic marine mud and covered with saline water; during the season, it is covered by brine. Here, we have applied culture-independent techniques and microelectrode based activity measurements to study the bacterial communities in three different layers of the petola during the peak of the harvesting season. For reference, we used nonactive petola that had been abandoned for several years. The upper 2 mm of the petola were dominated by the cyanobacterial species Coleofasciculus chthonoplastes and the Phormidium/Lyngbya group, and Gammaproteobacteria (Acinetobacter sp.), while the third anoxic layer was dominated by as yet uncultured phyla. The nonactive petola showed a higher biodiversity. Oxygen and sulfide concentrations differed between the mats studied, in terms of the depth of oxygen penetration and diel changes. This study provides the first molecular insight into the microbiology of the petola, and it represents an important contribution towards understanding the geomicrobiological cycles of the traditional Secovlje saltern. PMID- 21062328 TI - A novel phylogenetic clade of picocyanobacteria from the Mazurian lakes (Poland) reflects the early ontogeny of glacial lakes. AB - The community of picocyanobacteria inhabiting the Great Mazurian Lakes system (comprising lakes ranging from mesotrophic to hypertrophic) is dominated by phycoerythrin-rich cells, which outnumber phycocyanin-rich cells, even in hypertrophic lakes. The genetic diversity and phylogeny of 43 strains of picocyanobacteria isolated from four Mazurian lakes were studied by analyzing the nucleotide sequences of the 16S rRNA gene and cpcBA-IGS operon. Phylogenetic analyses assigned some of the strains to several previously described clusters (Groups A, B, C, E and I) and revealed the existence of a novel clade, Group M (Mazurian), which exhibited a low level of similarity to the other clusters. Both phycocyanin and phycoerythrin picocyanobacteria were assigned to this clade based on an analysis of the 16S rRNA gene. The cpcBA sequence analysis assigned only phycocyanin strains to Group M, whereas the phycoerythrin strains from the M ribogroup were assigned to Groups B and E. We hypothesize that Group M originally contained only phycocyanin picocyanobacteria. The phycoerythrin found in strains belonging to ribogroup M seems to have been acquired through horizontal gene transfer as an adaptation to the changing environment early in the ontogeny of these glacial lakes. PMID- 21062329 TI - Evaluation of health services use following the initiation of risperidone long acting therapy among schizophrenia patients in the veterans health administration. AB - WHAT IS KNOWN AND OBJECTIVE: The introduction of long-acting injection antipsychotic agents has been associated with better treatment persistence and better subsequent patient outcomes. However, limited empirical data are available on patient outcomes resulting from the initiation of long-acting injectable antipsychotic agents. In this study, we assessed patterns of health-care utilization following the initiation of risperidone long-acting therapy (RLAT), the first and only second generation long-acting injectable antipsychotic agent, in schizophrenia patients within the Veterans Health Administration. METHODS: Patients were identified if they initiated RLAT between 1 October 2005 and 30 September 2006, were >= 18 years of age at the time of initiation, and had at least four injections following the initiation. Paired t-tests and McNemar tests were used to compare patterns of health services use during 12 months pre- and post-initiation. RESULTS AND DISCUSSION: Among 924 eligible study subjects, about 94% were male with mean age of 51.1 years and as high as 60% had >3 and 29% had >5 comorbid conditions. The initiators of RLAT had an average of 17.3 (SD +/- 9.7) injections within the 12 months following the initiation, with an average of 14 days between injections. Between the pre- and post-initiation periods, although the number of psychiatric-related outpatient visits increased from 24.6 to 39.1 (P < 0.001), the number of psychiatric hospitalizations decreased from 1.4 to 1.0 (P < 0.001) with an average length of stay reducing from 20 to 14 days (P < 0.001). The percentage of patients who experienced at least one or two psychiatric-related hospitalizations decreased from 68.9% to 45.7% (P < 0.001) and from 34.9% to 24.4% (P < 0.001), respectively. WHAT IS NEW AND CONCLUSION: Despite the values of RLAT in treating patients with schizophrenia, RLAT is largely underutilized in routine clinical practice. This observation highlights the importance for future research to ascertain the cost-effectiveness of initiating RLAT, especially the extent to which medication adherence influences the prescription pattern of RLAT and subsequent costs of initiating RLAT. PMID- 21062330 TI - Echinacea purpurea along with zinc, selenium and vitamin C to alleviate exacerbations of chronic obstructive pulmonary disease: results from a randomized controlled trial. AB - WHAT IS KNOWN AND OBJECTIVE: Upper respiratory tract infections (URTI) frequently cause exacerbations of chronic-obstructive pulmonary disease (COPD). Stimulation of the innate immune system may provide an early defence against such infections. The objective of this study was to determine whether Echinacea purpurea (EP) along with micronutrients may alleviate COPD exacerbations caused by acute URTI. METHODS: This was a double-blind, randomized, placebo-controlled trial in COPD patients with acute URTI. Patients were given ciprofloxacin for 7 days and additionally one tablet per day of EP, of EP along with zinc, selenium and ascorbic acid (EP+), or of placebo until day 14. Serum levels of TNF alpha and interleukins 1beta, 6 and 10 were measured before and after treatment. Until week 4 post-end of treatment, all patients had to daily report on COPD symptoms in diaries. RESULTS AND DISCUSSION: In total, 108 mostly male patients with a mean age of 65.8 years (40-81 years) were enrolled. Patients of the three treatment arms did not vary significantly in baseline characteristics. EP+, but not EP resulted in significantly less severe and shorter exacerbation episodes following URTI as compared with placebo suggesting a synergistic effect of Echinacea and micronutrients. Large variations in biomarkers in-between and within groups were unrelated to treatment. Study medication was safe and well tolerated with overall 15 adverse events one of which was serious. Among those, sleeping disorders were most frequent and likely related to the underlying disease. WHAT IS NEW AND CONCLUSION: The combination of EP, zinc, selenium and vitamin C may alleviate exacerbation symptoms caused by URTI in COPD. Further studies are warranted to investigate the interactions among Echinacea, zinc, selenium and vitamin C. PMID- 21062331 TI - The use of benzodiazepine monotherapy for major depression before and after implementation of guidelines for benzodiazepine use. AB - WHAT IS KNOWN AND OBJECTIVE: The Department of Health (DOH) in Taiwan issued the 'Guidelines for Benzodiazepine Use in Sedation and Hypnosis' in March 2004, which clearly stated that benzodiazepines (BZDs) should not be used alone for the treatment of depression. However, the extent to which clinicians comply with the BZD guidelines was not known. This study aimed to evaluate whether sole prescribing of BZDs for major depression decreased after the implementation of the BZD guidelines. METHODS: This was a retrospective longitudinal trend analysis by analyzing the Longitudinal Health Insurance Database (LHID) from September 2002 to September 2005. The LHID contains all claims data from a random sample of 1,000,000 beneficiaries of the universal National Health Insurance programme in Taiwan. The 3-year study period was divided equally into six periods, before and after the implementation of the guidelines respectively. For each period, the proportion of patients with major depression (ICD-9-CM code 296.2x, 296.3x) treated with BZDs without any concomitant antidepressant was calculated in order to conduct a trend analysis. RESULTS AND DISCUSSION: A total of 5463 prescriptions of BZDs solely used for major depression were observed in the entire study period. In more than 80% of the BZD prescriptions in which BZDs were used alone for major depression, they were prescribed at doses higher than one prescribed daily dose/defined daily dose and were supplied for more than 7 days. The number of outpatients with major depression ranged from 2137 to 3326 during the 12 periods. The proportion of depressed patients treated with BZDs alone per 3 months (i.e., the non-compliance rate) fluctuated from 6.7% to 9.4% before implementation of the guidelines, and from 8.0% to 9.4% after implementation, in outpatient settings. In addition, the guideline non-adherence rates in inpatient settings varied from 7.0% to 11.8% and from 7.8% to 12.6% before and after the implementation of the BZD guidelines respectively. Further trend analyses indicated that the implementation of the guidelines was not associated with a reduced rate of sole prescribing of BZDs for major depression in either inpatient (P = 0.083) or outpatient settings (P = 0.925). WHAT IS NEW AND CONCLUSION: The formulation and implementation of the BZD guidelines appear not to be associated with a reduced rate of sole prescribing of BZDs for major depression, and more comprehensive efforts are required. PMID- 21062332 TI - The treatment of pulmonary Wangiella dermatitidis infection with oral voriconazole. AB - WHAT IS KNOWN AND OBJECTIVE: Wangiella dermatitidis is a darkly pigmented fungus that has been isolated from the soil, dead plant material and areas of high humidity. Infection from the pathogen has not been extensively documented and few published cases report survival. Of the antifungal agents used in previous reports, none has been proven to improve outcomes. Voriconazole is known to have in vitro activity against the organism, but clinical experience for the treatment of W. dermatitidis infection is limited. The objective of this case report is to describe the use of voriconazole for the treatment of W. dermatitidis infection. CASE SUMMARY: An 86-year-old American woman with a past medical history significant only for mild dementia is successfully treated for pulmonary W. dermatitidis infection using oral voriconazole monotherapy with minimal adverse effects. WHAT IS NEW AND CONCLUSION: Voriconazole appears to be effective as monotherapy for the treatment of pulmonary W. dermatitidis infections. A minimum of 3-4 months of antifungal treatment should be given. Adverse effects with prolonged voriconazole use do not appear to be a barrier to treatment. PMID- 21062333 TI - Predictability of individualized dosage regimens of carbamazepine and valproate mono- and combination therapy. AB - WHAT IS KNOWN AND OBJECTIVE: Many investigators agree that appropriate rational utilization of therapeutic drug monitoring (TDM) with Bayesian feedback dosage adjustment facilitates epilepsy treatment with carbamazepine (CBZ) and/or valproate (VPA) by increasing the seizure control and safety, as well as by reducing treatment costs. In previous works we have developed and used in clinical practice population pharmacokinetic (PK) models of different dosage forms for VPA and post-induction CBZ behaviour, as well as for combined therapy with CBZ plus another 'old' antiepileptic drug (AED). An important step of external validation is to evaluate how well a procedure of Bayesian individualizing AED dosage regimens based on a proposed population PK model and sparse TDM data 'works', and how helpful it is in real practical clinical settings. The aim of this study was to evaluate the predictability of individualized dosage regimens for monotherapy with CBZ in the post-induction period or with VPA, as well as for CBZ and VPA given as combination therapy based on TDM data of epileptic patients and the earlier developed population models. METHODS: Four groups of TDM data were analysed using the USC*PACK software for PK/PD analysis: 556 predictions for adult epileptic patients on CBZ monotherapy, 662 predictions for VPA monotherapy, 402 predictions of CBZ serum levels and 430 predictions of VPA serum levels for adult epileptic patients on CBZ+VPA combination therapy. Statistical characteristics of the prediction errors (PE) and weighted PE were used to estimate bias and precision of predictions. Intraindividual and interoccasional variability of predictions were also estimated. RESULTS AND DISCUSSION: This study demonstrated that in most cases of CBZ and VPA monotherapy and combination therapy, predictions of future AED concentrations based on the earlier developed population PK models, TDM data and patient-specific maximum a posteriori probability Bayesian posterior parameter values provided clinically acceptable estimates. Statistical analysis of the residuals demonstrated that the distributions of residual and weighted residual were close to the normal distribution (Kolmogorov-Smirnov test, P > 0.05) and their mean values did not differ statistically significant from zero (no statistically significant bias, P > 0.05) for all groups of predictions. The observed decreased quality of predictions of VPA concentrations during VPA+CBZ combination therapy, especially when CBZ dosages were changed, might well be explained by their PK interactions. For all groups, in linear regression analysis, the observed trend of decreasing of the prediction quality over various future prediction time horizons was considered statistically significant (P < 0.05). Prediction of serum levels further in future was less precise than those closer to the present for a 1.5- to 3.5-year observation period. No bias in predictions was associated with the time horizons. WHAT IS NEW AND CONCLUSION: Our validation results suggest good predictive performance of the population models developed earlier, and quite acceptable predictions of future AED serum levels for individualized dosage regimens of CBZ and VPA therapy in real clinical settings. PMID- 21062334 TI - Health and appropriation in caring science research. PMID- 21062335 TI - Amoxicillin and metronidazole as an adjunctive treatment in generalized aggressive periodontitis at initial therapy or re-treatment: a randomized controlled clinical trial. AB - BACKGROUND: Previously, we showed that systemic metronidazole and amoxicillin significantly improved the outcomes of non-surgical debridement in generalized aggressive periodontitis patients. This study aimed to observe whether re treatment with adjunctive antimicrobials would give the placebo group benefits comparable with the test group. METHODS: Thirty-eight of 41 subjects, from the initial 6-month trial, completed the second phase, re-treatment of sites with remaining pockets 5 mm. Subjects on placebo in phase one, received adjunctive antibiotics for 7 days. Clinical parameters were collected at 2 months posttreatment (8 months from baseline). RESULTS: Patients who received antibiotics at initial therapy, showed statistically significant improvement in pocket depth reduction and in the % of sites improving above clinically relevant thresholds, compared with patients who received antibiotics at re-treatment. In deep pockets (7 mm), the mean difference was 0.9 mm (p=0.003) and in moderate pockets (4-6 mm) it was 0.4 mm (p=0.036). For pockets converting from 5 to 4 mm, this was 83% compared with 67% (p=0.041) and pockets converting from 4 to 3 mm was 63% compared with 49% (p=0.297). CONCLUSIONS: At 8 months, patients who had antibiotics at initial therapy showed statistically significant benefits compared with those who had antibiotics at re-treatment. PMID- 21062336 TI - Influence of whole-body vibration time on peri-implant bone healing: a histomorphometrical animal study. AB - PURPOSE: to examine the influence of time of low-magnitude, high-frequency (LMHF) loading, whole-body vibration (WBV) on peri-implant bone healing. MATERIALS AND METHODS: a custom-made Ti implant was inserted into the medio-proximal site of one tibia of 95 rats and was left to heal for 1 or 4 weeks. The daily WBV consisted of 15 consecutive frequency steps (12, 20, 30, ..., 150 Hz) at an acceleration of 0.3 g. The rats were divided into five groups with different loading times: 0 (control/non-loading), 1.25, 2.5, 5 and twice 1.25 min. (with an interim recovery period) of loading. Bone-to-implant contact (BIC) and peri implant bone fraction were measured. RESULTS: BIC of every test group was significantly higher than that of the control group for both healing periods. In the 4-week healing group, BIC and BFs (in all region of interests) were significantly higher in the case of twice 1.25 min. of loading compared with 1.25 min. of loading. CONCLUSION: time of loading significantly influenced the effect of the WBV on peri-implant bone healing. Twice 1.25 min. of loading appears to have the most favourable effect. LMHF loading with a particular time sequence can stimulate peri-implant bone healing and formation. PMID- 21062337 TI - Treatment outcome of two adjacent implant crowns with different implant platform designs in the aesthetic zone: a 1-year randomized clinical trial. AB - AIM: To evaluate the peri-implant tissues in patients with two adjacent implant crowns in the aesthetic zone, treated with either two adjacent implants with a scalloped platform or with a flat platform. MATERIAL AND METHODS: Forty patients were randomly allocated to: (1) a "scalloped implant group": 20 patients treated with two adjacent implants with a scalloped platform, and (2) a "flat implant group": 20 patients treated with two adjacent implants with a flat platform. Clinical and radiographic examinations were performed during a 1-year follow-up period to assess hard and soft tissue changes. RESULTS: The scalloped implant group showed significantly more marginal bone loss (scalloped: 2.7+/-1.4 mm, flat: 0.9+/-0.8 mm) and more inter-implant bone crest loss (scalloped: 1.8+/-1.4, flat: 1.0+/-0.9 mm) than the flat implant group. There was no significant difference between the groups with regard to the papilla index and patients' satisfaction. CONCLUSION: After 1 year of function, there was more bone loss around scalloped implants than around flat implants. With regard to the presence of papilla, there were no differences between the groups. With both applied implant designs, it is difficult to establish a predictable and harmonious aesthetic result, especially regarding the peri-implant mucosa. Patients were very satisfied with the aesthetic outcome of the adjacent implants irrespective of the treatment concept applied. PMID- 21062338 TI - Soft tissues around long-term platform switching implant restorations: a histological human evaluation. Preliminary results. AB - BACKGROUND: Switching platform restorations seems to reduce the peri-implant bone resorption and to preserve the peri-implant soft tissues. AIM: The aim of the present human study was to compare histologically the peri-implant soft tissue in switching and traditional platform implants 4 years after restoration. MATERIALS AND METHODS: Forty-eight months after implant restoration, 37 peri-implant soft tissue samples from 14 patients were harvested from traditionally restored implants (control group) and from three different platforms mismatching 0.25-0.85 mm (test groups). At the harvesting time, all sites were clinically healthy. Samples were processed to evaluate the inflammatory infiltrate area [inflamed connective tissue (ICT)], the microvascular density (MVD) and the collagen content (AA%). RESULTS: At the analyses, no significant differences were found between groups in terms of ICT, MVD and AA% (p>0.05). In all groups, most samples with a well-preserved junctional epithelium showed a small and localized inflammatory infiltrated associated with not-well-oriented collagen fibres and an increased MVD. CONCLUSIONS: Forty-eight months after restoration, switching and traditional platform implants had similar histological peri-implant soft tissue features, despite different bone level changes detected radiographically and published in a previous parent study. The present study seems to confirm platform switching as a safe prosthetic concept leading to better maintenance of peri implant bone levels. However, further histological studies are required to longitudinally confirm the present data. PMID- 21062339 TI - Pro-inflammatory cytokine levels in association between periodontal disease and hyperlipidaemia. AB - AIM: The aim of this study was to evaluate serum and gingival crevicular fluid (GCF) pro-inflammatory cytokine levels in association between periodontal disease and hyperlipidaemia. MATERIAL AND METHODS: One hundred and twenty-three subjects with hyperlipidaemia and 68 systemically healthy controls (C) were included in the study. Hyperlipidaemic groups were divided into two groups as suggested diet (HD) and prescribed statin (HS). Both groups were divided into three subgroups as healthy (h), gingivitis (g) and periodontitis (p). The clinical periodontal parameters, fasting venous blood and GCF samples were obtained, and serum tumour necrosis factor-alpha (TNF-alpha), interleukin (IL)-1beta (IL-1beta) and IL-6 levels were evaluated. RESULTS: The ratio of total cholesterol to high-density lipoprotein (TC/HDL) was associated with gingival index and percentage of bleeding on probing (BOP%) in both hyperlipidaemic groups. In HS group, GCF and serum IL-6 were positively correlated with BOP% and TC/HDL. GCF TNF-alpha was positively associated with probing pocket depth and clinical attachment level, whereas serum TNF-alpha was associated with BOP% in the HD group. Serum and GCF TNF-alpha and IL-1beta were significantly associated with TC/HDL in the HD group. CONCLUSIONS: Serum pro-inflammatory cytokines may play an important role in the association between periodontal disease and hyperlipidaemia. PMID- 21062340 TI - Mechanism of alveolar bone loss in a collagen-induced arthritis model in mice. AB - OBJECTIVE: the aim of this study was to understand the cellular/molecular mechanisms of periodontal breakdown in a collagen-induced arthritis (CIA) model in mice to enhance the understanding of rheumatoid arthritis (RA)-associated alveolar bone loss in humans. MATERIALS AND METHODS: all analyses were performed on paired samples from CIA and control group mice. Mandibles were retrieved for micro-computed tomography (micro-CT), histomorphometric analysis, and isolation of alveolar bone cells (ABCs). In vitro osteoclastogenic/osteogenic/adipogenic potentials of ABCs were evaluated and the mRNA expression of downstream effector genes was assessed. Bone formation of ABCs was assessed using an ectopic transplantation model. RESULTS: histomorphometric and micro-CT data showed that alveolar bone loss was significantly increased in the CIA group (p<0.05). Osteoclastogenesis was significantly increased in the CIA group in vivo (p<0.05), with upregulated mRNA expressions of osteoclastogenesis-associated genes. Osteoblasts appeared to undergo increased apoptosis, and the bone-forming activity of ABCs concomitantly decreased with in vitro osteogenic differentiation and in vivo ectopic transplantation (p<0.05). Also, adipogenesis-associated mRNA expression was highly expressed in the CIA group, resulting in significantly enhanced adipocyte differentiation in vitro (p<0.05). CONCLUSIONS: these data demonstrate that increased osteoclastic activity, decreased bone-forming activity and enhanced adipogenesis promote alveolar bone loss in a CIA model in mice, and they suggest that these mechanisms could account for the same outcome in human RA. PMID- 21062341 TI - Cryopyrin-associated periodic syndrome: an autoinflammatory disease manifested as neutrophilic urticarial dermatosis with additional perieccrine involvement. AB - A female newborn presented with a congenital urticarial rash that consisted of fluctuating well-demarcated pink or pale reddish macules or slightly raised papules and plaques. In addition, purulent cerebrospinal fluid was present in the absence of evidence of congenital infection. Skin biopsy revealed a sparse infiltrate throughout the entire dermis, including the eccrine adventitia. The infiltrate was composed mostly of neutrophils, but rarely lymphocytes and eosinophils could also be seen. No vasculitis was present. Because of the presenting attributes, a diagnosis of cryopyrin-associated periodic syndrome (CAPS) was considered and the neonatal-onset multisystem inflammatory disorder (NOMID) that represents the most severe expression of the CAPS clinical spectrum was favored. Diagnosis was confirmed by identification of a mutation in the cold induced autoinflammatory syndrome-1 gene and by an observed response to treatment with the interleukin-1 receptor antagonist anakinra. Both the clinical and histopathological findings of the presented case may represent a distinct entity within the spectrum of aseptic neutrophilic dermatitis. We refer to this spectrum as neutrophilic urticarial dermatosis (NUD), which may serve as a cutaneous marker of autoinflammation. NUD with perieccrine involvement should prompt consideration of CAPS, especially NOMID, in the context of neonatal multisystem disease. PMID- 21062342 TI - 'Follicular Swiss cheese' pattern--another histopathologic clue to alopecia areata. AB - Yellow dots are the most useful dermoscopic criterion in the clinical diagnosis of alopecia areata and correspond histopathologically with dilated follicular infundibula. They are found in about 95% of alopecia areata cases and help to differentiate alopecia areata from trichotillomania, telogen effluvium and from scarring alopecias. Histopathology of alopecia areata differs with disease activity and dermatopathologist, therefore, heavily depends on other diagnostic features. Objective of the study was to determine the frequency of dilated follicular infundibula, peribulbar lymphocytic infiltrate, inflammatory infiltrates of lymphocytes and eosinophils within fibrous streamers and a shift to catagen/telogen follicles in alopecia areata. Histopathologic features of 56 specimens of 33 patients were correlated with clinical findings and alopecia areata subtype. RESULTS: 57% of all biopsies showed dilated follicular infundibula, regardless of horizontal or vertical sectioning of the slides. Dilated follicular infundibula showed a maximum occurrence of 66% in the recovery stage of alopecia areata and were seen in 33% of alopecia areata incognita. In conclusion, dilated follicular infundibula, reminiscent of a Swiss cheese in horizontally sectioned slides, is an exceedingly useful criterion in the histopathologic diagnosis of alopecia areata and are of great help in the daily routine to recognize alopecia areata. PMID- 21062343 TI - Cytoplasmic immunoreactivity for Ki67 in an invasive amelanotic melanoma--foe or faux pas? PMID- 21062344 TI - Review: molecular genetics and pathology of hereditary small vessel diseases of the brain. AB - Advances in molecular genetics have enabled identification of several monogenic conditions involving small vessels predisposing to ischaemic and haemorrhagic strokes and diffuse white matter disease. With emphasis on cerebral autosomal dominant arteriopathy with subcortical infarcts and leukoencephalopathy (CADASIL), we review the molecular pathogenesis of recently characterized disorders including cerebral autosomal recessive arteriopathy with subcortical infarcts and leukoencephalopathy (CARASIL), retinal vasculopathy with cerebral leukodystrophy (RVCL) and the Collagen type IV, alpha 1 (COL4A1)-related disorders. CADASIL remains the most common hereditary small vessel disease (SVD) caused by >190 different mutations in the NOTCH3 gene, which encodes a cell signalling receptor. Mutant NOTCH3 instigates degeneration of vascular smooth muscle cells in small arteries and arterioles leading to recurrent lacunar infarcts. Mutations in the serine protease HTRA1 gene are associated with CARASIL. Aberrant HTRA1 activity results in increased transforming growth factor beta signalling provoking multiple actions including vascular fibrosis and extracellular matrix synthesis. The RVCL disorders characterized by profound retinopathy are associated with mutations in TREX1, which encodes an abundant 3' 5' DNA-specific exonuclease. TREX1 mutations lead to detrimental gain-of-function or insufficient quantities of enzyme. The COL4A1-related disorders are highly variable comprising four major phenotypes with overlapping systemic and central nervous system features including SVD with cerebral haemorrhages in children and adults. Mutant COL4A1 likely disrupts the extracellular matrix resulting in fragile vessel walls. The hereditary SVDs albeit with variable phenotypes demonstrate how effects of different defective genes converge to produce the characteristic arteriopathy and microvascular disintegration leading to vascular cognitive impairment. PMID- 21062345 TI - Recessive RYR1 mutations cause unusual congenital myopathy with prominent nuclear internalization and large areas of myofibrillar disorganization. AB - AIMS: To report the clinical, pathological and genetic findings in a group of patients with a previously not described phenotype of congenital myopathy due to recessive mutations in the gene encoding the type 1 muscle ryanodine receptor channel (RYR1). METHODS: Seven unrelated patients shared a predominant axial and proximal weakness of varying severity, with onset during the neonatal period, associated with bilateral ptosis and ophthalmoparesis, and unusual muscle biopsy features at light and electron microscopic levels. RESULTS: Muscle biopsy histochemistry revealed a peculiar morphological pattern characterized by numerous internalized myonuclei in up to 51% of fibres and large areas of myofibrillar disorganization with undefined borders. Ultrastructurally, such areas frequently occupied the whole myofibre cross section and extended to a moderate number of sarcomeres in length. Molecular genetic investigations identified recessive mutations in the ryanodine receptor (RYR1) gene in six compound heterozygous patients and one homozygous patient. Nine mutations are novel and four have already been reported either as pathogenic recessive mutations or as changes affecting a residue associated with dominant malignant hyperthermia susceptibility. Only two mutations were located in the C-terminal transmembrane domain whereas the others were distributed throughout the cytoplasmic region of RyR1. CONCLUSION: Our data enlarge the spectrum of RYR1 mutations and highlight their clinical and morphological heterogeneity. A congenital myopathy featuring ptosis and external ophthalmoplegia, concomitant with the novel histopathological phenotype showing fibres with large, poorly delimited areas of myofibrillar disorganization and internal nuclei, is highly suggestive of an RYR1-related congenital myopathy. PMID- 21062346 TI - Antimicrobial peptaibols induce defense responses and systemic resistance in tobacco against tobacco mosaic virus. AB - Trichoderma spp. are well-known biocontrol agents because of their antimicrobial activity against bacterial and fungal phytopathogens. However, the biochemical mechanism of their antiviral activity remains largely unknown. In this study, we found that Trichokonins, antimicrobial peptaibols isolated from Trichoderma pseudokoningii SMF2, could induce defense responses and systemic resistance in tobacco (Nicotiana tabacum var. Samsun NN) against tobacco mosaic virus (TMV) infection. Local Trichokonin (100 nM) treatment led to 54% lesion inhibition, 57% reduction in average lesion diameter and 30% reduction in average lesion area in systemic tissue of tobacco compared with control, indicating that Trichokonins induced resistance in tobacco against TMV infection. Trichokonin treatment increased the production of reactive oxygen species and phenolic compounds in tobacco. Additionally, application of Trichokonins significantly increased activities of pathogenesis-related enzymes PAL and POD, and upregulated the expression of several plant defense genes. These results suggested that multiple defense pathways in tobacco were involved in Trichokonin-mediated TMV resistance. We report on the antivirus mechanism of peptaibols, which sheds light on the potential of peptaibols in plant viral disease control. PMID- 21062347 TI - Influence of indigenous eukaryotic microbial communities on the reduction of Escherichia coli O157:H7 in compost slurry. AB - Compost made from livestock manure is commonly used as a crop fertilizer and serves as a possible vehicle for the transmission of Escherichia coli O157:H7 to fresh produce. In this study, we hypothesized that the indigenous microbial communities present in composts adversely affects the survival of E. coli O157:H7. Escherichia coli O157:H7 was spiked into compost slurry and incubated at 25 degrees C. Escherichia coli O157:H7 exhibited a c. 4 log(10) reduction over 16 days. When compost was supplemented with the eukaryotic inhibitor cycloheximide, there was a minimal decrease in E. coli O157:H7 counts over the same time period. Analysis of microbial communities present in the compost with denaturing gradient gel electrophoresis (DGGE) suggested minor differences in the fungal communities present in cycloheximide-treated compost, compared with untreated compost over a period of 12 days at 25 degrees C. However, the DGGE profiles of protists showed drastic differences in community complexity. Clone library sequence analysis of protist populations revealed significantly different species composition between treatment and control samples at different time points. This suggests that predation of E. coli O157:H7 by protists might be a potential mechanism for reducing E. coli O157:H7 in compost materials. PMID- 21062348 TI - Congenital systematized basaloid follicular hamartoma with microphthalmia and hemimegalencephaly. AB - The lines of Blaschko are a cutaneous pattern of mosaicism present in a variety of skin disorders. Developmental abnormalities affecting other tissues derived from the embryonic ectoderm and mesoderm are sometimes associated. Here, we describe a 5-year-old boy with basaloid follicular hamartoma affecting the left side of the body in linear multiple bands, following Blaschko lines. Lesions were predominantly hypopigmented macules and streaks, but among these, we could observe brownish atrophic patches and brown papules. Furthermore, ipsilateral hemimegaloencephaly and microphthalmia were present. These findings suggest a neurocutaneous condition recently described by Happle and Tinschert. Its nosologic classification will be discussed. PMID- 21062349 TI - Melatonin induces neural SOD2 expression independent of the NF-kappaB pathway and improves the mitochondrial population and function in old mice. AB - Aging is commonly defined as a physiological phenomenon associated with morphological and functional deleterious changes in which oxidative stress has a fundamental impact; therefore, readjusting the oxidative balance should have beneficial effects. In our study, we tested the antioxidant melatonin in old mouse brains and showed positive effects at the cellular and mitochondrial levels. Melatonin attenuated beta-amyloid protein expression and alpha-synuclein deposits in the brain compared to aged group. Furthermore, oxidative stress was increased by aging and induced the nuclear translocation of nuclear factor-kappa B (NF-kappaB), which was suppressed by melatonin treatment. The antioxidant mitochondrial expression, superoxide dismutase 2 (SOD2), was increased in both control and melatonin-treated old mice, despite the different activation states of the NF-kappaB pathway. The NF-kappaB pathway was activated in the old mice, which may be explained by this group's response to the increased oxidative insult; this insult was inhibited in melatonin-treated animals, showing this group an increase in active mitochondria population that was not observed in old group. We also report that melatonin is capable of restoring the mitochondrial potential of age-damaged neurons. In conclusion, melatonin's beneficial effects on brain aging are linked to the increase in mitochondrial membrane potential and SOD2 expression, which probably reduces the mitochondrial contribution to the oxidative stress imbalance. PMID- 21062350 TI - Efficacy of melatonin, mercaptoethylguanidine and 1400W in doxorubicin- and trastuzumab-induced cardiotoxicity. AB - Doxorubicin (DOX) and Trastuzumab (TRAST) are effective agents for the treatment of many neoplastic diseases. Cardiotoxicity is a major side effect of these drugs and limit their use. In this study, the possible protective effects of melatonin (MEL), mercaptoethylguanidine (MEG), or N-(3-(aminomethyl) benzyl) acetamidine (1400W) against the cardiotoxicity of DOX and TRAST were tested. Male Sprague Dawley rats received an injection of DOX (20 mg/kg) alone or in combination with TRAST (10 mg/kg) to induce cardiotoxicity; daily treatments with MEL (10 mg/kg * 2), MEG (10 mg/kg * 2), or 1400W (10 mg/kg * 2) were begun 36 hr before and continued for 72 hr after DOX and TRAST administration. Oxidant/antioxidant indices of the cardiac tissue, namely, malondialdehyde, superoxide dismutase (SOD) and glutathione peroxidase (GSH-Px), as well as serum levels of creatine phosphokinase (CK-MB) were measured. Additionally, the injury scores were evaluated histopathologically. Malondialdehyde levels were significantly higher, while SOD and GSH-Px activities were significantly reduced in rats with DOX- or DOX+TRAST-induced cardiotoxicity compared to normal values. All three treatment agents significantly reversed oxidative stress markers. Serum CK-MB levels were significantly increased after treatment with DOX and DOX+TRAST; these changes were also reversed by each of the treatments and resulted in near normal levels. Both the DOX- and DOX+TRAST-treated rats presented similar histopathologic injuries; in the animals treated with the protective agents, histologic protection of the cardiac tissue was apparent. These results suggested that MEL, MEG, as well as 1400 W are effective in preventing DOX- or DOX+TRAST-induced cardiotoxicity. PMID- 21062351 TI - Melatonin modulates neuroinflammation and oxidative stress in experimental diabetic neuropathy: effects on NF-kappaB and Nrf2 cascades. AB - Melatonin exhibits an array of biological activities, including antioxidant and anti-inflammatory actions. Diabetic neuropathy is one of the complications of diabetes with a prevalence rate of 50-60%. We have previously reported the protective effect of melatonin in experimental diabetic neuropathy. In this study, we investigated the role of nuclear factor-kappa B (NF-kappaB) and nuclear erythroid 2-related factor 2 (Nrf2) in melatonin-mediated protection against streptozotocin-induced diabetic neuropathy. Melatonin at doses of 3 and 10 mg/kg was administered daily in seventh and eighth week after diabetes induction. Motor nerve conduction velocity and nerve blood flow were improved in melatonin-treated animals. Melatonin also reduced the elevated expression of NF-kappaB, IkappaB alpha, and phosphorylated IkappaB-alpha. Further, melatonin treatment also reduced the elevated levels of proinflammatory cytokines (TNF-alpha and IL-6), iNOS and COX-2 in sciatic nerves of animals. The capacity of melatonin to modulate Nrf2 pathway was associated with increased heme oxygenase-1 (HO-1) expression, which strengthens antioxidant defense. This fact was also established by decreased DNA fragmentation (because inhibition of excessive oxidant-induced DNA damage) in the sciatic nerve of melatonin-treated animals. The results of this study suggest that melatonin modulates neuroinflammation by decreasing NF kappaB activation cascade and oxidative stress by increasing Nrf2 expression, which might be responsible at least in part, for its neuroprotective effect in diabetic neuropathy. PMID- 21062352 TI - Melatonin, a novel Sirt1 inhibitor, imparts antiproliferative effects against prostate cancer in vitro in culture and in vivo in TRAMP model. AB - We recently demonstrated that Sirt1, a NAD(+) -dependent histone deacetylase, was overexpressed in prostate cancer (PCa) and its inhibition resulted in a significant antiproliferative response in human PCa cells. Studies have suggested a link between Sirt1 and circadian rhythms, the disruption of which has been linked to cancer. Interestingly, a decreased production of the pineal melatonin has been shown to deregulate the circadian rhythm machinery and increase cancer risk. Furthermore, disruption in melatonin production and circadian rhythmicity has been associated with aging. Here, we challenged our hypothesis that melatonin will impart antiproliferative response against PCa via inhibiting Sirt1. We demonstrated that melatonin significantly inhibited Sirt1 protein and activity in vitro in multiple human PCa cell lines, and melatonin-mediated Sirt1 inhibition was accompanied with a significant decrease in the proliferative potential of PCa cells, but not of normal cells. Forced overexpression of Sirt1 partially rescued the PCa cells from melatonin's antiproliferative effects, suggesting that Sirt1 is a direct target of melatonin. Employing transgenic adenocarcinoma of mouse prostate (TRAMP) mice, we also demonstrated that oral administration of melatonin, at human-achievable doses, significantly inhibited PCa tumorigenesis as shown by decreases in (i) prostate and genitourinary weight, (ii) serum insulin-like growth factor-1 (IGF-1)/IGF-binding protein-3 (IGFBP3) ratio, (iii) mRNA and protein levels of the proliferation markers (PCNA, Ki-67). This anti-PCa response was accompanied with a significant decrease in Sirt1 in TRAMP prostate. Our data identified melatonin as a novel inhibitor of Sirt1 and suggest that melatonin can inhibit PCa growth via Sirt1 inhibition. PMID- 21062353 TI - Melatonin inhibits microglial activation, reduces pro-inflammatory cytokine levels, and rescues hippocampal neurons of adult rats with acute Klebsiella pneumoniae meningitis. AB - Acute bacterial meningitis caused by Klebsiella pneumoniae (K. pneumoniae) is a major health threat with a high mortality rate and severe neuro-cognitive sequelae. The intense pro-inflammatory cytokine released from calcium-mediated microglial activation plays an important role in eliciting neuronal damage in the hippocampal region. Considering melatonin possesses anti-inflammatory and immuno modulatory properties, the present study determined whether melatonin can effectively decrease inflammatory responses and prevent hippocampal damage in animals subjected to K. pneumoniae. Adult rats inoculated with K. pneumoniae received a melatonin injection immediately thereafter at doses of 5, 25, 50, or 100 mg/kg. Following 24 h of survival, all experimental animals were processed for time-of-flight secondary ion mass spectrometry (for detecting glial calcium intensity), isolectin-B4 histochemistry (reliable marker for microglial activation), pro-inflammatory cytokine measurement as well as cytochrome oxidase and in situ dUTP end-labeling (representing neuronal bio-energetic status and apoptotic changes, respectively). Results indicate that in K. pneumoniae-infected rats, numerous calcium-enriched microglia, enhanced pro-inflammatory cytokine, and various apoptotic neurons with low bio-energetic activity were detected in hippocampus. Following melatonin administration, however, all parameters including glial calcium intensity, microglial activation, pro-inflammatory cytokine levels, and number of apoptotic neurons were successfully decreased with maximal change observed at a melatonin dose of 100 mg/kg. Enzymatic data corresponded well with above findings in which all surviving neurons displayed high bio-energetic activity. As effectively reducing glia-mediated inflammatory response is neuro-protective to hippocampal neurons, the present study supports the clinical use of melatonin as a potential therapeutic agent to counteract K. pneumoniae meningitis-induced neuro-cognitive damage. PMID- 21062354 TI - Circadian dysfunction in P23H rhodopsin transgenic rats: effects of exogenous melatonin. AB - This study focuses on the effects of retinal degeneration on the circadian patterns of P23H rats, as well as on the effect of exogenous melatonin administration. To this end, the body temperature of P23H and Sprague-Dawley rats was continuously monitored and their retinas examined at different stages of degeneration, by means of histological labeling and electroretinogram recordings. Melatonin (2 mg/kg BW/day) was supplied ad libitum throughout the experiment to a subset of animals. The body temperature recordings from wild-type and mutant animals showed no differences in the periodogram and the pattern of the mean waveform. However, a progressive decrease in the relative amplitude of the rhythm (RA), a decline in the coupling strength of the rhythm to environmental zeitgebers (interdaily stability, IS) and increased rhythm fragmentation (intradaily variability, IV) were observed in P23H rats, when compared to wild type animals. The P23H animals showed a progressive decrease in light-induced retinal responses until reaching 18 months of age. By this age, all photoreceptors had already disappeared, and no responses were found in the EGRs. Exogenous administration of melatonin improved the visual response of P23H rats. In fact, the maximum b-wave recorded at 14 months of age was significantly higher in melatonin-treated P23H rats than in the control animals. Furthermore, the maximum b-wave recorded for P23H rats at the age of 14 months significantly correlated with RA, IS, and IV. This leads us to conclude that vision loss in P23H rats is correlated with a progressive fragmentation of their circadian patterns. Both effects are partially reversed by melatonin administration. PMID- 21062355 TI - Toddlers get slimmer while adolescents get fatter--BMI distribution in five birth cohorts from four decades in Finland. AB - AIM: To investigate the trends in the size of Finnish children in five birth cohorts from four decades. METHODS: This is a retrospective longitudinal growth pattern study on children representing five birth cohorts: 1974, 1981, 1991, 1995 and 2001 from the city of Tampere and three rural municipalities. Growth data were collected from birth to 15 years of age, except in birth cohorts 1995 and 2001 until 12 and 5 years. Body mass index (BMI, kg/m2) was calculated. Pearson's chi-square test was used in analysis. RESULTS: The 50th percentile of BMI at birth varied between the 1970's and 2000's (boys: p<0.001, girls: p=0.02), with increase seen in girls. The 50th BMI percentile remained unchanged in 6-month aged boys, whereas in girls, it varied inconsistent (p=0.04). At 1 and 2 years of age, the 15th, 50th, 85th and 95th BMI percentiles decreased (p=0.26 to <0.001). The 50th BMI percentile remained fairly constant at 5 and 7 years of age. In 12- and 15-year-old boys, the 50th, 85th and 95th percentiles of BMI showed a marked increase (p=0.01 to <0.001). In 12-year-old girls, only the 85th BMI percentile increased (p=0.03). CONCLUSIONS: During the last three decades, Finnish toddlers have become markedly slimmer. Concurrently, an opposite change has taken place in adolescent boys. PMID- 21062356 TI - Infant-feeding practices and associated factors of HIV-positive mothers at Gert Sibande, South Africa. AB - AIM: To assess knowledge, infant-feeding education and practices, factors that affect choice of infant feeding option, determinants of mixed feeding, psychosocial challenges and attitudes of HIV-positive women with infants between ages 3-6 months who attended prevention of mother-to-child transmission of HIV services in Gert Sibande district. METHODS: A cross-sectional study was conducted with 815 HIV-positive mothers at 47 postnatal clinics. Trained field workers collected data at health facilities, using structured questionnaires. HIV infected women who were at least 18 years of age were interviewed. RESULTS: The mean age was 27.7 years (SD 6.4). Seventy-eight percent agreed that HIV could be transmitted by breastfeeding. Fifty per cent were exclusively formula feeding, 35.6% breastfed exclusively and 12.4% mixed fed. In multivariate analysis, having a vaginal delivery, infant hospital admissions, and currently pregnant were associated with mixed feeding. Being older, knowing the HIV status of the infant and higher knowledge on HIV transmission through breastfeeding were associated with formula feeding. CONCLUSION: The study identified gaps in prevention of mother-to-child transmission (PMTCT) knowledge and infant feeding policy which seem to affect appropriate infant feeding. Various determinants of mixed feeding and exclusive formula feeding in the context of PMTCT were identified that can guide infant feeding counselling and support services of PMTCT programmes. PMID- 21062357 TI - Decriminalization of cannabis--potential risks for children? AB - The legalization of cannabis for medicinal purposes is becoming increasingly widespread worldwide. The anticipated growing ease of access to cannabis may create an increased risk for passive and/or active ingestion by children. We report a case of a 1.5-year-old infant who presented with unexplained coma that was later proved to be associated with the ingestion of cannabis. This case highlights the importance of considering cannabis ingestion in the differential diagnosis of infantile and toddler coma and the need for public education regarding the risks of childhood exposure in the light of the legalization of cannabis for medical purposes and its greater availability. PMID- 21062358 TI - Aspirin: old drug, new uses and challenges. AB - Salicylates have been used since antiquity to relieve pain and inflammation. However, it has been only in the last half century that evidence has emerged that aspirin causes reproducible acute and superficial injury to the gastric and duodenal mucosa, and is an important cause of complicated and uncomplicated peptic ulcer. Superficial damage to the mucosa occurs rapidly and reproducibly and acid and pepsin then produce a second wave of deeper injury. Most of the time this heals rapidly, but some focal deeper mucosal lesions (erosions) occur frequently and the point prevalence of frank ulcers in low dose aspirin users is around 10%. It is even more recently that aspirin's unique antiplatelet action has been recognized, with long-lasting inhibition of platelet aggregation due to irreversible inactivation of the cyclooxygenase-1 mediated production of thromboxane. It has now become the mainstay of pharmacological reduction of thrombotic risk in patients with cardiovascular diseases. In addition, evidence is accumulating about the cancer-reducing effects of blocking cyclooxygenase in a number of tissues. For example, recent data indicate that even at a 75-mg/day dose, it may reduce colorectal cancer risk after a lag of a year or so. Because of its widespread use for cardiovascular protection, aspirin is now one of the most frequently prescribed drugs-and gastroenterologists regularly need to deal with its ulcerative complications along the whole length of the gastrointestinal tract. Strategies that can be used to reduce these risks include using the lowest effective aspirin dose and co-prescribing acid suppressants. PMID- 21062359 TI - Pulmonary embolectomy: recommendation for early surgical intervention. PMID- 21062360 TI - Accumulation of cellular prion protein within dystrophic neurites of amyloid plaques in the Alzheimer's disease brain. AB - Amyloid plaques, a well-known hallmark of Alzheimer's disease (AD), are formed by aggregated beta-amyloid (Abeta). The cellular prion protein (PrPc) accumulates concomitantly with Abeta in amyloid plaques. One type of amyloid plaque, classified as a neuritic plaque, is composed of an amyloid core and surrounding dystrophic neurites. PrPc immunoreactivity reminiscent of dystrophic neurites is observed in neuritic plaques. Proteinase K treatment prior to immunohistochemistry removes PrPc immunoreactivity from amyloid plaques, whereas Abeta immunoreactivity is enhanced by this treatment. In the present study, we used a chemical pretreatment by a sarkosyl solution (0.1% sarkosyl, 75 mM NaOH, 2% NaCl), instead of proteinase K treatment, to evaluate PrPc accumulation within amyloid plaques. Since PrPc within amyloid plaques is removed by this chemical pretreatment, we can recognize that the PrP species deposits within amyloid plaques were PrPc. We could observe that PrPc accumulation in dystrophic neurites occurred differently compared with Abeta or hyperphosphorylated tau aggregation in the AD brain. These results could support the hypothesis that PrPc accumulation in dystrophic neurites reflects a response to impairments in cellular degradation, endocytosis, or transport mechanisms associated with AD rather than a non-specific cross-reactivity between PrPc and aggregated Abeta or tau. PMID- 21062361 TI - Tau-positive glial cytoplasmic granules in multiple system atrophy. AB - Multiple system atrophy (MSA) is a sporadic neurodegenerative disease that is pathologically characterized by the filamentous aggregation of alpha-synuclein. We report a case of MSA showing unusual neuropathological findings and review six autopsied cases of MSA. The patient progressively developed parkinsonism and ataxia for the 9 years prior to her death at the age of 72 years. Neuropathological examinations revealed neuronal loss restricted to the olivopontocerebellar and striatonigral region, which was more severe in the putamen. Staining with anti-alpha-synuclein antibody demonstrated widespread occurrence of glial cytoplasmic inclusions, which mainly accumulated in oligodendroglial cells and corresponded closely to the degree of disease progression. In addition, tau-positive granules were detected within the glial cytoplasm in the neurodegenerative region, which was especially prominent in the putamen and internal capsule. Tau accumulation was also clearly recognized by staining with specific antibodies against three-repeat or four-repeat tau. The glia that demonstrated deposition of tau-positive granules were distinguished from alpha-synuclein-positive oligodendroglia by double immunohistochemical staining. These characteristic glial accumulations of tau were also present in all six cases of MSA. These results indicate that tau-positive granules in glia are common findings in MSA and that tau aggregation might be another pathway to neurodegeneration in MSA. PMID- 21062362 TI - Malignant transformation of intracranial meningeal melanocytoma. Case report and review of the literature. AB - Meningeal melanocytoma is an uncommon pigmented neoplasm that affects the CNS and develops in the cranial and spinal leptomeninges. Here we report on a case of malignant transformation of intracranial supratentorial meningeal melanocytoma which recurred after 3 years as malignant melanoma. This case demonstrates that the biological behavior of melanocytoma is uncertain and that these lesions may recur as malignant melanoma. PMID- 21062363 TI - Anaplastic astrocytoma with angiocentric ependymal differentiation. AB - Angiocentric glioma (AG) is an epileptogenic benign cerebral tumor primarily affecting children and young adults, and characterized histopathologically by an angiocentric pattern of growth of monomorphous bipolar cells with features of ependymal differentiation (WHO grade I). We report an unusual cerebral glial tumor in a 66-year-old woman with generalized tonic-clonic seizure; the patient also had a 6-year history of headache. On MRI, the tumor appeared as a large T2 hyperintense lesion involving the right insular gyri-anterior temporal lobe, with post-contrast enhancement in the insula region. Histopathologically, the tumor involving the insular cortex-subcortical white matter was composed of GFAP positive glial cells showing two different morphologies: one type had monomorphous bipolar cytoplasm and was angiocentric with circumferential alignment to the blood vessels, with dot-like structures positive for epithelial membrane antigen and a Ki-67 labeling index of <1%, and the other was apparently astrocytic, being diffusely and more widely distributed in the parenchyma, showing mitoses and a Ki-67 labeling index of >5%. In the anterior temporal lobe, a diffuse increase in the number of astrocytic cells was evident in part of the cortex and subcortical white matter. On the basis of these findings, we considered whether the present tumor may represent an unusual example of AG with infiltrating astrocytic cells showing primary anaplastic features (AG with anaplastic features), or anaplastic astrocytoma showing primary vascular associated ependymal differentiation (anaplastic astrocytoma with angiocentric ependymal differentiation). At present, the latter appears to be the more appropriate interpretation. PMID- 21062364 TI - Recurrent epileptic seizures with multifocal brain MRI lesions after paternal lymphocyte immunization: a causal relationship to multiple sclerosis? PMID- 21062365 TI - Investigation of adhesion of modern wound dressings: a comparative analysis of 56 different wound dressings. AB - INTRODUCTION: In the process of chronic wound care, adhesive wound dressings may cause pain and injury in the wound environment during dressing changes. At present, no standardized test procedures are available for the investigation of adhesion of wound dressings. Therefore, our study aimed to test the adhesion of different wound dressings on steel as well as on healthy skin. METHODS: Within an open, comparative study, the adhesive areas of 56 wound dressings were investigated. The adhesives were categorized into acrylate (n = 23), silicone (n = 9), hydrocolloid (n = 17) and polyurethane groups (n = 7). Using an especially modified testing machine, the adhesion of the wound dressings was measured on steel as well as on the skin of healthy study participants, in compliance with the European EN 1939:2003 standard. RESULTS: The energy required to remove the wound dressings from human skin, was measured in Newton (N) and the following median values were obtained: hydrocolloid (2.25 N) > acrylate (1.14 N) > polyurethane (0.9 N) > silicone (0.7 N). The subjective pain intensity during the removal of the wound dressings was recorded using the visual analogue scale (VAS) with values ranging from 0 to 10. For hydrocolloid, it was 6.8, for acrylate 4.9, for polyurethane 3.1 and for silicone 2.5 points VAS. In comparison with human skin, the adhesion of wound dressings was significantly higher on steel (P < 0.0001), but was different for the different groups of wound dressings. Moreover, there was a statistically significant correlation between the adhesion and pain intensity (correlation coefficient 0.806; P = 0.01). CONCLUSION: The knowledge about the widely differing adhesion properties of different wound dressings on the skin of patients should nowadays be considered during the individual selection of the applied products. Based on these data, different types of wound dressings could be developed, guaranteeing a good adhesion and a low traumatic risk when removed. PMID- 21062366 TI - Deceased-donor hyperoxia deteriorates kidney graft function. PMID- 21062368 TI - Living donor liver transplantation: effect of the type of liver graft donation on donor mortality and morbidity. AB - To investigate the influence of the type of liver graft donation on donor mortality and morbidity. The clinical course of 87 living liver donors operated on at our center between 2002 and 2009 was retrospectively analysed and data pertaining to all complications were retrieved. No donor mortality was observed and no donor suffered any life-threatening complication. Four donors (4.6%) developed biliary leakage, nine (10.3%) had to be readmitted to hospital and six (6.9%) required some or other type of reoperation related to the previous liver donation. Reoperations included incisional or diaphragmatic hernia repair (n = 4), biliary leakage repair (n = 1) and segmental colon resection combined with diaphragmatic hernia repair (n = 1). There was a statistically significant difference in hospital stay (P < 0.001), autologous blood transfusions (P < 0.001) and operating time (P < 0.005) when right lobe donations (Segments V-VIII) were compared with left lobe (Segments II-IV) and left lateral lobe (Segments II III) donations, whereas no difference was found between these groups regarding hospital readmission, operative revisions and the incidence or severity of complications. Right lobe donation was associated with prolonged hospital stay, increased blood transfusions and prolonged operating time when compared with left and left lateral lobe donation, whereas donor mortality and morbidity did not differ between these groups. PMID- 21062367 TI - Regenerative medicine as applied to solid organ transplantation: current status and future challenges. AB - In the last two decades, regenerative medicine has shown the potential for "bench to-bedside" translational research in specific clinical settings. Progress made in cell and stem cell biology, material sciences and tissue engineering enabled researchers to develop cutting-edge technology which has lead to the creation of nonmodular tissue constructs such as skin, bladders, vessels and upper airways. In all cases, autologous cells were seeded on either artificial or natural supporting scaffolds. However, such constructs were implanted without the reconstruction of the vascular supply, and the nutrients and oxygen were supplied by diffusion from adjacent tissues. Engineering of modular organs (namely, organs organized in functioning units referred to as modules and requiring the reconstruction of the vascular supply) is more complex and challenging. Models of functioning hearts and livers have been engineered using "natural tissue" scaffolds and efforts are underway to produce kidneys, pancreata and small intestine. Creation of custom-made bioengineered organs, where the cellular component is exquisitely autologous and have an internal vascular network, will theoretically overcome the two major hurdles in transplantation, namely the shortage of organs and the toxicity deriving from lifelong immunosuppression. This review describes recent advances in the engineering of several key tissues and organs. PMID- 21062369 TI - Alloreactive natural killer cells promote haploidentical hematopoietic stem cell transplantation by expansion of recipient-derived CD4(+) CD25(+) regulatory T cells. AB - Alloreactive NK cells (Allo-NKs) have been shown to exert advantageous effects on the outcomes of haploidentical hematopoietic stem cell transplantation (Haplo HSCT) for cancer treatment. However, the mechanisms of action of Allo-NKs remain unclear. We established a novel Haplo-HSCT conditioning regimen composed of Allo NKs and a low dose of immunosuppressive drugs (Allo-NKs + Chemo) to investigate alternative mechanisms besides direct cytotoxicity. The inhibitory effects of different cell subsets on the donor-recipient mixed lymphocyte reactions (MLRs) were evaluated after Haplo-HSCT. The quantities and functions of CD4(+) CD25(+) regulatory T cells (Tregs) and dendritic cells (DCs) in the spleen and the thymus were examined. Our results showed that the Allo-NKs + Chemo regimen induced systemic tolerance, and that CD4(+) CD25(+) Tregs played a significant role in inducing and maintaining systemic tolerance after Haplo-HSCT. Alloreactive NK cells promoted the expansion of recipient-derived CD4(+) CD25(+) CD127(-) Tregs in the thymus and the spleen which could be amplified in vitro by the immature donor-derived DC subset isolated from the thymus of Allo-NKs + Chemo-treated mice. Our findings suggested that Allo-NKs are capable of inducing systemic tolerance after Haplo-HSCT by assembling donor-derived immature DCs to expand recipient-derived Treg cells in the thymus. PMID- 21062370 TI - Ribosome rescue by Escherichia coli ArfA (YhdL) in the absence of trans translation system. AB - Although SsrA(tmRNA)-mediated trans-translation is thought to maintain the translation capacity of bacterial cells by rescuing ribosomes stalled on messenger RNA lacking an in-frame stop codon, single disruption of ssrA does not crucially hamper growth of Escherichia coli. Here, we identified YhdL (renamed ArfA for alternative ribosome-rescue factor) as a factor essential for the viability of E. coli in the absence of SsrA. The ssrA-arfA synthetic lethality was alleviated by SsrA(DD) , an SsrA variant that adds a proteolysis-refractory tag through trans-translation, indicating that ArfA-deficient cells require continued translation, rather than subsequent proteolysis of the truncated polypeptide. In accordance with this notion, depletion of SsrA in the DeltaarfA background led to reduced translation of a model protein without affecting transcription, and puromycin, a codon-independent mimic of aminoacyl-tRNA, rescued the bacterial growth under such conditions. That ArfA takes over the role of SsrA was suggested by the observation that its overexpression enabled detection of the polypeptide encoded by a model non-stop mRNA, which was otherwise SsrA-tagged and degraded. In vitro, purified ArfA acted on a ribosome nascent chain complex to resolve the peptidyl-tRNA. These results indicate that ArfA rescues the ribosome stalled at the 3' end of a non-stop mRNA without involving trans-translation. PMID- 21062371 TI - The COP9 signalosome mediates transcriptional and metabolic response to hormones, oxidative stress protection and cell wall rearrangement during fungal development. AB - The COP9 signalosome complex (CSN) is a crucial regulator of ubiquitin ligases. Defects in CSN result in embryonic impairment and death in higher eukaryotes, whereas the filamentous fungus Aspergillus nidulans survives without CSN, but is unable to complete sexual development. We investigated overall impact of CSN activity on A. nidulans cells by combined transcriptome, proteome and metabolome analysis. Absence of csn5/csnE affects transcription of at least 15% of genes during development, including numerous oxidoreductases. csnE deletion leads to changes in the fungal proteome indicating impaired redox regulation and hypersensitivity to oxidative stress. CSN promotes the formation of asexual spores by regulating developmental hormones produced by PpoA and PpoC dioxygenases. We identify more than 100 metabolites, including orsellinic acid derivatives, accumulating preferentially in the csnE mutant. We also show that CSN is required to activate glucanases and other cell wall recycling enzymes during development. These findings suggest a dual role for CSN during development: it is required early for protection against oxidative stress and hormone regulation and is later essential for control of the secondary metabolism and cell wall rearrangement. PMID- 21062372 TI - MmpS4 promotes glycopeptidolipids biosynthesis and export in Mycobacterium smegmatis. AB - The MmpS family (mycobacterial membrane protein small) includes over 100 small membrane proteins specific to the genus Mycobacterium that have not yet been studied experimentally. The genes encoding MmpS proteins are often associated with mmpL genes, which are homologous to the RND (resistance nodulation cell division) genes of Gram-negative bacteria that encode proteins functioning as multidrug efflux system. We showed by molecular genetics and biochemical analysis that MmpS4 in Mycobacterium smegmatis is required for the production and export of large amounts of cell surface glycolipids, but is dispensable for biosynthesis per se. A new specific and sensitive method utilizing single-chain antibodies against the surface-exposed glycolipids was developed to confirm that MmpS4 was dispensable for transport to the surface. Orthologous complementation demonstrated that the MmpS4 proteins are exchangeable, thus not specific to a defined lipid species. MmpS4 function requires the formation of a protein complex at the pole of the bacillus, which requires the extracytosolic C-terminal domain of MmpS4. We suggest that MmpS proteins facilitate lipid biosynthesis by acting as a scaffold for coupled biosynthesis and transport machinery. PMID- 21062373 TI - Members of the Pmp protein family of Chlamydia pneumoniae mediate adhesion to human cells via short repetitive peptide motifs. AB - Chlamydiae sp. are obligate intracellular pathogens that cause a variety of diseases in humans. Adhesion of the infectious elementary body to the eukaryotic host cell is a pivotal step in chlamydial pathogenesis. Here we describe the characterization of members of the polymorphic membrane protein family (Pmp), the largest protein family (with up to 21 members) unique to Chlamydiaceae. We show that yeast cells displaying Pmp6, Pmp20 or Pmp21 on their surfaces, or beads coated with the recombinant proteins, adhere to human epithelial cells. A hallmark of the Pmp protein family is the presence of multiple repeats of the tetrapeptide motifs FxxN and GGA(I, L, V) and deletion analysis shows that at least two copies of these motifs are needed for adhesion. Importantly, pre treatment of human cells with recombinant Pmp6, Pmp20 or Pmp21 protein reduces infectivity upon subsequent challenge with Chlamydia pneumoniae and correlates with diminished attachment of Chlamydiae to target cells. Antibodies specific for Pmp21 can neutralize infection in vitro. Finally, a combination of two different Pmp proteins in infection blockage experiments shows additive effects, possibly suggesting similar functions. Our findings imply that Pmp6, Pmp20 and Pmp21 act as adhesins, are vital during infection and thus represent promising vaccine candidates. PMID- 21062374 TI - Systematic targeted mutagenesis of the MarR/SlyA family members of Dickeya dadantii 3937 reveals a role for MfbR in the modulation of virulence gene expression in response to acidic pH. AB - Pathogenicity of Dickeya dadantii is a process involving several factors, such as plant cell wall-degrading enzymes and adaptation systems to adverse conditions encountered in the apoplast. Regulators of the MarR family control a variety of biological processes, including adaptation to hostile environments and virulence. Analysis of the members of this family in D. dadantii led to the identification of a new regulator, MfbR, which controls virulence. MfbR represses its own expression but activates genes encoding plant cell wall-degrading enzymes. Purified MfbR increases the binding of RNA polymerase at the virulence gene promoters and inhibits transcription initiation at the mfbR promoter. MfbR activity appeared to be modulated by acidic pH, a stress encountered by pathogens during the early stages of infection. Expression of mfbR and its targets, during infection, showed that MfbR is unable to activate virulence genes in acidic conditions at an early step of infection. In contrast, alkalinization of the apoplast, during an advanced stage of infection, led to the potentialization of MfbR activity resulting in plant cell wall degrading enzyme production. This report presents a new example of how pathogens adjust virulence-associated factors during the time-course of an infection. PMID- 21062375 TI - Aspergillus fumigatus AcuM regulates both iron acquisition and gluconeogenesis. AB - Relatively few transcription factors that govern the virulence of Aspergillus fumigatus are known. We constructed 11 A. fumigatus transcription factor mutants and screened them for altered virulence in Galleria mellonella larvae. We discovered that the zinc cluster transcription factor, AcuM, is essential for maximal virulence in this model, as well as in murine models of haematogenously disseminated and invasive pulmonary aspergillosis. Transcriptional profiling experiments suggested that AcuM suppresses sreA and induces hapX to stimulate expression of genes involved in both reductive iron assimilation and siderophore mediated iron uptake. Consistent with these results, a DeltaacuM mutant had reduced iron incorporation, decreased extracellular siderophore production and impaired capacity to grow under iron-limited conditions. Interestingly, an Aspergillus nidulansDeltaacuM mutant had normal extracellular siderophore production and growth under iron-limited conditions, indicating that AcuM does not govern iron acquisition in this organism. A. fumigatus AcuM also regulated genes involved in gluconeogenesis, and the DeltaacuM mutant had impaired growth on gluconeogenic carbon sources. Deletion of sreA in the DeltaacuM mutant restored iron uptake, extracellular siderophore production and virulence, but not the defect in gluconeogenesis. Thus, AcuM represses SreA and thereby induces iron acquisition, a process that is essential for the maximal virulence of A. fumigatus. PMID- 21062376 TI - Varied mechanisms of oestradiol-mediated regulation of dopamine beta-hydroxylase transcription. AB - Experiments performed in vivo and in cell culture have demonstrated that oestradiol induces dopamine beta-hydroxylase (DBH) gene transcription. In the present study, we examined oestrogen-responsive elements of the rat DBH gene promoter aiming to characterise the mechanisms of oestradiol-induced DBH transcription. Various mutations and deletions of DBH promoter reporter constructs were tested for responsiveness to 17beta-oestradiol (E(2) ). Mutation of the half palindromic oestrogen response element (ERE) at position -759 reduced the response to E(2) in PC12 cells co-transfected with oestrogen receptor (ER) alpha, indicating a functional role for this motif. In cells co-transfected with ERbeta, mutations at the -759 site were unresponsive to E(2) . To characterise the additional E(2) responsive elements, mediated by ERalpha, the DBH promoter was truncated to the proximal 249 or 200 nucleotides upstream of the transcription start site. Despite either truncation, 10 nm E(2) still elicited an approximately two-fold induction of DBH promoter activity. Mutation of a possible ERE-like sequence at -59 had no effect. The lack of a functional ERE in the proximal region of the rat DBH promoter despite E(2) -mediated DBH promoter activity, suggests regulation by a nonclassical mechanism, such as a membrane initiated signalling pathway. Moreover, the induction of DBH promoter activity and the rise in DBH mRNA levels were observed within hours. To determine whether membrane-initiated E(2) signalling is involved in rat DBH gene transcription, a membrane impermeable E(2) conjugate, beta-oestradiol-6-(O-carboxy-methyl) oxime bovine serum albumin (E(2) BSA), was used. Incubation with E(2) -BSA induced luciferase activity and elicited a significant rise in DBH mRNA levels in the ERalpha transfected cells. The findings indicate two different mechanisms whereby DBH transcription is regulated by E(2) in the presence of ERalpha. The results implicate both genomic and membrane-initiated mechanisms, mediated by ERalpha, in E(2) -induced DBH gene transcription. PMID- 21062377 TI - Melanocortin signalling and the regulation of blood pressure in human obesity. AB - Obesity has reached epidemic proportions worldwide. Sympathetic nervous system activation has been shown to play a major role linking obesity to the development of associated metabolic complications, such as hypertension. Recent evidence has implicated central melanocortin signalling in the regulation of blood pressure in rodents and humans. The importance of sympathetic neural activity in mediating this association has been highlighted. Humans with loss-of-function mutations in the melanocortin 4 receptor (MC4R) are an ideal group of subjects in whom the importance of melanocortin signalling in linking obesity to hypertension can be studied. Consistent with rodent studies, it was recently demonstrated that humans with MC4R deficiency have lower blood pressure, less hypertension, lower 24-h urinary catecholamine excretion, lower resting heart rate and attenuated insulin mediated sympathetic activation compared to equally-obese humans. In overweight and obese humans without MC4R mutations, the infusion of a highly-selective MC4R agonist led to dose-dependent increases in blood pressure and heart rate. All effects were independent of insulin. This evidence supports the notion that the melanocortin system regulates blood pressure and sympathetic neural function. The results obtained in rodent and human studies, in relation to blood pressure and sympathetic function, may limit the use of MC4R agonists for the treatment of obesity. Future studies will determine whether MC4R deficiency is associated with protection from development of the detrimental cardiovascular consequences that accompany obesity. PMID- 21062378 TI - Blocking dopamine D2 receptors by haloperidol curtails the beneficial impact of calorie restriction on the metabolic phenotype of high-fat diet induced obese mice. AB - Calorie restriction is the most effective way of expanding life-span and decreasing morbidity. It improves insulin sensitivity and delays the age-related loss of dopamine receptor D(2) (DRD2) expression in the brain. Conversely, high fat feeding is associated with obesity, insulin resistance and a reduced number of DRD2 binding sites. We hypothesised that the metabolic benefit of calorie restriction involves the preservation of appropriate DRD2 transmission. The food intake of wild-type C57Bl6 male mice was restricted to 60% of ad lib. intake while they were treated with the DRD2 antagonist haloperidol or vehicle using s.c. implanted pellets. Mice with ad lib. access to food receiving vehicle treatment served as controls. All mice received high-fat food throughout the experiment. After 10 weeks, an i.p. glucose tolerance test was performed and, after 12 weeks, a hyperinsulinaemic euglycaemic clamp. Hypothalamic DRD2 binding was also determined after 12 weeks of treatment. Calorie-restricted (CR) vehicle mice were glucose tolerant and insulin sensitive compared to ad lib. (AL) fed vehicle mice. CR mice treated with haloperidol were slightly heavier than vehicle treated CR mice. Haloperidol completely abolished the beneficial impact of calorie restriction on glucose tolerance and partly reduced the insulin sensitivity observed in CR vehicle mice. The metabolic differences between AL and CR vehicle mice were not accompanied by alterations in hypothalamic DRD2 binding. In conclusion, blocking DRD2 curtails the metabolic effects of calorie restriction. Although this suggests that the dopaminergic system could be involved in the metabolic benefits of calorie restriction, restricting access to high-fat food does not increase (hypothalamic) DRD2 binding capacity, which argues against this inference. PMID- 21062379 TI - Fine needle aspiration cytology of metastatic ocular medulloepithelioma. PMID- 21062380 TI - Crystal-storing histiocytosis in ascites from a patient with IgM kappa lymphoplasmacytic lymphoma. PMID- 21062381 TI - Severe haemolysis due to passenger lymphocyte syndrome after an ABO mismatched bowel transplant--a case report. PMID- 21062382 TI - Packed red blood cell age does not impact adverse events or outcomes after subarachnoid haemorrhage. AB - OBJECTIVES: To determine if the age of packed red blood cells (PRBCs) is associated with adverse events or outcomes in patients with subarachnoid haemorrhage (SAH). AIM: Analyse data on PRBC age to complications and outcomes in patients with SAH. BACKGROUND: Patients who receive a PRBC transfusion after SAH have a higher rate of complications, and older PRBC age may be responsible for this. METHODS/MATERIALS: We prospectively recorded clinical and demographic data, acute adverse effects related to transfusion, major hospital events, radiographic cerebral infarction, PRBC age and outcomes in 119 patients with SAH who received a PRBC transfusion. Patients were followed for outcomes at 14 days or discharge, 28 days and 3 months with the modified Rankin scale (a measure of neurologic function). RESULTS: In 241 PRBC transfusions, there was new fever in 36 (15%), hypotension in 23 (10%), pulmonary oedema or symptomatic respiratory distress in 5 (2%) and rash in 1 (1%). Age of PRBCs administered was not associated with vasospasm, cerebral infarction, acute adverse events or outcomes (P > 0.1 for all). CONCLUSIONS: In this small registry of patients with SAH, the age of transfused PRBCs was not associated with adverse events or outcomes. PMID- 21062383 TI - A national clinical scenario-based survey of clinicians' attitudes towards fresh frozen plasma transfusion for critically ill patients. AB - BACKGROUND: It is known that 20-30% of fresh frozen plasma (FFP) is used in intensive care units (ICUs), but little is known about variations in decision making between clinicians in relation to coagulopathy management. Our aim was to describe ICU clinicians' beliefs and practice in relation to FFP treatment of non bleeding coagulopathic critically ill patients. METHODS: Two patient-based scenarios were developed and sent to 2700 members of two UK intensive care professional societies. Scenario 1 was a non-bleeding septic patient with coagulopathy; scenario 2 was a non-bleeding critically ill patient with hepatic cirrhosis and coagulopathy. Responses were sought in relation to FFP prophylaxis, and prior to central venous cannulation. A supplementary question asked clinicians' view of prophylaxis in relation to other ICU procedures. RESULTS: Two thousand-and-seven-hundred clinicians were surveyed from whom 601 responses were received (22.3% response rate). For scenario 1 52% of respondents stated that they would never routinely administer prophylactic FFP, but this decreased to 9% when central venous cannulation was planned (P < 0.01). There was wide variation in the 'trigger' INR (international normalised ratio) value used prior to central vein cannulation, the most common range being 2.0-2.4. For scenario 2, responses were very similar. More than 80% of clinicians stated that they would routinely treat coagulopathy prior to lumbar puncture, epidural catheterisation, intracranial pressure monitoring and tracheostomy; and 54% prior to chest drain insertion. CONCLUSION: Our survey demonstrated a wide range of responses consistent with important variations in clinical practice and substantial clinical uncertainty in relation to FFP treatment for non-bleeding ICU patients. PMID- 21062384 TI - Functional genomics of the evolution of increased resistance to parasitism in Drosophila. AB - Individual hosts normally respond to parasite attack by launching an acute immune response (a phenotypic plastic response), while host populations can respond in the longer term by evolving higher level of defence against parasites. Little is known about the genetics of the evolved response: the identity and number of genes involved and whether it involves a pre-activation of the regulatory systems governing the plastic response. We explored these questions by surveying transcriptional changes in a Drosophila melanogaster strain artificially selected for resistance against the hymenopteran endoparasitoid Asobara tabida. Using micro-arrays, we profiled gene expression at seven time points during development (from the egg to the second instar larva) and found a large number of genes (almost 900) with altered expression levels. Bioinformatic analysis showed that some were involved in immunity or defence-associated functions but many were not. Previously, we had defined a set of genes whose level of expression changed after parasitoid attack and a comparison with the present set showed a significant though comparatively small overlap. This suggests that the evolutionary response to parasitism is not a simple pre-activation of the plastic, acute response. We also found overlap in the genes involved in the evolutionary response to parasitism and to other biotic and abiotic stressors, perhaps suggesting a 'module' of genes involved in a generalized stress response as has been found in other organisms. PMID- 21062385 TI - Serpentine soils promote ectomycorrhizal fungal diversity. AB - Serpentine soils impose physiological stresses that limit plant establishment and diversity. The degree to which serpentine soils entail constraints on other organisms is, however, poorly understood. Here, I investigate the effect of serpentine soils on ectomycorrhizal (ECM) fungi by conducting a reciprocal transplant experiment, where serpentine and nonserpentine ECM fungal communities were cultured in both their native and non-native soils. Contrary to expectation, serpentine soils hosted higher fungal richness compared to nonserpentine, and most species were recovered from serpentine soil, suggesting ECM fungi are not overall specialized or strongly affected by serpentine edaphic constraints. PMID- 21062386 TI - Phylogeography and historical demography of the anadromous fish Leucopsarion petersii in relation to geological history and oceanography around the Japanese Archipelago. AB - Phylogeographical patterns of marine and diadromous organisms are often influenced by dynamic ocean histories. For example, the marine realm around the Japanese Archipelago is an interesting area for phylogeographical research because of the wide variation in the environments driven by repeated shifts in sea level in the Quaternary. We analysed mitochondrial cyt b gene and nuclear myh6 gene sequences for individuals collected from throughout the range of the anadromous fish Leucopsarion petersii to assess the lineage divergence, phylogeographical pattern and historical demography in relation to geological history and oceanographic features around the archipelago. Leucopsarion petersii has two major lineages (the Japan Sea and Pacific Ocean lineages), which diverged during the late-early to middle Pleistocene. Geographical distributions of the two lineages were closely related to the pathways of the two warm currents, the Tsushima Current and the Kuroshio Current, that flow past the archipelago. Evidence of introgressive hybridization between these lineages was found at two secondary contact zones. Demographic tests suggested that the Japan Sea and Pacific Ocean lineages carried the genetic signal of different historical demographic processes, and these signals are probably associated with differences in habitat stability during recent glacial periods. The Japan Sea lineage has a larger body-size and more vertebrae, probably in relation to severe habitat conditions through Pleistocene climatic oscillations. Thus, the two lineages have long independent evolutionary histories, and the phylogeographical structure and demography of this species have been influenced both by historical events and the present-day oceanography around the Japanese Archipelago. PMID- 21062387 TI - Dominant expression of survival signals of endoplasmic reticulum stress response in Hodgkin lymphoma. AB - The accumulation of viral proteins in endoplasmic reticulum (ER) may cause ER stress responses and lead to either apoptosis or survival depending on the driving signals. The strong expression of latent membrane protein-1 (LMP1) in Epstein-Barr virus (EBV)-positive Hodgkin lymphoma (HL) cells raises the question whether LMP1-induced ER stress response is associated with the characteristic tumor biology in HL. In this study, we investigated the expression of ER stress signals (glucose-regulated protein 78 [GRP78], X-box binding protein 1 [XBP1], activating transcription factor 6 [ATF6], CCAAT enhance-binding protein homologous protein [CHOP] and phospho-apoptosis signal-regulating kinase 1 [pASK1]) on 156 cases of HL. Furthermore, LMP1 transfection on EBV-negative HL cell lines was used to explore the regulation of ER stress signals by EBV-LMP1. Interestingly, we demonstrated that the survival signals of ER stress response (GRP78, 62%; XBP1u [unspliced], 55%; XBP1s [spliced], 38%; ATF6, 91%) were dominantly expressed over the ER death signals (CHOP, 10%; pASK1, 7%) in all histological subtypes of HL with a similar level in both EBV-positive and EBV negative cases. However, expression of ER signals did not bear prognostic significance. In vitro, LMP1 transfection increased the expression of GRP78 and XBP1, but attenuated the expression of death signals, CHOP and pASK1. These data indicate that EBV-LMP1 may play a role in shifting EBV-infected cells towards the survival pathway in the presence of ER stress in EBV-positive HL cases. PMID- 21062388 TI - Dynamic evaluation of liver stiffness measurement to improve diagnostic accuracy of liver cirrhosis in patients with chronic hepatitis B acute exacerbation. AB - To investigate the dynamic changes of liver stiffness measurement (LSM) by FibroScan((r)) and improve its diagnostic accuracy, we studied patients with chronic hepatitis B undergoing acute exacerbation. Eighty-nine treatment naive patients were enrolled, and Fibroscan((r)) was performed every 7-10 days during hospitalization and every 1~3 months for follow-up. Haematology and liver functions were tested in parallel. Liver biopsies were performed in 23 patients. A total of 282 LSMs were performed. LSM was positively correlated with both alanine aminotransferase (ALT) (r = 0.321, P < 0.001) and bilirubin levels (r = 0.626, P < 0.001). Mean reduction in LSMs in patients along with ALT or bilirubin normalization was significantly greater than those without ALT or bilirubin normalization(P = 0.001, P = 0.038, respectively). In 23 patients with initial LSMs in the range usually defined as indicating cirrhosis (i.e.>18.2 kPa), only 5 were diagnosed with cirrhosis by histopathology or ultrasonography. As ALT normalized, LSMs remained over 12.0 kPa in all these 5 patients. However, in 18 other patients without cirrhosis at baseline, LSMs still remained above 12.0kPa in 10 patients and decreased to below 12.0 kPa in the other 8 patients. LSMs decreased in parallel with ALT and bilirubin normalization. LSM performed after ALT and bilirubin normalization may improve the accuracy in diagnosing cirrhosis in patients with exacerbations of hepatitis B. PMID- 21062389 TI - The marriage of evidence and narrative: scientific nurturance within clinical practice. AB - RATIONALE, AIMS AND OBJECTIVES: Published elaborations of evidence-based medicine (EBM) have failed to materially integrate the domains of interpersonal sensibility and relationship with tools intended to facilitate attention to biomedical research and knowledge within clinical practice. Furthermore, the elaboration of EBM skills has been confined to a narrow range of clinical research. As a result, crucial tools required to connect much clinically relevant research and practice remain hidden, and explorations of the deeper challenges faced by practitioners in their struggle to integrate sound science and shared clinical action remain elusive. METHODS: We developed a model for scientifically informed, individualized, medical practice and learning that embraces the goals, resources and skills of EBM within a larger framework of practice defined by narrative process: 'attention', 'representation' and 'affiliation'. We drew from published elaborations of EBM, narrative medicine (NM) and the results of a project to develop tools for assessment of the cognitive skills embedded within a practice based EBM domain. RESULTS: Within the resulting model, a tool of representation, whose components are Problem delineation, Actions, Choices and Targets, enables the clinical problem to be delineated and the patient and practitioner perspectives to be concretely defined with reference to four classes of clinical interaction: 'therapy', 'diagnosis', 'prognosis' and 'harm'. As a result, the 'information literacy' skills required to access, evaluate and apply clinical research using electronic resources are well defined but subordinated to shared appreciation of patient need. The model acknowledges the relevance of the full range and scope of scientifically derived medical knowledge. CONCLUSION: A model based on integration of NM and EBM can lead to instructional tools that integrate clinical epidemiological knowledge with enforced consideration of differing patient and practitioner perspectives. It also may inform avenues for qualitative research into the processes through which such differing perspectives can be productively identified and shared. PMID- 21062390 TI - Early emergence of an H275Y mutation in a hematopoietic cell transplant recipient treated with intravenous peramivir. AB - Oseltamivir resistance in pandemic 2009 influenza A/H1N1 is caused by the neuraminidase mutation H275Y. This mutation has also been associated with in vitro resistance to peramivir, but few clinical cases have been described to date. Using allele-specific real-time reverse transcriptase polymerase chain reaction assay for the H275Y mutation, we were able to identify resistant H1N1 in a hematopoietic cell transplant recipient receiving intravenous peramivir therapy, and through serial testing we determined the molecular evolution of resistance. This case demonstrates that an H275Y mutant population can emerge early and replicate in vivo under peramivir antiviral pressure to become the major viral population. PMID- 21062391 TI - Role of ORPs in sterol transport from plasma membrane to ER and lipid droplets in mammalian cells. AB - In this study, we investigated the mechanisms of sterol transport from the plasma membrane (PM) to the endoplasmic reticulum (ER) and lipid droplets (LDs) in HeLa cells. By overexpressing all mammalian oxysterol-binding protein-related proteins (ORPs), we found that especially ORP1S and ORP2 enhanced PM-to-LD sterol transport. This reflected the stimulation of transport from the PM to the ER, rather than from the ER to LDs. Double knockdown of ORP1S and ORP2 inhibited sterol transport from the PM to the ER and LDs, suggesting a physiological role for these ORPs in the process. A two phenylalanines in an acidic tract (FFAT) motif in ORPs that mediates interaction with VAMP-associated proteins (VAPs) in the ER was not necessary for the enhancement of sterol transport by ORPs. However, VAP-A and VAP-B silencing slowed down PM-to-LD sterol transport. This was accompanied by enhanced degradation of ORP2 and decreased levels of several FFAT motif-containing ORPs, suggesting a role for VAPs in sterol transport by stabilization of ORPs. PMID- 21062392 TI - Predictive factors for the effect of the alpha1-D/A adrenoceptor antagonist naftopidil on subjective and objective criteria in patients with neurogenic lower urinary tract dysfunction. AB - OBJECTIVES: * To assess the effect of alpha1-D/A adrenoceptor antagonist naftopidil on patients with neurogenic lower urinary tract dysfunction (NLUTD) and voiding difficulty. * To explore the effectiveness of naftopidil in these patients by using urodynamic variables, including pressure flow study (PFS), and to find good and simple parameters (International Prostate Symptom Score (IPSS), Post-void residual urine (PVR), and uroflowmetry (UFM) parameters) as substitution of PFS for predicting the effect of naftopidil. PATIENTS AND METHODS: * The main inclusion and exclusion criteria were, IPSS >=8, voiding symptoms with IPSS >=5, IPSS-quality of life (QOL) >=2, PVR >=50 mL, and without prostatic enlargement >= 20 mL. * After initial assessment, patients were stepwisely administered for 12 weeks with the following: placebo for 2 weeks, naftopidil 25 mg/day for 2 weeks, naftopidil 50 mg/day for 2 weeks, and naftopidil 75 mg/day for 6 weeks. At the end of both placebo and 6 weeks' naftopidil 75 mg/day, their IPSS, UFM, PVR, and PFS were assessed. * A total of 82 Japanese patients (men 40, women 42) with lower urinary tract symptoms complicated by NLUTD, with a mean age of 63.9 years, were included from private or institutional clinics. * The lesions were spinal cord 42, and peripheral nervous system 40. The spinal cord lesions were all lumbar spine (injury or lumbar canal stenosis). RESULTS: * In all patients, pressure at maximum urinary flow rate (P(det) Q(max) ) in PFS significantly decreased (P < 0.05), and maximum urinary flow rate in UFM significantly increased (P < 0.01). Analysis of data for men and for women also showed a significant decrease in PVR, %PVR, and total IPSS score. * The degree of improvement of voided volume, PVR (%), and IPSS in patients with PVR <300 mL was significantly greater than those in patients with PVR >=300 mL. * The degree of improvement of P(det) Q(max) in PFS, and IPSS in patients with bladder contractility was significantly greater than that in patients without bladder contractility. CONCLUSIONS: * alpha1-D/A adrenoceptor antagonist naftopidil has a significant effect on both symptoms and urodynamic variables of patients of both genders with NLUTD in Japan. * PVR <300 mL and bladder contractility are predictive factors for the efficacy of naftopidil on patients with NLUTD. PMID- 21062393 TI - Survival after prostate brachytherapy in patients aged 60 years and younger. AB - OBJECTIVE: * To compare survival after prostate brachytherapy in patients aged <=60 years with patients aged >60 years. PATIENTS AND METHODS: * We analysed 419 locally confined prostate cancer patients, treated between 1989 and 2001 with I 125 implantation monotherapy. * Endpoints were biochemical failure (BF) according to the +2 ng/mL definition, disease-specific and overall survival. * Patients were subdivided into age <=60 years and age >60 years. * Cox proportional-hazards regression analyses were performed to study the independent effect of age on BF and disease-specific survival. RESULTS: * The younger cohort consisted of 87 patients (21%), with smaller prostate volumes and a lower average prostate cancer risk class than the older cohort, consisting of 332 patients (79%). Mean follow up was 9.1 years (+/-sd 2.8) for the younger cohort and 8.3 years (+/-sd 2.9) for the older cohort. * The 10-year (95% CI) freedom from BF, disease-specific survival and overall survival rates were 63% (51-75), 87% (78-96) and 81% (69 89), respectively, for the younger cohort and 46% (39-54), 83% (78-89) and 60% (54-66), respectively, for the older patient cohort. * Although a trend for better freedom from BF and disease-specific survival was observed in younger patients, the difference proved not clinically significant. CONCLUSION: * Prostate cancer risk group and the year of treatment relate to outcome, but not age. With respect to prostate cancer curability, there seems no objection to offer brachytherapy to patients aged 60 years and younger. PMID- 21062394 TI - Management of intraoperative splenic injury during laparoscopic urological surgery. AB - Study Type - Therapy (case series). LEVEL OF EVIDENCE: 4. What's known on the subject? and What does the study add? The exact incidence of splenic injury during laparoscopic urologic procedures is not known; however, it is an uncommon occurrence. Also, the optimal treatment algorithm is not well delineated and the efficacy of successfully treating minor injuries to the spleen without resorting to splenectomy is not well described in the urologic literature. This study outlines the rate of splenic injury during a variety of laparoscopic urologic procedures and we outline a treatment algorithm that has been successfully employed in the management of these patients, which in all cases, did not lead to splenectomy. An important point is also that multiple adjunctive hemostatic measures should be used when a splenic injury is recognized and that a thorough search should ensue when suspicion of an occult splenic injury exists, as an unrecognized splenic injury may lead to severe post operative haemorrhagic complications. OBJECTIVE: * To evaluate incidence, risk factors for, and management of intraoperative splenic injury in our laparoscopic patient cohort. PATIENTS AND METHODS: * All patients undergoing laparoscopic urological upper tract procedures at two institutions between January 2001 and April 2006 and January 2000 and December 2008, respectively, were retrospectively examined for complications. * From these patients, those with intraoperative splenic injuries were selected and examined. * Possible factors predisposing patients to splenic injury were evaluated and the management plan for each patient was analysed to identify optimal treatment efficacy. RESULTS: * Of 2620 patients undergoing upper tract urological laparoscopic surgery, 14 patients (0.5%) sustained splenic injury and underwent left-sided surgery, 13 via a transperitoneal approach. * In 12 of the 14 patients, the splenic injury was recognized intraoperatively and all were effectively managed laparoscopically with a combination of argon beam coagulation, biological haemostatic agent FloSeal(TM) (Baxter, Deerfield, IL, USA), and bio-absorbable Surgicel(r) (Johnson and Johnson, Somerville, NJ, USA); none of these patients required splenectomy or developed any postoperative complications. * In two patients, the splenic injury was not recognized intraoperatively; both patients presented with delayed haemorrhage necessitating open splenectomy in each instance. CONCLUSIONS: * Splenic injuries are uncommon during laparoscopic urological surgery, but when a significant splenic injury occurs, it can be effectively managed laparoscopically, using conservative measures, without need for splenectomy. * If the splenic injury is not recognized intraoperatively, delayed haemorrhage is likely to occur necessitating emergent re-exploration and splenectomy. * Prompt and accurate intraoperative diagnosis of splenic injury is critical for achieving a good outcome. PMID- 21062395 TI - Prognostic significance of replication protein A (RPA) expression levels in bladder urothelial carcinoma. AB - OBJECTIVE: To elucidate the role of replication protein A (RPA) in both superficial (Ta-T1) and muscle-invasive (T2-T4) urothelial carcinomas (UCs), investigating its potential prognostic usefulness. PATIENTS AND METHODS: Paraffin embedded tissue from 156 patients with bladder UC was immunostained for RPA1 and RPA2. RESULTS: RPA1 and RPA2 labelling indexes (LIs) decreased with increasing histological grade (both P < 0.001) and T-category in the entire cohort (P = 0.008 and P < 0.001, respectively) and in muscle-invasive carcinomas (P = 0.014 and P = 0.012, respectively). RPA1 expression was positively correlated with RPA2 (Spearman's correlation coefficient rho = 0.309, P < 0.001). Both RPA1 and RPA2 LIs were positively correlated with cyclin D1 expression (rho = 0.354, P < 0.001 and rho = 0.934, P < 0.001). In survival analysis of the entire cohort decreased RPA2 and RPA1 correlated with a lesser probability of survival (P < 0.001 and P = 0.018). In non-muscle-invasive tumours (Ta-T1) only lower RPA2 (P < 0.001) was correlated with shortened survival, whereas in muscle-invasive tumours (T2-T4) decreased RPA2 and RPA1 expression levels were associated with adverse prognosis (P = 0.035 and P = 0.042, respectively). In multivariate survival analysis of the entire cohort and in non-muscle-invasive cases RPA2 expression remained significant, even when adjustment for cyclin D1 expression was applied. CONCLUSIONS: RPA1 and RPA2 overexpression seems to be more important during early T-categories of bladder carcinogenesis. Showing similar kinetics with cyclin D1. RPA2 expression emerges as a valuable marker of favourable prognosis in the entire cohort and in non-muscle-invasive tumours, supplementing the information obtained by standard clinicopathological prognosticators. PMID- 21062396 TI - Accuracy of the Kattan nomogram across prostate cancer risk-groups. AB - OBJECTIVE: * To investigate the predictive ability of nomograms at the extremes of preoperative clinical parameters by examining the predictive ability across all prostate cancer risk groups. PATIENTS AND METHODS: * The Columbia University Urologic Oncology Database was reviewed: 3663 patients underwent radical prostatectomy from 1988 to 2008. Patients who had received neoadjuvant or adjuvant therapy, or had insufficient clinical parameters for estimation of 5 year progression-free probability using the preoperative Kattan nomogram were excluded. * A total of 1877 patients were included and stratified by D'Amico risk criteria. Mean estimated nomogram progression rates were compared with actuarial Kaplan-Meier survival statistics. * A regression model to predict progression free survival was fitted with estimated nomogram score and concordance indices were calculated for the entire model and subsequently for each risk group. RESULTS: * Of 1877 patients, 857 (45.6%) were low risk, 704 (37.5%) were intermediate risk, and 316 (16.8%) were high risk by D'Amico criteria. * Mean estimated nomogram survival and actuarial Kaplan-Meier survival at 5 years were 90.5% and 92.2% (95% CI 89.2-94.3) for low-risk, 76.7% and 77.8% (73.3-81.7) for intermediate-risk, and 65.8% and 60.4% (52.0-67.7) for high-risk groups, respectively. Using nomogram score in the regression model, the c-index for the full model was 0.61. * For low-, intermediate- and high-risk patients independently the c-index was 0.60, 0.59 and 0.57, respectively. When low-, intermediate- and high-risk patients were independently removed from the model the c-index was 0.64, 0.65 and 0.55, respectively. * The c-index for the full model using the categorical nomogram risk scores was 0.67. Similar to the D'Amico model, the c-index improved to 0.69 when intermediate-risk patients were removed from the model. CONCLUSIONS: * The study confirms the ability of preoperative nomograms to accurately predict actuarial survival across all risk groups. * The predictive ability of the nomogram varies by risk group, yet even at the extremes of high-risk and low-risk prostate cancer the nomogram accurately predicts outcome. PMID- 21062397 TI - Application of resonance metallic stents for ureteral obstruction. AB - OBJECTIVE: * To determine the effectiveness of the Resonance ureteral stent and clarify the risk factors that lead to stent failure. In the present study, we review our clinical experiences using Resonance stent in treating malignant and benign ureteral obstruction. PATIENTS AND METHODS: * Nineteen patients with extrinsic malignant ureteral obstruction (n= 15) and benign stricture (n= 4) were retrospectively evaluated. * All patients had received Resonance stent insertion through antegrade or cystoscopic retrograde approaches. The pre-insertion and follow-up interventions included image studies and biochemical tests. The insertion success rate, obstruction patency rate and complications were reviewed. * For categorical variables, the chi-square test and Fisher's exact test were carried out to determine associations between variables. RESULTS: * The technical success rate of stent insertion was 84.6%. The mean follow-up was 5 months (range 1-10.5 months). * Five stents failed to alleviate the obstruction, and the patency rate was 77.3% (17/22). * Patients who had had previous radiation therapy had a lower ureter patency rate in comparison with non-radiation patients (50% vs 92.3% respectively, P= 0.039). * The 6- and 9-month patency rates were 81.0% with 11 stents and 27.0% with 3 stents, respectively. CONCLUSIONS: * The results of the present study demonstrated that malignant or benign ureteral obstruction could be treated safely and sufficiently with the Resonance metallic stent. * Careful patient selection is critical to achieve successful results. * For malignant ureteral obstruction, previous radiation therapy is a risk factor for stent failure. PMID- 21062398 TI - Pathological characterization of unifocal prostate cancers in whole-mount radical prostatectomy specimens. AB - OBJECTIVE: * To characterize the anatomical distribution and pathological features of unifocal cancers, which have been reported to occur in 17-33% of men undergoing radical prostatectomy (RP), in whole- mount prostatectomy specimens. PATIENTS AND METHODS: * Between 1999 and 2008, a retrospective review of 1274 patients undergoing RP for clinically localized prostate cancer with pathological evaluation using whole-mount sectioning techniques and tumour mapping was performed from our prospective database. * The study cohort comprised those patients who were found to have unifocal tumours. RESULTS: * A total of 176 (14%) patients fulfilled our criteria for having unifocal tumours. The median age at time of surgery was 61 years. The mean preoperative PSA level was 8.6 ng/mL. * In all, 28% of patients were identified as having extracapsular extension (ECE) and 11% had seminal vesicle invasion (SVI). * Of 98 patients undergoing pelvic lymph dissection, six (6%) had positive lymph nodes; 49% of tumours had Gleason score >=7 and 60% had Gleason pattern 4 or 5 found within the tumour. * Mean tumour volume and maximum diameter were 3.3 mL and 1.7 cm, respectively. Overall, 89 (51%) tumours qualified as localized, organ-confined and low-grade cancers, possibly amenable to focal ablative approaches. * The limitations of the present study include its descriptive and retrospective nature. CONCLUSIONS: * While unifocal prostate cancers were most commonly localized to the prostate, half of these patients were associated with intermediate- or high-grade disease. * High risk features including ECE, SVI, lymph node invasion (LNI), and large tumour volume were identified in a third of patients. * Further studies assessing predictors beyond focality will be needed to determine whether patients can be identified before surgery who might be suitable candidates for lesion-ablative therapies. PMID- 21062399 TI - Percutaneous vs surgical cryoablation of the small renal mass: is efficacy compromised? AB - OBJECTIVE: * To review and analyse the cumulative literature to compare surgical and percutaneous cryoablation of small renal masses (SRMs). METHODS: * A MEDLINE search was performed (1966 to February 2010) of the published literature in which cryoablation was used as therapy for localized renal masses. * Residual disease was defined as persistent enhancement on the first post-ablation imaging study, while recurrent disease was defined as enhancement after an initially negative postoperative imaging study, consistent with the consensus definition by the Working Group on Image-Guided Tumor Ablation. * Data were collated and analysed using the two-sample Mann-Whitney test and random-effects Poisson regression, where appropriate. RESULTS: * In all, 42 studies, representing 1447 lesions treated by surgical (n= 28) or percutaneous (n= 14) cryoablation were pooled and analysed. * No significant differences were detected between approaches regarding patient age (median 67 vs 66 years, P= 0.55), tumour size (median 2.6 vs 2.7 cm, P= 0.24),or duration of follow-up (median 14.9 vs 13.3 months, P= 0.40). * Differences in rates of unknown pathology also failed to reach statistical significance (14 vs 21%, P= 0.76). The difference in the rate of residual tumour was not statistically different (0.033 vs 0.046, P= 0.25), nor was the rate of recurrent tumour (0.008 vs 0.009, P= 0.44). * The reported rate of metastases was negligible in both groups, precluding statistical analysis. CONCLUSIONS: * Cryoablation has shown acceptable short-term oncological results as a viable strategy for SRMs. * Analysis of the cumulative literature to date shows that surgical and percutaneous cryoablation have similar oncological outcomes. PMID- 21062400 TI - Foraging decisions and behavioural flexibility in trap-building predators: a review. AB - Foraging theory was first developed to predict the behaviour of widely-foraging animals that actively search for prey. Although the behaviour of sit-and-wait predators often follows predictions derived from foraging theory, the similarity between these two distinct groups of predators is not always obvious. In this review, we compare foraging activities of trap-building predators (mainly pit building antlions and web-building spiders), a specific group of sit-and-wait predators that construct traps as a foraging device, with those of widely foraging predators. We refer to modifications of the trap characteristics as analogous to changes in foraging intensity. Our review illustrates that the responses of trap-building and widely-foraging predators to different internal and external factors, such as hunger level, conspecific density and predation threat are quite similar, calling for additional studies of foraging theory using trap-building predators. In each chapter of this review, we summarize the response of trap-building predators to a different factor, while contrasting it with the equivalent response characterizing widely-foraging predators. We provide here evidence that the behaviour of trap-building predators is not stereotypic or fixed as was once commonly accepted, rather it can vary greatly, depending on the individual's internal state and its interactions with external environmental factors. PMID- 21062401 TI - First-trimester markers of aneuploidy in women positive for HIV. AB - OBJECTIVE: To investigate whether the sonographic and maternal serum biochemical markers used in first-trimester screening for chromosomal abnormalities are altered in pregnancies affected by maternal HIV infection. DESIGN: Nested case control study. SETTING: Routine antenatal visit in a teaching hospital. POPULATION: Ninety HIV-positive and 450 HIV-negative pregnant women. METHODS: Findings from first-trimester antenatal visit for calculation of the risk for chromosomal abnormalities were compared between HIV-positive (treated and untreated) and HIV-negative women. MAIN OUTCOME MEASURES: First-trimester maternal serum free beta human chorionic gonadotrophin (free beta-hCG) pregnancy associated plasma protein-A (PAPP-A) and fetal nuchal translucency thickness (NT), were compared. RESULTS: There were no statistically significant differences between the HIV-positive and HIV-negative women in the median maternal levels of free beta-hCG, PAPP-A and fetal NT. However, within the HIV-positive group those receiving antiretroviral treatment (n = 41) had a significantly lower median multiple of the median (MoM) for free beta-hCG (0.74, interquartile range [IQR] 0.45-1.32 MoM) than HIV-positive women on no treatment (1.03, IQR 0.76-1.85 MoM; P = 0.006) and HIV-negative women (1.0, IQR 0.68-1.47 MoM; P = 0.003). There was no correlation between the level of free beta-hCG or PAPP-A and maternal viral load or CD4(+) count. CONCLUSIONS: Maternal levels of free beta-hCG in treated HIV-positive pregnant women were lower compared with those in non-treated HIV positive and HIV-negative women, whereas the PAPP-A levels and fetal NT remained unaltered. PMID- 21062402 TI - Bioethics and culture. PMID- 21062403 TI - An African theory of bioethics: reply to MacPherson and Macklin. AB - In a prior issue of Developing World Bioethics, Cheryl Macpherson and Ruth Macklin critically engaged with an article of mine, where I articulated a moral theory grounded on indigenous values salient in the sub-Saharan region, and then applied it to four major issues in bioethics, comparing and contrasting its implications with those of the dominant Western moral theories, utilitarianism and Kantianism. In response to my essay, Macpherson and Macklin have posed questions about: whether philosophical justifications are something with which bioethicists ought to be concerned; why something counts as 'African'; how medicine is a moral enterprise; whether an individual right to informed consent is consistent with sub-Saharan values; and when thought experiments help to establish firm conclusions about moral status. These are important issues for the field, and I use this reply to take discussion of them a step or two farther, defending my initial article from Macpherson's and Macklin's critical questions and objections. PMID- 21062404 TI - New insight into the contributions of thermogenic processes and biogenic sources to the generation of organic compounds in hydrothermal fluids. AB - Experiments on hydrothermal degradation of Pyrococcus abyssi biomass were conducted at elevated pressure (40 MPa) over a 200-450 degrees C temperature range in sapphire reaction cells. Few organic compounds could be detected in the 200 degrees C experiment. This lack was attributed to an incomplete degradation of P. abyssi cells. On the contrary, a wide range of soluble organic molecules were generated at temperatures >= 350 degrees C including toluene, styrene, C8 C16 alkyl-benzenes, naphthalene, C11-C16 alkyl-naphthalenes, even carbon number C12-C18 polycyclic aromatic hydrocarbons, C15-C18 alkyl-phenanthrenes and C8:0 C16:0 n-carboxylic acids. The effect of time on the final organic composition of the degraded P. abyssi solutions at 350 degrees C was also investigated. For that purpose the biomass was exposed for 10, 20, 60, 90, 270 and 720 min at 350 degrees C. We observed a similar effect of temperature and time on the chemical diversity obtained. In addition, temperature and time increased the degree of alkylation of alkyl-benzenes. This study offers additional evidence that a portion of the aliphatic hydrocarbons present in the fluids from the Rainbow ultramafic-hosted hydrothermal field may be abiogenic whereas a portion of the aromatic hydrocarbons and n-carboxylic acids may have a biogenic origin. We suggest that aromatic hydrocarbons and linear fatty acids at the Rainbow site may be derived directly from thermogenic alteration of material from the sub-seafloor biosphere. Yet we infer that the formation and dissolution of carboxylic acids in hydrothermal fluids may be controlled by other processes than in our experiments. PMID- 21062405 TI - Lipomatous hypertrophy of the interatrial septum in a patient with carcinoma: a case report of the importance of multi-modality imaging. AB - INTRODUCTION: Lipomatous hypertrophy of the interatrial cardiac septum is a benign disorder. In rare cases, the disorder can cause obstruction of atrial inflow, causing symptoms of heart failure, or cardiac arrhythmias resulting from the involvement of the atrial wall and atrioventricular conduction pathways. CASE PRESENTATION: We present a case of a Caucasian 66-year-old man with urothelial carcinoma where transthoracic echocardiolography showed a mass in the basal part of the interatrial septum. After injection of echo contrast, it was suggested that the structure was vascularized, thus implying tumour. Transoesophageal echocardiography and cardiac magnetic resonance imaging gave the correct diagnosis of lipomatous hypertrophy. It was then discovered that the patient had been referred to a computed tomography (CT) earlier, but no mention of the mass was found in the report from the examination. Re-evaluation of the images showed a clearly visible mass indicative of fatty tissue. CONCLUSION: This case report highlights the importance of multi-modality imaging when the findings are not concordant. Moreover, this case report also highlights the importance of careful examination of the heart on routine CT scans, something that is often overlooked by the radiologists. In this case, the CT scan clearly indicated the diagnosis of lipomatous hypertrophy of the interatrial septum and thus could have prevented the subsequent imaging cascade. PMID- 21062406 TI - Comparison between L-CHOP and an L-CHOP protocol with interposed treatments of CCNU and MOPP (L-CHOP-CCNU-MOPP) for lymphoma in dogs. AB - An L-CHOP protocol with interposed treatments of CCNU and MOPP (L-CHOP-CCNU-MOPP) was evaluated in 66 dogs with stages III-V lymphoma. Results were compared with a historical group of 71 dogs treated with an L-CHOP protocol. Complete remission (CR) rates (85 and 80%, respectively) did not differ significantly between protocols (P = 0.48). First CR duration for dogs treated with L-CHOP-CCNU-MOPP was significantly longer: median, 317 days; 2-year CR rate, 35% versus median, 298 days; 2-year CR rate, 13%, P = 0.05). For the L-CHOP-CCNU-MOPP protocol, dogs in substage-b had a 4.3 times greater hazard of having a relapse than dogs in substage-a (P = 0.002). Frequency of adverse chemotherapy-associated gastrointestinal effects did not differ between protocols (P = 0.77). Neutropenia (primarily after CCNU) occurred more frequently in dogs treated with L-CHOP-CCNU MOPP (P < 0.001). In summary, the L-CHOP-CCNU-MOPP protocol showed an improved duration of first CR as compared with an L-CHOP protocol, but the relevance of this finding might be subject to clinical judgement. PMID- 21062407 TI - Oligodendroglial gliomatosis cerebri in a poodle. AB - Gliomatosis cerebri (GC) is a rare, diffusely infiltrating, glial cell tumour of neuroepithelial origin. This report describes a case of oligodendroglial GC in a 6-year-old male Poodle with central nervous system symptoms. Computed tomography revealed anomalous parenchyma density and ventricular asymmetry. Cerebrospinal fluid showed elevated protein (30 mg dL(-1)) and nucleated cell count (20 uL( 1)). Presumptive diagnosis of necrotizing meningoencephalitis was made. Because of rapid deterioration of the general condition of the animal, the dog was euthanized. Histologically there was an infiltration of round or ovoid neoplastic cells in the white matter of the left cerebral hemisphere and in leptomeninges. Immunohistochemistry showed that 80% of the neoplastic cells expressed Olig2 and some 50% expressed glial fibrilary acidic protein. On the basis of clinical, histological and immunohistochemical features, a diagnosis of oligodendoglial GC was done. This case represents the first report of a case of oligodendroglial GC in the canid. PMID- 21062408 TI - TSLC1 tumour-suppressor gene expression in canine mast cell tumours. AB - Tumour suppressor in lung cancer-1 (TSLC1) is a tumour-suppressor gene coding for an adhesion molecule that is expressed by mast cells. Reduced TSLC1 expression is associated with a poor prognosis in several human tumours, and this study sought to investigate if TSLC1 expression could be used to predict outcome in dogs with mast cell tumours (MCTs). Sections of MCTs of different tumour grades from 45 dogs (Group 1) were immunohistochemically assessed for TSLC1 and Ki67 expression. In addition, 35 intermediate-grade MCTs (Group 2) from dogs with known clinical follow-up were immunohistochemically stained for TSLC1 and Ki67. The TSLC1 staining intensity was found to strongly inversely correlate with tumour grade for Group 1 (P = 0.002857). For Group 2 there was a trend towards dogs with lower TSLC1 scores being more likely to die from MCT-related disease (P = 0.058). The intensity of TSLC1 staining inversely correlated with Ki67 expression for both groups. PMID- 21062409 TI - Safety of concurrent administration of dexrazoxane and doxorubicin in the canine cancer patient. AB - Doxorubicin may cause a rare but serious cardiotoxicity. Dexrazoxane is a cardioprotectant drug used to reduce the risk of cardiotoxicity in human patients. In this study, 25 tumour-bearing dogs were treated with concurrent doxorubicin and dexrazoxane. The total number of doses of dexrazoxane given was 54 (range 1-5 doses per dog, median 2 doses). Five dogs received more than 165 mg m(2) cumulative doxorubicin dose before starting dexrazoxane. Haematologic, gastrointestinal and cardiovascular toxicities were considered tolerable. The combination of doxorubicin with dexrazoxane was well tolerated with minimal side effects in this patient cohort. Future studies are required to evaluate potential cardioprotective effects of dexrazoxane given concurrently with doxorubicin. PMID- 21062410 TI - Canine paediatric oncology: retrospective assessment of 9522 tumours in dogs up to 12 months (1993-2008). AB - Little information is available on the occurrence of neoplasms in dogs up to the age of 12 months. This is a retrospective review of histopathological diagnoses of neoplasia in dogs up to the age of 12 months based on biopsy specimens submitted to a commercial veterinary diagnostic laboratory in the United Kingdom between 1993 and 2008. In 20 280 histological submissions, 9522 neoplasms were identified. Canine cutaneous histiocytoma (n = 8465; 89%) was the most common histological type. Neoplasms other than histiocytoma (n = 1057; 11%) were grouped as benign epithelial (n = 375; 4%), haematopoietic (n = 229; 2%), benign mesenchymal (n = 145; 2%), miscellaneous (n = 118; 1%), non-hematopoietic malignant mesenchymal (n = 118; 1%) or malignant epithelial tumours (n = 72; <1%). Excluding canine cutaneous histiocytoma, 52% of tumours (n = 547) were benign, and 66% were from the skin or soft tissues. These data provide valuable epidemiological information on neoplasms occurring in juvenile dogs in the United Kingdom. PMID- 21062411 TI - Insulin receptor is expressed in normal canine mammary gland and benign adenomas but decreased in metastatic canine mammary carcinomas similar to human breast cancer. AB - Insulin receptor (INSR) or insulin-like growth factor (IGF) signalling is speculated to be involved in mammary tumour development. Expression levels of members of the insulin receptor family (INSR, IGF1R, IGF2R, GHR) and their ligands IGF1and IGF2 were quantified in macro- and microdissected tissue samples of normal canine mammary gland, adenomas, carcinomas and their lymph node metastases to evaluate their potential impact on the carcinogenesis of canine mammary tumours. Normal mammary gland and adenomas had strong INSR expression, while carcinomas and metastases had significantly decreased expression. No differences were observed for IGF1R expression. IGF1, IGF2 and GHR mRNA expressions were strongly decreased in adenomas, carcinomas and metastases. INSR and IGF1R are therefore expressed in normal gland and adenomas and an increased stimulus by their ligands may be a proliferative stimulus in those tissues. However, decreased INSR expression carcinomas and their metastases render questionable its impact at late stages of carcinogenesis. PMID- 21062412 TI - Haemoglobin in normal and neoplastic canine mammary glands. AB - Four types of globins for oxygen transport are known in vertebrates, and the haemoglobin is responsible for carrying oxygen in blood. In this study, we found that haemoglobin was also expressed in canine mammary glands. Samples were taken from 26 malignant mammary tumors, 16 normal mammary glands and 10 other normal tissues. Sodium dodecyl sulphate-polyacrylamide gel electrophoresis (SDS-PAGE), immunoblotting and mass spectrometry were used to investigate haemoglobin in mammary tissues. The results indicated that normal canine mammary glands expressed high levels of haemoglobin protein as shown by Coomassie blue staining. The identity of haemoglobin was confirmed by immunoblotting and mass spectrometry, and the mass spectrometry data revealed that both alpha-haemoglobin and beta-haemoglobin were expressed. Relative to normal mammary glands, the levels of haemoglobin expression in mammary tumors were lower. Our results also indicated that the haemoglobin was endogenously produced in mammary gland tissues and was not derived from the erythroid cells. PMID- 21062413 TI - The human and canine TERT promoters function equivalently in human and canine cells. AB - Telomerase targeted cancer gene therapy is being exploited for treatment of human cancer. The high incidence and many comparative aspects of human and canine cancer and the compliance and dedication of dog owners to treat cancer makes the canine pet population a good clinical model for investigating and developing new cancer therapeutics. Here, we report that the human telomerase promoter operates in canine cells, suggesting that human telomerase promoter-driven cancer therapy can be used to treat cancer in canines. Therefore, the canine pet population can act as a clinical model for new drug development based on telomerase therapeutics. PMID- 21062414 TI - Insulin resistance and sustained virological response in hepatitis C: from bench to bedside. PMID- 21062415 TI - Thrombin generation is extremely sensitive to preheating conditions. PMID- 21062416 TI - Coexpression of alpha-l-arabinofuranosidase and beta-glucosidase in Saccharomyces cerevisiae. AB - Monoterpenes are important aroma compounds in grape varieties such as Muscat, Gewurztraminer and Riesling, and are present as either odourless, glycosidically bound complexes or free aromatic monoterpenes. Commercial enzymes can be used to release the monoterpenes, but they commonly consist of crude extracts that often have unwanted and unpredictable side-effects on wine aroma. This project aims to address these problems by the expression and secretion of the Aspergillus awamorialpha-l-arabinofuranosidase in combination with either the beta glucosidases from Saccharomycopsis fibuligera or from Aspergillus kawachii in the industrial yeast Saccharomyces cerevisiae VIN13. The concentration of five monoterpenes was monitored throughout alcoholic fermentation of Gewurztraminer grapes. The recombinant yeast strains that caused an early boost in the geraniol concentration led to a reduction in the final geraniol levels due to the downregulation of the sterol biosynthetic pathway. Monoterpene concentrations were also analysed 9 and 38 days after racking and the performance of the VB2 and VAB2 recombinant strains was similar, and in many cases, better than that of a commercial enzyme used in the same experiment. The results were backed by sensorial analysis, with the panel preferring the aroma of the wines produced by the VAB2 strain. PMID- 21062417 TI - Indocyanine green (ICG) fluorescence imaging-guided cholangiography for donor hepatectomy in living donor liver transplantation. PMID- 21062418 TI - The histology of solitary renal allografts at 1 and 5 years after transplantation. AB - Previous studies suggest that the majority of renal allografts are affected by progressive, severe chronic histologic injury, yet studies using current protocols are lacking. The goal of this study was to examine the prevalence and progression of histologic changes using protocol allograft biopsies at 1 and 5 years after solitary kidney transplantation in patients transplanted between 1998 and 2004. Chronic histologic changes generally were mild at both 1 and 5 years and were similar in deceased and living donor kidneys. The overall prevalence of moderate or severe fibrosis was 13% (60/447) at 1 year and 17% (60/343) at 5 years. In a subgroup of 296 patients who underwent both 1- and 5-year biopsies, mild fibrosis present at 1 year progressed to more severe forms at 5 years in 23% of allografts. The prevalence of moderate or severe arteriolar hyalinosis was similar in tacrolimus and calcineurin inhibitor-free immunosuppression. These results in the recent era of transplantation demonstrate fewer, less severe and less progressive chronic histologic changes in the first 5 years after transplantation than previously reported. PMID- 21062419 TI - Applying a knowledge-to-action framework for primary prevention of spina bifida in tropical Africa. AB - Maternal periconceptual folate supplementation reduces the incidence of neural tube defects; however, in settings where population-level food fortification is not available, it is not clear how best to promote this prevention strategy. Guided by a knowledge-to-action methodology, we used mixed quantitative and qualitative methods to define the local disease burden, then designed, implemented and evaluated a culturally tailored educational intervention in eastern Democratic Republic of Congo, where resource limitations and threats to human security contribute to restricted capacity for the prevention and management of congenital malformations. A descriptive case series of 27 patients undergoing surgery for spina bifida demonstrated a short-term mortality of 15% and long-term disability in survivors. A survey of knowledge, attitudes and practices demonstrated a low level of folate awareness (53%) among women of reproductive age. Focus group discussions revealed exotic aetiologic views, significant gender issues and several barriers to folate use. A culturally tailored radio broadcast and an educational video were designed and produced locally based on qualitative and quantitative findings. Evaluation of the video documented high levels of viewer satisfaction and unequivocal knowledge gain (P <= 0.001). We conclude that spina bifida poses a significant burden on affected patients and their families in the African context, but folate is underutilized as a prevention strategy. Patient education through video media results in increased awareness and understanding of spina bifida and folate, a first step in empowering women to reduce the risk of spina bifida in their children in the absence of population-wide food fortification. PMID- 21062420 TI - Cross-talk between adipose tissue and vasculature: role of adiponectin. AB - Adipose tissue is a highly dynamic endocrine organ, secreting a number of bioactive substances (adipokines) regulating insulin sensitivity, energy metabolism and vascular homeostasis. Dysfunctional adipose tissue is a key mediator that links obesity with insulin resistance, hypertension and cardiovascular disease. Obese adipose tissue is characterized by adipocyte hypertrophy and infiltration of inflammatory macrophages and lymphocytes, leading to the augmented production of pro-inflammatory adipokines and vasoconstrictors that induce endothelial dysfunction and vascular inflammation through their paracrine and endocrine actions. By contrast, the secretion of adiponectin, an adipokine with insulin sensitizing and anti-inflammatory activities, is decreased in obesity and its related pathologies. Emerging evidence suggests that adiponectin is protective against vascular dysfunction induced by obesity and diabetes, through its multiple favourable effects on glucose and lipid metabolism as well as on vascular function. Adiponectin improves insulin sensitivity and metabolic profiles, thus reducing the classical risk factors for cardiovascular disease. Furthermore, adiponectin protects the vasculature through its pleiotropic actions on endothelial cells, endothelial progenitor cells, smooth muscle cells and macrophages. Data from both animal and human investigations demonstrate that adiponectin is an important component of the adipo-vascular axis that mediates the cross-talk between adipose tissue and vasculature. This review highlights recent work on the vascular protective activities of adiponectin and discusses the molecular pathways underlying the vascular actions of this adipokine. PMID- 21062422 TI - Adenosine elicits an eNOS-independent reduction in arterial blood pressure in conscious mice that involves adenosine A2A receptors. AB - AIMS: Adenosine plays an important role in the regulation of heart rate (HR) and vascular reactivity. However, the mechanisms underlying the acute effect of adenosine on arterial blood pressure in conscious mice are unclear. Therefore, this study investigated the effect of the nucleoside on mean arterial blood pressure (MAP) and HR in conscious mice. METHODS: Chronic indwelling catheters were placed in C57Bl/6J (WT) and endothelial nitric oxide synthase knockout (eNOS(-/-)) mice for continuous measurements of MAP and HR. Using PCR and myograph analysis, involvement of adenosine receptors was investigated in human and mouse renal blood vessels. RESULTS: Bolus infusion of 0.5 mg kg(-1) adenosine elicited significant transient decreases in MAP (99.3 +/- 2.3 to 70.4 +/- 4.5 mmHg) and HR (603.2 +/- 18.3 to 364.3 +/- 49.2 min(-1)), which were inhibited by the A(2A) receptor antagonist ZM 241385. Activation of adenosine A(2A) receptors with CGS 21680 (0.02 mg kg(-1)) caused a significant reduction in MAP from 99.6 +/- 1.2 to 73.1 +/- 3.6 mmHg accompanied by tachycardia (610.5 +/- 9.3 to 677.5 +/- 9.5 min(-1)). The reduction in MAP observed after adenosine or CGS 21680 administrations was not significantly different in WT and eNOS(-/-) mice. In isolated human and mouse intrarenal arteries, adenosine caused a relaxation dependent on A(2A) adenosine receptor activation. A(2A) receptors were present in both human and mouse arteries whereas A(1) and A(2B) receptors were only present in mouse arteries. CONCLUSION: In conclusion, acute adenosine administration and selective stimulation of adenosine A(2A) receptors results in an immediate, transient eNOS-independent reduction in MAP. A(2A) receptor activation causes relaxation of human and mouse arteries. PMID- 21062421 TI - TRPV channels and vascular function. AB - Transient receptor potential channels, of the vanilloid subtype (TRPV), act as sensory mediators, being activated by endogenous ligands, heat, mechanical and osmotic stress. Within the vasculature, TRPV channels are expressed in smooth muscle cells, endothelial cells, as well as in peri-vascular nerves. Their varied distribution and polymodal activation properties make them ideally suited to a role in modulating vascular function, perceiving and responding to local environmental changes. In endothelial cells, TRPV1 is activated by endocannabinoids, TRPV3 by dietary agonists and TRPV4 by shear stress, epoxyeicosatrienoic acids (EETs) and downstream of Gq-coupled receptor activation. Upon activation, these channels contribute to vasodilation via nitric oxide, prostacyclin and intermediate/small conductance potassium channel dependent pathways. In smooth muscle, TRPV4 is activated by endothelial-derived EETs, leading to large conductance potassium channel activation and smooth muscle hyperpolarization. Conversely, smooth muscle TRPV2 channels contribute to global calcium entry and may aid constriction. TRPV1 and TRPV4 are expressed in sensory nerves and can cause vasodilation through calcitonin gene-related peptide and substance P release as well as mediating vascular function via the baroreceptor reflex (TRPV1) or via increasing sympathetic outflow during osmotic stress (TRPV4). Thus, TRPV channels play important roles in the regulation of normal and pathological cellular function in the vasculature. PMID- 21062423 TI - Plasticity in vagal afferent neurones during feeding and fasting: mechanisms and significance. AB - The ingestion of food activates mechanisms leading to inhibition of food intake and gastric emptying mediated by the release of regulatory peptides, for example cholecystokinin (CCK), and lipid amides, e.g. oleylethanolamide from the gut. In addition, there are both peptides (e.g. ghrelin) and lipid amides (e.g. anandamide) that appear to signal the absence of food in the gut and that are associated with the stimulation of food intake. Vagal afferent neurones are a common target for both types of signal. Remarkably, the neurochemical phenotype of these neurones itself depends on nutritional status. CCK acting at CCK1 receptors on vagal afferent neurones stimulates expression in these neurones of Y2-receptors and the neuropeptide CART, both of which are associated with the inhibition of food intake. Conversely, in fasted rats when plasma CCK is low, these neurones express cannabinoid (CB)-1 and melanin concentrating hormone (MCH) 1 receptors, and MCH, and this is inhibited by exogenous CCK or endogenous CCK released by refeeding. The stimulation of CART expression by CCK is mediated by the activation of CREB and EGR1; ghrelin inhibits the action of CCK by promoting nuclear exclusion of CREB and leptin potentiates the action of CCK by the stimulation of EGR1 expression. Vagal afferent neurones therefore constitute a level of integration outside the CNS for nutrient-derived signals that control energy intake and that are capable of encoding recent nutrient ingestion. PMID- 21062424 TI - Neuroprotection in Parkinson's disease: a realistic goal? PMID- 21062425 TI - Study of the kinetics of antibodies titres against viral pathogens and detection of rotavirus and parainfluenza 3 infections in captive crias of guanacos (Lama guanicoe). AB - A longitudinal study was conducted to investigate the presence of antibodies (Ab) to Rotavirus (RV), Parainfluenza-3 virus (PI-3), Bovine Herpesvirus-1 (BoHV-1), Bovine Viral Diarrhoea virus (BVDV-1) and Bluetongue virus (BTV) in eleven guanaco's crias (chulengos) relocated from Rio Negro to Buenos Aires Province (Argentina) and reared in captivity for a year in an experimental field. Serum samples were collected periodically to detect the evidence of viral infections. Faecal samples were collected to investigate RV shedding. We detected the evidence of Ab to RV from the beginning of the experience, suggesting the presence of maternal Ab against the virus. RV infection was detected in seven of the eleven chulengos, by seroconversion (4), virus shedding in stools (1) or both (2). In all cases, the RV strain was typed as [P1]G8, the same G/P type combination detected in captive chulengos with acute diarrhoea sampled in Rio Negro, in 2001. In contrast, we could not detect antibodies against PI-3, BoHV-1, BVDV or BT in any of initial samples. No Abs against BoHV-1, BVDV or BTV were detected in the chulengos throughout the study. However, all the chulengos became asymptomatically seropositive to PI-3 by the 7 month after arrival. This study suggest that wild-born guanacos raised in captivity can be relatively susceptible to common livestock viral infections, such as RV and PI-3, which are easily spread among chulengos. PMID- 21062426 TI - Role of the VEGF-Flt-1-FAK pathway in the pathogenesis of osteoclastic bone destruction of giant cell tumors of bone. AB - BACKGROUND: Giant cell tumors (GCTs) of bone are primary benign bone tumors that are characterized by a high number of osteoclast-like multinuclear giant cells (MNCs). Recent studies suggest that the spindle-shaped stromal cells in GCTs are tumor cells, while monocyte-like cells and MNCs are reactive osteoclast precursor cells (OPCs) and osteoclasts (OCs), respectively. In this study, we investigated the pathogenesis of osteoclastic bone destruction in GCTs by focusing on the role of the vascular endothelial growth factor (VEGF)-Flt-1 (type-1 VEGF receptor) focal adhesion kinase (FAK) pathway. METHODS: The motility of OPCs cells was assessed by a chemotaxis assay and the growth of OPCs was examined using a cell proliferation assay. The expression of VEGF and activation of Flt-1 and FAK in clinical GCT samples and in OPCs were detected by immunohistochemistry and immunoblotting. The correlation between the expression levels of activated Flt-1 and FAK and clinical stages of GCTs was investigated by immunohistochemistry. RESULTS: In GCT samples, CD68, a marker of OPCs and OCs, co-localized with Flt-1. Conditioned media from GCT tissue (GCT-CM) enhanced the chemotaxis and proliferation of OPCs. GCT-CM also stimulated FAK activation in OPCs in vitro. Moreover, there was a correlation between the clinical stage of GCTs and the expression of tyrosine-phosphorylated Flt-1 and FAK. CONCLUSIONS: Our results suggest that the VEGF-Flt-1-FAK pathway is involved in the pathogenesis of bone destruction of GCTs. PMID- 21062427 TI - Discussing patient's lifestyle choices in the consulting room: analysis of GP patient consultations between 1975 and 2008. AB - BACKGROUND: The increasing prevalence of chronic diseases and the growing understanding that lifestyle behaviour plays an essential role in improving overall health suggest a need for increased attention to lifestyle choices in the consulting room.This study aims to examine whether or not healthy and unhealthy lifestyle choices of patients are currently being discussed more often in primary care consultations than in former decades. Furthermore, we are interested in GPs' approach to lifestyle behaviour during consultations. Lastly, we examine whether lifestyle behaviour is discussed more with certain patients during consultations, depending on gender, age and educational background. METHOD: We analysed video recordings of medical consultations, collected between 1975 and 2008 in Dutch GP practices. Data were analysed using logistic regression. RESULTS: This study shows that discussion of smoking behaviour and physical activity has increased somewhat over time. A change in discussion of nutrition and alcohol is, however, less clear. Overall, alcohol use is the least discussed and physical activity the most discussed during consultations. GPs mainly refer to lifestyle when it is relevant to the patient's complaints (symptom approach). GPs' approach to lifestyle behaviour did not change over time. In general, lifestyle behaviour is discussed more with older, male patients (except for nutrition). GPs talk about lifestyle behaviour with patients from different educational backgrounds equally (except for physical activity). CONCLUSION: In recent years there is greater awareness of a healthy lifestyle, which is reflected to a limited extent in this study. Still, lifestyle behaviour is discussed in only a minority of consultations. GPs do not refer to lifestyle behaviour as a routine procedure, i.e. do not include it in primary prevention. This highlights the importance of the introduction of prevention consultations, where GPs can discuss lifestyle issues with patients who do not (yet) have risk symptoms. PMID- 21062428 TI - Treatment of patients with atypical meningiomas Simpson grade 4 and 5 with a carbon ion boost in combination with postoperative photon radiotherapy: the MARCIE trial. AB - BACKGROUND: Treatment standard for patients with atypical or anaplastic meningioma is neurosurgical resection. With this approach, local control ranges between 50% and 70%, depending on resection status. A series or smaller studies has shown that postoperative radiotherapy in this patient population can increase progression-free survival, which translates into increased overall survival. However, meningiomas are known to be radioresistant tumors, and radiation doses of 60 Gy or higher have been shown to be necessary for tumor control. Carbon ions offer physical and biological characteristics. Due to their inverted dose profile and the high local dose deposition within the Bragg peak precise dose application and sparing of normal tissue is possible. Moreover, in comparison to photons, carbon ions offer an increased relative biological effectiveness (RBE), which can be calculated between 2 and 5 depending on the cell line as well as the endpoint analyzed.First data obtained within the Phase I/II trial performed at GSI in Darmstadt on carbon ion radiotherapy for patients with high-risk meningiomas has shown safety, and treatment results are promising. METHODS/DESIGN: The Phase II MARCIE-Study will evaluate a carbon ion boost applied to the macroscopic tumor in conjunction with photon radiotherapy in patients with atypical meningiomas after incomplete resection or biopsy.Primary endpoint is progression-free survival, secondary endpoints are overall survival, safety and toxicity. DISCUSSION: Based on published data on the treatment of atypical meningiomas with carbon ions at GSI, the present study will evaluate this treatment concept in a larger patient population and will compare outcome to current standard photon treatment. TRIAL REGISTRATION: NCT01166321. PMID- 21062430 TI - Differential cellular FGF-2 upregulation in the rat facial nucleus following axotomy, functional electrical stimulation and corticosterone: a possible therapeutic target to Bell's palsy. AB - BACKGROUND: The etiology of Bell's palsy can vary but anterograde axonal degeneration may delay spontaneous functional recovery leading the necessity of therapeutic interventions. Corticotherapy and/or complementary rehabilitation interventions have been employed. Thus the natural history of the disease reports to a neurotrophic resistance of adult facial motoneurons leading a favorable evolution however the related molecular mechanisms that might be therapeutically addressed in the resistant cases are not known. Fibroblast growth factor-2 (FGF 2) pathway signaling is a potential candidate for therapeutic development because its role on wound repair and autocrine/paracrine trophic mechanisms in the lesioned nervous system. METHODS: Adult rats received unilateral facial nerve crush, transection with amputation of nerve branches, or sham operation. Other group of unlesioned rats received a daily functional electrical stimulation in the levator labii superioris muscle (1 mA, 30 Hz, square wave) or systemic corticosterone (10 mgkg-1). Animals were sacrificed seven days later. RESULTS: Crush and transection lesions promoted no changes in the number of neurons but increased the neurofilament in the neuronal neuropil of axotomized facial nuclei. Axotomy also elevated the number of GFAP astrocytes (143% after crush; 277% after transection) and nuclear FGF-2 (57% after transection) in astrocytes (confirmed by two-color immunoperoxidase) in the ipsilateral facial nucleus. Image analysis reveled that a seven days functional electrical stimulation or corticosterone led to elevations of FGF-2 in the cytoplasm of neurons and in the nucleus of reactive astrocytes, respectively, without astrocytic reaction. CONCLUSION: FGF-2 may exert paracrine/autocrine trophic actions in the facial nucleus and may be relevant as a therapeutic target to Bell's palsy. PMID- 21062431 TI - Foni phronimos--an interview with Edmund D. Pellegrino by James Giordano. PMID- 21062432 TI - Respice...prospice: philosophy, ethics and medical care- past, present, and future. PMID- 21062433 TI - A ranking index for quality assessment of forensic DNA profiles forensic DNA profiles. AB - BACKGROUND: Assessment of DNA profile quality is vital in forensic DNA analysis, both in order to determine the evidentiary value of DNA results and to compare the performance of different DNA analysis protocols. Generally the quality assessment is performed through manual examination of the DNA profiles based on empirical knowledge, or by comparing the intensities (allelic peak heights) of the capillary electrophoresis electropherograms. RESULTS: We recently developed a ranking index for unbiased and quantitative quality assessment of forensic DNA profiles, the forensic DNA profile index (FI) (Hedman et al. Improved forensic DNA analysis through the use of alternative DNA polymerases and statistical modeling of DNA profiles, Biotechniques 47 (2009) 951-958). FI uses electropherogram data to combine the intensities of the allelic peaks with the balances within and between loci, using Principal Components Analysis. Here we present the construction of FI. We explain the mathematical and statistical methodologies used and present details about the applied data reduction method. Thereby we show how to adapt the ranking index for any Short Tandem Repeat-based forensic DNA typing system through validation against a manual grading scale and calibration against a specific set of DNA profiles. CONCLUSIONS: The developed tool provides unbiased quality assessment of forensic DNA profiles. It can be applied for any DNA profiling system based on Short Tandem Repeat markers. Apart from crime related DNA analysis, FI can therefore be used as a quality tool in paternal or familial testing as well as in disaster victim identification. PMID- 21062434 TI - Differences in organ dysfunctions between neonates and older children: a prospective, observational, multicenter study. AB - INTRODUCTION: The multiple organ dysfunction syndrome (MODS) is a major cause of death for patients admitted to pediatric intensive care units (PICU). The Pediatric Logistic Organ Dysfunction (PELOD) score has been validated in order to describe and quantify the severity of organ dysfunction (OD). There are several physiological differences between neonates and older children. The objective of the study was to determine whether there are differences in incidence of ODs and mortality rate between full-term neonates (age <28 days) and older children. METHODS: In a prospective, observational study, 1806 patients, admitted to seven PICUs between September 1998 and February 2000 were included. The PELOD score, which includes six organ dysfunctions and 12 variables, was recorded daily. For each variable, the most abnormal value was used to define the daily OD. For each OD, the most abnormal value each day and that during the entire stay were used in calculating the daily PELOD and PELOD scores, respectively. The relationships between OD, daily OD, PELOD, daily PELOD and mortality were compared between the two strata (neonates, older children) based on the discrimination power, logistic and multiple regression analyses. RESULTS: Of the 1806 enrolled patients 171 (9.5%) were neonates. Incidence of MODS and mortality rate were higher among neonates than in older children (14.6% vs. 5.5%, P < 10(-7); 75.4%, vs. 50.9%, P < 10(-4); respectively). Daily PELOD scores were significantly higher in neonates from day 1 to day 4. Daily cardiovascular, respiratory and renal dysfunction scores from day 1 to day 4 as well as the PELOD score for the entire pediatric intensive care unit stay were also significantly higher in neonates. Neurological, cardiovascular, and hepatic dysfunctions were independent predictors of death among neonates while all ODs significantly contributed to the risk of mortality in older children. CONCLUSIONS: Our data demonstrate that incidence of MODS and mortality rate are higher among neonates compared to older children. Neurological, cardiovascular, and hepatic dysfunctions were the only significant contributors to neonatal mortality. Stratification for neonates versus older children might be useful in clinical trials where MODS is considered as an outcome measure. PMID- 21062435 TI - Predictive value of ovarian stroma measurement for cardiovascular risk in polycyctic ovary syndrome: a case control study. AB - BACKGROUND: To verify the feasibility of ovarian stromal evaluation and correlate ovarian parameteres (echogenicity and volume) with hyperandrogenism, and both cardiovascular and metabolic risk factors in PCOS. METHODS: Twenty four young PCOS patients and twelve age-matched control women were enrolled. Diagnosis of PCOS was based on the Rotterdam criteria. Ultrasound ovarian study included ovarian volume, stromal volume, stromal area and stromal area/total ovarian area ratio (S/A). Concerning hormones, insulin, LH, FSH, estradiol, androstenedione, testosterone, DHEAS, 17-hydroxy-progesterone, and SHBG were measured during the early follicular phase (days 2-5). Cardiovascular risk factors were represented by fasting plasma levels of glucose, lipids (total and HDL-cholesterol), plasminogen activator inhibitor 1 (PAI-1), von-Willebrand factor (vWF), and adiponectin. Carotid intima-media thickness (C-IMT) was measured as a parameter of cardiovascular risk. RESULTS: A positive correlation between the S/A ratio and plasma levels of testosterone (p < 0.05) and androstenedione (p < 0.05) was found. The stromal volume, stromal area and S/A ratio were also significantly and positively correlated with PAI-1, and vWF levels, and with IMT in PCOS women (P < 0.05). CONCLUSIONS: This study shows that the ultrasound measurement of ovarian stroma is a predicting factor of hyperandrogenism degree, prothrombotic factors and cardiovascular risk in patients with PCOS. PMID- 21062436 TI - A rapid and simple method for constructing stable mutants of Acinetobacter baumannii. AB - BACKGROUND: Acinetobacter baumannii is a multidrug-resistant bacterium responsible for nosocomial infections in hospitals worldwide. Study of mutant phenotypes is fundamental for understanding gene function. The methodologies developed to inactivate A. baumannii genes are complicated and time-consuming; sometimes result in unstable mutants, and do not enable construction of double (or more) gene knockout mutant strains of A. baumannii. RESULTS: We describe here a rapid and simple method of obtaining A. baumannii mutants by gene replacement via double crossover recombination, by use of a PCR product that carries an antibiotic resistance cassette flanked by regions homologous to the target locus. To demonstrate the reproducibility of the approach, we produced mutants of three different chromosomal genes (omp33, oxyR, and soxR) by this method. In addition, we disrupted one of these genes (omp33) by integration of a plasmid into the chromosome by single crossover recombination, the most widely used method of obtaining A. baumannii mutants. Comparison of the different techniques revealed absolute stability when the gene was replaced by a double recombination event, whereas up to 40% of the population reverted to wild-type when the plasmid was disrupting the target gene after 10 passages in broth without selective pressure. Moreover, we demonstrate that the combination of both gene disruption and gene replacement techniques is an easy and useful procedure for obtaining double gene knockout mutants in A. baumannii. CONCLUSIONS: This study provides a rapid and simple method of obtaining stable mutants of A. baumannii free of foreign plasmidic DNA, which does not require cloning steps, and enables construction of multiple gene knockout mutants. PMID- 21062437 TI - Intestinal barrier function of Atlantic salmon (Salmo salar L.) post smolts is reduced by common sea cage environments and suggested as a possible physiological welfare indicator. AB - BACKGROUND: Fish farmed under high intensity aquaculture conditions are subjected to unnatural environments that may cause stress. Therefore awareness of how to maintain good health and welfare of farmed fish is important. For Atlantic salmon held in sea cages, water flow, dissolved oxygen (DO) levels and temperature will fluctuate over time and the fish can at times be exposed to detrimentally low DO levels and high temperatures. This experimental study investigates primary and secondary stress responses of Atlantic salmon post smolts to long-term exposure to reduced and fluctuating DO levels and high water temperatures, mimicking situations in the sea cages. Plasma cortisol levels and cortisol release to the water were assessed as indicators of the primary stress response and intestinal barrier integrity and physiological functions as indicators of secondary responses to changes in environmental conditions. RESULTS: Plasma cortisol levels were elevated in fish exposed to low (50% and 60% saturation) DO levels and low temperature (9 degrees C), at days 9, 29 and 48. The intestinal barrier function, measured as electrical resistance (TER) and permeability of mannitol at the end of the experiment, were reduced at 50% DO, in both proximal and distal intestine. When low DO levels were combined with high temperature (16 degrees C), plasma cortisol levels were elevated in the cyclic 1:5 h at 85%:50% DO group and fixed 50% DO group compared to the control (85% DO) group at day 10 but not at later time points. The intestinal barrier function was clearly disturbed in the 50% DO group; TER was reduced in both intestinal regions concomitant with increased paracellular permeability in the distal region. CONCLUSIONS: This study reveals that adverse environmental conditions (low water flow, low DO levels at low and high temperature), that can occur in sea cages, elicits primary and secondary stress responses in Atlantic salmon post smolts. The intestinal barrier function was significantly affected by prolonged hypoxic stress even when no primary stress response was observed. This suggests that intestinal barrier function is a good experimental marker for evaluation of chronic stress and that it can be a valuable tool to study the impact of various husbandry conditions on health and welfare of farmed Atlantic salmon. PMID- 21062438 TI - Validated instruments used to measure attitudes of healthcare students and professionals towards patients with physical disability: a systematic review. AB - BACKGROUND: Instruments to detect changes in attitudes towards people with disabilities are important for evaluation of training programs and for research. While we were interested in instruments specific for medical students, we aimed to systematically review the medical literature for validated survey instruments used to measure attitudes of healthcare students and professionals towards patients with physical disability. METHODS: We electronically searched Medline, EMBASE, PsycINFO, Health and Psychosocial Instruments. We included papers reporting on the development and/or validation of survey instruments to measure attitudes of healthcare students and professionals towards patients with physical disability. We excluded papers in which the attitudes were not measured in a provider-patient context. Two reviewers carried out titles and abstracts screening, full texts screening, and data abstraction in a duplicate and independent manner using standardized and pilot tested forms. RESULTS: We identified seven validated survey instruments used for healthcare students and professionals. These instruments were originally developed for the following target populations: general population (n = 4); dental students (n = 1); nursing students (n = 1); and rehabilitation professionals (n = 1). The types of validity reported for these instruments were content validity (n = 3), criterion-related validity (n = 1), construct validity (n = 2), face validity (n = 1), discriminant validity (n = 1), and responsiveness (n = 1). The most widely validated and used tool (ATDP) was developed in the late 1960s while the most recent instrument was developed in the early 1990s. CONCLUSION: Of the seven identified validated instruments, less than half were specifically designed for healthcare students and professionals and none for medical students. There is a need to develop and validate a contemporary instrument specifically for medical students. PMID- 21062439 TI - Effects of pegylated G-CSF on immune cell number and function in patients with gynecological malignancies. AB - BACKGROUND: Pegylated granulocyte colony-stimulating factor (G-CSF; pegfilgrastim) is a longer-acting form of G-CSF, whose effects on dendritic cell (DC) and regulatory T cell (Treg) mobilization, and on the in vivo and ex vivo release of immune modulating cytokines remain unexplored. METHODS: Twelve patients with gynecological cancers received carboplatin/paclitaxel chemotherapy and single-dose pegfilgrastim as prophylaxis of febrile neutropenia. Peripheral blood was collected prior to pegfilgrastim administration (day 0) and on days +7, +11 and +21, to quantify immunoregulatory cytokines and to assess type 1 DC (DC1), type 2 DC (DC2) and Treg cell mobilization. In vitro-differentiated, monocyte-derived DC were used to investigate endocytic activity, expression of DC maturation antigens and ability to activate allogeneic T-cell proliferation. RESULTS: Pegfilgrastim increased the frequency of circulating DC1 and DC2 precursors. In contrast, CD4+FoxP3+ bona fide Treg cells were unchanged compared with baseline. Serum levels of hepatocyte growth factor and interleukin (IL) 12p40, but not transforming growth factor-beta1 or immune suppressive kynurenines, significantly increased after pegfilgrastim administration. Interestingly, pegfilgrastim fostered in vitro monocytic secretion of IL-12p40 and IL-12p70 when compared with unconjugated G-CSF. Finally, DC populations differentiated in vitro after clinical provision of pegfilgrastim were phenotypically mature, possessed low endocytic activity, and incited a robust T cell proliferative response. CONCLUSIONS: Pegfilgrastim induced significant changes in immune cell number and function. The enhancement of monocytic IL-12 secretion portends favorable implications for pegfilgrastim administration to patients with cancer, a clinical context where the induction of immune deviation would be highly undesirable. PMID- 21062440 TI - A candidate gene association study on muscat flavor in grapevine (Vitis vinifera L.). AB - BACKGROUND: The sweet, floral flavor typical of Muscat varieties (Muscats), due to high levels of monoterpenoids (geraniol, linalool and nerol), is highly distinct and has been greatly appreciated both in table grapes and in wine since ancient times. Muscat flavor determination in grape (Vitis vinifera L.) has up to now been studied by evaluating monoterpenoid levels through QTL analysis. These studies have revealed co-localization of 1-deoxy-D-xylulose 5-phosphate synthase (VvDXS) with the major QTL positioned on chromosome 5. RESULTS: We resequenced VvDXS in an ad hoc association population of 148 grape varieties, which included muscat-flavored, aromatic and neutral accessions as well as muscat-like aromatic mutants and non-aromatic offsprings of Muscats. Gene nucleotide diversity and intragenic linkage disequilibrium (LD) were evaluated. Structured association analysis revealed three SNPs in moderate LD to be significantly associated with muscat-flavored varieties. We identified a putative causal SNP responsible for a predicted non-neutral substitution and we discuss its possible implications for flavor metabolism. Network analysis revealed a major star-shaped cluster of reconstructed haplotypes unique to muscat-flavored varieties. Moreover, muscat like aromatic mutants displayed unique non-synonymous mutations near the mutated site of Muscat genotypes. CONCLUSIONS: This study is a crucial step forward in understanding the genetic regulation of muscat flavor in grapevine and it also sheds light on the domestication history of Muscats. VvDXS appears to be a possible human-selected locus in grapevine domestication and post-domestication. The putative causal SNP identified in Muscat varieties as well as the unique mutations identifying the muscat-like aromatic mutants under study may be immediately applied in marker-assisted breeding programs aimed at enhancing fragrance and aroma complexity respectively in table grape and wine cultivars. PMID- 21062441 TI - Proteome of the phytopathogen Xanthomonas citri subsp. citri: a global expression profile. AB - BACKGROUND: Citrus canker is a disease caused by Xantomonas citri subsp.citri (Xac), and has emerged as one of the major threats to the worldwide citrus crop because it affects all commercial citrus varieties, decreases the production and quality of the fruits and can spread rapidly in citrus growing areas. In this work, the first proteome of Xac was analyzed using two methodologies, two dimensional liquid chromatography (2D LC) and tandem mass spectrometry (MS/MS). RESULTS: In order to gain insight into the metabolism of Xac, cells were grown on two different media (NB - Nutrient Broth and TSE - Tryptone Sucrose broth enriched with glutamic acid), and proteins were proteolyzed with trypsin and examined by 2D LC-MS/MS. Approximately 39% of all predicted proteins by annotation of Xac were identified with their component peptides unambiguously assigned to tandem mass spectra. The proteins, about 1,100, were distributed in all annotated functional categories. CONCLUSIONS: This is the first proteomic reference map for the most aggressive strain of Xanthomonas pathogen of all orange varieties. The compilation of metabolic pathways involved with bacterial growth showed that Xac expresses a complete central and intermediary metabolism, replication, transcription and translation machineries and regulation factors, distinct membrane transporters (ABC, MFS and pumps) and receptors (MCP, TonB dependent and metabolites acquisition), two-component systems (sensor and regulatory components) and response regulators. These data corroborate the growth curve in vitro and are the first reports indicating that many of these genome annotated genes are translated into operative in Xac. This proteomic analysis also provided information regarding the influence of culture medium on growth and protein expression of Xac. PMID- 21062442 TI - A novel technique of rotator cuff repair using spinal needle and suture loop. AB - BACKGROUND: We present a simple technique of arthroscopic rotator cuff repair using a spinal needle and suture loop. METHODS: With the arthroscope laterally, a spinal needle looped with PDS is inserted percutaneously into the shoulder posteriorly and penetrated through the healthy posterior cuff tear margin. Anteriorly, another spinal needle loaded with PDS is inserted percutaneously to engage the healthy tissue at the anterior tear margin. The suture in the anterior needle is then delivered into the suture loop of the posterior needle using a suture retriever. The posterior needle and loop are then pulled out carrying the anterior suture with it. The two limbs of this suture are then retrieved through a cannula for knotting. The same procedure is then repeated for additional suturing. Suture anchors placed over the greater tuberosity are used to complete the repair. CONCLUSION: This is an easy method of rotator cuff repair using simple instruments and lesser time, hence can be employed at centers with less equipment and at reduced cost to the patient. PMID- 21062443 TI - Bayesian inference of the number of factors in gene-expression analysis: application to human virus challenge studies. AB - BACKGROUND: Nonparametric Bayesian techniques have been developed recently to extend the sophistication of factor models, allowing one to infer the number of appropriate factors from the observed data. We consider such techniques for sparse factor analysis, with application to gene-expression data from three virus challenge studies. Particular attention is placed on employing the Beta Process (BP), the Indian Buffet Process (IBP), and related sparseness-promoting techniques to infer a proper number of factors. The posterior density function on the model parameters is computed using Gibbs sampling and variational Bayesian (VB) analysis. RESULTS: Time-evolving gene-expression data are considered for respiratory syncytial virus (RSV), Rhino virus, and influenza, using blood samples from healthy human subjects. These data were acquired in three challenge studies, each executed after receiving institutional review board (IRB) approval from Duke University. Comparisons are made between several alternative means of per-forming nonparametric factor analysis on these data, with comparisons as well to sparse-PCA and Penalized Matrix Decomposition (PMD), closely related non Bayesian approaches. CONCLUSIONS: Applying the Beta Process to the factor scores, or to the singular values of a pseudo-SVD construction, the proposed algorithms infer the number of factors in gene-expression data. For real data the "true" number of factors is unknown; in our simulations we consider a range of noise variances, and the proposed Bayesian models inferred the number of factors accurately relative to other methods in the literature, such as sparse-PCA and PMD. We have also identified a "pan-viral" factor of importance for each of the three viruses considered in this study. We have identified a set of genes associated with this pan-viral factor, of interest for early detection of such viruses based upon the host response, as quantified via gene-expression data. PMID- 21062444 TI - The use of array-CGH in a cohort of Greek children with developmental delay. AB - BACKGROUND: The genetic diagnosis of mental retardation (MR) is difficult to establish and at present many cases remain undiagnosed and unexplained. Standard karyotyping has been used as one of the routine techniques for the last decades. The implementation of Array Comparative Genomic Hybridization (array-CGH) has enabled the analysis of copy number variants (CNVs) with high resolution. Major cohort studies attribute 11% of patients with unexplained mental retardation to clinically significant CNVs. Here we report the use of array-CGH for the first time in a Greek cohort. A total of 82 children of Greek origin with mean age 4.9 years were analysed in the present study. Patients with visible cytogenetic abnormalities ascertained by standard karyotyping as well as those with subtelomeric abnormalities determined by Multiplex Ligation-dependent Probe Amplification (MLPA) or subtelomeric FISH had been excluded. RESULTS: Fourteen CNVs were detected in the studied patients. In nine patients (11%) the chromosomal aberrations were inherited from one of the parents. One patients showed two duplications, a 550 kb duplication in 3p14.1 inherited from the father and a ~1.1 Mb duplication in (22)(q13.1q13.2) inherited from the mother. Although both parents were phenotypically normal, it cannot be excluded that the dual duplication is causative for the patient's clinical profile including dysmorphic features and severe developmental delay. Furthermore, three de novo clinically significant CNVs were detected (3.7%). There was a ~6 Mb triplication of 18q21.1 in a girl 5 years of age with moderate MR and mild dysmorphic features and a ~4.8 Mb duplication at (10)(q11.1q11.21) in a 2 years old boy with severe MR, multiple congenital anomalies, severe central hypotonia, and ataxia. Finally, in a 3 year old girl with microcephaly and severe hypotonia a deletion in (2)(q31.2q31.3) of about ~3.9 Mb was discovered. All CNVs were confirmed by Fluorescence in situ hybridization (FISH). For the remaining 9 patients the detected CNVs (inherited duplications or deletions of 80 kb to 800 kb in size) were probably not associated with the clinical findings. CONCLUSIONS: Genomic microarrays have within the recent years proven to be a highly useful tool in the investigation of unexplained MR. The cohorts reported so far agree on an around 11% diagnostic yield of clinically significant CNVs in patients with unexplained MR. Various publicly available databases have been created for the interpretation of identified CNVs and parents are analyzed in case a rare CNV is identified in the child. We have conducted a study of Greek patients with unexplained MR and confirmed the high diagnostic value of the previous studies. It is important that the technique becomes available also in less developed countries when the cost of consumables will be reduced. PMID- 21062445 TI - Clinical aspects and cytokine response in severe H1N1 influenza A virus infection. AB - INTRODUCTION: The immune responses in patients with novel A(H1N1) virus infection (nvA(H1N1)) are incompletely characterized. We investigated the profile of Th1 and Th17 mediators and interferon-inducible protein-10 (IP-10) in groups with severe and mild nvA(H1N1) disease and correlated them with clinical aspects. METHODS: Thirty-two patients hospitalized with confirmed nvA(H1N1) infection were enrolled in the study: 21 patients with nvA(H1N1)-acute respiratory distress syndrome (ARDS) and 11 patients with mild disease. One group of 20 patients with bacterial sepsis-ARDS and another group of 15 healthy volunteers were added to compare their cytokine levels with pandemic influenza groups. In the nvA(H1N1) ARDS group, the serum cytokine samples were obtained on admission and 3 days later. The clinical aspects were recorded prospectively. RESULTS: In the nvA(H1N1)-ARDS group, obesity and lymphocytopenia were more common and IP-10, interleukin (IL)-12, IL-15, tumor necrosis factor (TNF)alpha, IL-6, IL-8 and IL-9 were significantly increased versus control. When comparing mild with severe nvA(H1N1) groups, IL-6, IL-8, IL-15 and TNFalpha were significantly higher in the severe group. In nonsurvivors versus survivors, IL-6 and IL-15 were increased on admission and remained higher 3 days later. A positive correlation of IL-6, IL-8 and IL-15 levels with C-reactive protein and with > 5-day interval between symptom onset and admission, and a negative correlation with the PaO(2):FiO(2) ratio, were found in nvA(H1N1) groups. In obese patients with influenza disease, a significant increased level of IL-8 was found. When comparing viral ARDS with bacterial ARDS, the level of IL-8, IL-17 and TNFalpha was significantly higher in bacterial ARDS and IL-12 was increased only in viral ARDS. CONCLUSIONS: In our critically ill patients with novel influenza A(H1N1) virus infection, the hallmarks of the severity of disease were IL-6, IL-15, IL-8 and TNFalpha. These cytokines, except TNFalpha, had a positive correlation with the admission delay and C-reactive protein, and a negative correlation with the PaO(2):FiO(2) ratio. Obese patients with nvA(H1N1) disease have a significant level of IL-8. There are significant differences in the level of cytokines when comparing viral ARDS with bacterial ARDS. PMID- 21062446 TI - Genome-wide investigation reveals high evolutionary rates in annual model plants. AB - BACKGROUND: Rates of molecular evolution vary widely among species. While significant deviations from molecular clock have been found in many taxa, effects of life histories on molecular evolution are not fully understood. In plants, annual/perennial life history traits have long been suspected to influence the evolutionary rates at the molecular level. To date, however, the number of genes investigated on this subject is limited and the conclusions are mixed. To evaluate the possible heterogeneity in evolutionary rates between annual and perennial plants at the genomic level, we investigated 85 nuclear housekeeping genes, 10 non-housekeeping families, and 34 chloroplast genes using the genomic data from model plants including Arabidopsis thaliana and Medicago truncatula for annuals and grape (Vitis vinifera) and popular (Populus trichocarpa) for perennials. RESULTS: According to the cross-comparisons among the four species, 74-82% of the nuclear genes and 71-97% of the chloroplast genes suggested higher rates of molecular evolution in the two annuals than those in the two perennials. The significant heterogeneity in evolutionary rate between annuals and perennials was consistently found both in nonsynonymous sites and synonymous sites. While a linear correlation of evolutionary rates in orthologous genes between species was observed in nonsynonymous sites, the correlation was weak or invisible in synonymous sites. This tendency was clearer in nuclear genes than in chloroplast genes, in which the overall evolutionary rate was small. The slope of the regression line was consistently lower than unity, further confirming the higher evolutionary rate in annuals at the genomic level. CONCLUSIONS: The higher evolutionary rate in annuals than in perennials appears to be a universal phenomenon both in nuclear and chloroplast genomes in the four dicot model plants we investigated. Therefore, such heterogeneity in evolutionary rate should result from factors that have genome-wide influence, most likely those associated with annual/perennial life history. Although we acknowledge current limitations of this kind of study, mainly due to a small sample size available and a distant taxonomic relationship of the model organisms, our results indicate that the genome-wide survey is a promising approach toward further understanding of the mechanism determining the molecular evolutionary rate at the genomic level. PMID- 21062447 TI - Suppression of microRNA-31 increases sensitivity to 5-FU at an early stage, and affects cell migration and invasion in HCT-116 colon cancer cells. AB - BACKGROUND: MicroRNAs (miRNAs) are endogenously expressed noncoding RNAs with important biological and pathological functions. Although several studies have shown that microRNA-31 (miR-31) is obviously up-regulated in colorectal cancer (CRC), there is no study on the functional roles of miR-31 in CRC. METHODS: Anti miRTM miRNA 31 inhibitor (anti-miR-31) is a sequence-specific and chemically modified oligonucleotide to specifically target and knockdown miR-31 molecule. The effect of anti-miR-31 transfection was investigated by real-time PCR. HCT 116p53+/+ and HCT-116p53-/-colon cancer cells were treated by anti-miR-31 with or without 5-fluorouracil (5-FU), cell proliferation was determined by MTT assay; apoptosis was detected by DAPI staining; cell cycle was evaluated by flow cytometry; colony formation, migration and invasion assays were performed to investigate the effect of suppression of miR-31 on the cell lines. RESULTS: Real time PCR results showed that anti-miR-31 was efficiently introduced into the cells and reduced miR-31 levels to 44.1% in HCT-116p53+/+ and 67.8% in HCT-116p53 /-cell line (p = 0.042 and 0.046). MTT results showed that anti-miR-31 alone had no effect on the proliferation of HCT-116p53+/+ or HCT-116p53-/-. However, when combined with 5-FU, anti-miR-31 inhibited the proliferation of the two cell lines as early as 24 h after exposure to 5-FU (p = 0.038 and 0.044). Suppression of miR 31 caused a reduction of the migratory cells by nearly 50% compared with the negative control in both HCT-116p53+/+ and HCT-116p53-/-(p = 0.040 and 0.001). The invasive ability of the cells were increased by 8-fold in HCT-116p53+/+ and 2 fold in HCT-116p53-/- (p = 0.045 and 0.009). Suppression of miR-31 had no effect on cell cycle and colony formation (p > 0.05). CONCLUSIONS: Suppression of miR-31 increases sensitivity to 5-FU at an early stage, and affects cell migration and invasion in HCT-116 colon cancer cells. PMID- 21062449 TI - Surgical treatment of scoliosis in a rare disease: arthrogryposis. AB - BACKGROUND: The reported incidence of scoliosis in arthrogryposis varies from 30% to 67% and, in most cases, the curves progress rapidly and become stiff from early age.The authors report six cases of scoliosis in arthrogryposis to assess the role of surgical treatment. METHODS: Six cases (3 males, 3 females; mean age at surgery 13.2 years) with arthrogryposis multiplex congenita associated with the characteristic amyoplasia were reviewed: they were operated on for scoliosis at the authors' Spine Surgery Department between 1987 and 2008.Surgery was performed using the Harrington-Luque instrumentation (2 cases), the Luque system (1), a hybrid segmental technique with hooks and screws (1) and spinal anchoring with pedicle screws (2). RESULTS: The patients were clinically and radiologically reviewed at a mean follow-up of 4.2 years, +/- 2.7 (range, 1 to 9 years). Three minor postoperative complications were encountered; a long-term pulmonary complication was seen in one case after reintervention and was successfully resolved after 10 days. Surgery was successful in the other 5 cases, where solid arthrodesis was achieved and no significant curve progression was observed at follow-up. CONCLUSIONS: The experience acquired with the present case series leads the authors to assert that prompt action should be taken when treating such aggressive forms of scoliosis. In case of mild spinal deformities in arthrogryposis, brace treatment should be attempted, the evolution of the curves being unpredictable; however, when the curve exceeds 40 degrees and presents with marked hyperkyphosis, hyperlordosis or pelvic obliquity, surgery should not be delayed. PMID- 21062448 TI - Automated left ventricular diastolic function evaluation from phase-contrast cardiovascular magnetic resonance and comparison with Doppler echocardiography. AB - BACKGROUND: Early detection of diastolic dysfunction is crucial for patients with incipient heart failure. Although this evaluation could be performed from phase contrast (PC) cardiovascular magnetic resonance (CMR) data, its usefulness in clinical routine is not yet established, mainly because the interpretation of such data remains mostly based on manual post-processing. Accordingly, our goal was to develop a robust process to automatically estimate velocity and flow rate related diastolic parameters from PC-CMR data and to test the consistency of these parameters against echocardiography as well as their ability to characterize left ventricular (LV) diastolic dysfunction. RESULTS: We studied 35 controls and 18 patients with severe aortic valve stenosis and preserved LV ejection fraction who had PC-CMR and Doppler echocardiography exams on the same day. PC-CMR mitral flow and myocardial velocity data were analyzed using custom software for semi-automated extraction of diastolic parameters. Inter-operator reproducibility of flow pattern segmentation and functional parameters was assessed on a sub-group of 30 subjects. The mean percentage of overlap between the transmitral flow segmentations performed by two independent operators was 99.7 +/- 1.6%, resulting in a small variability (<1.96 +/- 2.95%) in functional parameter measurement. For maximal myocardial longitudinal velocities, the inter operator variability was 4.25 +/- 5.89%. The MR diastolic parameters varied significantly in patients as opposed to controls (p < 0.0002). Both velocity and flow rate diastolic parameters were consistent with echocardiographic values (r > 0.71) and receiver operating characteristic (ROC) analysis revealed their ability to separate patients from controls, with sensitivity > 0.80, specificity > 0.80 and accuracy > 0.85. Slight superiority in terms of correlation with echocardiography (r = 0.81) and accuracy to detect LV abnormalities (sensitivity > 0.83, specificity > 0.91 and accuracy > 0.89) was found for the PC-CMR flow rate related parameters. CONCLUSIONS: A fast and reproducible technique for flow and myocardial PC-CMR data analysis was successfully used on controls and patients to extract consistent velocity-related diastolic parameters, as well as flow rate-related parameters. This technique provides a valuable addition to established CMR tools in the evaluation and the management of patients with diastolic dysfunction. PMID- 21062450 TI - Detection of vascularity in wrist tenosynovitis: power doppler ultrasound compared with contrast-enhanced grey-scale ultrasound. AB - INTRODUCTION: We sought to assess vascularity in wrist tenosynovitis by using power Doppler ultrasound (PDUS) and to compare detection of intra- and peritendinous vascularity with that of contrast-enhanced grey-scale ultrasound (CEUS). METHODS: Twenty-six tendons of 24 patients (nine men, 15 women; mean age +/- SD, 54.4 +/- 11.8 years) with a clinical diagnosis of tenosynovitis were examined with B-mode ultrasonography, PDUS, and CEUS by using a second-generation contrast agent, SonoVue (Bracco Diagnostics, Milan, Italy) and a low-mechanical index ultrasound technique. Thickness of synovitis, extent of vascularized pannus, intensity of peritendinous vascularisation, and detection of intratendinous vessels was incorporated in a 3-score grading system (grade 0 to 2). Interobserver variability was calculated. RESULTS: With CEUS, a significantly greater extent of vascularity could be detected than by using PDUS (P < 0.001). In terms of peri- and intratendinous vessels, CEUS was significantly more sensitive in the detection of vascularization compared with PDUS (P < 0.001). No significant correlation between synovial thickening and extent of vascularity could be found (P = 0.089 to 0.097). Interobserver reliability was calculated to be excellent when evaluating the grading score (kappa = 0.811 to 1.00). CONCLUSIONS: CEUS is a promising tool to detect tendon vascularity with higher sensitivity than PDUS by improved detection of intra- and peritendinous vascularity. PMID- 21062451 TI - Invertebrate neurophylogeny: suggested terms and definitions for a neuroanatomical glossary. AB - BACKGROUND: Invertebrate nervous systems are highly disparate between different taxa. This is reflected in the terminology used to describe them, which is very rich and often confusing. Even very general terms such as 'brain', 'nerve', and 'eye' have been used in various ways in the different animal groups, but no consensus on the exact meaning exists. This impedes our understanding of the architecture of the invertebrate nervous system in general and of evolutionary transformations of nervous system characters between different taxa. RESULTS: We provide a glossary of invertebrate neuroanatomical terms with a precise and consistent terminology, taxon-independent and free of homology assumptions. This terminology is intended to form a basis for new morphological descriptions. A total of 47 terms are defined. Each entry consists of a definition, discouraged terms, and a background/comment section. CONCLUSIONS: The use of our revised neuroanatomical terminology in any new descriptions of the anatomy of invertebrate nervous systems will improve the comparability of this organ system and its substructures between the various taxa, and finally even lead to better and more robust homology hypotheses. PMID- 21062452 TI - Sex-biased transcription enhancement by a 5' tethered Gal4-MOF histone acetyltransferase fusion protein in Drosophila. AB - BACKGROUND: In male Drosophila melanogaster, the male specific lethal (MSL) complex is somehow responsible for a two-fold increase in transcription of most X linked genes, which are enriched for histone H4 acetylated at lysine 16 (H4K16ac). This acetylation requires MOF, a histone acetyltransferase that is a component of the MSL complex. MOF also associates with the non-specific lethal or NSL complex. The MSL complex is bound within active genes on the male X chromosome with a 3' bias. In contrast, the NSL complex is enriched at promoter regions of many autosomal and X-linked genes in both sexes. In this study we have investigated the role of MOF as a transcriptional activator. RESULTS: MOF was fused to the DNA binding domain of Gal4 and targeted to the promoter region of UAS-reporter genes in Drosophila. We found that expression of a UAS-red fluorescent protein (DsRed) reporter gene was strongly induced by Gal4-MOF. However, DsRed RNA levels were about seven times higher in female than male larvae. Immunostaining of polytene chromosomes showed that Gal4-MOF co-localized with MSL1 to many sites on the X chromosome in male but not female nuclei. However, in female nuclei that express MSL2, Gal4-MOF co-localized with MSL1 to many sites on polytene chromosomes but DsRed expression was reduced. Mutation of conserved active site residues in MOF (Glu714 and Cys680) reduced HAT activity in vitro and UAS-DsRed activation in Drosophila. In the presence of Gal4-MOF, H4K16ac levels were enriched over UAS-lacZ and UAS-arm-lacZ reporter genes. The latter utilizes the constitutive promoter from the arm gene to drive lacZ expression. In contrast to the strong induction of UAS-DsRed expression, UAS-arm lacZ expression increased by about 2-fold in both sexes. CONCLUSIONS: Targeting MOF to reporter genes led to transcription enhancement and acetylation of histone H4 at lysine 16. Histone acetyltransferase activity was required for the full transcriptional response. Incorporation of Gal4-MOF into the MSL complex in males led to a lower transcription enhancement of UAS-DsRed but not UAS-arm-lacZ genes. We discuss how association of Gal4-MOF with the MSL or NSL proteins could explain our results. PMID- 21062453 TI - On the artefactual parasitic eubacteria clan in conditioned logdet phylogenies: heterotachy and ortholog identification artefacts as explanations. AB - BACKGROUND: Phylogenetic reconstruction methods based on gene content often place all the parasitic and endosymbiotic eubacteria (parasites for short) together in a clan. Many other lines of evidence point to this parasites clan being an artefact. This artefact could be a consequence of the methods used to construct ortholog databases (due to some unknown bias), the methods used to estimate the phylogeny, or both.We test the idea that the parasites clan is an ortholog identification artefact by analyzing three different ortholog databases (COG, TRIBES, and OFAM), which were constructed using different methods, and are thus unlikely to share the same biases. In each case, we estimate a phylogeny using an improved version of the conditioned logdet distance method. If the parasites clan appears in trees from all three databases, it is unlikely to be an ortholog identification artefact.Accelerated loss of a subset of gene families in parasites (a form of heterotachy) may contribute to the difficulty of estimating a phylogeny from gene content data. We test the idea that heterotachy is the underlying reason for the estimation of an artefactual parasites clan by applying two different mixture models (phylogenetic and non-phylogenetic), in combination with conditioned logdet. In these models, there are two categories of gene families, one of which has accelerated loss in parasites. Distances are estimated separately from each category by conditioned logdet. This should reduce the tendency for tree estimation methods to group the parasites together, if heterotachy is the underlying reason for estimation of the parasites clan. RESULTS: The parasites clan appears in conditioned logdet trees estimated from all three databases. This makes it less likely to be an artefact of database construction. The non-phylogenetic mixture model gives trees without a parasites clan. However, the phylogenetic mixture model still results in a tree with a parasites clan. Thus, it is not entirely clear whether heterotachy is the underlying reason for the estimation of a parasites clan. Simulation studies suggest that the phylogenetic mixture model approach may be unsuccessful because the model of gene family gain and loss it uses does not adequately describe the real data. CONCLUSIONS: The most successful methods for estimating a reliable phylogenetic tree for parasitic and endosymbiotic eubacteria from gene content data are still ad-hoc approaches such as the SHOT distance method. however, the improved conditioned logdet method we developed here may be useful for non parasites and can be accessed at http://www.liv.ac.uk/~cgrbios/cond_logdet.html. PMID- 21062454 TI - A genome-wide association scan on estrogen receptor-negative breast cancer. AB - INTRODUCTION: Breast cancer is a heterogeneous disease and may be characterized on the basis of whether estrogen receptors (ER) are expressed in the tumour cells. ER status of breast cancer is important clinically, and is used both as a prognostic indicator and treatment predictor. In this study, we focused on identifying genetic markers associated with ER-negative breast cancer risk. METHODS: We conducted a genome-wide association analysis of 285,984 single nucleotide polymorphisms (SNPs) genotyped in 617 ER-negative breast cancer cases and 4,583 controls. We also conducted a genome-wide pathway analysis on the discovery dataset using permutation-based tests on pre-defined pathways. The extent of shared polygenic variation between ER-negative and ER-positive breast cancers was assessed by relating risk scores, derived using ER-positive breast cancer samples, to disease state in independent, ER-negative breast cancer cases. RESULTS: Association with ER-negative breast cancer was not validated for any of the five most strongly associated SNPs followed up in independent studies (1,011 ER-negative breast cancer cases, 7,604 controls). However, an excess of small P values for SNPs with known regulatory functions in cancer-related pathways was found (global P = 0.052). We found no evidence to suggest that ER-negative breast cancer shares a polygenic basis to disease with ER-positive breast cancer. CONCLUSIONS: ER-negative breast cancer is a distinct breast cancer subtype that merits independent analyses. Given the clinical importance of this phenotype and the likelihood that genetic effect sizes are small, greater sample sizes and further studies are required to understand the etiology of ER-negative breast cancers. PMID- 21062455 TI - TFPI-2 is a putative tumor suppressor gene frequently inactivated by promoter hypermethylation in nasopharyngeal carcinoma. AB - BACKGROUND: Epigenetic silencing of tumor suppressor genes play important roles in NPC tumorgenesis. Tissue factor pathway inhibitor-2 (TFPI-2), is a protease inhibitor. Recently, TFPI-2 was suggested to be a tumor suppressor gene involved in tumorigenesis and metastasis in some cancers. In this study, we investigated whether TFPI-2 was inactivated epigenetically in nasopharyngeal carcinoma (NPC). METHODS: Transcriptional expression levels of TFPI-2 was evaluated by RT-PCR. Methylation status were investigated by methylation specific PCR and bisulfate genomic sequencing. The role of TFPI-2 as a tumor suppressor gene in NPC was addressed by re-introducing TFPI-2 expression into the NPC cell line CNE2. RESULTS: TFPI-2 mRNA transcription was inactivated in NPC cell lines. TFPI-2 was aberrantly methylated in 66.7% (4/6) NPC cell lines and 88.6% (62/70) of NPC primary tumors, but not in normal nasopharyngeal epithelia. TFPI-2 expression could be restored in NPC cells after demethylation treatment. Ectopic expression of TFPI-2 in NPC cells induced apoptosis and inhibited cell proliferation, colony formation and cell migration. CONCLUSIONS: Epigenetic inactivation of TFPI-2 by promoter hypermethylation is a frequent and tumor specific event in NPC. TFPI-2 might be considering as a putative tumor suppressor gene in NPC. PMID- 21062456 TI - Categorisation of continuous exposure variables revisited. A response to the Hyperglycaemia and Adverse Pregnancy Outcome (HAPO) Study. AB - BACKGROUND: Although the general statistical advice is to keep continuous exposure variables as continuous in statistical analyses, categorisation is still a common approach in medical research. In a recent paper from the Hyperglycaemia and Adverse Pregnancy Outcome (HAPO) Study, categorisation of body mass index (BMI) was used when analysing the effect of BMI on adverse pregnancy outcomes. The lowest category, labelled "underweight", was used as the reference category. METHODS: The present paper gives a summary of reasons for categorisation and methodological drawbacks of this approach. We also discuss the choice of reference category and alternative analyses. We exemplify our arguments by a reanalysis of results from the HAPO paper. RESULTS: Categorisation of continuous exposure data results in loss of power and other methodological challenges. An unfortunate choice of reference category can give additional lack of precision and obscure the interpretation of risk estimates. A highlighted odds ratio (OR) in the HAPO study is the OR for birth weight >90(th) percentile for women in the highest compared to the lowest BMI category ("obese class III" versus "underweight"). This estimate was OR = 4.55 and OR = 3.52, with two different multiple logistic regression models. When using the "normal weight" category as the reference, our corresponding estimates were OR = 2.03 and OR = 1.62, respectively. Moreover, our choice of reference category also gave narrower confidence intervals. SUMMARY: Due to several methodological drawbacks, categorisation should be avoided. Modern statistical analyses should be used to analyse continuous exposure data, and to explore non-linear relations. If continuous data are categorised, special attention must be given to the choice of reference category. PMID- 21062457 TI - Apoptotic markers in protozoan parasites. AB - The execution of the apoptotic death program in metazoans is characterized by a sequence of morphological and biochemical changes that include cell shrinkage, presentation of phosphatidylserine at the cell surface, mitochondrial alterations, chromatin condensation, nuclear fragmentation, membrane blebbing and the formation of apoptotic bodies. Methodologies for measuring apoptosis are based on these markers. Except for membrane blebbing and formation of apoptotic bodies, all other events have been observed in most protozoan parasites undergoing cell death. However, while techniques exist to detect these markers, they are often optimised for metazoan cells and therefore may not pick up subtle differences between the events occurring in unicellular organisms and multi cellular organisms.In this review we discuss the markers most frequently used to analyze cell death in protozoan parasites, paying special attention to changes in cell morphology, mitochondrial activity, chromatin structure and plasma membrane structure/permeability. Regarding classical regulators/executors of apoptosis, we have reviewed the present knowledge of caspase-like and nuclease activities. PMID- 21062458 TI - Effects of muscle fatigue on gait characteristics under single and dual-task conditions in young and older adults. AB - BACKGROUND: Muscle fatigue and dual-task walking (e.g., concurrent performance of a cognitive interference (CI) while walking) represent major fall risk factors in young and older adults. Thus, the objectives of this study were to examine the effects of muscle fatigue on gait characteristics under single and dual-task conditions in young and older adults and to determine the impact of muscle fatigue on dual-task costs while walking. METHODS: Thirty-two young (24.3 +/- 1.4 yrs, n = 16) and old (71.9 +/- 5.5 yrs, n = 16) healthy active adults participated in this study. Fatigue of the knee extensors/flexors was induced by isokinetic contractions. Subjects were tested pre and post fatigue, as well as after a 5 min rest. Tests included the assessment of gait velocity, stride length, and stride length variability during single (walking), and dual (CI+walking) task walking on an instrumented walkway. Dual-task costs while walking were additionally computed. RESULTS: Fatigue resulted in significant decreases in single-task gait velocity and stride length in young adults, and in significant increases in dual-task gait velocity and stride length in older adults. Further, muscle fatigue did not affect dual-task costs during walking in young and older adults. Performance in the CI-task was improved in both age groups post-fatigue. CONCLUSIONS: Strategic and/or physiologic rationale may account for the observed differences in young and older adults. In terms of strategic rationale, older adults may walk faster with longer strides in order to overcome the feeling of fatigue-induced physical discomfort as quickly as possible. Alternatively, older adults may have learned how to compensate for age related and/or fatigue-induced muscle deficits during walking by increasing muscle power of synergistic muscle groups (e.g., hip flexors). Further, a practice and/or learning effect may have occurred from pre to post testing. Physiologic rationale may comprise motor unit remodeling in old age resulting in larger proportions of type I fibres and thus higher fatigue-resistance and/or increased muscle spindle sensitivity following fatigue leading to improved forward propulsion of the body. These findings are preliminary and have to be confirmed by future studies. PMID- 21062459 TI - Linkage analysis of obesity phenotypes in pre- and post-menopausal women from a United States mid-western population. AB - BACKGROUND: Obesity has a strong genetic influence, with some variants showing stronger associations among women than men. Women are also more likely to distribute weight in the abdomen following menopause. We investigated whether genetic loci link with obesity-related phenotypes differently by menopausal status. METHODS: We performed univariate and bivariate linkage analysis for the phenotypes of body mass index (BMI), waist (W) and hip (H) circumferences (WC, HC), and WH ratio (WHR) separately among 172 pre-menopausal and 405 post menopausal women from 90 multigenerational families using a genome scan with 403 microsatellite markers. Bivariate analysis used pair-wise combinations of obesity phenotypes to detect linkage at loci with pleiotropic effects for genetically correlated traits. BMI was adjusted in models of WC, HC and WHR. RESULTS: Pre menopausal women, compared to post-menopausal women, had higher heritability for BMI (h2 = 94% versus h2 = 39%, respectively) and for HC (h2 = 99% versus h2 = 43%, respectively), and lower heritability for WC (h2 = 29% versus h2 = 61%, respectively) and for WHR (h2 = 39% versus h2 = 57%, respectively). Among pre menopausal women, the strongest evidence for linkage was for the combination of BMI and HC traits at 3p26 (bivariate LOD = 3.65) and at 13q13-q14 (bivariate LOD = 3.59). Among post-menopausal women, the highest level of evidence for genetic linkage was for HC at 4p15.3 (univariate LOD = 2.70) and 14q13 (univariate LOD = 2.51). WC was not clearly linked to any locus. CONCLUSIONS: These results support a genetic basis for fat deposition that differs by menopausal status, and suggest that the same loci encode genes that influence general obesity (BMI) and HC, specifically, among pre-menopausal women. However, lower heritability among pre menopausal women for WC and WHR suggests that pre-menopausal waist girth may be influenced to a greater extent by controllable environmental factors than post menopausal waist girth. Possibly, targeted interventions for weight control among pre-menopausal women may prevent or attenuate post-menopausal abdominal weight deposition. PMID- 21062460 TI - Case Study: Does training of private networks of Family Planning clinicians in urban Pakistan affect service utilization? AB - BACKGROUND: To determine whether training of providers participating in franchise clinic networks is associated with increased Family Planning service use among low-income urban families in Pakistan. METHODS: The study uses 2001 survey data consisting of interviews with 1113 clinical and non-clinical providers working in public and private hospitals/clinics. Data analysis excludes non-clinical providers reducing sample size to 822. Variables for the analysis are divided into client volume, and training in family planning. Regression models are used to compute the association between training and service use in franchise versus private non-franchise clinics. RESULTS: In franchise clinic networks, staff are 6.5 times more likely to receive family planning training (P = 0.00) relative to private non-franchises. Service use was significantly associated with training (P = 0.00), franchise affiliation (P = 0.01), providers' years of family planning experience (P = 0.02) and the number of trained staff working at government owned clinics (P = 0.00). In this setting, nurses are significantly less likely to receive training compared to doctors (P = 0.00). CONCLUSIONS: These findings suggest that franchises recruit and train various cadres of health workers and training maybe associated with increased service use through improvement in quality of services. PMID- 21062461 TI - The role of glyceraldehyde 3-phosphate dehydrogenase (GapA-1) in Neisseria meningitidis adherence to human cells. AB - BACKGROUND: Glyceraldehyde 3-phosphate dehydrogenases (GAPDHs) are cytoplasmic glycolytic enzymes, which although lacking identifiable secretion signals, have also been found localized to the surface of several bacteria (and some eukaryotic organisms); where in some cases they have been shown to contribute to the colonization and invasion of host tissues. Neisseria meningitidis is an obligate human nasopharyngeal commensal which can cause life-threatening infections including septicaemia and meningitis. N. meningitidis has two genes, gapA-1 and gapA-2, encoding GAPDH enzymes. GapA-1 has previously been shown to be up regulated on bacterial contact with host epithelial cells and is accessible to antibodies on the surface of capsule-permeabilized meningococcal cells. The aims of this study were: 1) to determine whether GapA-1 was expressed across different strains of N. meningitidis; 2) to determine whether GapA-1 surface accessibility to antibodies was dependent on the presence of capsule; 3) to determine whether GapA-1 can influence the interaction of meningococci and host cells, particularly in the key stages of adhesion and invasion. RESULTS: In this study, expression of GapA-1 was shown to be well conserved across diverse isolates of Neisseria species. Flow cytometry confirmed that GapA-1 could be detected on the cell surface, but only in a siaD-knockout (capsule-deficient) background, suggesting that GapA-1 is inaccessible to antibody in in vitro-grown encapsulated meningococci. The role of GapA-1 in meningococcal pathogenesis was addressed by mutational analysis and functional complementation. Loss of GapA-1 did not affect the growth of the bacterium in vitro. However, a GapA-1 deficient mutant showed a significant reduction in adhesion to human epithelial and endothelial cells compared to the wild-type and complemented mutant. A similar reduction in adhesion levels was also apparent between a siaD-deficient meningococcal strain and an isogenic siaD gapA-1 double mutant. CONCLUSIONS: Our data demonstrates that meningococcal GapA-1 is a constitutively-expressed, highly-conserved surface exposed protein which is antibody-accessible only in the absence of capsule. Mutation of GapA-1 does not affect the in vitro growth rate of N. meningitidis, but significantly affects the ability of the organism to adhere to human epithelial and endothelial cells in a capsule-independent process suggesting a role in the pathogenesis of meningococcal infection. PMID- 21062462 TI - Metastatic canine mammary carcinomas can be identified by a gene expression profile that partly overlaps with human breast cancer profiles. AB - BACKGROUND: Similar to human breast cancer mammary tumors of the female dog are commonly associated with a fatal outcome due to the development of distant metastases. However, the molecular defects leading to metastasis are largely unknown and the value of canine mammary carcinoma as a model for human breast cancer is unclear. In this study, we analyzed the gene expression signatures associated with mammary tumor metastasis and asked for parallels with the human equivalent. METHODS: Messenger RNA expression profiles of twenty-seven lymph node metastasis positive or negative canine mammary carcinomas were established by microarray analysis. Differentially expressed genes were functionally characterized and associated with molecular pathways. The findings were also correlated with published data on human breast cancer. RESULTS: Metastatic canine mammary carcinomas had 1,011 significantly differentially expressed genes when compared to non-metastatic carcinomas. Metastatic carcinomas had a significant up regulation of genes associated with cell cycle regulation, matrix modulation, protein folding and proteasomal degradation whereas cell differentiation genes, growth factor pathway genes and regulators of actin organization were significantly down-regulated. Interestingly, 265 of the 1,011 differentially expressed canine genes are also related to human breast cancer and, vice versa, parts of a human prognostic gene signature were identified in the expression profiles of the metastatic canine tumors. CONCLUSIONS: Metastatic canine mammary carcinomas can be discriminated from non-metastatic carcinomas by their gene expression profiles. More than one third of the differentially expressed genes are also described of relevance for human breast cancer. Many of the differentially expressed genes are linked to functions and pathways which appear to be relevant for the induction and maintenance of metastatic progression and may represent new therapeutic targets. Furthermore, dogs are in some aspects suitable as a translational model for human breast tumors in order to identify prognostic molecular signatures and potential therapeutic targets. PMID- 21062463 TI - Does osteoporosis increase complication risk in surgical fracture treatment? A protocol combining new endpoints for two prospective multicentre open cohort studies. AB - BACKGROUND: With an ever-increasing elderly population, orthopaedic surgeons are faced with treating a high number of fragility fractures. Biomechanical tests have demonstrated the potential role of osteoporosis in the increased risk of fracture fixation complications, yet this has not been sufficiently proven in clinical practice. Based on this knowledge, two clinical studies were designed to investigate the influence of local bone quality on the occurrence of complications in elderly patients with distal radius and proximal humerus fractures treated by open reduction and internal fixation. METHODS/DESIGN: The studies were planned using a prospective multicentre open cohort design and included patients between 50 and 90 years of age. Distal radius and proximal humerus fractures were treated with locking compression 2.4 mm and proximal humerus internal locking plates, respectively. Follow-up examinations were planned for 6 weeks, 3 and 12 months as well as a telephone interview at 6 months. The primary outcome focuses on the occurrence of at least one local bone quality related complication. Local bone quality is determined by measuring bone mineral density and bone mineral content at the contralateral radius. Primary complications are categorised according to predefined factors directly related to the bone/fracture or the implant/surgical technique. Secondary outcomes include the documentation of soft tissue/wound or general/systemic complications, clinical assessment of range of motion, and patient-rated evaluations of upper limb function and quality of life using both objective and subjective measures. DISCUSSION: The prospective multicentre open cohort studies will determine the value of local bone quality as measured by bone mineral density and content, and compare the quality of local bone of patients who experience a complication (cases) following surgery with that of patients who do not (controls). These measurements are novel and objective alternatives to what is currently used. TRIAL REGISTRATION NUMBERS: Clinical Trials.gov NCT01144208 and NCT01143675. PMID- 21062464 TI - Trial Protocol: Using genotype to tailor prescribing of nicotine replacement therapy: a randomised controlled trial assessing impact of communication upon adherence. AB - BACKGROUND: The behavioural impact of pharmacogenomics is untested; informing smokers of genetic test results for responsiveness to smoking cessation medication may increase adherence to this medication. The objective of this trial is to estimate the impact upon adherence to nicotine replacement therapy (NRT) of informing smokers that their oral dose of NRT has been tailored to a DNA analysis. Hypotheses to be tested are as follows: I Adherence to NRT is greater among smokers informed that their oral dose of NRT is tailored to an analysis of DNA (genotype), compared to one tailored to nicotine dependence questionnaire score (phenotype). II Amongst smokers who fail to quit at six months, motivation to make another quit attempt is lower when informed that their oral dose of NRT was tailored to genotype rather than phenotype. METHODS/DESIGN: An open label, parallel groups randomised trial in which 630 adult smokers (smoking 10 or more cigarettes daily) using National Health Service (NHS) stop smoking services in primary care are randomly allocated to one of two groups:i. NRT oral dose tailored by DNA analysis (OPRM1 gene) (genotype), orii. NRT oral dose tailored by nicotine dependence questionnaire score (phenotype)The primary outcome is proportion of prescribed NRT consumed in the first 28 days following an initial quit attempt, with the secondary outcome being motivation to make another quit attempt, amongst smokers not abstinent at six months. Other outcomes include adherence to NRT in the first seven days and biochemically validated smoking abstinence at six months. The primary outcome will be collected on 630 smokers allowing sufficient power to detect a 7.5% difference in mean proportion of NRT consumed using a two-tailed test at the 5% level of significance between groups. The proportion of all NRT consumed in the first four weeks of quitting will be compared between arms using an independent samples t-test and by estimating the 95% confidence interval for observed between-arm difference in mean NRT consumption (Hypothesis I). Motivation to make another quit attempt will be compared between arms in those failing to quit by six months (Hypothesis II). DISCUSSION: This is the first clinical trial evaluating the behavioural impact on adherence of prescribing medication using genetic rather than phenotypic information. Specific issues regarding the choice of design for trials of interventions of this kind are discussed. TRIAL DETAILS: Funder: Medical Research Council (MRC)Grant number: G0500274. ISRCTN: 14352545. Date trial stated: June 2007. Expected end date: December 2009. Expected reporting date: December 2010. PMID- 21062465 TI - Absent cervical spine pedicle and associated congenital spinal abnormalities - a diagnostic trap in a setting of acute trauma: case report. AB - BACKGROUND: Congenital spinal abnormalities can easily be misdiagnosed on plain radiographs. Additional imaging is warranted in doubtful cases, especially in a setting of acute trauma. CASE PRESENTATION: This patient presented at the emergency unit of our university hospital after a motor vehicle accident and was sent to our radiology department for imaging of the cervical spine. Initial clinical examination and plain radiographs of the cervical spine were performed but not conclusive. Additional CT of the neck helped establish the right diagnosis. CONCLUSION: CT as a three-dimensional imaging modality with the possibility of multiplanar reconstructions allows for the exact diagnosis and exclusion of acute traumatic lesions of the cervical spine, especially in cases of doubtful plain radiographs and when congenital spinal abnormalities like absent cervical spine pedicle with associated spina bifida may insinuate severe trauma. PMID- 21062466 TI - Development and psychometric properties of a belief-based Physical Activity Questionnaire for Diabetic Patients (PAQ-DP). AB - BACKGROUND: This study carried out to develop a scale for assessing diabetic patients' perceptions about physical activity and to test its psychometric properties (The Physical Activity Questionnaire for Diabetic Patients-PAQ-DP). METHODS: An item pool extracted from the Theory of Planned Behavior literature was generated. Then an expert panel evaluated the items by assessing content validity index and content validity ratio. Consequently exploratory factor analysis (EFA) was performed to indicate the scale constructs. In addition reliability analyses including internal consistency and test-retest analysis were carried out. RESULTS: In all a sample of 127 women with diabetes participated in the study. Twenty-two items were initially extracted from the literature. A six factor solution (containing 19 items) emerged as a result of an exploratory factor analysis namely: instrumental attitude, subjective norm, perceived behavioral control, affective attitude, self-identity, and intention explaining 60.30% of the variance observed. Additional analyses indicated satisfactory results for internal consistency (Cronbach's alpha ranging from 0.54 to 0.8) and intraclass correlation coefficients (ranging from 0.40 to 0.92). CONCLUSIONS: The Physical Activity Questionnaire for Diabetic Patients (PAQ-DP) is the first instrument that applies the Theory of Planned Behavior in its constructs. The findings indicated that the PAQ-DP is a reliable and valid measure for assessing physical activity perceptions and now is available and can be used in future studies. PMID- 21062467 TI - Effect of the rs2259816 polymorphism in the HNF1A gene on circulating levels of c reactive protein and coronary artery disease (the ludwigshafen risk and cardiovascular health study). AB - BACKGROUND: C-reactive protein is a well established marker of inflammation and has been used to predict future cardiovascular disease. It is still controversial if it plays an active role in the development of cardiovascular disease. Recently, polymorphisms in the gene for HNF1alpha have been linked to the levels of C-reactive protein and coronary artery disease. METHODS: We investigated the association of the rs2259816 polymorphism in the HNF1A gene with the circulating level of C-reactive protein and the hazard of coronary artery disease in the LURIC Study cohort. RESULTS: Compared to CC homozygotes, the level of C-reactive protein was decreased in carriers of at least one A-allele. Each A-allele decreased CRP by approximately 15%. The odds ratio for coronary artery disease was only very slightly increased in carriers of the A-allele and this association did not reach statistical significance. CONCLUSIONS: In the LURIC Study cohort the A-allele of rs2259816 is associated with decreased CRP but not with coronary artery disease. PMID- 21062468 TI - The photosensor protein Ppr of Rhodocista centenaria is linked to the chemotaxis signalling pathway. AB - BACKGROUND: Rhodocista centenaria is a phototrophic alpha-proteobacterium exhibiting a phototactic behaviour visible as colony movement on agar plates directed to red light. As many phototrophic purple bacteria R. centenaria possesses a soluble photoactive yellow protein (Pyp). It exists as a long fusion protein, designated Ppr, consisting of three domains, the Pyp domain, a putative bilin binding domain (Bbd) and a histidine kinase domain (Pph). The Ppr protein is involved in the regulation of polyketide synthesis but it is still unclear, how this is connected to phototaxis and chemotaxis. RESULTS: To elucidate the possible role of Ppr and Pph in the chemotactic network we studied the interaction with chemotactic proteins in vitro as well as in vivo. Matrix assisted coelution experiments were performed to study the possible communication of the different putative binding partners. The kinase domain of the Ppr protein was found to interact with the chemotactic linker protein CheW. The formation of this complex was clearly ATP-dependent. Further results indicated that the Pph histidine kinase domain and CheW may form a complex with the chemotactic kinase CheAY suggesting a role of Ppr in the chemotaxis signalling pathway. In addition, when Ppr or Pph were expressed in Escherichia coli, the chemotactic response of the cells was dramatically affected. CONCLUSIONS: The Ppr protein of Rhodocista centenaria directly interacts with the chemotactic protein CheW. This suggests a role of the Ppr protein in the regulation of the chemotactic response in addition to its role in chalcone synthesis. PMID- 21062469 TI - Perceptions of personal health risks by medical and non-medical workers in a university medical center: a survey study. AB - BACKGROUND: Health care workers (HCWs) are faced with many work-related choices which may depend on how they perceive risk, such as whether or not to comply with safety regulations. Little research has investigated risk perception in medical workers in comparison with non-medical workers and the extent to which risk perception differs in these groups. The current study thus investigates risk perception of medical and non-medical workers to inform and complement future research on safety compliance. The study has implications for the design of intervention programmes to increase the level of compliance of HCWs. METHODS: A survey study was conducted in which questionnaires were distributed to 6380 HCWs. The questionnaire asked for ratings of risk perception for cold, annual influenza, pandemic influenza, cancer, heart attack and food poisoning. Of 2495 returned questionnaires (response rate: 39%), 61.40% were from medical workers (24.1% of these were from physicians, 39.7% from nurses and 36.2% from paramedics) and 38.60% were from non-medical workers. RESULTS: Medical workers gave lower risk perception ratings than did non-medical workers for cancer, but not for other health risks. Within the medical workers, physicians rated the risk of getting a cold as higher, but of having a heart attack as lower than did nurses and paramedics; physicians also rated their risk of getting cancer as lower than did nurses. Perceived risk was higher as a function of age for pandemic influenza, cancer and heart attack, but lower for cold and annual influenza. HCWs who lived with a partner and children rated the risk of getting a cold or annual influenza higher than those who lived alone or with a partner only. Full-time HCWs gave lower ratings for annual influenza than did part-time HCWs. CONCLUSIONS: Different base levels of risk perception between medical and non-medical workers need to be taken into account for successful implementation of safety regulations.Intervention programmes to improve compliance with safety regulations may need to be customized for different groups as a function of how they perceive risk. PMID- 21062470 TI - Retroperitoneal lymph node dissection for residual masses after chemotherapy in nonseminomatous germ cell testicular tumor. AB - BACKGROUND: Retroperitoneal lymph node dissection has been advocated for the management of post-chemotherapy (PC-RPLND) residual masses of non-seminomatous germ cell tumors of the testis (NSGCT). There remains some debate as to the clinical benefit and associated morbidity. Our objective was to report our experience with PC-RPLND in NSGCT. METHODS: We have reviewed the clinical, pathologic and surgical parameters associated with PC-RPLND in a single institution. Between 1994 and 2008, three surgeons operated 73 patients with residual masses after cisplatin-based chemotherapy for a metastatic testicular cancer. Patients needed to have normal postchemotherapy serum tumor markers, no prior surgical attempts to resect retroperitoneal masses and resectable retroperitoneal tumor mass at surgery to be included in this analysis RESULTS: Mean age was 30.4 years old. Fifty-three percent had mixed germ cell tumors. The mean size of retroperitoneal metastasis was 6.3 and 4.0 cm, before and post chemotherapy, respectively. In 56% of patients, the surgeon was able to perform a nerve sparing procedure. The overall complication rate was 27.4% and no patient died due to surgical complications. The pathologic review showed presence of fibrosis/necrosis, teratoma and viable tumor (non-teratoma) in 27 (37.0%), 30 (41.1%) and 16 (21.9%) patients, respectively. The subgroups presenting fibrosis and large tumors were more likely to have a surgical complication and had less nerve sparing procedures. CONCLUSION: PC-RPLND is a relatively safe procedure. The presence of fibrosis and large residual masses are associated with surgical complications and non-nerve-sparing procedure. PMID- 21062471 TI - General hospital staff worries, perceived sufficiency of information and associated psychological distress during the A/H1N1 influenza pandemic. AB - BACKGROUND: Health care workers (HCWs) presented frequent concerns regarding their health and their families' health and high levels of psychological distress during previous disease outbreaks, such as the SARS outbreak, which was associated with social isolation and intentional absenteeism. We aimed to assess HCWs concerns and anxiety, perceived sufficiency of information, and intended behavior during the recent A/H1N1 influenza pandemic and their associations with psychological distress. METHOD: Between September 1st and 30th, 2009, 469 health care workers (HCWs) of a tertiary teaching hospital completed a 20-item questionnaire regarding concerns and worries about the new A/H1N1 influenza pandemic, along with Cassileth's Information Styles Questionnaire (part-I) and the GHQ-28. RESULTS: More than half of the present study's HCWs (56.7%) reported they were worried about the A/H1N1 influenza pandemic, their degree of anxiety being moderately high (median 6/9). The most frequent concern was infection of family and friends and the health consequences of the disease (54.9%). The perceived risk of being infected was considered moderately high (median 6/9). Few HCWs (6.6%) had restricted their social contacts and fewer (3.8%) felt isolated by their family members and friends because of their hospital work, while a low percentage (4.3%) indented to take a leave to avoid infection. However, worry and degree of worry were significantly associated with intended absenteeism (p < 0.0005), restriction of social contacts (p < 0.0005), and psychological distress (p = 0.036). Perceived sufficiency of information about several aspects of the A/H1N1 influenza was moderately high, and the overall information about the A/H1N1 influenza was considered clear (median 7.4/9). Also, perceived sufficiency of information for the prognosis of the infection was significantly independently associated with the degree of worry about the pandemic (p = 0.008). CONCLUSIONS: A significant proportion of HCWs experienced moderately high anxiety about the pandemic, and their degree of worry was an independent correlate of psychological distress. Since perceived sufficiency of information about the A/H1N1 influenza prognosis was associated with reduced degree of worry, hospital managers and consultation-liaison psychiatry services should try to provide for HCWs' need for information, in order to offer favourable working conditions in times of extreme distress, such as the current and future pandemics. PMID- 21062472 TI - Principal-component-based multivariate regression for genetic association studies of metabolic syndrome components. AB - BACKGROUND: Quantitative traits often underlie risk for complex diseases. For example, weight and body mass index (BMI) underlie the human abdominal obesity metabolic syndrome. Many attempts have been made to identify quantitative trait loci (QTL) over the past decade, including association studies. However, a single QTL is often capable of affecting multiple traits, a quality known as gene pleiotropy. Gene pleiotropy may therefore cause a loss of power in association studies focused only on a single trait, whether based on single or multiple markers. RESULTS: We propose using principal-component-based multivariate regression (PCBMR) to test for gene pleiotropy with comprehensive evaluation. This method generates one or more independent canonical variables based on the principal components of original traits and conducts a multivariate regression to test for association with these new variables. Systematic simulation studies have shown that PCBMR has great power. PCBMR-based pleiotropic association studies of abdominal obesity-metabolic syndrome and its possible linkage to chromosomal band 3q27 identified 11 susceptibility genes with significant associations. Whereas some of these genes had been previously reported to be associated with metabolic traits, others had never been identified as metabolism-associated genes. CONCLUSIONS: PCBMR is a computationally efficient and powerful test for gene pleiotropy. Application of PCBMR to abdominal obesity-metabolic syndrome indicated the existence of gene pleiotropy affecting this syndrome. PMID- 21062473 TI - Population genetics of cancer cell clones: possible implications of cancer stem cells. AB - BACKGROUND: The population dynamics of the various clones of cancer cells existing within a tumour is complex and still poorly understood. Cancer cell clones can be conceptualized as sympatric asexual species, and as such, the application of theoretical population genetics as it pertains to asexual species may provide additional insights. RESULTS: The number of generations of tumour cells within a cancer has been estimated at a minimum of 40, but high cancer cell mortality rates suggest that the number of cell generations may actually be in the hundreds. Such a large number of generations would easily allow natural selection to drive clonal evolution assuming that selective advantages of individual clones are within the range reported for free-living animal species. Tumour cell clonal evolution could also be driven by variation in the intrinsic rates of increase of different clones or by genetic drift. In every scenario examined, the presence of cancer stem cells would require lower selection pressure or less variation in intrinsic rates of increase. CONCLUSIONS: The presence of cancer stem cells may result in more rapid clonal evolution. Specific predictions from theoretical population genetics may lead to a greater understanding of this process. PMID- 21062474 TI - Whole genome co-expression analysis of soybean cytochrome P450 genes identifies nodulation-specific P450 monooxygenases. AB - BACKGROUND: Cytochrome P450 monooxygenases (P450s) catalyze oxidation of various substrates using oxygen and NAD(P)H. Plant P450s are involved in the biosynthesis of primary and secondary metabolites performing diverse biological functions. The recent availability of the soybean genome sequence allows us to identify and analyze soybean putative P450s at a genome scale. Co-expression analysis using an available soybean microarray and Illumina sequencing data provides clues for functional annotation of these enzymes. This approach is based on the assumption that genes that have similar expression patterns across a set of conditions may have a functional relationship. RESULTS: We have identified a total number of 332 full-length P450 genes and 378 pseudogenes from the soybean genome. From the full length sequences, 195 genes belong to A-type, which could be further divided into 20 families. The remaining 137 genes belong to non-A type P450s and are classified into 28 families. A total of 178 probe sets were found to correspond to P450 genes on the Affymetrix soybean array. Out of these probe sets, 108 represented single genes. Using the 28 publicly available microarray libraries that contain organ-specific information, some tissue-specific P450s were identified. Similarly, stress responsive soybean P450s were retrieved from 99 microarray soybean libraries. We also utilized Illumina transcriptome sequencing technology to analyze the expressions of all 332 soybean P450 genes. This dataset contains total RNAs isolated from nodules, roots, root tips, leaves, flowers, green pods, apical meristem, mock-inoculated and Bradyrhizobium japonicum infected root hair cells. The tissue-specific expression patterns of these P450 genes were analyzed and the expression of a representative set of genes were confirmed by qRT-PCR. We performed the co-expression analysis on many of the 108 P450 genes on the Affymetrix arrays. First we confirmed that CYP93C5 (an isoflavone synthase gene) is co-expressed with several genes encoding isoflavonoid-related metabolic enzymes. We then focused on nodulation-induced P450s and found that CYP728H1 was co-expressed with the genes involved in phenylpropanoid metabolism. Similarly, CYP736A34 was highly co-expressed with lipoxygenase, lectin and CYP83D1, all of which are involved in root and nodule development. CONCLUSIONS: The genome scale analysis of P450s in soybean reveals many unique features of these important enzymes in this crop although the functions of most of them are largely unknown. Gene co-expression analysis proves to be a useful tool to infer the function of uncharacterized genes. Our work presented here could provide important leads toward functional genomics studies of soybean P450s and their regulatory network through the integration of reverse genetics, biochemistry, and metabolic profiling tools. The identification of nodule-specific P450s and their further exploitation may help us to better understand the intriguing process of soybean and rhizobium interaction. PMID- 21062475 TI - A defect in Delta6 and Delta5 desaturases may be a factor in the initiation and progression of insulin resistance, the metabolic syndrome and ischemic heart disease in South Asians. AB - The high incidence of insulin resistance and the metabolic syndrome in South Asians remains unexplained. I propose that a defect in the activity of Delta6 and Delta5 desaturases and consequent low plasma and tissue concentrations of polyunsaturated fatty acids such as gamma-linolenic acid (GLA), dihomo-gamma linolenic acid (DGLA), arachidonic acid (AA), eicosapentaenoic acid (EPA) and docosahexaenoic acid (DHA) and formation of their anti-inflammatory products prostaglandin E1 (PGE1), prostacyclin (PGI2), PGI3, lipoxins, resolvins, protectins, maresins and nitrolipids could be responsible for the high incidence of insulin resistance, the metabolic syndrome and ischemic heart disease (IHD) in South Asians. This proposal is supported by the observation that South Asian Indians have lower plasma and tissue concentrations of GLA, DGLA, AA, EPA and DHA, the precursors of PGE1, PGI2, PGI3, lipoxins, resolvins, protectins, and nitrolipids, the endogenous molecules that prevent platelet aggregation, vasoconstriction, thrombus formation, leukocyte activation and possess anti inflammatory action and thus, are capable of preventing the development of insulin resistance, atherosclerosis, hypertension, type 2 diabetes mellitus and premature ischemic heart disease. Genetic predisposition, high carbohydrate intake, lack of exercise, tobacco use and low birth weight due to maternal malnutrition suppress the activity of Delta6 and Delta5 desaturases that leads to low plasma and tissue concentrations of polyunsaturated fatty acids and their products. This implies that adequate provision of polyunsaturated fatty acids and co-factors needed for their metabolism, and efforts to enhance the formation of their beneficial metabolites PGE1, PGI2, PGI3, lipoxins, resolvins, protectins, maresins and nitrolipids could form a novel approach in the prevention and management of these diseases in this high-risk population. PMID- 21062476 TI - Validation of epidemiological tools for eczema diagnosis in Brazilian children: the ISAAC's and UK Working Party's criteria. AB - BACKGROUND: Instruments for field diagnosis of eczema are increasingly used, and it is essential to understand specific limitations to make best use of their strengths. Our objective was to assess the validity of ISAAC and UK Working Party criteria for field diagnosis of eczema in children. METHODS: We performed a cohort study in urban Brazil. Parents/guardians of 1,419 children answered ISAAC phase II questionnaire. Children were examined for skin lesions (UKWP protocol). Two dermatologists examined most cases of eczema (according to ISAAC or UKWP), and a sample without eczema. RESULTS: Agreement between repeat questionnaires on the filter question was poor (kappa = 0.4). Agreement between the 2 dermatologists was fair (kappa = 0.6). False positive reports included scabies in 39% of ISAAC cases and 33% of UKWP cases. Sensitivity and PPV were low (ISAAC: 37.1% and 16.1%; UKWP: 28.6% and 23.8%). Specificity and NPV were high (ISAAC: 90.0% and 96.6%; UKWP: 95.3% and 96.2%). One-year prevalence of eczema was 11.3% (ISAAC), 5.9% (UKWP) and 4.9% (adjusted dermatologist diagnosis). Point prevalence of scabies (alone or not) was 43%, 33% and 18%, in eczemas according to ISAAC, to UKWP and to dermatologists. The reasons why children with eczema were not identified by ISAAC or UKWP were wrongly denying dry skin, itchy rash or personal history of atopic diseases. A limitation is that questionnaire was already validated in Brazil, but not field tested in this specific setting. CONCLUSIONS: Studies using UKWP or ISAAC criteria should include a validation arm, to contribute to the understanding of potential limitations of their use in different contexts and to explore solutions. We list specific recommendations. PMID- 21062477 TI - Regulation of PURA gene transcription by three promoters generating distinctly spliced 5-prime leaders: a novel means of fine control over tissue specificity and viral signals. AB - BACKGROUND: Puralpha is an evolutionarily conserved cellular protein participating in processes of DNA replication, transcription, and RNA transport; all involving binding to nucleic acids and altering conformation and physical positioning. The distinct but related roles of Puralpha suggest a need for expression regulated differently depending on intracellular and external signals. RESULTS: Here we report that human PURA (hPURA) transcription is regulated from three distinct and widely-separated transcription start sites (TSS). Each of these TSS is strongly homologous to a similar site in mouse chromosomal DNA. Transcripts from TSS I and II are characterized by the presence of large and overlapping 5'-UTR introns terminated at the same splice receptor site. Transfection of lung carcinoma cells with wild-type or mutated hPURA 5' upstream sequences identifies different regulatory elements. TSS III, located within 80 bp of the translational start codon, is upregulated by E2F1, CAAT and NF-Y binding elements. Transcription at TSS II is downregulated through the presence of adjacent consensus binding elements for interferon regulatory factors (IRFs). Chromatin immunoprecipitation reveals that IRF-3 protein binds hPURA promoter sequences at TSS II in vivo. By co-transfecting hPURA reporter plasmids with expression plasmids for IRF proteins we demonstrate that several IRFs, including IRF-3, down-regulate PURA transcription. Infection of NIH 3T3 cells with mouse cytomegalovirus results in a rapid decrease in levels of mPURA mRNA and Puralpha protein. The viral infection alters the degree of splicing of the 5'-UTR introns of TSS II transcripts. CONCLUSIONS: Results provide evidence for a novel mechanism of transcriptional control by multiple promoters used differently in various tissues and cells. Viral infection alters not only the use of PURA promoters but also the generation of different non-coding RNAs from 5'-UTRs of the resulting transcripts. PMID- 21062478 TI - Measuring change in health status of older adults at the population level: the transition probability model. AB - BACKGROUND: The current demographic transition will lead to increasing demands on health services. However, debate exists as to the role age plays relative to co morbidity in terms of health services utilization. While age has been identified as a critical factor in health services utilization, health services utilization is not simply an outcome of ill health, nor is it an inevitable outcome of aging. Most data on health service utilization studies assess utilization at one point in time, and does not examine transitions in health service utilization. We sought to measure health services utilization and to investigate patterns in the transition of levels of utilization and outcomes associated with different levels of utilization. METHODS: We conducted a population-based retrospective cohort study of all Ontario residents aged 65+ eligible for public healthcare coverage from January 1998-December 2006. The main outcome measure was total number of utilization events. The total is computed by summing, on a per annum basis, the number of family physician visits, specialist visits, Emergency Department visits, drug claims, lab claims, X-rays, CT scans, MRI scans, and inpatient admissions. Three categories of utilization were created: low, moderate, and high. RESULTS: There is heterogeneity in health services utilization across the late lifespan. Utilization increased consistently in the 9-year study period. The probability of remaining at the high utilization category when the person was in the high category the previous year was more than 0.70 for both males and females and for all age groups. Overall healthcare utilization increases more rapidly among the high users compared to the low users. There was negligible probability for moving from high to low utilization category. Probability of death increased exponentially as age increased. Older adults in the low utilization category had the lowest probability of death. The number of male nonagenarians increased more rapidly than female nonagenarians. CONCLUSION: There are measurable and identifiable differences in the patterns of health services utilization among older adults. This data will permit clinicians and policy makers to tailor interventions appropriate to the risk class of patients. PMID- 21062479 TI - Estimating alcohol-related premature mortality in San Francisco: use of population-attributable fractions from the global burden of disease study. AB - BACKGROUND: In recent years, national and global mortality data have been characterized in terms of well-established risk factors. In this regard, alcohol consumption has been called the third leading "actual cause of death" (modifiable behavioral risk factor) in the United States, after tobacco use and the combination of poor diet and physical inactivity. Globally and in various regions of the world, alcohol use has been established as a leading contributor to the overall burden of disease and as a major determinant of health disparities, but, to our knowledge, no one has characterized alcohol-related harm in such broad terms at the local level. We asked how alcohol-related premature mortality in San Francisco, measured in years of life lost (YLLs), compares with other well-known causes of premature mortality, such as ischemic heart disease or HIV/AIDS. METHODS: We applied sex- and cause-specific population-attributable fractions (PAFs) of years of life lost (YLLs) from the Global Burden of Disease Study to 17 comparable outcomes among San Francisco males and females during 2004-2007. We did this in three ways: Method 1 assumed that all San Franciscans drink like populations in developed economies. These estimates were limited to alcohol related harm. Method 2 modified these estimates by including several beneficial effects. Method 3 assumed that Latino and Asian San Franciscans drink alcohol like populations in the global regions related to their ethnicity. RESULTS: By any of these three methods, alcohol-related premature mortality accounts for roughly a tenth of all YLLs among males. Alcohol-related YLLs among males are comparable to YLLs for leading causes such as ischemic heart disease and HIV/AIDS, in some instances exceeding them. Latino and black males bear a disproportionate burden of harm. Among females, for whom estimates differed more by method and were smaller than those for males, alcohol-related YLLs are comparable to leading causes which rank somewhere between fifth and fourteenth. CONCLUSIONS: Alcohol consumption is a major contributor to premature mortality in San Francisco, especially among males. Interventions to avert alcohol-related harm in San Francisco should be taken at the population level and deserve the same attention that is given to other major risk factors, such as smoking or obesity. PMID- 21062480 TI - Prevalence and clustering of metabolic risk factors for type 2 diabetes among Chinese adults in Shanghai, China. AB - BACKGROUND: Type 2 diabetes is becoming an epidemic in China. To evaluate the prevalence, clustering of metabolic risk factors and their impact on type 2 diabetes, we conducted a population-based study in Shanghai, China's largest metropolitan area. METHODS: From 2006 to 2007, 2,113 type 2 diabetes cases and 2,458 comparable controls of adults aged 40 to 79 years were enrolled. Demographic, lifestyle, and dietary factors were assessed via standardized questionnaires. Plasma, red and white blood cells were collected and stored for future studies. Anthropometric indices and biochemical intermediates (including blood pressure, fasting glucose, glycosylated hemoglobin, and blood lipids) were measured. The prevalence of metabolic syndrome were also compared following two criteria recommended by the Chinese Diabetes Society (CDS, 2004) and the National Cholesterol Education Program's Adult Treatment Panel III (ATP III, 2002). RESULTS: Prevalence of metabolic syndrome (62% vs. 15% using CDS criteria) and its individual components, including obesity (51% vs. 42%), hypertension (54% vs. 41%), hypertriglyceridemia (42% vs. 32%), and low high-density lipoprotein cholesterol (HDL) levels (36% vs. 25%) were higher in diabetes cases than controls. Regardless of criteria used, those with impaired fasting glucose (IFG) had similarly high prevalence of metabolic syndrome as did diabetes cases. In a multiple logistic regression model adjusted for demographics and lifestyle risk factors, the odds ratios of diabetes (95% CI) were 1.23 (1.04-1.45) for overweight (28 >= BMI >= 24), 1.81 (1.45-2.25) for obesity (BMI > 28), 1.53 (1.30 1.80) for central obesity (waist circumference > 80 cm for woman or waist circumference > 85 cm for man), 1.36 (1.17-1.59) for hypertension (sbp/dbp >= 140/90 mmHg), 1.55 (1.32-1.82) for high triglycerides (triglycerides > 1.70 mmol/l) and 1.52 (1.23-1.79) for low HDL-C (HDL-C < 1.04 mmol/L). CONCLUSIONS: These data indicate that multiple metabolic risk factors--individually or jointly -were more prevalent in diabetes patients than in controls. Further research will examine hypotheses concerning the high prevalence of IFG, family history, and central obesity, aiding development of multifaceted preventive strategies specific to this population. PMID- 21062481 TI - Specific immunotherapy by the sublingual route for respiratory allergy. AB - Specific immunotherapy is the only treatment able to act on the causes and not only on the symptoms of respiratory allergy. Sublingual immunotherapy (SLIT) was introduced as an option to subcutaneous immunotherapy (SCIT), the clinical effectiveness of which is partly counterbalanced by the issue of adverse systemic reactions, which occur at a frequency of about 0.2% of injections and 2-5% of the patients and may also be life-threatening. A large number of trials, globally evaluated by several meta-analyses, demonstrated that SLIT is an effective and safe treatment for allergic rhinitis and allergic asthma, severe reactions being extremely rare. The application of SLIT is favored by a good compliance, higher than that reported for SCIT, in which the injections are a major factor for noncompliance because of inconvenience, and by its cost-effectiveness. In fact, a number of studies showed that SLIT may be very beneficial to the healthcare system, especially when its effectiveness persists after treatment withdrawal because of the induced immunologic changes. PMID- 21062482 TI - Gene expression profiling identifies inflammation and angiogenesis as distinguishing features of canine hemangiosarcoma. AB - BACKGROUND: The etiology of hemangiosarcoma remains incompletely understood. Its common occurrence in dogs suggests predisposing factors favor its development in this species. These factors could represent a constellation of heritable characteristics that promote transformation events and/or facilitate the establishment of a microenvironment that is conducive for survival of malignant blood vessel-forming cells. The hypothesis for this study was that characteristic molecular features distinguish hemangiosarcoma from non-malignant endothelial cells, and that such features are informative for the etiology of this disease. METHODS: We first investigated mutations of VHL and Ras family genes that might drive hemangiosarcoma by sequencing tumor DNA and mRNA (cDNA). Protein expression was examined using immunostaining. Next, we evaluated genome-wide gene expression profiling using the Affymetrix Canine 2.0 platform as a global approach to test the hypothesis. Data were evaluated using routine bioinformatics and validation was done using quantitative real time RT-PCR. RESULTS: Each of 10 tumor and four non-tumor samples analyzed had wild type sequences for these genes. At the genome wide level, hemangiosarcoma cells clustered separately from non-malignant endothelial cells based on a robust signature that included genes involved in inflammation, angiogenesis, adhesion, invasion, metabolism, cell cycle, signaling, and patterning. This signature did not simply reflect a cancer associated angiogenic phenotype, as it also distinguished hemangiosarcoma from non-endothelial, moderately to highly angiogenic bone marrow-derived tumors (lymphoma, leukemia, osteosarcoma). CONCLUSIONS: The data show that inflammation and angiogenesis are important processes in the pathogenesis of vascular tumors, but a definitive ontogeny of the cells that give rise to these tumors remains to be established. The data do not yet distinguish whether functional or ontogenetic plasticity creates this phenotype, although they suggest that cells which give rise to hemangiosarcoma modulate their microenvironment to promote tumor growth and survival. We propose that the frequent occurrence of canine hemangiosarcoma in defined dog breeds, as well as its similarity to homologous tumors in humans, offers unique models to solve the dilemma of stem cell plasticity and whether angiogenic endothelial cells and hematopoietic cells originate from a single cell or from distinct progenitor cells. PMID- 21062483 TI - Antibody response to a sterile filtered PPD tuberculin in M. bovis infected and M. bovis sensitized cattle. AB - BACKGROUND: Bovine tuberculosis, caused by Mycobacterium bovis, afflicts approximately 50 million cattle worldwide and is detected by the tuberculin skin test (TST). While it has long been recognized that purified protein derivative (PPD) tuberculin is composed of a mixture of M. bovis derived protein components, little is known about the quality, relative quantity and identity of the proteins that make up PPD tuberculin. We manufactured a sterile filtered PPD tuberculin (SF-PPD) from a nine-week-old M. bovis culture supernatant in order to characterise the culture filtrate proteins (CFP) which make up M. bovis PPD tuberculin and to compare the antibody response of M. bovis infected versus M. bovis sensitized cattle. RESULTS: SF-PPD resolved into approximately 200 discrete spots using two-dimensional polyacrylamide gel electrophoresis (2-DE) while fewer than 65 spots could be discerned from 2-DE gels of tuberculin derived from autoclaved culture supernatant. Two dimensional Western blot analyses indicated that sera from M. bovis sensitized cattle recognized additional SF-PPD antigens as compared to M. bovis infected cattle at seven weeks post infection/sensitization. However, application of a comparative tuberculin skin test resulted in an antibody boosting response to the same set of M. bovis CFPs in both the M. bovis infected and M. bovis sensitized cattle. CONCLUSIONS: We concluded that it is the heat sterilization of the M. bovis CFPs that causes severe structural changes to the M. bovis proteins. This work suggests that M. bovis infected cattle and cattle artificially sensitized to M. bovis with an injection of heat killed cells exhibit similar antibody responses to M. bovis antigens. PMID- 21062484 TI - Associations between VO2max and vitality in older workers: a cross-sectional study. AB - BACKGROUND: To prevent early exit from work, it is important to study which factors contribute to healthy ageing. One concept that is assumed to be closely related to, and therefore may influence healthy ageing, is vitality. Vitality consists of both a mental and a physical component, and is characterised by a perceived high energy level, decreased feelings of fatigue, and feeling fit. Since VO2max gives an indication of one's aerobic fitness, which can be improved by increased levels of physical activity, and because feeling fit is one of the main characteristics of vitality, it is hypothesised that VO2max is related to vitality. Therefore, the aim of this study was to investigate the associations between VO2max and vitality. METHODS: In 427 older workers (aged 45 + years) participating in the Vital@Work study, VO2max was estimated at baseline using the 2-km UKK walk test. Vitality was measured by both the UWES Vitality Scale and the RAND-36 Vitality Scale. Associations were analysed using linear regression analyses. RESULTS: The linear regression models, adjusted for age, showed a significant association between VO2max and vitality measured with the RAND-36 Vitality Scale (beta = 0.446; 95% CI: 0.220-0.673). There was no significant association between VO2max and vitality measured with the UWES (beta = -0.006; 95% CI:-0.017 - 0.006), after adjusting for age, gender and chronic disease status. CONCLUSIONS: VO2max was associated with a general measure of vitality (measured with the RAND-36 Vitality Scale), but not with occupational health related vitality (measured with the UWES Vitality Scale). The idea that physical exercise can be used as an effective tool for improving vitality was supported in this study. TRIAL REGISTRATION: NTR1240. PMID- 21062485 TI - Efficient assembly of very short oligonucleotides using T4 DNA Ligase. AB - BACKGROUND: In principle, a pre-constructed library of all possible short oligonucleotides could be used to construct many distinct gene sequences. In order to assess the feasibility of such an approach, we characterized T4 DNA Ligase activity on short oligonucleotide substrates and defined conditions suitable for assembly of a plurality of oligonucleotides. FINDINGS: Ligation by T4 DNA Ligase was found to be dependent on the formation of a double stranded DNA duplex of at least five base pairs surrounding the site of ligation. However, ligations could be performed effectively with overhangs smaller than five base pairs and oligonucleotides as small as octamers, in the presence of a second, complementary oligonucleotide. We demonstrate the feasibility of simultaneous oligonucleotide phosphorylation and ligation and, as a proof of principle for DNA synthesis through the assembly of short oligonucleotides, we performed a hierarchical ligation procedure whereby octamers were combined to construct a target 128-bp segment of the beta-actin gene. CONCLUSIONS: Oligonucleotides as short as 8 nucleotides can be efficiently assembled using T4 DNA Ligase. Thus, the construction of synthetic genes, without the need for custom oligonucleotide synthesis, appears feasible. PMID- 21062486 TI - Prospective study of avian influenza transmission to humans in Egypt. AB - BACKGROUND: The highly pathogenic avian influenza (HPAI) H5N1 virus remains a public health threat and continues to cause outbreaks among poultry as well as human infections. Since its appearance, the virus has spread to numerous geographic areas and is now considered endemic in Egypt and other countries. Most studies on human H5N1 cases were conducted to investigate outbreak situations and were not designed to address fundamental questions about the epidemiology of human infection with H5N1 viruses. Our objective for this study is to answer these questions by estimating the prevalence and incidence rates of human cases and determine associated risk and protective factors in areas where H5N1 viruses are endemic. METHODS/DESIGN: We designed a 3-year prospective cohort study of 1000 individuals of various exposure levels to poultry in Egypt. At onset, we will collect sera to estimate baseline antibody titers against AI viruses H4-H16. Two follow-up visits are scheduled at 1-year intervals following initial enrollment. At follow-up, we will also collect sera to measure changes in antibody titers over time. Thus, annual prevalence rates as well as incidence rates of infection will be calculated. At each visit, exposure and other data will be collected using a specifically tailored questionnaire. This data will be used to measure risk and protective factors associated with infection. Subjects will be asked to contact the study team any time they have influenza-like illness (ILI). In this case, the study team will verify infection by rapid influenza A test and obtain swabs from the subject's contacts to isolate and characterize viruses causing acute infection. DISCUSSION: Epidemiologic studies at the influenza human-animal interface are rare, hence many questions concerning transmission, severity, and extent of infection at the population level remain unanswered. We believe that our study will help tackle and clarify some of these issues. PMID- 21062487 TI - Autotaxin and lysophosphatidic acid1 receptor-mediated demyelination of dorsal root fibers by sciatic nerve injury and intrathecal lysophosphatidylcholine. AB - BACKGROUND: Although neuropathic pain is frequently observed in demyelinating diseases such as Guillain-Barre syndrome and multiple sclerosis, the molecular basis for the relationship between demyelination and neuropathic pain behaviors is poorly understood. Previously, we found that lysophosphatidic acid receptor (LPA1) signaling initiates sciatic nerve injury-induced neuropathic pain and demyelination. RESULTS: In the present study, we have demonstrated that sciatic nerve injury induces marked demyelination accompanied by myelin-associated glycoprotein (MAG) down-regulation and damage of Schwann cell partitioning of C fiber-containing Remak bundles in the sciatic nerve and dorsal root, but not in the spinal nerve. Demyelination, MAG down-regulation and Remak bundle damage in the dorsal root were abolished in LPA1 receptor-deficient (Lpar1-/-) mice, but these alterations were not observed in sciatic nerve. However, LPA-induced demyelination in ex vivo experiments was observed in the sciatic nerve, spinal nerve and dorsal root, all which express LPA1 transcript and protein. Nerve injury-induced dorsal root demyelination was markedly attenuated in mice heterozygous for autotaxin (atx+/-), which converts lysophosphatidylcholine (LPC) to LPA. Although the addition of LPC to ex vivo cultures of dorsal root fibers in the presence of recombinant ATX caused potent demyelination, it had no significant effect in the absence of ATX. On the other hand, intrathecal injection of LPC caused potent dorsal root demyelination, which was markedly attenuated or abolished in atx+/- or Lpar1-/- mice. CONCLUSIONS: These results suggest that LPA, which is converted from LPC by ATX, activates LPA1 receptors and induces dorsal root demyelination following nerve injury, which causes neuropathic pain. PMID- 21062488 TI - Short term effects of a low-carbohydrate diet in overweight and obese subjects with low HDL-C levels. AB - BACKGROUND: The aim of this study was to evaluate short-term effects of a low carbohydrate diet in overweight and obese subjects with low HDL-C levels. METHODS: Overweight (BMI between 25-30 kg/m2) or obese (BMI over 30 kg/m2) subjects with low HDL-C levels (men with HDL-C <1.03, women <1.29 mmol/l) were invited to the study. A 1400 kcal 75-gram carbohydrate (CHO) diet was given to women and an 1800 kcal 100-gram CHO diet was given to men for four weeks. The distribution of daily energy of the prescribed diet was 21-22% from CHO, 26-29% from protein and 49-53% from fat. Subjects completed a three-day dietary intake record before each visit. Anthropometric indices, body fat ratio, blood lipids, glucose and insulin were measured. Baseline and week-four results were compared with a Wilcoxon signed ranks test. RESULTS: Twenty-five women and 18 men participated. Basal median LDL-C level of men was 3.11 and basal median LDL-C level of women was 3.00 mmol/l. After four weeks of a low-carbohydrate diet, the median energy intake decreased from 1901 to 1307 kcal/day, daily energy from carbohydrate from 55% to 33%, body weight from 87.7 to 83.0 kg and HDL-C increased from 0.83 to 0.96 mmol/l in men (p < 0.002, for all). After four weeks of a low-carbohydrate diet, the median energy intake tended to decrease (from 1463 to 1243 kcal, p = 0.052), daily energy from carbohydrate decreased from 53% to 30% (p < 0.001) and body weight decreased from 73.2 to 70.8 kg (p < 0.001) in women, but HDL-C did not significantly change (from 1.03 to 1.01 mmol/l, p = 0.165). There were significant decreases in body mass index, waist circumference, body fat ratio, systolic blood pressure, total cholesterol, triglyceride and insulin levels in all subjects. CONCLUSIONS: HDL-C levels increased significantly with energy restriction, carbohydrate restriction and weight loss in men. HDL-C levels didn't change in women in whom there was no significant energy restriction but a significant carbohydrate restriction and a relatively small but significant weight loss. Our results suggest that both energy and carbohydrate restriction should be considered in overweight and obese subjects with low HDL-C levels, especially when LDL-C levels are not elevated. PMID- 21062489 TI - A three-phase in-vitro system for studying Pseudomonas aeruginosa adhesion and biofilm formation upon hydrogel contact lenses. AB - BACKGROUND: Pseudomonas aeruginosa is commonly associated with contact lens (CL) related eye infections, for which bacterial adhesion and biofilm formation upon hydrogel CLs is a specific risk factor. Whilst P. aeruginosa has been widely used as a model organism for initial biofilm formation on CLs, in-vitro models that closely reproduce in-vivo conditions have rarely been presented. RESULTS: In the current investigation, a novel in-vitro biofilm model for studying the adherence of P. aeruginosa to hydrogel CLs was established. Nutritional and interfacial conditions similar to those in the eye of a CL wearer were created through the involvement of a solid:liquid and a solid:air interface, shear forces and a complex artificial tear fluid. Bioburdens varied depending on the CL material and biofilm maturation occurred after 72 h incubation. Whilst a range of biofilm morphologies were visualised including dispersed and adherent bacterial cells, aggregates and colonies embedded in extracellular polymer substances (EPS), EPS fibres, mushroom-like formations, and crystalline structures, a compact and heterogeneous biofilm morphology predominated on all CL materials. CONCLUSIONS: In order to better understand the process of biofilm formation on CLs and to test the efficacy of CL care solutions, representative in-vitro biofilm models are required. Here, we present a three-phase biofilm model that simulates the environment in the eye of a CL wearer and thus generates biofilms which resemble those commonly observed in-situ. PMID- 21062490 TI - Shedding of soluble glycoprotein 1 detected during acute Lassa virus infection in human subjects. AB - BACKGROUND: Lassa hemorrhagic fever (LHF) is a neglected tropical disease with significant impact on the health care system, society, and economy of Western and Central African nations where it is endemic. With a high rate of infection that may lead to morbidity and mortality, understanding how the virus interacts with the host's immune system is of great importance for generating vaccines and therapeutics. Previous work by our group identified a soluble isoform of the Lassa virus (LASV) GP1 (sGP1) in vitro resulting from the expression of the glycoprotein complex (GPC) gene [1, 2]. Though no work has directly been done to demonstrate the function of this soluble isoform in arenaviral infections, evidence points to immunomodulatory effects against the host's immune system mediated by a secreted glycoprotein component in filoviruses, another class of hemorrhagic fever-causing viruses. A significant fraction of shed glycoprotein isoforms during viral infection and biogenesis may attenuate the host's inflammatory response, thereby enhancing viral replication and tissue damage. Such shed glycoprotein mediated effects were previously reported for Ebola virus (EBOV), a filovirus that also causes hemorrhagic fever with nearly 90 percent fatality rates [3 - 5]. The identification of an analogous phenomenon in vivo could establish a new correlate of LHF infection leading to the development of sensitive diagnostics targeting the earliest molecular events of the disease. Additionally, the reversal of potentially untoward immunomodulatory functions mediated by sGP1 could potentiate the development of novel therapeutic intervention. To this end, we investigated the presence of sGP1 in the serum of suspected LASV patients admitted to the Kenema Government Hospital (KGH) Lassa Fever Ward (LFW), in Kenema, Sierra Leone that tested positive for viral antigen or displayed classical signs of Lassa fever. RESULTS: It is reasonable to expect that a narrow window exists for detection of sGP1 as the sole protein shed during early arenaviral biogenesis. This phenomenon was clearly distinguishable from virion-associated GP1 only prior to the emergence of de novo viral particles. Despite this restricted time frame, in 2/46 suspected cases in two studies performed in late 2009 and early 2010, soluble glycoprotein component shedding was identified. Differential detection of viral antigens GP1, GP2, and NP by western blot yielded five different scenarios: whole LASV virions (GP1, GP2, NP; i.e. active viremia), different combinations of these three proteins, sGP1 only, NP only, and absence of all three proteins. Four additional samples showed inconclusive evidence for sGP1 shedding due to lack of detection of GP2 and NP in western blot; however, a sensitive LASV NP antigen capture ELISA generated marginally positive signals. CONCLUSIONS: During a narrow window following active infection with LASV, soluble GP1 can be detected in patient sera. This phenomenon parallels other VHF infection profiles, with the actual role of a soluble viral glycoprotein component in vivo remaining largely speculative. The expenditure of energy and cellular resources toward secretion of a critical protein during viral biogenesis without apparent specific function requires further investigation. Future studies will be aimed at systematically identifying the role of LASV sGP1 in the infection process and outcome in vitro and in vivo. PMID- 21062491 TI - Cardiac resynchronization therapy guided by cardiovascular magnetic resonance. AB - Cardiac resynchronization therapy (CRT) is an established treatment for patients with symptomatic heart failure, severely impaired left ventricular (LV) systolic dysfunction and a wide (> 120 ms) complex. As with any other treatment, the response to CRT is variable. The degree of pre-implant mechanical dyssynchrony, scar burden and scar localization to the vicinity of the LV pacing stimulus are known to influence response and outcome. In addition to its recognized role in the assessment of LV structure and function as well as myocardial scar, cardiovascular magnetic resonance (CMR) can be used to quantify global and regional LV dyssynchrony. This review focuses on the role of CMR in the assessment of patients undergoing CRT, with emphasis on risk stratification and LV lead deployment. PMID- 21062492 TI - IL-17A is increased in the serum and in spinal cord CD8 and mast cells of ALS patients. AB - The contribution of inflammation to neurodegenerative diseases is increasingly recognized, but the role of inflammation in sporadic amyotrophic lateral sclerosis (sALS) is not well understood and no animal model is available. We used enzyme-linked immunosorbent assays (ELISAs) to measure the cytokine interleukin 17A (IL-17A) in the serum of ALS patients (n = 32; 28 sporadic ALS (sALS) and 4 familial ALS (fALS)) and control subjects (n = 14; 10 healthy subjects and 4 with autoimmune disorders). IL-17A serum concentrations were 5767 +/- 2700 pg/ml (mean +/- SEM) in sALS patients and 937 +/- 927 pg/ml in fALS patients in comparison to 7 +/- 2 pg/ml in control subjects without autoimmune disorders (p = 0.008 ALS patients vs. control subjects by Mann-Whitney test). Sixty-four percent of patients and no control subjects had IL-17A serum concentrations > 50 pg/ml (p = 0.003 ALS patients vs. healthy subjects by Fisher's exact test). The spinal cords of sALS (n = 8), but not control subjects (n = 4), were infiltrated by interleukin-1beta- (IL-1beta-), and tumor necrosis factor-alpha-positive macrophages (co-localizing with neurons), IL-17A-positive CD8 cells, and IL-17A positive mast cells. Mononuclear cells treated with aggregated forms of wild type superoxide dismutase-1 (SOD-1) showed induction of the cytokines IL-1beta, interleukin-6 (IL-6), and interleukin-23 (IL-23) that may be responsible for induction of IL-17A. In a microarray analysis of 28,869 genes, stimulation of peripheral blood mononuclear cells by mutant superoxide dismutase-1 induced four fold higher transcripts of interleukin-1alpha (IL-1alpha), IL-6, CCL20, matrix metallopeptidase 1, and tissue factor pathway inhibitor 2 in mononuclear cells of patients as compared to controls, whereas the anti-inflammatory cytokine interleukin-10 (IL-10) was increased in mononuclear cells of control subjects. Aggregated wild type SOD-1 in sALS neurons could induce in mononuclear cells the cytokines inducing chronic inflammation in sALS spinal cord, in particular IL-6 and IL-17A, damaging neurons. Immune modulation of chronic inflammation may be a new approach to sALS. PMID- 21062493 TI - Use of a bovine genome array to identify new biological pathways for beef marbling in Hanwoo (Korean Cattle). AB - BACKGROUND: Marbling (intramuscular fat) is a valuable trait that impacts on meat quality and an important factor determining price of beef in the Korean beef market. Animals that are destined for this high marbling market are fed a high concentrate ration for approximately 30 months in the Korean finishing farms. However, this feeding strategy leads to inefficiencies and excessive fat production. This study aimed to identify candidate genes and pathways associated with intramuscular fat deposition on highly divergent marbling phenotypes in adult Hanwoo cattle. RESULTS: Bovine genome array analysis was conducted to detect differentially expressed genes (DEGs) in m. longissimus with divergent marbling phenotype (marbling score 2 to 7). Three data-processing methods (MAS5.0, GCRMA and RMA) were used to test for differential expression (DE). Statistical analysis identified 21 significant transcripts from at least two data processing methods (P < 0.01). All 21 differentially expressed genes were validated by real-time PCR. Results showed a high concordance in the gene expression fold change between the microarrays and the real time PCR data. Gene Ontology (GO) and pathway analysis demonstrated that some genes (ADAMTS4, CYP51A and SQLE) over expressed in high marbled animals are involved in a protein catabolic process and a cholesterol biosynthesis process. In addition, pathway analysis also revealed that ADAMTS4 is activated by three regulators (IL-17A, TNFalpha and TGFbeta1). QRT-PCR was used to investigate gene expression of these regulators in muscle with divergent intramuscular fat contents. The results demonstrate that ADAMTS4 and TGFbeta1 are associated with increasing marbling fat. An ADAMTS4/TGFbeta1 pathway seems to be associated with the phenotypic differences between high and low marbled groups. CONCLUSIONS: Marbling differences are possibly a function of complex signaling pathway interactions between muscle and fat. These results suggest that ADAMTS4, which is involved in connective tissue degradation, could play a role in an important biological pathway for building up marbling in cattle. Moreover, ADAMTS4 and TGFbeta1could potentially be used as an early biological marker for marbling fat content in the early stages of growth. PMID- 21062494 TI - Mycoplasma hyorhinis infection in gastric carcinoma and its effects on the malignant phenotypes of gastric cancer cells. AB - BACKGROUND: Mycoplasma hyorhinis infection has been postulated to play a role in the development of several types of cancer, but the direct evidence and mechanism remained to be determined. METHODS: Immunohistochemistry assay and nested polymerase-chain reaction (PCR) were performed to examine the mycoplasma hyorhinis infection in gastric cancer tissues. Statistical analysis was used to check the association between mycoplasma infection and clinicopathologic parameters. Transwell chamber assay and metastasis assay were used to evaluate mycoplasma hyorhinis' effects on metastasis in vitro and in vivo. Mycoplasma hyorhinis-induced extracellular signal-regulated kinase (ERK) and epidermal growth factor receptor (EGFR) activation were investigated by Western blot. RESULTS: Mycoplasma hyorhinis infection in gastric cancer tissues was revealed and statistical analysis indicated a significant association between mycoplasma infections and lymph node metastasis, Lauren's Classification, TNM stage, and age of the patients. Mycoplasma hyorhinis promoted tumor cell migration, invasion and metastasis in vitro and in vivo, which was possibly associated with the enhanced phosphorylation of EGFR and ERK1/2. The antibody against p37 protein of Mycoplasma hyorhinis could inhibit the migration of the infected cells. CONCLUSIONS: The infection of mycoplasma hyorhinis may contribute to the development of gastric cancer and Mycoplasma hyorhinis-induced malignant phenotypes were possibly mediated by p37. PMID- 21062496 TI - Adverse psychosocial working conditions and minor psychiatric disorders among bank workers. AB - BACKGROUND: In most countries, the financial service sector has undergone great organizational changes in the past decades, with potential negative impact on bank workers' mental health. The aim of this paper is to estimate the prevalence of minor psychiatric disorders (MPD) among Brazilian bank workers and to investigate whether they are associated with an adverse psychosocial working environment. METHODS: A cross-sectional study of a random sample of 2,500 workers in a Brazilian state bank in 2008. The presence of MPD was determined by the General Health Questionnaire.(GHQ). Psychosocial work conditions were assessed by means of the Effort-Reward Imbalance (ERI) and Job Content Questionnaire (JCQ). The presence and magnitude of the independent associations between MPD and adverse psychosocial working conditions were determined by Prevalence Ratios, obtained by Poisson regression. RESULTS: From 2,337 eligible workers, 88% participated. The prevalence of MPD was greater among women (45% vs. 41%; p > 0.05). In the multivariate analysis, the prevalence of MPD was twice as high among bank workers exposed to high psychological demand and low control at work and under high effort and low reward working conditions. The lack of social support at work and the presence of over-commitment were also associated with higher prevalence of MPD. A negative interaction effect was found between over commitment and effort-reward imbalance. CONCLUSION: The prevalence of MPD is high among bank workers. The results reinforce the association between MPD and adverse psychosocial working conditions, assessed by the JCQ and ERI models. The direction of the interaction observed between over-commitment and ERI was contrary to what was expected. PMID- 21062495 TI - Modeling CICR in rat ventricular myocytes: voltage clamp studies. AB - BACKGROUND: The past thirty-five years have seen an intense search for the molecular mechanisms underlying calcium-induced calcium-release (CICR) in cardiac myocytes, with voltage clamp (VC) studies being the leading tool employed. Several VC protocols including lowering of extracellular calcium to affect Ca2(+) loading of the sarcoplasmic reticulum (SR), and administration of blockers caffeine and thapsigargin have been utilized to probe the phenomena surrounding SR Ca2(+) release. Here, we develop a deterministic mathematical model of a rat ventricular myocyte under VC conditions, to better understand mechanisms underlying the response of an isolated cell to calcium perturbation. Motivation for the study was to pinpoint key control variables influencing CICR and examine the role of CICR in the context of a physiological control system regulating cytosolic Ca2(+) concentration ([Ca2(+)](myo)). METHODS: The cell model consists of an electrical-equivalent model for the cell membrane and a fluid-compartment model describing the flux of ionic species between the extracellular and several intracellular compartments (cell cytosol, SR and the dyadic coupling unit (DCU), in which resides the mechanistic basis of CICR). The DCU is described as a controller-actuator mechanism, internally stabilized by negative feedback control of the unit's two diametrically-opposed Ca2(+) channels (trigger-channel and release-channel). It releases Ca2(+) flux into the cyto-plasm and is in turn enclosed within a negative feedback loop involving the SERCA pump, regulating[Ca2(+)](myo). RESULTS: Our model reproduces measured VC data published by several laboratories, and generates graded Ca2(+) release at high Ca2(+) gain in a homeostatically-controlled environment where [Ca2(+)](myo) is precisely regulated. We elucidate the importance of the DCU elements in this process, particularly the role of the ryanodine receptor in controlling SR Ca2(+) release, its activation by trigger Ca2(+), and its refractory characteristics mediated by the luminal SR Ca2(+) sensor. Proper functioning of the DCU, sodium-calcium exchangers and SERCA pump are important in achieving negative feedback control and hence Ca2(+) homeostasis. CONCLUSIONS: We examine the role of the above Ca2(+) regulating mechanisms in handling various types of induced disturbances in Ca2(+) levels by quantifying cellular Ca2(+) balance. Our model provides biophysically-based explanations of phenomena associated with CICR generating useful and testable hypotheses. PMID- 21062497 TI - Eastern Asian expert panel opinion: designing clinical trials of molecular targeted therapy for hepatocellular carcinoma. AB - The largest burden of hepatocellular carcinoma (HCC) lies in Asia, secondary to hepatitis B virus (HBV) infection. Improved survival with sorafenib has fostered new research but many challenges remain in designing clinical trials. The disease, its management, and populations affected by it are heterogeneous worldwide and within Asia. An expert conference of Eastern Asian oncologists and hepatologists was convened to foster consensus in clinical trial design. The panel identified key areas that need to be addressed to facilitate clinical trials in Asia. Stratification by viral etiology is desirable within Asia and by region in global trials. Antiviral therapy should also be considered as a stratification factor and incorporated into HCC management in trials. The panel agreed that histological diagnosis is not required for trial entry and that Barcelona-Clinic Liver Cancer (BCLC) staging is acceptable for trials as long as portal hypertension can be better defined with standardized methodology. Consensus in treatment must be sought to allow multi-national trials and it must be recognized that first-line sorafenib is not largely feasible in Asia. Finally, Asian nations must be urged to participate in clinical trials, many of which are ongoing, to advance new treatment options in this challenging disease. PMID- 21062498 TI - Dickkopf-1 (Dkk-1) in plasma and synovial fluid is inversely correlated with radiographic severity of knee osteoarthritis patients. AB - BACKGROUND: Osteoarthritis (OA) is a common degenerative joint disease causing pain, stiffness, reduced motion, swelling, crepitus, and disability. Dickkopf-1 (Dkk-1) is a critical mediator of osteoblastogenesis and regulates the joint remodeling. The aim of this study was to examine plasma and synovial fluid Dkk-1 levels of patients with primary knee OA and to investigate their relationship with disease severity. METHODS: Thirty-five patients aged 55-83 years with knee OA and 15 healthy individuals were recruited into this study. Disease severity was determined using weight-bearing anteroposterior radiographs of the affected knee. The radiological grading of OA in the knee was performed according to the Kellgren-Lawrence grading system. Dkk-1 levels in both plasma and synovial fluid were evaluated using enzyme-linked immunosorbent assay. RESULTS: The average concentration of circulating Dkk-1 in the knee OA patients was remarkably lower than that of healthy controls (396.0 +/- 258.8, 95%CI 307.1-484.9 vs 2348.8 +/- 2051.5, 95%CI 1164.3-3533.3 pg/ml, p < 0.0001). Dkk-1 levels in synovial fluid were significantly lower than in paired plasma samples (58.6 +/- 31.8, 95%CI 47.7 69.6 vs 396.0 +/- 258.8, 95%CI 307.1-484.9 pg/ml, p < 0.001). Furthermore, both plasma and synovial fluid Dkk-1 levels were inversely correlated with radiographic severity (r = -0.78, p < 0.001 and r = -0.42, p = 0.01, respectively). Plasma Dkk-1 levels were also significantly correlated with synovial fluid Dkk-1 levels (r = 0.72, p < 0.001). CONCLUSIONS: Dkk-1 levels in plasma and synovial fluid are inversely related to the severity of joint damage in knee OA. Dkk-1 could serve as a biochemical marker for determining disease severity and might play a potential role in the pathogenesis of the degenerative process of OA. PMID- 21062499 TI - In vitro inhibitory activity of Alpinia katsumadai extracts against influenza virus infection and hemagglutination. AB - BACKGROUND: Alpinia katsumadai (AK) extracts and fractions were tested for in vitro antiviral activities against influenza virus type A, specially human A/PR/8/34 (H1N1) and avian A/Chicken/Korea/MS96/96 (H9N2), by means of time-of addition experiments; pre-treatment, simultaneous treatment, and post treatment. RESULTS: In pre-treatment assay, the AK extracts and AK fractions did not show significant antiviral activity. During the simultaneous treatment assay, one AK extract and five AK fractions designated as AK-1 to AK-3, AK-5, AK-10, and AK-11 showed complete inhibition of virus infectivity against A/PR/8/34 (H1N1) and A/Chicken/Korea/MS96/96 (H9N2). The 50% effective inhibitory concentrations (EC50) of these one AK extracts and five AK fractions with exception of the AK-9 were from 0.8 +/- 1.4 to 16.4 +/- 4.5 MUg/mL against A/PR/8/34 (H1N1). The two AK extracts and three AK fractions had EC50 values ranging from <0.39 +/- 0.4 to 2.3 +/- 3.6 MUg/mL against A/Chicken/Korea/MS96/96 (H9N2). By the hemagglutination inhibition (HI) assay, the two AK extracts and five AK fractions completely inhibited viral adsorption onto chicken RBCs at less than 100 MUg/mL against both A/PR/8/34 (H1N1) and A/Chicken/Korea/MS96/96 (H9N2). Interestingly, only AK-3 was found with inhibition for both viral attachment and viral replication after showing extended antiviral activity during the post treatment assay and quantitative real-time PCR. CONCLUSIONS: These results suggest that AK extracts and fractions had strong anti-influenza virus activity that can inhibit viral attachment and/or viral replication, and may be used as viral prophylaxis. PMID- 21062500 TI - Effectiveness of 10 polymorphic microsatellite markers for parentage and pedigree analysis in plateau pika (Ochotona curzoniae). AB - BACKGROUND: The plateau pika (Ochotona curzoniae) is an underground-dwelling mammal, native to the Tibetan plateau of China. A set of 10 polymorphic microsatellite loci has been developed earlier. Its reliability for parentage assignment has been tested in a plateau pika population. Two family groups with a known pedigree were used to validate the power of this set of markers. RESULTS: The error in parentage assignment using a combination of these 10 loci was very low as indicated by their power of discrimination (0.803 - 0.932), power of exclusion (0.351 - 0.887), and an effectiveness of the combined probability of exclusion in parentage assignment of 99.999%. CONCLUSION: All the offspring of a family could be assigned to their biological mother; and their father or relatives could also be identified. This set of markers therefore provides a powerful and efficient tool for parentage assignment and other population analyses in the plateau pika. PMID- 21062501 TI - Conversion of a molecular classifier obtained by gene expression profiling into a classifier based on real-time PCR: a prognosis predictor for gliomas. AB - BACKGROUND: The advent of gene expression profiling was expected to dramatically improve cancer diagnosis. However, despite intensive efforts and several successful examples, the development of profile-based diagnostic systems remains a difficult task. In the present work, we established a method to convert molecular classifiers based on adaptor-tagged competitive PCR (ATAC-PCR) (with a data format that is similar to that of microarrays) into classifiers based on real-time PCR. METHODS: Previously, we constructed a prognosis predictor for glioma using gene expression data obtained by ATAC-PCR, a high-throughput reverse transcription PCR technique. The analysis of gene expression data obtained by ATAC-PCR is similar to the analysis of data from two-colour microarrays. The prognosis predictor was a linear classifier based on the first principal component (PC1) score, a weighted summation of the expression values of 58 genes. In the present study, we employed the delta-delta Ct method for measurement by real-time PCR. The predictor was converted to a Ct value-based predictor using linear regression. RESULTS: We selected UBL5 as the reference gene from the group of genes with expression patterns that were most similar to the median expression level from the previous profiling study. The number of diagnostic genes was reduced to 27 without affecting the performance of the prognosis predictor. PC1 scores calculated from the data obtained by real-time PCR showed a high linear correlation (r=0.94) with those obtained by ATAC-PCR. The correlation for individual gene expression patterns (r=0.43 to 0.91) was smaller than for PC1 scores, suggesting that errors of measurement were likely cancelled out during the weighted summation of the expression values. The classification of a test set (n=36) by the new predictor was more accurate than histopathological diagnosis (log rank p-values, 0.023 and 0.137, respectively) for predicting prognosis. CONCLUSION: We successfully converted a molecular classifier obtained by ATAC-PCR into a Ct value-based predictor. Our conversion procedure should also be applicable to linear classifiers obtained from microarray data. Because errors in measurement are likely to be cancelled out during the calculation, the conversion of individual gene expression is not an appropriate procedure. The predictor for gliomas is still in the preliminary stages of development and needs analytical clinical validation and clinical utility studies. PMID- 21062502 TI - Impact of gastroesophageal reflux disease on daily life: the Systematic Investigation of Gastrointestinal Diseases in China (SILC) epidemiological study. AB - BACKGROUND: Gastroesophageal reflux disease imposes a significant burden of illness in Western populations. However, data on the impact of reflux symptoms on daily life in Asian populations are scarce. The current study aimed to evaluate the impact of GERD (defined on the basis of symptoms) on health-related quality of-life (HRQoL) in individuals from five regions in China, as part of the Systematic Investigation of Gastrointestinal Diseases in China (SILC) study. METHODS: In total, 18 000 residents were randomly selected from across five regions of China and asked to complete a general information questionnaire and a Chinese version of the Reflux Disease Questionnaire (RDQ). A randomly selected subsample of one-fifth of subjects (20% from each region) completed Chinese versions of the 36-item self-administered (SF-36) questionnaire and Epworth Sleepiness Scale (ESS) questionnaire. Reflux symptoms were defined as the presence of heartburn and/or regurgitation. Symptom-defined GERD was diagnosed as mild heartburn and/or regurgitation >=2 days per week, or moderate/severe heartburn and/or regurgitation >=1 day a week, based on the Montreal Definition of GERD for population-based studies. RESULTS: The response rate was 89.4% for the total sample (16 091/18 000), and for the 20% subsample (3219/3600). Meaningful impairment was observed in all 8 SF-36 dimensions in participants with symptom-defined GERD, in 7 of the 8 SF-36 dimensions in participants with troublesome reflux symptoms, and in 6 of the 8 SF-36 dimensions in participants with reflux symptoms below the threshold for symptom-defined GERD. Meaningful daytime sleepiness was also observed in each of these groups. The proportion of individuals reporting troublesome symptoms increased as reflux symptom frequency and severity approached the threshold for symptom-defined GERD, and this was associated with concomitant decreases in all HRQoL measures. Troublesome symptoms were reported by 68.2% (75/110) of individuals with symptom-defined GERD. CONCLUSIONS: GERD diagnosed using symptom/frequency criteria (recommended for population-based studies), or based on troublesome reflux symptoms (recommended for the clinic), is associated with significantly impaired HRQoL in Chinese individuals. However, patient groups identified using these definitions do not overlap completely, suggesting that they capture slightly different, though clinically relevant, GERD populations. PMID- 21062503 TI - Health financing in Malawi: Evidence from National Health Accounts. AB - BACKGROUND: National health accounts provide useful information to understand the functioning of a health financing system. This article attempts to present a profile of the health system financing in Malawi using data from NHA. It specifically attempts to document the health financing situation in the country and proposes recommendations relevant for developing a comprehensive health financing policy and strategic plan. METHODS: Data from three rounds of national health accounts covering the Financial Years 1998/1999 to 2005/2006 was used to describe the flow of funds and their uses in the health system. Analysis was performed in line with the various NHA entities and health system financing functions. RESULTS: The total health expenditure per capita increased from US$ 12 in 1998/1999 to US$25 in 2005/2006. In 2005/2006 public, external and private contributions to the total health expenditure were 21.6%, 60.7% and 18.2% respectively. The country had not met the Abuja of allocating at least 15% of national budget on health. The percentage of total health expenditure from households' direct out-of-pocket payments decreased from 26% in 1998/99 to 12.1% in 2005/2006. CONCLUSION: There is a need to increase government contribution to the total health expenditure to at least the levels of the Abuja Declaration of 15% of the national budget. In addition, the country urgently needs to develop and implement a prepaid health financing system within a comprehensive health financing policy and strategy with a view to assuring universal access to essential health services for all citizens. PMID- 21062504 TI - Transitional fossil earwigs--a missing link in Dermaptera evolution. AB - BACKGROUND: The Dermaptera belongs to a group of winged insects of uncertain relationship within Polyneoptera, which has expanded anal region and adds numerous anal veins in the hind wing. Evolutional history and origin of Dermaptera have been in contention. RESULTS: In this paper, we report two new fossil earwigs in a new family of Bellodermatidae fam. nov. The fossils were collected from the Jiulongshan Formation (Middle Jurassic) in Inner Mongolia, northeast China. This new family, characterized by an unexpected combination of primitive and derived characters, is bridging the missing link between suborders of Archidermaptera and Eodermaptera. Phylogenetic analyses support the new family to be a new clade at the base of previously defined Eodermaptera and to be a stem group of (Eodermaptera+Neodermaptera). CONCLUSION: Evolutional history and origin of Dermaptera have been in contention, with dramatically different viewpoints by contemporary authors. It is suggested that the oldest Dermaptera might possibly be traced back to the Late Triassic-Early Jurassic and they had divided into Archidermaptera and (Eodermaptera+Neodermaptera) in the Middle Jurassic. PMID- 21062505 TI - PFGE, Lior serotype, and antimicrobial resistance patterns among Campylobacter jejuni isolated from travelers and US military personnel with acute diarrhea in Thailand, 1998-2003. AB - BACKGROUND: Campylobacter jejuni is a major cause of gastroenteritis worldwide. In Thailand, several strains of C. jejuni have been isolated and identified as major diarrheal pathogens among adult travelers. To study the epidemiology of C. jejuni in adult travelers and U.S. military personnel with acute diarrhea in Thailand from 1998-2003, strains of C. jejuni were isolated and phenotypically identified, serotyped, tested for antimicrobial susceptibility, and characterized using pulsed-field gel electrophoresis (PFGE). RESULTS: A total of 312 C. jejuni isolates were obtained from travelers (n = 46) and U.S. military personnel (n = 266) in Thailand who were experiencing acute diarrhea. Nalidixic acid and ciprofloxacin resistance was observed in 94.9% and 93.0% of the isolates, respectively. From 2001-2003, resistance to tetracycline (81.9%), trimethoprim sulfamethoxazole (57.9%), ampicillin (28.9%), kanamycin (5.9%), sulfisoxazole (3.9%), neomycin (2.0%), and streptomycin (0.7%) was observed. Combined PFGE analysis showed considerable genetic diversity among the C. jejuni isolates; however, four PFGE clusters included isolates from the major Lior serotypes (HL: 36, HL: 11, HL: 5, and HL: 28). The PFGE analysis linked individual C. jejuni clones that were obtained at U.S. military exercises with specific antimicrobial resistance patterns. CONCLUSIONS: In summary, most human C. jejuni isolates from Thailand were multi-resistant to quinolones and tetracycline. PFGE detected spatial and temporal C. jejuni clonality responsible for the common sources of Campylobacter gastroenteritis. PMID- 21062506 TI - A neurotropic herpesvirus infecting the gastropod, abalone, shares ancestry with oyster herpesvirus and a herpesvirus associated with the amphioxus genome. AB - BACKGROUND: With the exception of the oyster herpesvirus OsHV-1, all herpesviruses characterized thus far infect only vertebrates. Some cause neurological disease in their hosts, while others replicate or become latent in neurological tissues. Recently a new herpesvirus causing ganglioneuritis in abalone, a gastropod, was discovered. Molecular analysis of new herpesviruses, such as this one and others, still to be discovered in invertebrates, will provide insight into the evolution of herpesviruses. RESULTS: We sequenced the genome of a neurotropic virus linked to a fatal ganglioneuritis devastating parts of a valuable wild abalone fishery in Australia. We show that the newly identified virus forms part of an ancient clade with its nearest relatives being a herpesvirus infecting bivalves (oyster) and, unexpectedly, one we identified, from published data, apparently integrated within the genome of amphioxus, an invertebrate chordate. Predicted protein sequences from the abalone virus genome have significant similarity to several herpesvirus proteins including the DNA packaging ATPase subunit of (putative) terminase and DNA polymerase. Conservation of amino acid sequences in the terminase across all herpesviruses and phylogenetic analysis using the DNA polymerase and terminase proteins demonstrate that the herpesviruses infecting the molluscs, oyster and abalone, are distantly related. The terminase and polymerase protein sequences from the putative amphioxus herpesvirus share more sequence similarity with those of the mollusc viruses than with sequences from any of the vertebrate herpesviruses analysed. CONCLUSIONS: A family of mollusc herpesviruses, Malacoherpesviridae, that was based on a single virus infecting oyster can now be further established by including a distantly related herpesvirus infecting abalone, which, like many vertebrate viruses is neurotropic. The genome of Branchiostoma floridae (amphioxus) provides evidence for the existence of a herpesvirus associated with this invertebrate chordate. The virus which likely infected amphioxus is, by molecular phylogenetic analysis, more closely related to the other 2 invertebrate viruses than to herpesviruses infecting vertebrates (ie chordates). PMID- 21062507 TI - Concomitant heterochromatinisation and down-regulation of gene expression unveils epigenetic silencing of RELB in an aggressive subset of chronic lymphocytic leukemia in males. AB - BACKGROUND: The sensitivity of chronic lymphocytic leukemia (CLL) cells to current treatments, both in vitro and in vivo, relies on their ability to activate apoptotic death. CLL cells resistant to DNA damage-induced apoptosis display deregulation of a specific set of genes. METHODS: Microarray hybridization (Human GeneChip, Affymetrix), immunofluorescent in situ labeling coupled with video-microscopy recording/analyses, chromatin-immunoprecipitation (ChIP), polymerase chain reactions (PCR), real-time quantitative PCR (RT-QPCR) and bisulfite genome sequencing were the main methods applied. Statistical analyses were performed by applying GCRMA and SAM analysis (microarray data) and Student's t-test or Mann & Whitney's U-test. RESULTS: Herein we show that, remarkably, in a resistant male CLL cells the vast majority of genes were down regulated compared with sensitive cells, whereas this was not the case in cells derived from females. This gene down-regulation was found to be associated with an overall gain of heterochromatin as evidenced by immunofluorescent labeling of heterochromatin protein 1alpha (HP-1), trimethylated histone 3 lysine 9 (3metH3K9), and 5-methylcytidine (5metC). Notably, 17 genes were found to be commonly deregulated in resistant male and female cell samples. Among these, RELB was identified as a discriminatory candidate gene repressed in the male and upregulated in the female resistant cells. CONCLUSION: The molecular defects in the silencing of RELB involve an increase in H3K9- but not CpG-island methylation in the promoter regions. Increase in acetyl-H3 in resistant female but not male CLL samples as well as a decrease of total cellular level of RelB after an inhibition of histone deacetylase (HDAC) by trichostatin A (TSA), further emphasize the role of epigenetic modifications which could discriminate two CLL subsets. Together, these results highlighted the epigenetic RELB silencing as a new marker of the progressive disease in males. PMID- 21062508 TI - Clinical decision making and outcome in routine care for people with severe mental illness (CEDAR): study protocol. AB - BACKGROUND: A considerable amount of research has been conducted on clinical decision making (CDM) in short-term physical conditions. However, there is a lack of knowledge on CDM and its outcome in long-term illnesses, especially in care for people with severe mental illness. METHODS/DESIGN: The study entitled "Clinical decision making and outcome in routine care for people with severe mental illness" (CEDAR) is carried out in six European countries (Denmark, Germany, Hungary, Italy, Switzerland and UK). First, CEDAR establishes a methodology to assess CDM in people with severe mental illness. Specific instruments are developed (and psychometric properties established) to measure CDM style, key elements of CDM in routine care, as well as CDM involvement and satisfaction from patient and therapist perspectives. Second, these instruments are being put to use in a multi-national prospective observational study (bimonthly assessments during a one-year observation period; N = 560). This study investigates the immediate, short- and long-term effect of CDM on crucial dimensions of clinical outcome (symptom level, quality of life, needs) by taking into account significant variables moderating the relationship between CDM and outcome. DISCUSSION: The results of this study will make possible to delineate quality indicators of CDM, as well as to specify prime areas for further improvement. Ingredients of best practice in CDM in the routine care for people with severe mental illness will be extracted and recommendations formulated. With its explicit focus on the patient role in CDM, CEDAR will also contribute to strengthening the service user perspective. This project will substantially add to improving the practice of CDM in mental health care across Europe. TRIAL REGISTER: ISRCTN75841675. PMID- 21062509 TI - Bet hedging in the underworld. AB - Under starvation conditions, the soil bacterium Sinorhizobium meliloti divides into two types of daughter cell: one suited to short-term and the other to long term starvation. PMID- 21062510 TI - beta-lactam antibiotics in continuous infusion in critically ill patients. PMID- 21062511 TI - Type I IFN and TNFalpha cross-regulation in immune-mediated inflammatory disease: basic concepts and clinical relevance. AB - A cross-regulation between type I IFN and TNFalpha has been proposed recently, where both cytokines are hypothesized to counteract each other. According to this model, different autoimmune diseases can be viewed as disequilibrium between both cytokines. As this model may have important clinical implications, the present review summarizes and discusses the currently available clinical evidence arguing for or against the proposed cross-regulation between TNFalpha and type I IFN. In addition, we review how this cross-regulation works at the cellular and molecular levels. Finally, we discuss the clinical relevance of this proposed cross regulation for biological therapies such as type I IFN or anti-TNFalpha treatment. PMID- 21062512 TI - Clinical review: oxygen as a signaling molecule. AB - Molecular oxygen is obviously essential for conserving energy in a form useable for aerobic life; however, its utilization comes at a cost--the production of reactive oxygen species (ROS). ROS can be highly damaging to a range of biological macromolecules, and in the past the overproduction of these short lived molecules in a variety of disease states was thought to be exclusively toxic to cells and tissues such as the lung. Recent basic research, however, has indicated that ROS production--in particular, the production of hydrogen peroxide -plays an important role in both intracellular and extracellular signal transduction that involves diverse functions from vascular health to host defense. The present review summarizes oxygen's capacity, acting through its reactive intermediates, to recruit the enzymatic antioxidant defenses, to stimulate cell repair processes, and to mitigate cellular damage. PMID- 21062513 TI - The association between rheumatoid arthritis and periodontal disease. AB - Chronic, plaque-associated inflammation of the gingiva and the periodontium are among the most common oral diseases. Periodontitis (PD) is characterized by the inflammatory destruction of the periodontal attachment and alveolar bone, and its clinical appearance can be influenced by congenital as well as acquired factors. The existence of a rheumatic or other inflammatory systemic disease may promote PD in both its emergence and progress. However, there is evidence that PD maintains systemic diseases. Nevertheless, many mechanisms in the pathogenesis have not yet been examined sufficiently, so that a final explanatory model is still under discussion, and we hereby present arguments in favor of this. In this review, we also discuss in detail the fact that oral bacterial infections and inflammation seem to be linked directly to the etiopathogenesis of rheumatoid arthritis (RA). There are findings that support the hypothesis that oral infections play a role in RA pathogenesis. Of special importance are the impact of periodontal pathogens, such as Porphyromonas gingivalis on citrullination, and the association of PD in RA patients with seropositivity toward rheumatoid factor and the anti-cyclic citrullinated peptide antibody. PMID- 21062514 TI - Does intensive insulin therapy really reduce mortality in critically ill surgical patients? A reanalysis of meta-analytic data. AB - Two recent systematic reviews evaluating intensive insulin therapy (IIT) in critically ill patients grouped randomized controlled trials (RCTs) by type of intensive care unit (ICU). The more recent review found that IIT reduced mortality in patients admitted to a surgical ICU, but not in those admitted to medical ICUs or mixed medical-surgical ICUs, or in all patients combined. Our objective was to determine whether IIT saves lives in critically ill surgical patients regardless of the type of ICU. Pooling mortality data from surgical and medical subgroups in mixed-ICU RCTs (16 trials) with RCTs conducted exclusively in surgical ICUs (five trials) and in medical ICUs (five trials), respectively, showed no effect of IIT in the subgroups of surgical patients (risk ratio = 0.85, 95% confidence interval (CI) = 0.69 to 1.04, P = 0.11; I2 = 51%, 95% CI = 1 to 75%) or of medical patients (risk ratio = 1.02, 95% CI = 0.95 to 1.09, P = 0.61; I2 = 0%, 95% CI = 0 to 41%). There was no differential effect between subgroups (interaction P = 0.10). There was statistical heterogeneity in the surgical subgroup, with some trials demonstrating significant benefit and others demonstrating significant harm, but no surgical subgroup consistently benefited from IIT. Such a reanalysis suggests that IIT does not reduce mortality in critically ill surgical patients or medical patients. Further insights may come from individual patient data meta-analyses or from future large multicenter RCTs in more narrowly defined subgroups of surgical patients. PMID- 21062515 TI - A Faustian bargain. PMID- 21062516 TI - Delirium and mortality risk prediction: a story in evolution. PMID- 21062517 TI - Can phosphatidylinositol 3-kinase/mammalian target of rapamycin inhibition ERase them all? AB - Seventy percent of breast tumors are estrogen receptor (ER) positive. Although endocrine therapy is successful for the majority of patients with ER-positive tumors, approximately 30% show de novo or acquired resistance and the underlying molecular mechanisms and biomarkers that predict such resistance remain elusive. Two recent papers report that hyperactivation of the phosphatidylinositol 3 kinase (PI3K) pathway produces resistance to tamoxifen. This raises the possibility that combining endocrine therapy and PI3K inhibition may be more effective than monotherapy for treating ER-positive breast tumors, either as first-line therapy for tumors with high PI3K activity or after the development of resistance to endocrine therapy. PMID- 21062518 TI - Ferroportin and hepcidin: a new hope in diagnosis, prognosis, and therapy for breast cancer. AB - Breast cancer is the most prevalent malignancy in women. The success of breast cancer treatment relies on the ability to detect the disease and correct molecular abnormalities at an early stage of disease development. A recent article describes a marked decrease in the levels of ferroportin in breast cancer. More importantly, the presented results demonstrate convincingly the incredible diagnostic and prognostic value of ferroportin and hepcidin gene expression in breast cancer and suggest that determination of these two molecular markers may be used as guidance toward individualized therapy for breast cancer patients. PMID- 21062519 TI - Prevention of Alzheimer's disease in high risk groups: statin therapy in subjects with PSEN1 mutations or heterozygosity for apolipoprotein E epsilon 4. PMID- 21062520 TI - Neurovegetative symptoms in patients with multiple sclerosis: fatigue, not depression. AB - Elucidating the relationship between fatigue and depression in multiple sclerosis (MS) patients is complicated by ambiguity regarding how these two constructs should be delineated. Neurovegetative symptoms of depression may reflect depression in MS patients, as they do in non-neurological populations; instead these items may measure disease-related fatigue; or disease-related fatigue and depression may reflect the same syndrome in MS patients. The present study sought to evaluate these possibilities by characterizing the underlying factor structure of self-report items designed to measure fatigue and depression symptoms. Questionnaires designed to measure fatigue and depression were administered to 174 MS patients and 84 healthy controls, and these items were subject to factor analysis. Results suggest that neurovegetative symptoms are poor indicators of depression in MS patients. Neurovegetative depression items were removed from the final model due to poor psychometric properties, or they loaded on Fatigue or Sleep Disturbance factors. The correlation between latent factors Depression and Fatigue was large (.47), but does not indicate that these phenomena are manifestations of the same construct. Hence, the results of this study support the notion that vegetative symptoms of depression do not reflect depression in MS patients, but instead measure symptoms of fatigue and sleep disturbance. PMID- 21062521 TI - Evidence for the solidarity of the expressive and receptive language systems: a retrospective study. AB - A strong tendency toward left hemisphere (LH) language dominance has been well established, as evidenced by the high prevalence of language impairment following sudden onset lesions in the LH. In the presence of progressive LH pathology, such as epilepsy, substantial deviations in language organization can occur. However, the question regarding whether reorganization involves both expressive and receptive language functions or only the one directly affected by the primary location of pathology has not been settled. Using Wada testing scores from 296 epilepsy patients and estimated rates of typical dominance in the normal population, we assessed the frequency with which left frontal and temporal pathology resulted in reorganization of only the expressive or receptive language function or both. The comparisons revealed: (1) a significantly higher prevalence of atypical organization (i.e., deviations from LH dominance) among the LH patients compared to normal population estimates and right hemisphere patients, and (2) that regardless of pathology location within the LH, the rates of atypical reorganization for both expressive and receptive language were essentially equal. These results constitute evidence that the two language functions are intimately yoked and that when disruption to the system results in reorganization, it usually yields functional changes throughout the system. PMID- 21062522 TI - Evaluation of specific executive functioning skills and the processes underlying executive control in schizophrenia. AB - Schizophrenia is associated with executive dysfunction. Yet, the degree to which executive functions are impaired differentially, or above and beyond underlying basic cognitive processes is less clear. Participants included 145 matched pairs of individuals with schizophrenia (SCs) and normal comparison subjects (NCs). Executive functions were assessed with 10 tasks of the Delis-Kaplan Executive Function System (D-KEFS), in terms of "achievement scores" reflecting overall performance on the task. Five of these tasks (all measuring executive control) were further examined in terms of their basic component (e.g., processing speed) scores and contrast scores (reflecting residual higher order skills adjusted for basic component skills). Group differences were examined via multivariate analysis of variance. SCs had worse performance than NCs on all achievement scores, but the greatest SC-NC difference was that for the Trails Switching task. SCs also had worse performance than NCs on all basic component skills. Of the executive control tasks, only Trails Switching continued to be impaired after accounting for impairments in underlying basic component skills. Much of the impairment in executive functions in schizophrenia may reflect the underlying component skills rather than higher-order functions. However, the results from one task suggest that there might be additional impairment in some aspects of executive control. PMID- 21062523 TI - Neurocognitive profile of an adult sample with chronic kidney disease. AB - Chronic kidney disease (CKD) is a common and debilitating illness that impacts neurocognitive function. However, the majority of previous studies varied in methodologic design and rigor, thus minimizing definitive conclusions. The present study was designed to determine the impact of CKD on neurocognitive function through specific examination of CKD factors and therapeutic interventions. We evaluated 120 CKD outpatients and 41 healthy donors (controls) in terms of neurocognitive function, anxiety, and depressive symptomatology, and somnolence. Information regarding medical and treatment history was recorded. Twenty-three percent of CKD patients presented with cognitive impairment. Stage 5 patients had lower scores (p < .05) compared with controls and patients in stage 3 and 4 on measures of global cognitive function. No differences in global cognitive function were found between stage 3 and 4 patients and controls. A greater proportion of patients undergoing hemodialysis relative to those treated with peritoneal dialysis showed impairment on measures of memory functions. Results suggest that stage 5 CKD patients may present with impaired cognitive functions. Anemia appeared to be a key variable that may explain the memory impairment in this sample. Future longitudinal investigations of CKD are warranted to determine the trajectory of cognitive impairment. PMID- 21062524 TI - Evidence for a quiet revolution: seasonal variation in colonies of the specialist tansy aphid, Macrosiphoniella tanacetaria (Kaltenbach) (Hemiptera: Aphididae) studied using microsatellite markers. AB - In cyclical parthenogens, clonal diversity is expected to decrease due to selection and drift during the asexual phase per number of asexual generations. The decrease in diversity may be counteracted by immigration of new genotypes. We analysed temporal variation in clonal diversity in colonies of the monophagous tansy aphid, Macrosiphoniella tanacetaria (Kaltenbach), sampled four times over the course of a growing season. In a related field study, we recorded aphid colony sizes and the occurrence of winged dispersers throughout the season. The number of colonies increased from April, when asexual stem mothers hatched from the sexually produced eggs, to the end of June. The proportion of colonies with winged individuals also increased over this period. After a severe reduction in colony sizes in late summer, a second expansion phase occurred in October when sexuals were produced. At the season's end, the only winged forms were males. A linked genetic study showed that the number of microsatellite multilocus genotypes and genetic variability assessed at three polymorphic loci per colony decreased from June to October. Overall, the relatedness of wingless to winged individuals within colonies was lower than average relatedness among wingless individuals, suggesting that winged forms mainly originated in different colonies. The results demonstrate that patterns of genetic diversity within colonies can be explained by the antagonistic forces of clonal selection, migration and genetic drift (largely due to midsummer population bottlenecks). We further suggest that the males emigrate over comparatively longer distances than winged asexual females. PMID- 21062525 TI - Verb argument structure acquisition in young children: defining a role for discourse. AB - Two-, three- and four-year-old English learners enacted sentences that were missing a direct object (e.g. *The zebra brings.). Previous work has indicated that preschoolers faced with such ungrammatical sentences consistently alter the usual meaning of the verb to fit the syntactic frame (enacting 'zebra comes'); older children are more likely to repair the syntax to fit the meaning of the verb (enacting 'zebra brings something'; Naigles, Gleitman & Gleitman, 1993). We investigated whether young children performed more repairs if an informative context preceded the ungrammatical sentences. Test sentences were preceded by short vignettes that created a relationship between three characters. Children repaired more sentences than had been found previously; however, older preschoolers also repaired significantly more frequently than younger preschoolers. Discourse context thus seems relevant to the acquisition of verb argument structure, but is not the sole source of information. PMID- 21062526 TI - Point prevalence of bovine fascioliasis and the influence of chemotherapy on the milk yield in a lactating bovine population from the district of Toba Tek Singh, Pakistan. AB - This paper provides an estimation of the point prevalence of fascioliasis and its economic impact in terms of increased milk yield after chemotherapy of a bovine population from the district of Toba Tek Singh, Punjab, Pakistan. A total of 2400 cattle and buffaloes were examined quantitatively using the McMaster egg-counting technique. Infected cattle and buffaloes (50 of each) were randomly selected and each divided into two groups of 25 animals. Groups A (buffaloes) and C (cattle) were treated with oxyclozanide (orally, 16.6 mg kg- 1 body weight). Groups B and D served as negative controls for buffaloes and cattle, respectively. Pre- and post-treatment milk yield was recorded to determine if there were any changes in milk yield after treatment. Of 2400 faecal samples analysed, 654 (27.25%) were positive for Fasciola spp. with a mean number of eggs per gram (EPG) of 503.2. The point prevalence and worm burden of fascioliasis was significantly higher (OR = 2.13; P < 0.05) in buffaloes (34.58%; 415/1200; mean EPG maximum likelihood = 521.4) as compared to that of cattle (19.92%; 239/1200; mean EPG maximum likelihood = 415.8). Among the parasite species, F. gigantica (19.88%; 477/2400) was predominant (OR = 3.12; P < 0.05) as compared to F. hepatica (7.38%; 177/2400). An average daily increase of 0.67 and 0.87 litres of milk, with 0.41% and 0.37% more fat per animal, was observed in oxyclozanide-treated buffaloes and cattle, respectively. The economic value of reduced production of infected animals was estimated as US$0.33 and 0.32 per animal per day for cattle and buffaloes, respectively. PMID- 21062527 TI - Ligula intestinalis infection is associated with alterations of both brain and gonad aromatase expression in roach (Rutilus rutilus). AB - The tapeworm Ligula intestinalis commonly infests roach (Rutilus rutilus) and is responsible for the inhibition of gonad development. In order to better understand the effect of the plerocercoid on fish physiology, and to discriminate parasitization effects from those of endocrine-disrupting compounds (EDC), Cyp19b and Cyp19a aromatase expression was investigated by real-time quantitative polymerase chain reaction (PCR) in brain and gonads of ligulosed roach, caught from a reference site. Data were compared to reproductive and endocrine endpoints previously reported in a larger cohort study (including the sampled population of the present one), such as gonadosomatic index, Fulton index, gonadal histology, plasma sex steroid levels and brain aromatase activity. A decrease in Cyp19b expression in the brain of infected fish was demonstrated, in agreement with the reduction of aromatase activity previously described. In contrast, Cyp19a expression in the gonads appeared to be enhanced in ligulosed fish, in accordance with the presence of immature but differentiated sexual tissues. Together these results show that: (1) L. intestinalis infestation results in an alteration of aromatase expression which, in particular, may have profound effects on the fish brain; and (2) L. intestinalis infection must be considered as a major confounding factor in ecotoxicological studies using aromatase expression as an EDC biomarker. Moreover, the concordance between activity and expression- investigated for the first time in the same population--gives a functional relevance to the transcript aromatase dosage in the brain. Finally, quantitative PCR was confirmed as a sensitive approach, enabling aromatase status to be defined in the poorly developed gonads of ligulosed individuals. PMID- 21062528 TI - Cognitive behavioural therapy for auditory hallucinations: effectiveness and predictors of outcome in a specialist clinic. AB - BACKGROUND: Cognitive behavioural therapy has been established as an effective treatment for residual psychotic symptoms but a substantial proportion of people do not benefit from this treatment. There has been little direct study of predictors of outcome, particularly in treatment targeting auditory hallucinations. METHOD: The Psychotic Symptom Rating Scales (PSYRATS) and Positive and Negative Syndrome Scale (PANSS) were administered pre- and post therapy to 33 people with schizophrenia-related disorders receiving CBT for auditory hallucinations in a specialist clinic. Outcome was compared with pre therapy measures of insight, beliefs about the origin of hallucinations, negative symptoms and cognitive disorganization. RESULTS: There were significant improvements post-treatment on the PSYRATS and PANSS Positive and General Scales. Improvement on the PSYRATS was associated with lower levels of negative symptoms, but was unrelated to overall insight, delusional conviction regarding the origins of hallucinations, or levels of cognitive disorganization. CONCLUSIONS: Lack of insight and presence of formal thought disorder do not preclude effective cognitive-behavioural treatment of auditory hallucinations. There is a need to further understand why negative symptoms may present a barrier to therapy. PMID- 21062529 TI - Illness perceptions: are beliefs about mental health problems associated with self-perceptions of engagement in people with psychosis? AB - BACKGROUND: The Self-Regulation Model (SRM) has been presented as a framework for assessing the perceptions people hold about their mental health problem. Currently no direct attempts have been made to assess the association between illness perceptions and engagement in psychosis. Engagement is an important issue for health professionals providing support to people with psychosis; therefore, research demonstrating a link between illness perceptions and engagement may enable targeted interventions to facilitate engagement and enhance outcome. AIM: To assess whether beliefs about mental health are associated with self perceptions of engagement in people with psychosis. METHOD: Participants with psychosis completed two questionnaires; beliefs about mental health and self perceptions of engagement with mental health services. RESULTS: A belief that the mental health difficulty has fewer negative consequences, increased perceptions of personal ability to control the mental health difficulty, a belief that treatment is helpful in controlling symptoms and a more coherent understanding of the mental health difficulty were all associated with higher self-perception engagement scores. Multivariate analyses indicated that a more coherent understanding and a belief that treatment is helpful were the strongest and most consistent predictors of higher self-perception engagement scores. However, the direction of the associations cannot be established. CONCLUSIONS: This study suggests that the SRM is a promising model for mental health problems and that beliefs about mental health are associated with self-perceptions of engagement in people with psychosis. The importance of further intervention-based research studies that examines causality is highlighted. PMID- 21062530 TI - Disease outbreaks associated with untreated recreational water use. AB - Annual overviews of waterborne disease outbreaks associated with untreated recreational water use provided by authorities responsible for bathing-water quality and public health in The Netherlands revealed 742 outbreaks during 1991 2007 mainly comprising of skin conditions (48%) and gastroenteritis (31%) and involving at least 5623 patients. The number of outbreaks per bathing season correlated with the number of days with temperatures over 25 degrees C (r=0.8 0.9), but was not reduced through compliance with European bathing-water legislation (r=0.1), suggesting that monitoring of faecal indicator parameters and striving for compliance with water-quality standards may not sufficiently protect bathers. Bathing sites were prone to incidental faecal contamination events or environmental conditions that favoured the growth of naturally occurring pathogens. Identification of all possible contamination sources, awareness of changes that might negatively affect water quality, and provision of adequate information to the public are important preventive measures to protect public health. PMID- 21062531 TI - Prevalence and risk factors for Salmonella in veal calves at Danish cattle abattoirs. AB - The study's objectives were to determine herd- and animal-level prevalence and herd-level risk factors for Salmonella in dairy-bred veal calves at slaughter in Denmark. In total, 1296 faecal samples were collected at five cattle abattoirs in Denmark during 2007-2008. The animals came from 71 randomly selected specialized veal-calf producers that delivered more than 100 animals to slaughter per year. Salmonella Dublin bacteria were isolated from 19 samples from 12 herds and Salmonella Typhimurium was isolated from one sample. The apparent prevalence of herds delivering Salmonella-shedding animals to slaughter was 18% (95% CI 9-27). The overall estimated true prevalence of shedding calves at slaughter was 1.3%. Veal-calf herds that purchased animals from herds not classified as low risk in the Danish Salmonella surveillance programme had significantly (P=0.03) higher risk of delivering Salmonella-shedding calves to slaughter. The results emphasize the importance of efforts in the dairy industry to ensure food safety for consumers. PMID- 21062534 TI - The role of heme oxygenase and carbon monoxide in inflammatory bowel disease. AB - Inflammatory bowel disease (IBD), including ulcerative colitis (UC) and Crohn's disease, is a chronic and recurrent inflammatory disorder of the intestinal tract. Since the precise pathogenesis of IBD remains unclear, it is important to investigate the pathogenesis of IBD and to evaluate new anti-inflammatory strategies. Recent evidence suggests that heme oxygenase-1 (HO-1) plays a critical protective role during the development of intestinal inflammation. In fact, it has been demonstrated that the activation of HO-1 may act as an endogenous defensive mechanism to reduce inflammation and tissue injury in various animal intestinal injury models induced by ischemia-reperfusion, indomethacin, lipopolysaccharide-associated sepsis, trinitrobenzene sulfonic acid or dextran sulfate sodium. In addition, carbon monoxide (CO) derived from HO-1 has been shown to be involved in the regulation of intestinal inflammation. Furthermore, administration of a low concentration of exogenous CO has a protective effect against intestinal inflammation. These data suggest that HO-1 and CO may be novel therapeutic molecules for patients with gastrointestinal inflammatory diseases. In this review, we present what is currently known regarding the role of HO-1 and CO in intestinal inflammation. PMID- 21062535 TI - On the origin of reactive oxygen species and antioxidative mechanisms in Enterococcus faecalis. AB - Enterococci cause serious infections due to a number of virulence factors and wide-spread antibiotic resistance. A molecular mechanism involved in the pathogenesis of enterococcal infections is oxidative stress. Enterococcus faecalis produces a variety of antioxidative enzymes involved in the oxidative stress response, a process that is regulated by several transcriptional regulators. In addition, direct production of free radicals derived from oxygen has been proved and hypothesized, respectively, to contribute to the pathogenesis of colorectal cancer and periodontitis. The understanding of molecular mechanisms behind the production of free radicals and the antioxidative status in E. faecalis might suggest new alternatives for the treatment of enterococcal infections and related diseases. PMID- 21062536 TI - Vitamin C, resveratrol and lipoic acid actions on isolated rat liver mitochondria: all antioxidants but different. AB - Modulating mitochondrial antioxidant status is a nutritional issue of great interest in the treatment or prevention of several oxidative stress related diseases such as obesity. Thus, the aim of the present study was to analyze the effects of three antioxidants on hepatic mitochondrial function and antioxidant status. Isolated rat liver mitochondria were incubated with vitamin C, resveratrol and lipoic acid. The activity of antioxidant enzymes (manganese superoxide dismutase and glutathione peroxidase), ROS generation and respiratory parameters (RCR, P/O ratio and respiratory states) were measured. Vitamin C influenced mitochondrial function by decreasing of ROS generation (P < 0.0001), by stimulating the activity of manganese superoxide dismutase (197.60 +/- 35.99%; P < 0.001) as well as glutathione peroxidase (15.70 +/- 5.76%; P < 0.05) and by altering the activity of the electron transport chain, mainly by decreasing the P/O ratio (P < 0.05). Resveratrol induced a significant increase in manganese superoxide dismutase activity (160 +/- 11.78%; P < 0.0001) and a decrease in ROS generation (P < 0.05 to P < 0.0001). By contrast, lipoic acid inhibited glutathione peroxidase activity (16.48 +/- 3.27%; P < 0.05) and induced the uncoupling of the electron transport chain (P < 0.01). Moreover, this antioxidant induced a strong decrease in the P/O ratio (P < 0.05 to P < 0.0001). In conclusion, our results suggest that the three tested antioxidants produced direct effects on mitochondrial function, although the magnitude and intensity of these actions were significantly different, which may have implications when administrated as antioxidants. PMID- 21062537 TI - Hesperidin, a flavanone glycoside, on lipid peroxidation and antioxidant status in experimental myocardial ischemic rats. AB - Myocardial infarction continues to be a leading cause of mortality world-wide. Novel therapies are needed to treat the myocardial ischemia. This study was undertaken to evaluate the cardioprotective role of hesperidin on isoproterenol induced myocardial ischemia in rats. Myocardial ischemia was induced by subcutaneous injection of isoproterenol hydrochloride (85 mg/kg body weight), for two consecutive days. Isoproterenol-administered rats showed elevated levels of cardiac markers (aspartate transaminase, alanine transaminase, lactate dehydrogenase, creatine kinase, creatine kinase-MB, cardiac troponins T and I) when compared with control and hesperidin treatment groups (100, 200 and 400 mg/kg body weight). The serum levels of cardiac markers were significantly reduced at the doses of 200 mg and 400 mg. All further experiments were carried out at the 200 mg dose. Lipid peroxidation markers (thiobarbituric acid reactive substances, lipid hydroperoxides and conjugated dienes) were elevated significantly in the plasma and heart whereas non-enzymic antioxidants (vitamin C, vitamin E and reduced glutathione) were decreased significantly. Activities of superoxide dismutase, catalase, glutathione peroxidase, glutathione-S-transferase and glutathione reductase declined significantly in the heart of ischemic rats. However, after hesperidin treatment, all the above parameters reverted to normal levels. This study demonstrated that the cardioprotective effect of hesperidin on ischemic rats could be due to its anti-lipid peroxidative and antioxidant properties. PMID- 21062538 TI - Dose-dependent effect of galangin on fructose-mediated insulin resistance and oxidative events in rat kidney. AB - Galangin is an antioxidant flavonol present in high concentrations in the rhizome of Alpinia galanga. We investigated the effect of galangin on whole-body insulin resistance and kidney oxidative stress in a fructose-induced rat model of metabolic syndrome. Male albino Wistar rats were divided into 6 groups containing six animals each. Groups I and VI received a starch-based control diet, while groups II, III, IV and V were fed a high fructose diet (60 g/100 g). Groups III, IV and V additionally received galangin (50, 100 and 200 MUg/kg body weight, respectively) while group VI received 200 MUg galangin/kg body weight. At the end of 60 days, fructose-fed rats exhibited insulin resistance, increased levels of peroxidation end products and diminished antioxidant status. galangin, dose dependently normalized blood glucose and insulin levels. The minimum effective dose was 100 MUg galangin/kg body weight. At this dose, galangin also prevented the development of insulin resistance and the exaggerated the response to oral glucose challenge. The oxidant-antioxidant balance was maintained by galangin. Micro-albuminuria and tubular and glomerular changes observed in fructose-treated rats were significantly prevented by galangin (100 MUg/kg body weight). These findings imply that galangin potentiates insulin sensitivity and antioxidant capacity and reduces renal damage in this dietary model of metabolic syndrome. PMID- 21062540 TI - The benefits and costs of a master's programme in primary health care: a cross sectional postal survey. AB - BACKGROUND: Master's programmes can provide continuing professional development, equipping GPs to teach, research, and lead general practice. A previous evaluation of the MSc in primary health care found that graduates were contributing significantly to the discipline of general practice. Given the changes in general practice over the last 10 years, it was considered useful to investigate longer-term outcomes. AIM: To assess the benefits GPs have derived from the MSc in terms of the intended learning outcomes and their own plans for involvement in research and teaching. DESIGN OF STUDY: A cross-sectional survey using a postal questionnaire. SETTING: Department of Primary Care and Public Health Sciences, King's College London. METHOD: A postal questionnaire was sent to the graduates of MSc in primary health care from 1997 until 2008. RESULTS: A total of 50 completed questionnaires were returned (response rate 76%). After graduation, 22 GPs had completed another degree or diploma and 21 had work accepted for publication, resulting in 74 papers. Nine held academic posts at lecturer or senior lecturer level, 21 were GP trainers, and 21 undergraduate teachers. Twenty-five GPs held more than one teaching-related post. The majority of the graduates confirmed the attainment of the MSc's intended outcomes. Positive influences of the MSc were identified, including career development, personal development, and job satisfaction. CONCLUSION: Graduates reported a number of benefits to themselves, their practices, and their patients. As the requirements for continuing professional development of GPs become more stringent, and with the advent of revalidation, the current ad hoc approach to career development in general practice is becoming unsustainable. To enhance its credibility as an academic discipline, general practice must continue to develop its capacity for research and scholarship. Master's programmes are likely to have an important role in supporting professional development in general practice in the future. PMID- 21062541 TI - Postnatal women's experiences of management of depressive symptoms: a qualitative study. AB - BACKGROUND: Postnatal depression is a public health problem requiring intervention. To provide effective care, information is needed on the experiences of those with high levels of depressive symptoms who are offered and accept, or decline, psychological intervention postnatally. AIM: To provide the first integrated in-depth exploration of postnatal women's experiences of the identification and management of symptoms of depression and the offer and acceptance of postnatal care by health visitors taking part in the PoNDER trial. SETTING: General practice: primary care within the former Trent regional health authority, England. METHOD: Thirty women with 6-week Edinburgh Postnatal Depression Scale (EPDS) scores >= 18 and probable depression completed semi structured interviews. All women had taken part in the Post-Natal Depression Economic Evaluation and Randomised controlled (PoNDER) trial where intervention group health visitors received training in identification of depressive symptoms and provided psychologically informed sessions based on cognitive-behavioural therapy or person-centred counselling principles. RESULTS: When accepted, psychological sessions were experienced as positive, effective, and 'ideal care'. Women approved of using the EPDS but did not understand the health visitor's role in supporting women. Seeking help and accepting sessions depended on women's perspectives of their health visitor as an individual. CONCLUSION: Women's experience of their health visitors providing psychological sessions to help with postnatal depressive symptoms is highly positive. Women will better accept support from health visitors if they recognise their role in postnatal depression and find them easy to relate to on personal matters. There is a case for specific enhancement of interpersonal skills in health visiting, or alternatively offering a choice of health visitors to women. PMID- 21062543 TI - The future of medical education in the UK. PMID- 21062544 TI - Strengthening general practice in deprived localities. PMID- 21062545 TI - Jumping (or being pushed) from maternity care. PMID- 21062546 TI - Management of postnatal depression in primary care: a window of opportunity. PMID- 21062547 TI - Difficulties in Balint groups: a qualitative study of leaders' experiences. AB - BACKGROUND: Balint groups (BGs) are a means of enhancing competence in the physician-patient relationship and are also regarded as beneficial for GPs' mental health. However, voluntary BGs are still few, some members terminate their participation, and problems are reported in obligatory groups in residency programmes. This raises questions about possible negative aspects of BGs. AIM: To examine difficulties in BGs as experienced by BG leaders. DESIGN OF STUDY: Qualitative study using interviews. SETTING: Eight BG leaders from five countries were interviewed. METHOD: The interviews focused on the informants' experiences of difficulties in their groups and were analysed with a systematic text condensation method. RESULTS: Three categories of difficulties emerged from the analysis: 1) the individual physician having needs, vulnerabilities, and defences; 2) the group (including the leader) having problems of hidden agendas, rivalries, and frames; and 3) the surrounding environment defining the conditions of the group. BGs were found to fit into modern theories of small groups as complex systems. They are submitted to group dynamics that are sometimes malicious, and are exposed to often tough environmental conditions. CONCLUSION: Professionally conducted BGs seem to be a gentle, efficient method to train physicians, but with limitations. Participation of a member demands psychological stability and an open mind. BGs need support from the leadership of healthcare organisations in order to exist. PMID- 21062548 TI - Integrating preconception care for women with diabetes into primary care: a qualitative study. AB - BACKGROUND: National guidelines emphasise the need to deliver preconception care to women of childbearing age. However, uptake of the services among women with diabetes in the UK is low. Questions arising include how best to deliver preconception care and what the respective roles of primary versus secondary caregivers might be. AIM: To explore the perspective of GPs and secondary care health professionals on the role of GPs in delivering preconception care to women with diabetes. DESIGN OF STUDY: Qualitative, cross-sectional study. SETTING: A London teaching hospital and GP practices in the hospital catchment area. METHOD: Semi-structured interviews with GPs and members of the preconception care team in secondary care. Thematic analysis using the framework approach. RESULTS: GPs and secondary care professionals differ in their perception of the number of women with diabetes requiring preconception care and the extent to which preconception care should be integrated into GPs' roles. Health professionals agreed that GPs have a significant role to play and that delivery of preconception care is best shared between primary and secondary care. However, the lack of clear guidelines and shared protocols detailing the GP's role presents a challenge to implementing 'shared' preconception care. CONCLUSION: GPs should be more effectively involved in providing preconception care to women with diabetes. Organisational and policy developments are required to support GPs in playing a role in preconception care. This study's findings stress the importance of providing an integrated approach to ensure continuity of care and optimal pregnancy preparation for women with diabetes. PMID- 21062549 TI - Offering antenatal sickle cell and thalassaemia screening to pregnant women in primary care: a qualitative study of GPs' experiences. AB - BACKGROUND: Timely antenatal sickle cell and thalassaemia (SC&T) screening for all women in primary care facilitates informed decision making, but little is known about its implementation. AIM: To assess the feasibility of offering antenatal SC&T screening in primary care at the time of pregnancy confirmation. DESIGN OF STUDY: Cross-sectional investigation of GPs' beliefs and perceived practices. METHOD: Informal face-to-face interviews with 34 GPs. SETTING: Seventeen inner-city general practices that offered antenatal SC&T screening as part of a trial. RESULTS: GPs identified both barriers and facilitators. Organisational barriers included inflexible appointment systems and lack of interpreters for women whose first language was not English. Professional barriers included concerns about raising possible adverse outcomes in the first antenatal visit. Perceived patient barriers included women's lack of awareness of SC&T. Hence, GPs presented the test to women as routine, rather than as a choice. Organisational facilitators included simple and flexible systems for offering screening in primary care, practice cohesion, and training. Professional facilitators included positive attitudes to screening for SC&T. Perceived patient facilitators included women's desire for healthy children. CONCLUSION: GPs reported barriers, as well as facilitators, to successful implementation but the extent to which screening could be regarded as offering 'informed choice' remained fundamental when making sense of these barriers and facilitators. PMID- 21062550 TI - Deprived areas: deprived of training? AB - Health inequalities are a UK-wide health priority, but previous studies prior to expansion in GP training showed a deficit in training numbers in deprived areas. This study set out to examine whether this is still the case, using 2009 training practice data and the Scottish Index of Multiple Deprivation. Training practices were found to be significantly less deprived and significantly larger when compared with non-training practices. Practices with training status constituted 39% of the least deprived 20% of practices, compared with 23% of the most deprived 25%. The effect of deprivation persisted when practice size was taken into account. PMID- 21062551 TI - Selecting general practice specialty trainees: where next? AB - Selection into GP speciality training is based on results of a multi-method job analysis study. Six key competency domains were identified as priorities to assess through the current national selection process, including empathy, communication, integrity, clinical expertise, problem-solving, and resilience. Each applicant is assessed using clinical problem-solving and situational judgement machine marked tests, followed by high fidelity exercises at regional selection centres. These show good internal reliability and predictive validity, with high correlations with subsequent job performance and outcomes in the MRCGP examinations. Candidate feedback is generally positive, where candidates prefer multiple opportunities to demonstrate their aptitude. When comparing selection methods, candidates perceive high fidelity assessments (for example, a consultation exercise with a simulated patient) as the most job relevant and fair. Emerging data provides opportunities to both streamline and re-engineer the process, so as to optimise efficiency (including cost-effectiveness) while further developing its robustness. Logistic considerations favour delivery of multiple equated versions of machine marked tests in invigilated test centres. As with other assessments in medical education, concerns remain about differential performance between UK and international medical graduates. There is an urgent need to review the job analysis and selection criteria given profound changes in UK general practice taking place over the last decade. PMID- 21062552 TI - QOF. PMID- 21062553 TI - QOF. PMID- 21062554 TI - Colour vision problems. PMID- 21062555 TI - NHS. PMID- 21062556 TI - Antidepressant prescribing. PMID- 21062557 TI - Visual loss. PMID- 21062558 TI - The physician assistant. PMID- 21062560 TI - Rule number one. PMID- 21062559 TI - Academic general practice. PMID- 21062561 TI - But there are no QOF points for Balint work!: its place in modern practice. PMID- 21062563 TI - Liberating the NHS or trapping doctors?: the effects of NHS reform on today and tomorrow. PMID- 21062564 TI - Research governance: assailing a paper mountain. PMID- 21062565 TI - Last but not least: the ethics of the ordinary. PMID- 21062566 TI - Reviewing and writing for InnovAiT. PMID- 21062569 TI - Resuscitation by video in northern communities. AB - OBJECTIVES: This paper describes the set-up of a videoconference system to support resuscitation in remote communities and the outcome of the video support. STUDY DESIGN: A case study examining the use of videoconferencing to lead life support remotely. METHODS: Resuscitations in these communities were led remotely by a physician through videoconferencing. The videoconference unit is set up in the corner of the room for optimal viewing of the patient and the monitors. The keys to success are a secure 512 kbps broadband service, user-friendly videoconference units and appropriate training. RESULTS: Over the past 3 years in Labrador, 6 patients with major trauma, pulseless tachyarrhythmias, cardiogenic shock, septic shock and severe hypothermia were successfully resuscitated. CONCLUSION: Oversight of life support via videoconferencing with the right set-up and training can lead to successful resuscitation in remote communities. PMID- 21062570 TI - Feasibility of videoconferencing in lifestyle group counselling. AB - OBJECTIVES: The rapid increase in the prevalence of type 2 diabetes (T2D) has created an urgent need to develop new practices to prevent and treat it. One possibility is to provide specialists services to remote areas through videoconferencing (VC). Therefore, the aim was to study the feasibility of short term group counselling by a clinical nutritionist (4 sessions at 1.5-hour each at 2-week intervals from baseline, and the session 5 at 6 months) performed by videoconferencing (VC). STUDY DESIGN: We recruited 74 subjects at high risk of T2D, and compiled 5 VC groups (each group included 5-9 subjects, total n=33) and 6 face-to-face groups (FF, total n=44). The subjects were also asked to participate in a follow-up visit 15 months after the last counselling session. METHODS: Data were collected by a questionnaire (satisfaction with group counselling via videoconferencing), by theme interviews (experiences on group counselling) and by metabolic measures (laboratory tests). RESULTS: Only one of the 74 subjects dropped out during the first 6 months. The proportion of subjects who had received social support from group peers was higher in the videoconferencing group than in the face-to-face groups (p=0.001). The experiences of group counselling transmitted by videoconferencing were positive. Waist circumference decreased significantly at 0 to 6 months of counselling (p<0.01), and was significantly lower at 21 months than at baseline in FF groups (p=0.015). However, no significant differences were observed in most of the measurements between VC and face-to-face groups. CONCLUSIONS: Short-term group counselling by a clinical nutritionist through videoconferencing is a feasible way and a practical model to provide specialists services to remote areas, and thus can be used as an option to diminish inequality related to restricted health care services in sparsely inhabited areas. PMID- 21062571 TI - Adherence of Finnish people with glaucoma to treatment plans and connected factors. AB - OBJECTIVES: The aim of the study was to describe the adherence of Finnish people with glaucoma to prescribed treatment plans, the factors connected to adherence and to produce knowledge for developing effective interventions to improve adherence to treatment plans. STUDY DESIGN: This was a cross-sectional study. METHODS: The data (n = 249) were collected at one point in time from Finnish adults diagnosed with glaucoma with a questionnaire covering adherence to treatment. These patients used glaucoma medication and had follow-up appointments with ophthalmologists. RESULTS: Sixty-seven percent (n = 166) of the patients with glaucoma were very adherent to the prescribed treatment plan in terms of self-care, treatment and follow-up visits. Almost all were very adherent to medical care (97%, n = 242). More than half of those who had received information from physicians and nurses were very adherent to treatment (66%, n = 163). Two factors, support from physicians and nurses (p < 0.001) and being informed of the consequences of treatments (p=0.003), had a statistically significant connection to treatment adherence. CONCLUSIONS: This study indicates that people with glaucoma in Finland adhere well to care and exceptionally well to medical care. Support and patient education from health care personnel is crucial to maintain patient adherence to treatment. These results indicate that nurses play a very important role in patient education and support. In practice, it is crucial to maintain this level of patient engagement by developing more tailored and time saving education and support methods. The results of this study could be helpful for developing new patient education and support approaches for people with glaucoma. PMID- 21062572 TI - Managers' perspectives on recruitment and human resource development practices in primary health care. AB - OBJECTIVES: The aim of this study is to describe primary health care managers' attitudes and views on recruitment and human resource development in general and to ascertain whether there are any differences in the views of managers in the southern and northern regions of Finland. STUDY DESIGN: A postal questionnaire was sent to 315 primary health care managers, of whom 55% responded. METHODS: The data were analysed using descriptive statistics and cross-tabulation according to the location of the health centre. RESULTS: There were few differences in managers' attitudes and views on recruitment and human resource development. In the southern region, managers estimated that their organization would be less attractive to employees in the future and they were more positive about recruiting employees abroad. Furthermore, managers in the northern region were more positive regarding human resource development and its various practices. CONCLUSIONS: Although the results are preliminary in nature, it seems that managers in different regions have adopted different strategies in order to cope with the shrinking pool of new recruits. In the southern region, managers were looking abroad to find new employees, while in the northern region, managers put effort into retaining the employees in the organization with different human resource development practices. PMID- 21062573 TI - Characterization of native and denatured ricin using MALDI-TOF/MS. AB - Ricin is a toxic protein present in the seeds of castor bean plant. It can be inactivated by heat; therefore characterization of denatured ricin is essential to differentiate it from native ricin and to avoid any ambiguity in its identification. In this study, potential of mass spectrometry using MALDI—TOF/MS has been exploited to investigate the effects of heat treatment on ricin and spiked food matrices. The molecular weights of ricin, ricin A (A1 and A2) and B chain were found to be 62.8 kDa, 31.2 kDa, 32.5 kDa and 32 kDa respectively. The mass spectrum revealed a polypeptide chain of 11.1 kDa for denatured ricin. The peptide mass fingerprinting showed 24 peptides, six were common both in native and denatured ricin. The differentiating peptide at position 294—318 (m/z 934.533) was observed only in denatured ricin. The three selected marker peptides m/z 1013.6, 1310.7, 1728.9 are chosen for identification of ricin inactivated by heat in spiked apple juice and milk samples by immunocapture analysis. There is always a probability of denatured non— toxic ricin being confused with native (toxic) ricin to create unnecessary panic. Keeping this probability in mind, our study will be of immense value in minimising such risk. PMID- 21062574 TI - Effect of a novel Omegacoeur(r)/Doluperine(r) nutritional combination on human embryonic kidney cell viability. AB - Holistica Laboratories (Eguilles, France) developed the nutritional supplements Omegacoeur(r) and Doluperine(r) based on two of the most ancient and unique dietary health traditions. Omegacoeur(r) is formulated to supply key active components of Mediterranean diet (omega 3,6,9 fatty acids, garlic, and basil) and the formulation of Doluperine(r) was based on the Ayurvedic tradition (curcuma, pepper, ginger extracts). Interestingly, recent studies suggest that an combination of the ingredients supplied by these two supplements could provide additional and previously unanticipated benefit through synergistic actions of some of their key components. However, the effect of such combination on human cell viability has not been investigated. In this present article, a review of the various effects of the individual compounds of the new combination and the reported active doses, and the result of a study of an combination of Omegacoeur(r) / Doluperine(r) on Human Embryonic Kidney (HEK 293) cells. Incremental doses of 4 Omegacoeur(r) / Doluperine(r) combinations prepared so that the molar ratio DHA (Docosahexaenoic acid) in Omegacoeur(r) / curcumin in Doluperine(r) was kept constant, at 2.5 DHA / 1 curcumin, were added to the culture media. After 24h of incubation, cell viability was assessed by the trypan blue exclusion method. The data suggest that the combination of Omegacoeur(r) with Doluperine(r) does not affect HEK 293 cells viability in the range of doses that have demonstrated beneficial effects in earlier studies. PMID- 21062575 TI - Interlaminar differences in the pyramidal cell phenotype in parietal cortex of an Indian bat, cynopterus sphinx. AB - To study interlaminar phenotypic variations in the pyramidal neurons of parietal isocortex in bat (Cynopterus sphinx), Golgi and Nissl methods have been employed. The parietal isocortex is relatively thin in the bat as compared to prototheria with layer III, V and VI accounting for more than two-thirds of total cortical thickness. Thick cell free layer I and thinnest accentuated layer II are quite in connotation with other chiropterids. Poor demarcation of layer III/IV in the present study is also in connotation with primitive eutherian mammal (i.e. prototherian) and other chiropterids. Most of the pyramidal cells in the different layers of the parietal isocortex are of typical type as seen in other eutherians but differ significantly in terms of soma shape and size, extent of dendritic arbor, diameter of dendrites and spine density. Percentage of pyramidal neurons, diameter of apical dendrite and spine density on apical dendrite appear to follow an increasing trend from primitive to advanced mammals; but extent of dendrites are probably governed by the specific life patterns of these mammals. It is thus concluded that 'typical' pyramidal neurons in parietal isocortex are similar in therians but different from those in prototherians. It is possible that these cells might have arisen among early eutherians after divergence from prototherian stock. PMID- 21062576 TI - The alteration of extracellular signal-regulated kinase (ERK) activity of COS7 cells from interphase to mitosis. AB - Although many reports have suggested that ERK1/2 activity is increased in interphase and inhibited in mitosis, the change of ERK1/2 activity from interphase to mitosis is largely undefined. In this study, we examined the alteration of ERK1/2 activity in COS7 cells in response to nocodazole. Nocodazole—treated or nocodazole—untreated COS7 cells were stimulated by EGF. We found that ERK1/2 activity of nocodazole—treated cells was decreased with prolonged time of cells treated with nocodazole while being increased in nocodazole—untreated cells. COS7 cells became round after being treated with nocodazole for 4 hr. And from the time point, ERK1/2 activity was gradually and significantly inhibited. Furthermore, we showed that MEK activity was greatly decreased compared with nocodazole—untreated cells after the cells were treated by nocodazole for 8 hr and then EGF. In addition, the migration of cells was inhibited in response to nocodazole. Taken together, we concluded that ERK1/2 activity was gradually inhibited from interphase to mitosis and the cell migration was affected by nocodazole. PMID- 21062577 TI - 7-ketocholesterol inhibits Na,K-ATPase activity by decreasing expression of its alpha1-subunit and membrane fluidity in human endothelial cells. AB - As cholesterol, oxysterols, can insert the cell membrane and thereby modify the functions of membrane-bound proteins. The Na,K-ATPase is very sensitive to its lipid environment, seems to be involved in important endothelial functions as the regulation of nitric oxide (NO) release. The effects of 7-ketocholesterol , an oxysterol present in oxidized LDL, was investigated on Na,K-ATPase in isolated human endothelial cells. Cells were incubated 24h with lecithin-, cholesterol- or 7-ketocholesterol liposomes (6 MUg/ml). K+-stimulated paranitrophenyl phosphatase activity, reflecting Na,K-ATPase activity, was evaluated as well as cell viability and lipoperoxidation. The expression of Na,K-ATPase subunits mRNAs and membrane fluidity were also investigated. As Na,K-ATPase and nitric oxide seem to be related, we determined the production of NO and the expression of endothelial NO synthase mRNAs. Na,K-ATPase activity was strongly decreased by 7 ketocholesterol. This decrease, not related to lipoperoxidation, was correlated with a decreased expression of the Na,K-ATPase alpha1-subunit messengers and with rigidity of plasma membranes. Cholesterol induced similar effects but was less potent than 7-ketocholesterol. Basal NO production and expression of endothelial NO synthase mRNAs were not modified by 7-ketocholesterol. Our new findings demonstrate that 7-ketocholesterol, used at non toxic doses, was very potent to disrupt the transport of ions by Na,K-ATPase and perturb membrane structure. These data demonstrate that 7-ketocholesterol induces endothelial dysfunction without cell death that may contribute to early events in atherosclerosis. PMID- 21062578 TI - Should we change the focus of health promotion in sexual health clinics? AB - The effectiveness of sexual behaviour change interventions in sexual health clinics is unknown. Risk factors for poor sexual and reproductive health such as depression, violence, alcohol and smoking in sexual health clinics are all common and can be identified easily in sexual health services. Targeting these risk factors could be as effective as traditional sexual health promotion and could have additional benefits. The authors propose a pilot to assess the cost effectiveness and acceptability of incorporating screening and interventions for these risk factors. PMID- 21062579 TI - Gay men's perceptions of sexually transmissible infections and their experiences of diagnosis: 'part of the way of life' to feeling 'dirty and ashamed'. AB - BACKGROUND: Gay men are considerably more likely than their heterosexual peers to be diagnosed with a sexually transmissible infection (STI), yet relatively little has been published on gay men's perceptions of STIs other than HIV. METHODS: Drawing on interviews conducted with Sydney gay men, we analysed perceptions of STIs, and men's experiences of testing and diagnosis. RESULTS: Over half the men in the study had ever been diagnosed with an STI. STIs were generally regarded as inconvenient consequences of sexual activity. Viral, recurring STIs were viewed as being more serious than curable, bacterial STIs. However, all STIs were considered as considerably less important than HIV. Condom use and regular STI testing were the most commonly used strategies to manage the risk of STIs. Despite the relative lack of concern attributed to STIs, being diagnosed with an STI could generate feelings of shame, embarrassment and annoyance. For some men, education campaigns appeared to have helped destigmatise STIs and encourage regular testing. CONCLUSIONS: We believe that to maintain high rates of STI testing among gay men, community education efforts should continue to reduce the stigma associated with STIs and greater support should be offered to gay men when they receive an STI diagnosis. PMID- 21062580 TI - HIV results by phone: can we predict who will test HIV-negative? AB - INTRODUCTION: In order to review the requirement for all patients to return for HIV test results, we sought to describe the number of cases of HIV infection detected at Sydney Sexual Health Centre among people who did not disclose known risk factors before testing. METHOD: The clinic database identified all HIV testing episodes between January 2004 and January 2007, along with gender, gender of sexual partners and test result. Pro-forma medical records were reviewed for each person who tested positive for gender of sexual partners, condom use, and sexual contact with a person from a country known to have a high HIV prevalence and injecting drug use. RESULTS: During the 3-year period, a total of 13 290 HIV tests were performed. In men who have sex with men, 6194 tests were performed and 55 (0.88%) tested positive. In women and heterosexual men 7096 tests were performed, and only four (0.06%) tested positive. All four reported known risks for HIV before testing. CONCLUSION: Clients with no recognised risk factors for HIV are unlikely to test positive at our Australian sexual health clinic. Providing the option for low risk people to obtain their results other than face to face has advantages for both the clinic in terms of service provision and the clients in terms of time and the proportion who receive their result. PMID- 21062581 TI - HIV super-infection beliefs and sexual practices of people living with HIV/AIDS. AB - BACKGROUND: People living with HIV can be reinfected with a new viral strain resulting in potential treatment-resistant recombinant virus known as HIV super infection. Individual's beliefs about the risks for HIV super-infection may have significant effects on the sexual behaviours of people living with HIV/AIDS. HIV super-infection beliefs and sexual behaviours among people living with HIV/AIDS were examined in the present study. METHODS: Three hundred and twenty men, 137 women, and 33 transgender persons completed confidential surveys in a community research setting. RESULTS: A majority of participants were aware of HIV super infection and most believed it was harmful to their health. Hierarchical multiple regressions predicting protected anal/vaginal intercourse with same HIV status (seroconcordant) partners showed that older age and less alcohol use were associated with greater protected sex. In addition, HIV super-infection beliefs predicted protected sexual behaviour over and above participant age and alcohol use. CONCLUSIONS: Beliefs about HIV super-infection exert significant influence on sexual behaviours of people living with HIV/AIDS and should be targeted in HIV prevention messages for HIV infected persons. PMID- 21062582 TI - Establishing a linked sentinel surveillance system for blood-borne viruses and sexually transmissible infections: methods, system attributes and early findings. AB - OBJECTIVE: To describe the attributes and key findings from implementation of a new blood-borne virus (BBV) and sexually transmissible infection (STI) sentinel surveillance system based on routine testing at clinical sites in Victoria, Australia. METHODS: The Victorian Primary Care Network for Sentinel Surveillance (VPCNSS) on BBV and STI was established in 2006 at 17 sites. Target populations included men who have sex with men (MSM), young people and injecting drug users (IDU). Sites collected demographic and risk behaviour information electronically or using paper surveys from patients undergoing routine HIV or STI (syphilis, chlamydia (Chlamydia trachomatis)) or hepatitis C virus (HCV) testing. These data were linked with laboratory results. RESULTS: Between April 2006 and June 2008, data were received for 67 466 tests and 52 042 questionnaires. In clinics providing electronic data, >90% of individuals tested for HIV, syphilis and chlamydia had risk behaviour information collected. In other clinics, survey response rates were >85% (HIV), 43.5% (syphilis), 42.7-66.5% (chlamydia) and <20% (HCV). Data completeness was >85% for most core variables. Over time, HIV, syphilis and chlamydia testing increased in MSM, and chlamydia testing declined in females (P = 0.05). The proportion of positive tests among MSM was 1.9% for HIV and 2.1% for syphilis. Among 16-24-year-olds, the proportion positive for chlamydia was 10.7% in males and 6.9% in females. Among IDU, 19.4% of HCV tests were antibody positive. CONCLUSIONS: The VPCNSS has collected a large, rich dataset through which testing, risk behaviours and the proportion positive can be monitored in high-risk groups, offering a more comprehensive BBV and STI surveillance system for Victoria. Building system sustainability requires an ongoing focus. PMID- 21062583 TI - The effect of drug and sexual risk behaviours with social network and non-network members on homeless youths' sexually transmissible infections and HIV testing. AB - BACKGROUND: The study examined whether engaging in drug and sexual risk behaviours with social network and non-network members (strangers) differentially affected the decision to test for sexually transmissible infections (STIs) and HIV. METHODS: A cross-sectional survey was conducted among 249 homeless youths aged 14-21 years. RESULTS: Multivariate analyses revealed that females were over three times more likely than males to test for STIs (adjusted odds ratio (AOR) = 3.34; 95% confidence interval (CI) = 1.54-7.25). For every one unit increase in age, there was a 37% increase in the likelihood of having tested for STIs (AOR = 1.37; 95% CI = 1.12-1.68). Youths who had sex after using alcohol and drugs with strangers were approximately 3.5 times more likely to have tested for STIs (AOR = 3.45; 95% CI = 1.38-8.61). For every one unit increase in age, there was a 26% increase in the likelihood of having tested for HIV (AOR = 1.26; 95% CI = 1.05 1.51). Youths who had sex with a stranger after using alcohol or drugs were over three times more likely to test for HIV (AOR = 3.22; 95% CI = 1.42-7.31). No social network variables reached significance for STI or HIV testing. CONCLUSIONS: Being older and engaging in drug and sexual risk behaviours with strangers are important correlates of STI and HIV testing. Females are more likely than males to be tested for STIs. Engaging in risky behaviours with social network members was not a key factor in deciding whether to be tested. PMID- 21062584 TI - Household-level correlates of condom use among a representative sample of Canadian adolescents. AB - BACKGROUND: The relationship between an adolescent's micro-environment (e.g. the home) and the likelihood of engagement in sexual risk behaviour is poorly understood. Therefore, we sought to examine the household-level correlates of condom use at last intercourse among a nationally representative sample of Canadian adolescents aged 15 to 19. METHODS: Using data from the 2005 Canadian Community Health Survey, we conducted logistic regression analyses to determine whether factors related to characteristics of the household environment were associated with self-reported condom use at last intercourse. RESULTS: Among 3974 sexually active adolescents, condom use at last intercourse was reported by 74.8%. After adjusting for household education and income, participants who reported living in larger dwellings were less likely to report condom non-use, while those reporting greater numbers of persons in the household were more likely to report condom non-use. Other significant correlates of condom non-use included older age, female sex, alternative birth control methods and having a weak sense of community belonging. CONCLUSION: Our results demonstrate that factors related to the household environment are independently associated with condom use among adolescents. Policies and programs that aim to promote condom use should seek to address issues such as privacy, which may limit adolescents' ability to engage in safer sexual practices. PMID- 21062585 TI - Evaluation of clinical management of gonorrhoea using enhanced surveillance in South East Queensland. AB - BACKGROUND: Gonorrhoea is the second most common notifiable sexually transmissible infection (STI) in Queensland. Notifications have been increasing since 2002. Enhanced surveillance was undertaken in this study in order to evaluate clinical management and add to understanding of the epidemiology of gonorrhoea and in South East Queensland. METHODS: Information on clinical management and an enhanced surveillance form were faxed to clinicians who notified gonorrhoea in the Brisbane Southside Population Health Unit area from 2003 to 2008. Ceftriaxone was recommended for treatment of gonorrhoea cases, as was simultaneous treatment for chlamydia, testing for other STIs and management of sexual contacts. Enhanced surveillance focussed on collecting more detailed epidemiological and clinical management information. RESULTS: A total of 909 enhanced surveillance forms were returned (response rate 72.2%). The use of ceftriaxone increased significantly over the study period from 31.3% in 2003 to 68.4% in 2008 (P < 0.05). However, there remained a considerable proportion of cases that did not receive ceftriaxone (31.6% in 2008). Simultaneous treatment for chlamydia was reported for 70.5% of cases and did not increase over the study period. A high proportion of males were not screened for high risk co-infection such as HIV (49.6%) and syphilis (51.7%). Contact tracing was initiated for 76.5% of cases and did not increase during the study period. CONCLUSIONS: Continued education of clinicians on treatment guidelines is needed. Screening of other STIs such as HIV in males with gonorrhoea and increasing contact tracing were identified as aspects of clinical management for future improvement. Overall this study provides useful insights into the clinical management of gonorrhoea in South East Queensland. PMID- 21062586 TI - Seroprevalence of herpes simplex virus type 1 and type 2 among the Indigenous population of Cape York, Far North Queensland, Australia. AB - BACKGROUND: The objective of this study was to obtain representative seroprevalence data for the Indigenous population of Far North Queensland by measuring the age- and sex-specific seroprevalence of the herpes simplex viruses (HSV-1 and HSV-2) in Cape York. METHODS: A cross-sectional seroprevalence study was conducted using de-identified serum samples collected from Indigenous patients living in Cape York, aged 16 years or older, who sought medical care between August 2007 and May 2008. An age- and sex-stratified random sample of 270 sera was tested for the presence of antibodies to HSV-1 and HSV-2 using commercially available enzyme-linked immunosorbent assays. Indeterminate results were resolved with western blot. RESULTS: The overall seroprevalence for the Indigenous population of Cape York was 97.8% for HSV-1 and 58.5% for HSV-2. There was a statistically significant difference in HSV-2 seroprevalence according to sex (P < 0.001). Females were more likely to be HSV-2 seropositive compared with males (72.1% and 43.8%, respectively). CONCLUSIONS: This is the first study to report on the seroprevalence of HSV-1 and HSV-2 among the Indigenous population of Cape York. This study has identified a population with an extremely high prevalence of HSV-1 and HSV-2 infection. The seroprevalence of HSV-2 in this population was found to be five times higher than that reported for the general adult Australian population. These results will be invaluable to the implementation of appropriate prevention and control strategies against HSV infection and are especially important considering the strong association between HSV-2 and the acquisition and transmission of HIV. PMID- 21062587 TI - Computer-assisted survey of attitudes to HIV and sexually transmissible infection partner notification in HIV-positive men who have sex with men. AB - BACKGROUND: HIV infection continues to rise in men who have sex with men (MSM) in the UK. Of concern are the high rates of sexually transmissible infections (STI) among HIV-positive MSM, as this is associated with onward HIV transmission. Conventional partner notification (PN) may be limited in this group by the presence of multiple non-contactable partners and the fear of breach of HIV status. METHODS: We explored attitudes to PN in HIV-positive MSM having an STI screen using a computer-assisted self interview. RESULTS AND CONCLUSION: Our study shows HIV+ MSM, rate conventional methods of PN highly (median rating 8/10) but are also supportive of new approaches to PN particularly anonymous email when linked to website information. They would also be open to targeted interventions such as peer recruitment. PMID- 21062588 TI - Minimal impact of circumcision on HIV acquisition in men who have sex with men. AB - BACKGROUND: Men who have sex with men (MSM) are disproportionately affected by HIV. The proven efficacy of circumcision in reducing the risk of HIV acquisition among African heterosexual males has raised the question of whether this protective effect may extend to MSM populations. We examined the potential impact of circumcision on an HIV epidemic within a population of MSM. METHODS: A mathematical model was developed to simulate HIV transmission in an MSM population. The model incorporated both circumcision and seropositioning, and was used to predict the reduction in HIV prevalence and incidence as a result of the two interventions. Estimates for the time required to achieve these gains were also calculated. RESULTS: We derive simple formulae for the decrease in HIV prevalence with increased circumcision. Our model predicts that if an initially uncircumcised MSM population in a developed country with a baseline HIV prevalence of 10% underwent universal circumcision, HIV incidence would only be reduced to 95% of pre-intervention levels and HIV prevalence to 9.6% after 20 years. In the longer term, our model predicts that prevalence would only decrease from 10% to 6%, but this would take several generations to achieve. The effectiveness of circumcision increases marginally with higher degrees of seropositioning. CONCLUSIONS: The results of these calculations suggest that circumcision as a public health intervention will not produce a substantial decrease in HIV prevalence or incidence among MSM in the near future, and only modest reductions are achievable in the long-term. PMID- 21062589 TI - Individual, familial and extra-familial factors associated with premarital sex among Bangladeshi male adolescents. AB - BACKGROUND: A national survey found that more than one-tenth of unmarried Bangladeshi adolescents were sexually experienced and much of this experience involves high-risk behaviours such as unprotected sexual intercourse with commercial sex workers. However, very few studies have explored the factors that contribute to premarital sex among Bangladeshi adolescents. METHODS: Data are from the 2004 Adolescent Reproductive Health Communication Midline Survey, a national survey in Bangladesh. Multivariate statistical methods were applied to identify risk and protective factors for premarital sex among Bangladeshi male adolescents. RESULTS: Among 1048 unmarried males between ages of 15 and 19 years, 12.8% reported ever having had sex. Multivariate analyses demonstrated that, in terms of the self system, having plans to study in the future were associated with a lower risk of engaging in premarital sex (odds ratio (OR) = 0.38; 95% confidence interval (CI) = 0.25-0.59) while knowledge of reproductive health and life skills did not have a strong influence. Strong influences of both familial and extra-familial system were observed. Respect for parents' values and beliefs about sex was associated with decreased odds of premarital sex (OR = 0.39; 95% CI = 0.26-0.59). 'Ever talked with friends about sex-related issues' was associated with threefold increased odds and an increase in peer influence score was associated with increased odds of premarital sex. CONCLUSIONS: These results demonstrate that premarital sex among Bangladeshi male adolescents was influenced by numerous factors, and they should be taken into account in programs aiming to delay the age of sexual debut. PMID- 21062590 TI - Chlamydia at an inner metropolitan sexual health service in Sydney, NSW: Australian Collaboration for Chlamydia Enhanced Sentinel Surveillance (ACCESS) Project. AB - BACKGROUND: Australia has a widely dispersed network of public sexual health services that test large numbers of people from high prevalence populations for genital Chlamydia trachomatis infection. These populations include young sexually active heterosexuals, men who have sex with men, sex workers and Aboriginal and Torres Strait Islander people. The Australian Collaboration for Chlamydia Enhanced Sentinel Surveillance (ACCESS) Project was established to monitor chlamydia testing rates and positivity rates at a national level, which in turn will help interpret trends in chlamydia diagnoses reported through passive surveillance. The ACCESS Project is the first time that chlamydia-related data including priority population and testing denominators has been collated at a national level. The present paper reports on chlamydia testing and positivity rates in a sexual health service in the inner west of Sydney between 2004 and 2008 and compares these to published national data from the ACCESS Project in sexual health services. METHODS: Chlamydia positivity and testing rates at an inner western Sydney sexual health service were compared with aggregate data from the ACCESS Project obtained from 14 sexual health services across Australia. Using a standardised extraction program, retrospective de-identified line-listed demographic and chlamydia testing data on all patients were extracted from patient management systems. RESULTS: Over the 5-year period, 5145 new patients attended the inner-west sexual health service. Almost 66% had a chlamydia test at first visit and there was no significant difference in this testing rate when compared with the ACCESS Project national rate for sexual health services (67.0%; odds ratio [OR] 0.94, 95% confidence intervals 0.88-1.00). The testing rate increased over time from 61% in 2004 to 70% in 2008. There were 281 chlamydia diagnoses at this service, giving an overall chlamydia positivity rate of 9.3%, significantly higher than the ACCESS Project national rate of 8.2% (OR 1.16, 95% confidence intervals 1.02-1.32). DISCUSSION: Testing rates were similar and positivity rates for Chlamydia trachomatis were higher in this sexual health service in Sydney than national trends. PMID- 21062591 TI - Chlamydia testing in general practice in Australia. AB - OBJECTIVES: We aimed to ascertain how frequently Australian general practitioners (GPs) test patients for chlamydia and to determine GP, patient and encounter characteristics where tests occurred. METHODS: We identified all GP, patient and encounter characteristics associated with higher testing rates, April 2000 to March 2007, using the Bettering the Evaluation and Care of Health data. Multiple logistic regression was used to measure the effect of each GP, patient and encounter characteristic. RESULTS: Data were available for 689 000 encounters from 6890 GPs, of which 2236 were test encounters. Testing rates increased significantly between 2000 and 2007 (P < 0.0001). The rate of testing was higher for female patients (4.2 per 1000, 95% confidence interval (CI): 3.8-4.5) than males (2.0; 95% CI: 1.8-2.2). Predictors of higher chlamydia testing were: female GP (adjusted odds ratio (AOR): 1.84; 95% CI: 1.60-2.1); GP age (<35 v. 55+, 2.17; 95% CI: 1.65-2.85); practice in a major city (1.34; 95% CI: 1.18-1.52); large practice (5+ GP practice v. solo, 1.69; 95% CI: 1.27-2.25); graduated in Australia (1.22; 95% CI: 1.04-1.44); patient sex and younger age, being new to the practice (1.65; 95% CI: 0.47-1.86), Indigenous (3.46; 95% CI: 2.64-4.54), late in the study (twice as likely in 2006-07 than in 2000-01) and 'opportunity to test' (AOR: 32.25; 95% CI: 27.25-38.16). CONCLUSIONS: Chlamydia testing rates have increased in general practice in Australia, with higher rates in females. Initiatives to overcome barriers to testing (especially for male patients and older male GPs) need to be established and evaluated. PMID- 21062592 TI - Screening university students for genital chlamydial infection: another lesson to learn. AB - BACKGROUND: Genital chlamydial infection is the most common bacterial sexually transmissible infection in Britain. However, the magnitude of the problem at a university setting has not been sufficiently explored. The objective of the present study was to assess the acceptability and the feasibility of Chlamydia trachomatis opportunistic screening at the University of Exeter, England, UK. METHODS: A cross-sectional study at the University of Exeter was performed. Study posters were placed in the campuses inviting the students to participate in the study. C. trachomatis screening flyers were offered to consecutive male and female students visiting the university health centre. Participants were given an information sheet and asked to complete a demographic and sexual health questionnaire. Following informed consent, a first void urine specimen was collected from male participants and a self-administered vaginal swab was collected from female participants. Specimens were tested for C. trachomatis using nucleic acid amplification test. RESULTS: Of the 250 students offered chlamydia study slips in the university health centre, 88 (35.2%) agreed to participate in the screening. Six of them were ineligible for the study. A further nine students volunteered to participate in the screening through reading study posters in the university campuses, and three of them were ineligible. A total of 88 students (66 female and 22 male) were screened for C. trachomatis and three of them (3.41%; 95% confidence interval 0.71%-9.64%) tested positive. All of the positive participants were offered treatment and partner notification. The majority of the screened students (96.5%) had had at least one sexual partner in the past 3 months. CONCLUSION: Opportunistic screening for C. trachomatis in the university can identify and treat infected students. However, the uptake rate was low. More efforts are needed to determine the best ways of engaging students in the screening. PMID- 21062593 TI - HIV is rare among low-risk heterosexual men and significant potential savings could occur through phone results. AB - BACKGROUND: The legislation in Victoria requires HIV-positive results to be given in person by an accredited health professional. Many sexual health clinics require all men to receive HIV results in person. Our aim was to determine the proportion of low-risk heterosexual men at a sexual health centre who tested HIV positive. METHODS: The electronic data on all HIV tests performed between 2002 and 2008 on heterosexual men at the Melbourne Sexual Health Centre (MSHC) was reviewed. The individual client files of all heterosexual men who tested HIV positive were reviewed to determine their risks for HIV at the time that the HIV test was ordered. RESULTS: Over the 6 years there were 33 681 HIV tests performed on men, of which 17 958 tests were for heterosexual men. From these heterosexual men, nine tested positive for the first time at MSHC (0.05%, 95% confidence interval (CI): 0.01%, 0.09%). These nine cases included six men who had had sex with a female partner from the following countries: Thailand, Cambodia, China, East Timor, Botswana and South Africa. Two men had injected drugs and one had a HIV-positive female partner. Of the 17 958 test results for heterosexual males, 14 902 (83% 95% CI: 84%, 86%) test results were for men who did not have a history of intravenous drug use or had sexual contact overseas. Of these 14 902 low-risk men, none tested positive (0%, 95% CI: 0, 0.00025). CONCLUSION: Asking the 83% of heterosexual men who have an extremely low risk of HIV to return in person for their results is expensive for sexual health clinics and inconvenient for clients. We have changed our policy to permit heterosexual men without risk factors to obtain their HIV-negative results by phone. PMID- 21062594 TI - Pelvic examination leads to changed clinical management in very few women diagnosed with asymptomatic chlamydia infection. AB - The present study aimed to determine whether pelvic examinations change clinical management of women with asymptomatic chlamydia infection. Records for women with asymptomatic chlamydia who underwent a pelvic examination at a sexual health clinic in Melbourne, Australia (January 2006 to June 2007) were analysed retrospectively. Of 91 cases, 31 (34%) warranted examination; one woman (1%; 95% confidence interval: 0.5%, 6.4%) had muco-purulent cervicitis and mild tenderness, and was treated for possible pelvic inflammatory disease. These data suggest that a pelvic examination will lead to changes in treatment for very few women diagnosed with asymptomatic chlamydia infection. PMID- 21062595 TI - Chronic, recurrent neutrophilic dermatosis: a case report. AB - Sweet syndrome is a reactive neutrophilic dermatosis that develops in response to various systemic illnesses. The cutaneous manifestations include an acute eruption of painful, edematous papules, plaques, pustules, or vesicles associated with fever and other constitutional symptoms. Although the etiology cannot always be determined, Sweet syndrome most commonly arises in reaction to systemic illnesses, such as infections, inflammatory bowel disease, medications, and malignancies. We report a case of chronic, recurrent Sweet syndrome lasting over 15 years in a patient with no identifiable underlying illness. PMID- 21062596 TI - Loffler syndrome caused by extensive cutaneous larva migrans: a case report and review of the literature. AB - In rare cases, cutaneous larva migrans may be complicated by Loffler syndrome. This syndrome is thought to result from a type I hypersensitivity reaction related to the pulmonary larval migration phase of various parasites. It is characterized by migratory pulmonary eosinophilic infiltrates and peripheral eosinophilia, with malaise, fever, and cough. Our patient was successfully treated with ivermectin, a corticosteroid cream, and inhalation medication in an early phase, which prevented complications. We present the details of this case and review the literature. PMID- 21062597 TI - Oral manifestations of pachyonychia congenita. AB - Pachyonychia congenita is a rare genetic disorder characterized mainly by hypertrophy of the nails and hyperkeratosis of the skin and mucosae. Fifty percent of all patients have oral leukokeratosis, which is often painful. The case reported here is of a 41-year-old patient who had white lesions in the form of irregular plaques; these affected multiple regions of the oral mucosa and were sensitive to touch. Histological examination revealed acanthosis, parakeratosis and ballooning of the epithelial cells, consistent with oral leukokeratosis. After therapy including topical steroids and prosthetic rehabilitation, the symptoms resolved. PMID- 21062598 TI - Syringocystadenoma papilliferum in an unusual location beyond the head and neck region: a case report and review of literature. AB - A case of syringocystadenoma papilliferum with multiple papulonodules in a linear fashion located in an unusual location of the right lower abdomen is presented. The presence of a large tumor at the inferior pole raised the suspicion of malignant transformation and the presence of discharge from the lesions raised the possibility of necrosis. However, histopathological examination showed the classical features of syringocystadenoma papilliferum without malignant transformation or tumor necrosis. The patient refused to undergo surgical excision of the nodules and subsequently was lost to follow-up. This case illustrates the atypical location of a rare disease and adds to the differential diagnosis of linear verrucous lesions on the abdomen. Review of all the cases with syringocystadenoma papilliferum outside the head and neck region in the English literature showed only one case of syringocystadenoma papilliferum arising on the abdomen; our patient is the second reported case with the unique feature of linear arrangement of lesions. PMID- 21062599 TI - Acquired fibrokeratoma presenting as multiple plantar nodules. AB - Acquired digital fibrokeratoma is a rare benign fibroepithelial tumor that typically presents as a solitary asymptomatic nodule on the finger or toe. Middle aged adults are most commonly affected. Here we discuss an unusual case of acquired digital fibrokeratoma presenting as a cluster of multiple nodules on the sole of a 15-year-old boy. PMID- 21062600 TI - Cutaneous Langerhans cell histiocytosis in an elderly woman. AB - Langerhans cell histiocytosis (LCH) is a clonal proliferative disorder of Langerhans cells typically seen in infants and children. Rare adult cases usually have systemic involvement. We report an uncommon case of skin-limited LCH in an elderly woman, who is to our knowledge one of the oldest patients reported with this condition. PMID- 21062601 TI - [Oleoma: A case report with good response to tetracycline]. AB - Oleoma or paraffinoma is defined as a foreign body granuloma that results from the injection of oily substances into the skin or subcutaneous tissue. We present a young patient with ulcerated lesions secondary to infiltration of oily material. He had undergone the injections with the aim of increasing muscle mass and definition. The treatment of these cases is quite complex, often with unsatisfactory results. The use of long-term oral tetracycline proved to be helpful. PMID- 21062602 TI - Severe retention hyperkeratosis occurring with Susac syndrome. AB - A 50-year-old woman presented for asymptomatic yellow hyperkeratotic plaques limited to her face. The plaques reportedly arose over the six months prior to her clinic visit. She was healthy prior to the diagnosis of Susac syndrome (retinocochleocerebral vasculopathy) two years before. A punch biopsy was performed and revealed retention hyperkeratosis. Retention hyperkeratosis is a benign and commonly seen skin condition in primary care and dermatology. Retention hyperkeratosis occurs when there is abnormality of routine desquamation that can be associated with poor hygeine. It can be associated with acne or ichthyosis. Our case of retention hyperkeratosis is unique because of the profound presentation in a setting of an untreated psychiatric condition. Treatment consisted of daily topical exfoliative care. We also encouraged her family members to help her to seek medical attention for Susac syndrome. PMID- 21062603 TI - Bilobed flap for reconstruction of defects of the helical rim and posterior ear. AB - When contemplating the repair of defects involving the ear, second intention healing and full or split thickness skin grafts are often considered for reconstructive choices. Closing the combined full thickness helical rim and posterior ear defects, however, represents a reconstructive challenge because of the lack of available freely mobile skin anteriorly, superiorly, and inferiorly to the defect. The choice of the bilobed flap circumvents this challenge by rotating the skin and recruiting the tissue from the post-auricular sulcus, the lower pole of the posterior ear, and, if necessary, from the superior area of the neck adjacent to the posterior ear. This bilobed flap also enables an inconspicuous closure of the donor site and provides sufficient blood supply to ensure flap viability in a simple one-stage repair. Herein, we describe two cases in which we used the bilobed flap to rotate skin from the post-auricular surface to reconstruct full thickness skin defects involving the helical rim and posterior ear, with excellent cosmetic results. PMID- 21062604 TI - Vulvar puritus for one year. AB - A 60-year-old menopausal female presented with vulvar itching for one year. She had noticed a whitish lesion on the vulva that slowly increased in size over the year. She had been unsuccessfully treated with oral fluconazole and topical clotrimazole-mometasone combinations and the plaque had gradually spread to involve the clitoris and peri-urethral area. She was neither diabetic nor hypertensive and had no other systemic complaints. Examination revealed a well defined non-tender whitish plaque situated on her left labia minora and clitoris (Figure 1). A swab from the plaque did not grow any organism. Routine blood chemistry including a VDRL and HIV ELIZA were within normal limits. A punch biopsy from the lesion was taken and histopathology findings were as seen in (Figures 2 and 3). PMID- 21062605 TI - A 5-year-old boy with comedo-like lesions on the right buttock. AB - A healthy, 5-year-old boy presented with cutaneous lesions on the right buttock, evident at birth. A physical examination revealed plugged pores in a linear distribution with the appearance of comedones and scar areas. Histopathological exam revealed multiple atrophic cystically dilated hair follicles containing abundant keratinous debris and small projections of epithelial cells extending from the wall of the cyst into the surrounding dermis, compatible with nevus comedonicus. PMID- 21062606 TI - Exophytic and ulcerated lesion on the leg. AB - A healthy, 34-year-old male presented with a 1-year history of an exophytic lesion on the leg during. On physical examination there was a 2 cm x 3 cm diameter nodule with pinkish, crusted ulceration on its surface; it was attached to skin by a pedicle. It had grown rapidly in the last month. After surgical excision the histological study confirmed the diagnosis of a polypoid dermatofibroma. PMID- 21062607 TI - Incontinentia Pigmenti with vesicular stage in utero. AB - Incontinentia pigmenti (IP) is a genodermatosis with a characteristic evolution of skin lesions. Most patients present with vesicles at birth or within the first weeks of life. We report a case of a female infant with genetically confirmed sporadic IP who presented with verrucous and hyperpigmented lesions with no previous vesicular stage. PMID- 21062608 TI - Tunga Penetrans--egg head? PMID- 21062609 TI - Disseminated superficial porokeratosis and pyoderma gangrenosum. AB - Disseminated Superficial Actinic Porokeratosis (DSAP) is usually triggered by sun exposure. In some cases sun exposure is not essential and this skin disease is related to immunosuppression. Many associated diseases are described in the literature. We report a clinical case of a patient affected by pyoderma gangrenosum, who developed DSAP. PMID- 21062610 TI - Multiple keratoacanthoma centrifugum marginatum. AB - Keratoacanthoma centrifugum marginatum (KCM) is a rare variant of keratoacanthoma characterized by a progressive peripheral growth with concomitant central healing. We report here a case of multiple KCM of the lower legs in a 48-year-old man. The lesions had progressively evolved over 3 years. They were multiple asymptomatic and confluent annular plaques of 5 to 20 cm, having papulo-nodular with hyperkeratotic and crusted borders and cicatricial center. Within the centers were numerous firm and pigmented minipapules of 1 to 2 mm. The typical clinical aspect, together with characteristic histological features confirmed the diagnosis of KCM. Herein we will highlight the clinical and histological features of KCM, as well as the different effective treatments. We will also briefly discuss KCM among the other types of keratoacanthomas. PMID- 21062611 TI - Using new antipsychotics in your clinical practice. PMID- 21062612 TI - Individualizing treatment for patients with schizoaffective disorder. AB - Compelling diagnostic definitions and evidence-based treatment recommendations for schizoaffective disorder are lacking, but clinicians can still develop an effective, individualized treatment regimen for patients with this condition. The steps necessary to help patients with schizoaffective disorder reach and maintain remission are to confirm the diagnosis, evaluate the patient's predictors of outcome, be aware of the available pharmacotherapeutic options and prescribe appropriate medications, and implement psychotherapy when patients achieve remission. In this brief activity, these essential steps are discussed and treatment recommendations are offered. PMID- 21062613 TI - Nosology, diagnostic challenges, and unmet needs in managing bipolar disorder. AB - The spectrum of bipolar disorders includes the subtypes of bipolar I disorder, bipolar II disorder, cyclothymic disorder, and bipolar disorder not otherwise specified (NOS). Because depression is the most pervasive symptom of bipolar disorder, this condition is frequently misdiagnosed as unipolar major depressive disorder. As a result, patients often experience substantive delays in receiving the correct diagnosis and appropriate treatment. To help meet this important diagnostic challenge, various markers have been identified that have predictive value for a bipolar outcome, including early onset of depression, family history of bipolar disorder, atypical depressive symptoms, and the presence of psychosis. Unmet needs in the management of bipolar disorder include an enhanced diagnostic process, more options for treating bipolar depressive episodes, and safer, more tolerable medications for long-term maintenance treatment. PMID- 21062614 TI - The role of neurobiologic processes in treating depression. AB - Current antidepressants work by directly affecting the neurotransmission of serotonin and norepinephrine. These neurotransmitters modulate regions of the brain associated with depression and affect the expression of genes involved in neurogenesis and neuroprotection. Treatment targets for the development of future antidepressants include medications with novel mechanisms of action, such as glutamate receptor antagonists, that may alter the stress response of gene activity and provide neuroprotection to patients with depression. PMID- 21062615 TI - Antidepressants and body weight: a comprehensive review and meta-analysis. AB - OBJECTIVE: Psychotropic drugs often induce weight gain, leading to discomfort and discontinuation of treatment and, more importantly, increasing the risk of obesity-related illnesses such as diabetes mellitus, hypertension, and coronary heart disease. There is evidence that antidepressant drugs may induce a variable amount of weight gain, but results are sparse and often contradictory. DATA SOURCES: We performed a literature search using the MEDLINE, ISI Web of Knowledge, and Cochrane research databases for all publications available to January 2009. We used the following keywords: antidepressant, psychotropic drugs, body weight, weight gain, obesity, overweight, adverse event, side effects, SSRIs, tricyclic antidepressants, and the name of each antidepressant active compound together with body weight or other keywords. Studies reporting body weight changes during treatment with different antidepressants were selected for eligibility. Finally, 116 studies were included in the analysis. DATA EXTRACTION: Weight change mean and standard deviation and size of each group were recorded. Missing means and standard deviations were directly calculated by using information available in the article when possible. Non-placebo-controlled studies were compared to a virtual placebo sample, whose mean and standard deviation were derived by the weighted mean of means and standard deviations of all placebo samples. Methodological quality of studies, heterogeneity, publication bias, and effect of treatment duration were systematically controlled. DATA SYNTHESIS: Quantitative results evidenced that amitriptyline, mirtazapine, and paroxetine were associated with a greater risk of weight gain. In contrast, some weight loss occurs with fluoxetine and bupropion, although the effect of fluoxetine appears to be limited to the acute phase of treatment. Other compounds have no transient or negligible effect on body weight in the short term. However, the effect of each antidepressant may vary greatly depending on an individual's characteristics and generally became more evident in the long term to a variable degree across compounds. CONCLUSIONS: Despite the fact that some analyses were done on only a few studies due to the difficulty of finding reliable information in literature, to our knowledge, this is the first comprehensive meta-analysis to allow comparison of different antidepressants as regards their impact on body weight. Data presented may be helpful for a more accurate treatment selection in patients at risk of obesity or related medical illness. PMID- 21062616 TI - Use of clinical markers to identify metabolic syndrome in antipsychotic-treated patients. AB - OBJECTIVE: Metabolic syndrome (MetS) is prevalent among antipsychotic-treated patients; however, in psychiatric clinics, scarce resources often limit the feasibility of monitoring all 5 criteria that are necessary for diagnosing MetS. As one goal of the MetS definition is to facilitate the clinical identification of insulin-resistant individuals, other biomarkers of insulin resistance have been explored. However, there are relatively few data from antipsychotic-treated patients, especially on the association between these markers and the clinical MetS diagnosis. METHOD: We analyzed data from 196 psychiatric patients over age 40 years enrolled in an ongoing study of antipsychotic-related metabolic effects that began in August 2005. In addition to anthropometric measures and MetS criteria, levels of certain metabolism-related peptides (ghrelin, adiponectin, peptide YY, leptin, and insulin) were measured. The utility of these clinical and metabolic markers to identify individuals with MetS was evaluated by constructing receiver operating characteristic curves. Optimal cutoff values were calculated for markers with the greatest area under the curve on the basis of sensitivities and specificities for MetS diagnosis. RESULTS: Ninety-nine subjects (50.5%) met MetS criteria. The receiver operating characteristic analysis found that waist circumference, triglyceride to high-density lipoprotein (TG:HDL) ratio, and body mass index had the greatest area under the curve. The waist circumference cutoff value of 40 inches, TG:HDL ratio of 2.6, and body mass index of 28 kg/m2 yielded sensitivities and specificities of 73% and 80%, 74% and 78%, and 75% and 74%, respectively, for MetS diagnosis. CONCLUSIONS: Waist circumference, TG:HDL cholesterol ratio, or body mass index could be used as screens for identifying possible MetS in antipsychotic-treated patients to prompt complete investigation into all MetS criteria. TRIAL REGISTRATION: clinicaltrials.gov Identifier: NCT00245206. PMID- 21062617 TI - Challenges and solutions in developing new medications for Schizophrenia. AB - Of the 24 million people with schizophrenia worldwide, fewer than 50% receive appropriate care.1 Even patients with access to the best available treatments suffer from significant functional and social deficits.2 This article is based on a teleconference that discussed issues related to the development of new treatments for schizophrenia. PMID- 21062618 TI - A case of mirtazapine-associated hair loss. PMID- 21062619 TI - Suicide and attempted suicide in Tourette's syndrome: a case series with literature review. PMID- 21062620 TI - Homicide and dementia in older adults: the key role of dysexecutive function. PMID- 21062622 TI - Neuroprotective effects of moderate aerobic exercise on the spastic Han-Wistar rat, a model of ataxia. AB - Research has shown that physical exercise may reduce degeneration in certain brain regions experiencing ataxia. Our laboratory utilized mutant spastic Han Wistar rats (sHW) that display developmental abnormalities, including spastic paresis, fore limb tremors, hind limb rigidity, and a reduced life span (60-65 days of age). Concomitant neurodegeneration has been observed in the cerebellum (Purkinje cells). The purpose of this study was to investigate if moderate, aerobic exercise could reduce Purkinje cell neurodegeneration and improve the motor ability and survival of the mutant sHW rat. Mutant male littermates at the ages of 20 (n=11 pairs) and 30 (n=13 pairs) days old were divided into running groups and non-running groups. Mutant rats were run on a motorized treadmill at the rate of 15 m/min with a 10% slope. The "running" group ran for 30 min per day, 5 days a week; the "non-runners" remained nearby in the training facility. These conditions were held constant until the mutant runners could no longer run due to disease progression. Moderate exercise increased the lifespan of running mutant rats in both the 20-day start group (14% increase) and 30-day start group (13% increase). The rats exhibited improved motor function as open-field tests showed higher activity scores for runners after 50 days. Histological examination of the cerebellum revealed a 62% increase in Purkinje cell survival of the runners. These results suggest that aerobic exercise ameliorates, at least partially, cerebellar dysfunction in the sHW rat, an excellent model of ataxia. PMID- 21062621 TI - The treatment of TBI with human marrow stromal cells impregnated into collagen scaffold: functional outcome and gene expression profile. AB - We have previously demonstrated that human marrow stromal cells (hMSCs) embedded in collagen I scaffolds significantly enhance the restorative therapeutic effect of hMSCs after traumatic brain injury (TBI). In this study, we test the hypothesis that the collagen scaffold alters gene expression in hMSCs and that hMSCs impregnated into scaffolds increase the astrocytic expression of vascular endothelial growth factor (VEGF) in the injured brain. Following TBI induced by controlled cortical impact injury, scaffold with hMSCs (3.0*10(6)), hMSCs-only and saline were implanted into the lesion cavity one week after brain injury (n=8/each group). Morris water maze and modified neurological severity scores were performed to evaluate the spatial learning and sensorimotor functions, respectively. Lesion volume and expression of VEGF were measured one week after different treatments. In vitro, total RNA from hMSCs was extracted one week after culture with or without collagen I scaffold for evaluation of gene microarrays. Furthermore, an RT-PCR study on a select subgroup of genes was performed to identify the changes of expression between the culturing hMSCs with collagen scaffolds and hMSCs only. The treatment of TBI with collagen scaffold impregnated with hMSCs significantly decreases the functional deficits from TBI within 7days after treatment, and significantly enhances the VEGF expression of astrocytes in the injured brain compared to the hMSCs-only group. In vitro data indicate that collagen scaffolds stimulate hMSCs to express multiple factors which may contribute to hMSC survival, tissue repair and functional recovery after TBI. PMID- 21062623 TI - Analysis of a purported SHANK3 mutation in a boy with autism: clinical impact of rare variant research in neurodevelopmental disabilities. AB - There is strong evidence for rare, highly penetrant genetic variants playing an etiological role in multiple neurodevelopmental disabilities, including autism spectrum disorders. The rate of discovery of such rare variants is increasing with the advent of larger sample collections, chromosome microarray analyses, and high-throughput sequencing. As the variants that are being discovered can be highly penetrant, they lead immediately to model systems with construct validity, critical for understanding the underlying neurobiology of these conditions, which in turn can provide leads for novel therapeutic targets. Moreover, these discoveries can benefit families with information about recurrence risk, resolve concerns about etiology, provide information about associated medical issues, and engender directed advocacy for specific genetic conditions. For these reasons, diagnostic laboratories are taking advantage of research data as they are produced. In the current report, we present our molecular analysis of a child with a purported disruptive mutation in SHANK3 identified by a commercial genetic testing laboratory and we provide evidence that this was not an etiological variant. The variant was a 1-bp insertion in exon 11 of the RefSeq gene, which we then determined was inherited from a healthy mother and found in ~1% of controls. Since the variant would be predicted to disrupt the reference gene, and the penetrance of SHANK3 mutations is very high, we did follow up molecular and bioinformatic analyses and concluded that the presumptive exon containing the variant is not likely to be present in most or all SHANK3 transcripts. The results highlight difficulties that can arise with rapid translation of research findings to clinical practice. Researchers are in a unique position to generate resources with collated and curated information that can inform research, genetic testing, clinicians, and families about the best practices as pertains to rare genetic variants in neurodevelopmental disabilities. Of immediate importance would be a well-curated database of gene variation identified in large numbers of typically developing individuals and in individuals affected with neurodevelopmental disabilities. Such a database would reduce false-positive results in clinical settings, would be helpful in structure-function analyses, and would direct translational research to pathways most likely to benefit families. PMID- 21062624 TI - Effects of intrastriatal GDNF on the response of dopamine neurons to 6 hydroxydopamine: time course of protection and neurorestoration. AB - Glial cell line-derived neurotrophic factor (GDNF) protects dopamine (DA) neurons from 6-hydroxydopamine (6-OHDA) toxicity. We have now explored this protection over 8 weeks following toxin administration. Infusion of Fluoro-Gold (FG) into the striatum was followed 1 week later by GDNF (9MUg) or its vehicle. Six hours later, animals received 6-OHDA (4 MUg) into the same site. 6-OHDA caused a loss of cells in the substantia nigra that expressed both FG and tyrosine hydroxylase (TH) and striatal terminals expressing TH, the high affinity dopamine transporter (DAT), and the vesicular monoamine transporter 2 (VMAT2) as assessed 2-8 weeks later. Loss of FG(+) cells, and striatal DA was completely blocked by GDNF by 2 weeks. In contrast, GDNF only slightly attenuated the loss of TH, DAT, or VMAT2 in the striatum at 2 weeks, but had restored these markers by 4-8 weeks. Thus, GDNF prevents DA cell death and loss of striatal DA content, but several weeks are required to fully restore the dopaminergic phenotype. These results provide insight into the mechanism of GDNF protection of DA neurons, and may help avoid incorrect interpretations of temporary phenotypic changes. PMID- 21062625 TI - Reference intervals for six enzymes after polyethylene glycol precipitation and ultrafiltration. PMID- 21062626 TI - Interleukin-17A gene variants and risk of coronary artery disease: a large angiography-based study. AB - Recent studies have also revealed that interleukin (IL)-17A plays a key role in atherosclerosis and its complication, but the relationship of its common variants with coronary artery disease (CAD) has not been extensively studied. We systematically screened sequence variations in the IL17A gene and designed an angiography-based case-controlled study consisting of 1031 CAD patients and 935 control subjects to investigate the association between the selected polymorphisms of IL-17A gene and CAD risk in Chinese Han population. Frequencies of IL17A rs8193037 GG homozygote and G allele were significantly higher in the patient group than those in the control group (P<0.001; OR=0.68; 95% CI=0.54 0.85). Stratification analysis showed that the IL17A rs8193037 G allele significantly increased the risk of CAD only among male subjects (P=0.001; OR=0.63; 95% CI=0.47-0.83). After adjustment for conventional risk factors, binary logistic regression analysis showed that the G allele carriers (GG+AG) had significantly increased CAD risk compared with the AA homozygotes (adjusted P<0.001; OR 0.43; 95% CI, 0.33-0.58). ELISA showed augmented IL17A production in plasma of the AMI patients. Based on our data, we speculated that the SNP rs8193037 of IL17A gene is significantly associated with CAD risk in Chinese Han population and the rs8193037 G allele which is associated with increased expression of IL17A in AMI patients may be an independent predictive factor for CAD. PMID- 21062627 TI - The p38 mitogen-activated protein kinase pathway--a potential target for intervention in infarction, hypertrophy, and heart failure. AB - The p38 mitogen-activated protein kinases (p38s) are stress-activated Ser/Thr kinases. Their activation has been associated with various pathological stressors in the heart. Activated p38 is implicated in a wide spectrum of cardiac pathologies, including hypertrophy, myocardial infarction, as well as systolic and diastolic heart failure. In this review, the specific contribution of different isoforms of p38 kinases to cardiac diseases as well as TAB-1-mediated non-canonical activation pathway are discussed as a rationale for inhibiting p38 activity to treat cardiac hypertrophy, ischemic injury, and heart failure. Finally, a summary of current clinical trials targeting p38 kinases in cardiovascular diseases is provided to highlight the potential promise as well as existing challenges of this therapeutic approach. This article is part of a special issue entitled "Key Signaling Molecules in Hypertrophy and Heart Failure." PMID- 21062628 TI - Effects of sub-sonic vibration on the proliferation and maturation of 3T3-L1 cells. AB - AIMS: Although low and high intensity sub-sonic vibrations (SSV) have been shown to facilitate wound healing, very few studies have investigated the effects of SSV on 3T3-L1 preadipocytes. Therefore, the present study was undertaken to investigate the influence of SSV on the proliferation and maturation of 3T3-L1 preadipocytes. MAIN METHODS: To evaluate the effect of SSV on 3T3-L1 cell proliferation, the cells were maintained in an apparatus that administered SSV (0.5 V) for 3 days at a frequency of 10, 20, 30, or 40 Hz. In addition, to study the effect of SSV on 3T3-L1 cell maturation, the cells were stimulated with SSV for 6 days at a frequency of 10, 20, 30, or 45 Hz. KEY FINDINGS: Sub-sonic vibrations inhibited the proliferation of 3T3-L1 preadipocytes at frequencies of 20 and 30 Hz. Triglyceride levels in cells subjected to SSV at frequencies ranging from 10 to 30 Hz increased compared with those measured in control cells. The expression of adipogenic genes, such as PPAR-gamma and C/EBP-alpha, markedly increased in response to SSV at 20 Hz and 30 Hz during maturation. SIGNIFICANCE: These results suggest that SSV affected adipogenic gene expression at 20 and 30 Hz. PMID- 21062629 TI - Effect of dietary selenite on development and intestinal absorption in offspring rats. AB - AIM: The present study aims to compare selenium (Se) status in offspring rats born to selenium-deficient and selenium supplemented dams and to analyse Se's influence on intestinal parameters and the intestinal absorption of selenomethionine (Se-Met). MAIN METHODS: Male and female Wistar rats (150-200 g) were randomised in: control (C) (0.1 ppm Se), Se-deficient (SD) (0.01 ppm Se) and Se-supplemented (SS) (0.5 ppm Se) groups; and were mated to obtain their offspring. Se levels in serum, urine and faeces in offspring and in mothers' milk were measured by graphite-furnace atomic absorption spectrometry. Duodenal transport studies in offspring were performed using an in vivo perfusion of different Se-Met concentrations (2, 5, 10, 25, 75 and 150 MUM). KEY FINDING: A Se deficient diet provoked a decrease in the offspring's body weight and intestinal parameters, while the supplemented diet increased these values. Serum Se levels were similar between Se-deficient and control offspring because the urinary excretion of Se was smaller to compensate for Se homeostasis. Intestinal Se-Met absorption obeys the Michaelis-Menten equation with lower apparent constant (K(m)) and maximal velocity (V(max)) in the SD group. However, the C and SS groups presented similar K(m) and different V(max). The V(max) showed greater values in the following order of rank: SS>C>SD groups. SIGNIFICANCE: Selenium intake deficiencies in offspring lead to the development of compensatory mechanisms in order to normalise serum selenium levels. These mechanisms, however, do not permit normal body development; nor do they regulate intestinal parameters and Se-Met transport. PMID- 21062630 TI - Periosteal microcirculatory action of chronic estrogen supplementation in osteoporotic rats challenged with tourniquet ischemia. AB - AIMS: Transient ischemia of osteoporotic bones during elective orthopedic surgery or fracture repair carries risks for serious complications, and estrogen loss or replacement has a potential to influence ischemia-reperfusion-induced inflammatory activation. To clarify this, we investigated the periosteal inflammatory changes in a clinically relevant time frame in ovariectomized rats, an experimental model of postmenopausal bone loss. Furthermore, the effects of chronic estrogen supplementation on the postischemic local and systemic inflammatory reactions were assessed. MAIN METHODS: Bilateral ovariectomy or sham operation was performed in 3-month-old female Sprague-Dawley rats. Five months later, estrogen replacement therapy with 17beta-estradiol (20 MUg(-1) kg(-1) day( 1)) or vehicle treatment was initiated. The microcirculatory inflammatory consequences of 60-min total hindlimb ischemia followed by 180-min reperfusion were examined 11 months after ovariectomy and were compared with those in 3-month old animals. KEY FINDINGS: The osteoporosis that developed 5 months after ovariectomy was significantly ameliorated by estrogen replacement therapy. Both in ovariectomized and in non-ovariectomized animals, ischemia-reperfusion elevated the neutrophil adherence ~3-fold in the postcapillary venules of the periosteum (intravital microscopy), with an ~50-60% increase in intravascular neutrophil activation (CD11b; FACS analysis), an enhanced TNF-alpha release (ELISA) and periosteal expression of ICAM-1 (the endothelial ligand of CD11b; immunohistochemistry). Exogenous 17beta-estradiol considerably reduced TNF-alpha release and the number of neutrophil-endothelial interactions in the periosteum, without affecting the CD11b and ICAM-1 expression changes. SIGNIFICANCE: Osteoporosis itself does not increase the magnitude of the limb ischemia reperfusion-associated periosteal inflammatory reaction. Chronic estrogen supplementation, however, reverses osteoporosis and significantly ameliorates the microcirculatory consequences of transient ischemia. PMID- 21062631 TI - 24, 25-dihydroxycholecalciferol but not 25-hydroxycholecalciferol suppresses apolipoprotein A-I gene expression. AB - AIMS: Ligands for the vitamin D receptor (VDR) regulate apolipoprotein A-I (apo A I) gene expression in a tissue-specific manner. The vitamin D metabolite 24, 25 dihydroxycholecalciferol (24, 25-(OH)(2)D(3)) has been shown to possess unique biological effects. To determine if 24, 25-(OH)(2)D(3) modulates apo A-I gene expression, HepG2 hepatocytes and Caco-2 intestinal cells were treated with 24, 25-(OH)(2)D(3) or its precursor 25-OHD(3). MAIN METHODS: Apo A-I protein levels and mRNA levels were measured by Western and Northern blotting, respectively. Changes in apo A-I promoter activity were measured using the chlorampenicol acetytransferase assay. KEY FINDINGS: Treatment with 24, 25-(OH)(2)D(3), but not 25-OHD(3), inhibited apo A-I secretion in HepG2 and Caco-2 cells and apo A-I mRNA levels and apo A-I promoter activity in HepG2 cells. To determine if 24, 25 (OH)(2)D(3) represses apo A-I gene expression through site A, the nuclear receptor binding element that is essential for VDRs effects on apo A-I gene expression, HepG2 cells were transfected with plasmids containing or lacking site A. While the site A-containing plasmid was suppressed by 24, 25-(OH)(2)D(3), the plasmid lacking site A was not. Likewise, treatment with 24, 25-(OH)(2)D(3) suppressed reporter gene expression in cells transfected with a plasmid containing site A in front of a heterologous promoter. Finally, antisense mediated VDR depletion failed to reverse the silencing effects of 24, 25 (OH)(2)D(3) on apo A-I expression. SIGNIFICANCE: These results suggest that the vitamin D metabolite 24, 25-(OH)(2)D(3) is an endogenous regulator of apo A-I synthesis through a VDR-independent signaling mechanism. PMID- 21062632 TI - Improved survival of mesenchymal stromal cell after hypoxia preconditioning: role of oxidative stress. AB - AIMS: To investigate the mechanisms underlying the beneficial effect of hypoxia preconditioning (HPC) on mesenchymal stromal cells (MSCs) and optimize novel non invasive methods to assess the effect of biological interventions aimed to increased cell survival. MAIN METHODS: MSCs from rat femur, with or without HPC, were exposed to hypoxic conditions in cell culture (1% O(2) for 24h) and cell survival (by the LDH release assay and Annexin-V staining) was measured. Oxidant status (conversion of dichloro-fluorescein-DCF- and dihydro-ethidium-DHE-, protein expression of oxidant enzymes) was characterized, together with the mobility pattern of cells under stress. Furthermore, cell survival was assessed non-invasively using state-of-the-art molecular imaging. KEY FINDINGS: Compared to controls, Hypoxia resulted in increased expression of the oxidative stress enzyme NAD(P)H oxidase (subunit 67(phox): 0.05 +/- 0.01AU and 0.48 +/- 0.02AU, respectively, p<0.05) and in the amount of ROS (DCF: 13 +/-1 and 42 +/- 3 RFU/MUg protein, respectively, p<0.05) which led to a decrease in stem cell viability. Hypoxia preconditioning preserved cell biology, as evidenced by preservation of oxidant status (16 +/- 1 RFU/MUg protein, p<0.05 vs. hypoxia), and cell viability. Most importantly, the beneficial effect of HPC can be assessed non invasively using molecular imaging. SIGNIFICANCE: HPC preserves cell viability and function, in part through preservation of oxidant status, and its effects can be assessed using state-of-the-art molecular imaging. Understanding of the mechanisms underlying the fate of stem cells will be critical for the advancement of the field of stem cell therapy. PMID- 21062633 TI - Lipoic acid inhibits caspase-dependent and -independent cell death pathways and is neuroprotective against hippocampal damage after pilocarpine-induced seizures. AB - Alpha-lipoic acid has some neuroprotective properties, but this action has not been investigated in models of epilepsy. The aim of the present study was to investigate the protective efficacy of alpha-lipoic acid (lipoic acid) against pilocarpine-induced cell death through the caspase-dependent or -independent mitochondrial apoptotic pathways. Wistar rats were injected intraperitoneally with 0.9% saline (control group), pilocarpine (400 mg/kg, pilocarpine group) alone, or alpha-lipoic acid (20 mg/kg) in association with pilocarpine (400 mg/kg) 30 min before administration of alpha-lipoic acid. After the treatments all groups were observed for 24 h. Cell death was reduced in lipoic acid-treated rats. Cytosolic translocation of cytochrome c and subsequent activation of caspase-3 were reduced by lipoic acid treatment. AIF nuclear translocation and subsequent large-scale DNA fragmentation were also decreased in lipoic acid treated rats. Our study suggests that lipoic acid inhibits both caspase-dependent and -independent apoptotic pathways and may be neuroprotective against hippocampal damage during pilocarpine-induced seizures. PMID- 21062634 TI - Comparison of four methods, including semi-automated rep-PCR, for the typing of vancomycin-resistant Enterococcus faecium. AB - We have assessed the performance of semi-automated rep-PCR (Diversilab(r)) and multilocus sequence typing (MLST) in comparison to pulsed-field gel electrophoresis (PFGE) for typing a collection of 29 epidemiologically characterized vancomycin-resistant Enterococcus faecium (VRE). Sixteen strains that harbored the Tn1546 element were typed by PCR mapping. The discriminative power of the typing methods was calculated by the Simpson's index of diversity, and the concordance between methods was evaluated by the Kendall's coefficient of concordance. Semi-automated rep-PCR appeared as discriminative as PFGE and was further compared with PFGE for typing 67 VRE isolated during a hospital outbreak. Rep-PCR appeared to be more discriminative than PFGE for this second set of strains. Reproducibility of DiversiLab(r) was also tested against 35 selected isolates. Only three showed less than 97% similarity, indicating high reproducibility at this level of discrimination. In conclusion, semi-automated rep-PCR is a useful tool for rapid screening of VRE isolates during an outbreak, although cost of the system may be limiting for routine implementation. PFGE, which remains the reference method, should be used for confirmation and evaluation of the genetic relatedness of epidemic isolates. PMID- 21062635 TI - PEGylated TNF-related apoptosis-inducing ligand (TRAIL)-loaded sustained release PLGA microspheres for enhanced stability and antitumor activity. AB - The purpose of this work was to develop an effective PEGylated TNF-related apoptosis-inducing ligand (PEG-TRAIL) delivery system for antitumor therapy based on local injection to tumor sites that has a sustained effect without protein aggregation or an initial release burst. The authors designed poly (lactic-co glycolic) acid (PLGA) microspheres that deliver PEG-TRAIL locally and continuously at tumor sites with sustained biological activity and compared its performance with that of TRAIL microspheres. TRAIL or PEG-TRAIL was microencapsulated into PLGA microspheres using a double-emulsion solvent extraction method. Prepared TRAIL and PEG-TRAIL microspheres showed entirely spherical, smooth surfaces. However, PEG-TRAIL microspheres exhibited a 2.07-fold higher encapsulation efficiency than TRAIL microspheres, and exhibited a tri phasic in vitro release profile with a lower initial burst (15.8%) than TRAIL microspheres (42.7%). Furthermore, released PEG-TRAIL showed a continued ability to induce apoptosis over 14 days. In vivo pharmacokinetic studies also demonstrated that PEG-TRAIL microspheres had a sustained release profile (18 days), and that the steady-state concentration of PEG-TRAIL in rat plasma was reached at day 3 and maintained until day 15; its steady-state concentration in rat plasma changed from 1444.3 +/- 338.4 to 2697.7 +/- 419.7 pg/ml. However, TRAIL microspheres were released out within 2 days after administration. Finally, in vivo antitumor tests revealed that tumor growths were significantly more inhibited by a single dose of PEG-TRAIL microspheres than TRAIL microspheres when delivered at 300 MUg of TRAIL/mouse. Tumors taken from mouse treated with PEG TRAIL microspheres showed 78.3% tumor suppression at 24 days, and this was 3.02 fold higher than that observed for TRAIL microspheres (25.9% tumor inhibition). Furthermore, these improved pharmaceutical characteristics of PEG-TRAIL microspheres resulted in superior therapeutic effects without detectable side effects. These findings strongly suggest that PEG-TRAIL microspheres offer a new therapeutic strategy for the treatment of cancers. PMID- 21062636 TI - Kleptomania treated with tolcapone, a catechol-O-methyl-transferase (COMT) inhibitor. PMID- 21062637 TI - The interplay of cannabinoid and NMDA glutamate receptor systems in humans: preliminary evidence of interactive effects of cannabidiol and ketamine in healthy human subjects. AB - BACKGROUND: Interactions between glutamatergic and endocannabinoid systems may contribute to schizophrenia, dissociative states, and other psychiatric conditions. Cannabidiol (CBD), a cannabinoid-1/2 (CB1/2) receptor weak partial agonist or antagonist, may play a role in the treatment of schizophrenia. OBJECTIVE: This study tested the hypothesis that CBD would attenuate the behavioral effects of the NMDA receptor antagonist, ketamine, in healthy human subjects. METHODS: Ten male healthy volunteers were evaluated twice in a randomized order. In both sessions they received ketamine (bolus of 0.26 mg/kg/1 min followed by IV infusion of 0.25mg/kg over 30 min) preceded by either CBD (600 mg) or placebo. Psychopathology was assessed using the Brief Psychiatric Rating Scale (BPRS) and the CADSS (Clinician Administered Dissociative States Scale) at regular intervals from 30 min before to 90 min after ketamine administration. RESULTS: CBD significantly augmented the activating effects of ketamine, as measured by the activation subscales of the BPRS. However, CBD also showed a non significant trend to reduce ketamine-induced depersonalization, as measured by the CADSS. CONCLUSION: These data describe a complex pattern of psychopharmacologic interactions between CBD and ketamine at the doses of each agent studied in this experiment. PMID- 21062638 TI - Suppressive effect of pectic polysaccharides from Cucurbita pepo L. var. Styriaca on citric acid-induced cough reflex in guinea pigs. AB - Several water-soluble pectic polysaccharides were isolated from the pumpkin fruit biomass and characterized by composition, structural features and molecular properties. The pectic polysaccharides were tested for antitussive activity by studying the effects of citric acid-induced cough reflex in guinea pigs and reactivity of the airway smooth muscle in vivo conditions in comparison to the narcotic drug codeine. Oral administration of all pectic polysaccharides from pumpkin inhibited the number of coughs induced by citric acid in guinea pigs, but to various extents. The results indicated that the antitussive activity of the pectic polysaccharides is affected by their molecular and structural properties, whereby a synergistic action between the polysaccharide and non-carbohydrate components on the biological response has been suggested as well. The cough depressive efficacy of most of the tested polysaccharides was comparable and even higher than that of codeine. Moreover, the application of these polysaccharides provoked any side effects what is their advantage towards the conventional opioid derived antitussive agents. PMID- 21062639 TI - Eryngium foetidum L.: a review. AB - Eryngium foetidum L. is a biennial herb which is used extensively as a medicinal plant in most tropical regions. It is of increasing importance as a spice plant cultivated in India, Vietnam, Australia and elsewhere with well documented procedures for maximum yield. It also possesses a wide range of ethnomedicinal uses including treatment for burns, earache, fevers, hypertension, constipation, fits, asthma, stomach ache, worms, infertility complications, snake bites, diarrhea and malaria. Chemical evaluation of the leaves indicated the presence of flavonoids, tannins, a saponin and several triterpenoids; but no alkaloids were reported. A significant constituent of the essential oil of the plant is E-2 dodecenal ("eryngial"), with isomers of trimethylbenzaldehyde being present in lesser proportions. Variability in the composition of essential oil was clearly dependent on the geographic location of the growing plant. Pharmacological studies of the aerial plant parts have demonstrated anthelmintic activity due to eryngial, anti-inflammatory action due to the phytosterol fractions, anti convulsant activity in the respective models, and selective antibacterial activity against Salmonella species and the Erwinia genus of bacteria. A fraction of the essential oil rich in eryngial is the subject of a US patent application for its effectiveness against parasitic trypanosomes, nematodes, fungi and bacteria in humans and other mammals. These findings suggest the need for further research of this herb and its products. PMID- 21062640 TI - Metabolism mediated interaction of alpha-asarone and Acorus calamus with CYP3A4 and CYP2D6. AB - The present study was aimed to investigate the possible interaction of the standardized extract of Acorus calamus (AC) with Cytochrome P450 enzyme, quantitative determination of the alpha-asarone in the AC rhizome was performed by RP-HPLC method. In vitro interaction of the plant extract was evaluated by CYP450-carbon monoxide complex (CYP450-CO) assay. Effect on individual isoforms such as CYP3A4 and CYP2D6 isozymes were analyzed through fluorescence product formation and respective IC(50) values were determined. CYP450-CO assay showed moderate interaction potential. Extract showed higher IC(50) values (46.84+/-1.83 32.99+/-2.21 MUg/ml) comparing to the standard inhibitors and lower IC(50) value than alpha-asarone (65.16+/-2.37-42.15+/-2.45 MUg/ml). PMID- 21062641 TI - Hypoxemic resuscitation from hemorrhagic shock prevents lung injury and attenuates oxidative response and IL-8 overexpression. AB - We investigated whether hypoxemic resuscitation from hemorrhagic shock prevents lung injury and explored the mechanisms involved. We subjected rabbits to hemorrhagic shock for 60 min by exsanguination to a mean arterial pressure of 40 mm Hg. By modifying the fraction of the inspired oxygen, we performed resuscitation under normoxemia (group NormoxRes, P(a)O(2)=95-105 mm Hg) or hypoxemia (group HypoxRes, P(a)O(2)=35-40 mm Hg). Animals not subjected to shock constituted the sham group (P(a)O(2)=95-105 mm Hg). We performed bronchoalveolar lavage (BAL) fluid, lung wet-to-dry weight ratio, and morphological studies. U937 monocyte-like cells were incubated with BAL fluid from each group. Cell peroxides, malondialdehyde, proteins, and cytokines in the BAL fluid were lower in sham than in shocked animals and in HypoxRes than in NormoxRes animals. The inverse was true for ascorbic acid and reduced glutathione. Lung edema, lung neutrophil infiltration, myeloperoxidase, and interleukin (IL)-8 gene expression were reduced in lungs of HypoxRes compared with NormoxRes animals. A colocalized higher expression of IL-8 and nitrotyrosine was found in lungs of NormoxRes animals compared to HypoxRes animals. The BAL fluid of NormoxRes animals compared with HypoxRes animals exerted a greater stimulation of U937 monocyte-like cells for proinflammatory cytokine release, particularly for IL-8. In the presence of p38-MAPK and Syk inhibitors and monosodium urate crystals, IL-8 release was reduced. We conclude that hypoxemic resuscitation from hemorrhagic shock ameliorates lung injury and reduces oxygen radical generation and lung IL-8 expression. PMID- 21062642 TI - A role for protein kinase C in the regulation of membrane fluidity and Ca2(+) flux at the endoplasmic reticulum and plasma membranes of HEK293 and Jurkat cells. AB - Protein kinase C (PKC) plays a prominent role in the regulation of a variety of cellular functions, including Ca2(+) signalling. In HEK293 and Jurkat cells, the Ca2(+) release and Ca2(+) uptake stimulated by several different activators were attenuated by activation of PKC with phorbol myristate acetate (PMA) or 1-oleoyl 2-acetyl-sn-glycerol (OAG) and potentiated by PKC inhibition with Go6983 or knockdown of PKCalpha or PKCbeta using shRNA. Immunostaining and Western blotting analyses revealed that PKCalpha and PKCbetaII accumulated at the plasma membrane (PM) and that these isoforms, along with PKCbetaI, also translocated to the endoplasmic reticulum (ER) upon activation with PMA. Measurements of membrane fluidity showed that, like the cell membrane stabilizers bovine serum albumin (BSA) and ursodeoxycholate (UDCA), PMA and OAG significantly reduced the fluidity of both the PM and ER membranes; these effects were blocked in PKC-knockdown cells. Interestingly, both BSA and UDCA inhibited the Ca2(+) responses to agonists to the same extent as PMA, whereas Tween 20, which increases membrane fluidity, raised the internal Ca2(+) concentration. Thus, activation of PKC induces both translocation of PKC to the PM and ER membranes and downregulation of membrane fluidity, thereby negatively modulating Ca2(+) flux. PMID- 21062643 TI - Syndecans as cell surface receptors: Unique structure equates with functional diversity. AB - An increasing number of functions for syndecan cell surface heparan sulfate proteoglycans have been proposed over the last decade. Moreover, aberrant syndecan regulation has been found to play a critical role in multiple pathologies, including cancers, as well as wound healing and inflammation. As receptors, they have much in common with other molecules on the cell surface. Syndecans are type I transmembrane molecules with cytoplasmic domains that link to the actin cytoskeleton and can interact with a number of regulators. However, they are also highly complex by virtue of their external glycosaminoglycan chains, especially heparan sulfate. This heterodisperse polysaccharide has the potential to interact with many ligands from diverse protein families. Here, we relate the structural features of syndecans to some of their known functions. PMID- 21062644 TI - A2A receptor knockout worsens survival and motor behaviour in a transgenic mouse model of Huntington's disease. AB - Huntington's disease (HD) is a progressive neurodegenerative genetic disorder that leads to motor, cognitive, and psychiatric disturbances. The primary neuropathological hallmark is atrophy of the striatum. HD preferentially affects efferent striato-pallidal neurons that express enkephalin as well as dopamine D2 and A(2A) adenosine receptors (A(2A)Rs). Expression and function of A(2A)Rs are altered in HD but, despite being an important modulator of the striato-pallidal function, the subsequent pathophysiological consequence of such changes remains unclear. Whether blockade of A(2A)Rs is of therapeutic interest in HD remains ill defined. In the present work, we aimed to determine the pathophysiological consequences of genetic deletion of A(2A)Rs in HD by crossing A(2A)R knockout mice with the N171-82Q HD transgenic model. Our data demonstrate that knockout of A(2A)Rs moderately but significantly worsens motor performances and survival of N171-82Q mice and leads to a decrease in striatal enkephalin expression. These results support that early and chronic blockade of A(2A)Rs might not be beneficial in HD. PMID- 21062645 TI - Design, recruitment and start up of a primary care weight loss trial targeting African American and Hispanic adults. AB - Primary care offices are critical access points for obesity treatment, but evidence for approaches that can be implemented within these settings is limited. The Think Health! (!Vive Saludable!) Study was designed to assess the feasibility and effectiveness of a behavioral weight loss program, adapted from the Diabetes Prevention Program, for implementation in routine primary care. Recruitment of clinical sites targeted primary care practices serving African American and Hispanic adults. The randomized design compares (a) a moderate-intensity treatment consisting of primary care provider counseling plus additional counseling by an auxiliary staff member (i.e., lifestyle coach), with (b) a low intensity, control treatment involving primary care provider counseling only. Treatment and follow up duration are 1 to 2 years. The primary outcome is weight change from baseline at 1 and 2 years post-randomization. Between November 2006 and January 2008, 14 primary care providers (13 physicians; 1 physician assistant) were recruited at five clinical sites. Patients were recruited between October 2007 and November 2008. A total of 412 patients were pre-screened, of whom 284 (68.9%) had baseline assessments and 261 were randomized, with the following characteristics: 65% African American; 16% Hispanic American; 84% female; mean (SD) age of 47.2 (11.7) years; mean (SD) BMI of 37.2(6.4) kg/m(2); 43.7% with high blood pressure; and 18.4% with diabetes. This study will provide insights into the potential utility of moderate-intensity lifestyle counseling delivered by motivated primary care clinicians and their staff. The study will have particular relevance to African Americans and women. PMID- 21062646 TI - The future of urologic cancer care in the United States: our finest hour or a bridge too far. PMID- 21062647 TI - Prostate cancer around the world. An overview. AB - Prostate cancer screening has become very prevalent in most countries around the world since the early 1990's. A national interview study in the United States has reported 75% and the Bureau of Statistics of The Netherlands between 25% and 40% of PSA-use in men above the age of 50. PSA-driven early detection has led to an increase of prostate cancer incidence in most countries, which, later on, at least in the United States, slightly decreased and reached a steady state but returned to levels of the prescreening period. The possibility of early detection of prostate cancer is attractive to clinicians and potential patients in spite of the fact that until recently concrete evidence that screening would influence prostate cancer mortality was lacking. PMID- 21062648 TI - What can be concluded from the ERSPC and PLCO trial data? AB - OBJECTIVES: The interim analyses of the long-awaited erSPC and PlCo trial data have generated conflicting conclusions regarding the value of screening for prostate cancer based on measurements of PSA. MATERIALS AND METHODS: We review the two publications and speculate on reasons underlying the contradicting conclusion of the two studies. RESULTS: The apparently negative results of the PlCO trial may be in part because a part of the patients enrolled were "prescreened", because of failure to biopsy some patients with PSA > 4 ng/ml, because of contamination in the control arm and because of the relatively short follow-up. However, both reports address the serious problem of overdetection and subsequent overtreatment, as the positive predictive value of positive biopsies triggered by positive PSA in the ERPC study was only 24% and many detected cancers were of low-risk. CONCLUSIONS: Until more sensitive and more specific screening tools are available that can detect the few cases of prostate cancer with aggressive biological potential among the majority of indolent cases, physicians and patients must understand that most diagnosed prostate cancers will never lead to death and that men can only profit from early detection if local and systemic treatment are limited to those patients who truly need it. PMID- 21062649 TI - Prostate cancer screening perspective, Malaysia. AB - The incidence of prostate cancer in Malaysia is still low compared to the west. This may be due to a true low incidence or lower detection rates. Prostate Awareness Campaigns are held on a yearly basis to educate and encourage males over the age of 50 years to have their prostate examined. Such a campaign was organized in 2005 at the national level involving 12 district hospitals. A total of 2770 participants attended the campaign. 38.7% had no urinary symptoms and attended out of curiosity. Among the symptomatic patients, nocturia was the most bothersome in the majority. 84.6% of the participants also had some degree of erectile dysfunction based on the IIEF questionnaire. 10.4% of participants had a PSA > 4 ng/mL. Malay participants had the highest mean PSA level (2.32 ng/mL) and Indian participants the lowest (1.30 ng/mL). 408 participants were called back for biopsy but only 183 agreed to the biopsy. 30 cancers were detected. At present Malaysia will benefit most by continuing to conduct these awareness programmes to educate the public on prostate disease and hopefully in future patients will be less reluctant to have prostate biopsies taken when indicated. PMID- 21062650 TI - Mass screening of prostate cancer in Vietnam: current status and our opinions. AB - BACKGROUND: Although prostate cancer (CaP) is the most common male cancer in developed countries, the incidence of CaP in Vietnam remains unknown and the patients often seek treatment at a late stage in their illness. The mass screening of CaP, which has been performed since Jan 2008 in Binh Dan hospital (Ho Chi Minh City) aims to evaluate the effect of CaP mass screening in Vietnam. The details of CaP treatment from 1999 to now in Ho Chi Minh City (HCMC) were also used for evaluation and comparison. MATERIALS AND METHODS: From the first quarter of 2008, we started a free CaP screening program in HCMC. There were 408 cases during first round of results. When inspecting CaP treatment, all papers and studies of CaP at Binh Dan hospital from 1999 were analyzed, including 1,775 CaPs treated. RESULTS AND DISCUSSIONS: A total of 408 subjects were screened during the CaP program. Prostate biopsies were carried out on 87 men (21.3%) based on PSA values and DRE results. Ten of these biopsied men (2.5%) were diagnosed with CaP, mostly with Gleason's scores of 5 to 7 and in an early clinical stage. In reviewing CaP treatment from 1999 to 2009, complete androgen blockade/maximal androgen blockade (MAB/CAB) was widely used, while chemotherapy and radiotherapy were not routinely used. Open and laparoscopic total prostatectomy remarkably increased due to the many efforts of CaP screening. The number of CaP cases has now reached and overtaken the number of bladder cancer cases in our hospital. Similarly, early diagnosis rates have increased in parallel with radical treatment. CONCLUSION: Our initial outcomes reflected a low prevalence of CaP in general (2,5%), but a high occurrence of medium grade lesions (Gleason 7) among patients who tested positive for CaP. On one hand, this observation highlights the value of the CaP screening programs in alerting doctors/people and detecting more cases in the early stages of development. On the other hand, the benefit of a mass screening program for CaP is not proven. Meanwhile, selective CaP screening takes advantage of diagnosis and treatment in our country. PMID- 21062651 TI - Asian robotic experience. PMID- 21062652 TI - Laparoscopic approach to small renal mass. AB - With maturing functional and oncologic outcomes data, open partial nephrectomy (OPN) has become the standard of care for T1a renal tumor. Laparoscopic approach can provide a speedier recovery with less blood loss and postoperative pain. Presuming adequate laparoscopic expertise, laparoscopic partial nephrectomy can provide equivalent oncologic outcome as for OPN albeit with higher urologic complications rate and longer warm ischemia time. With refinement of technique and use of robotic assistant, the shortcomings of laparoscopic approach can be further reduced. This article is a mini-review on the current status of laparoscopic approach to partial nephrectomy in the management of small renal mass. PMID- 21062653 TI - Feasibility study of screening for bladder cancer with urinary molecular markers (the BLU-P project). AB - INTRODUCTION: The prognosis of bladder cancer (BC) depends mainly on its histology, grade, and stage. Patients with superficial BC (70% of the urothelial carcinomas) have a relatively good prognosis, but patients diagnosed with invasive, high grade BC, and those who progress to invasive BC, have a poor prognosis and will not survive their disease in many cases due to their metastases, despite the currently available treatment options. Early detection can only be beneficial regarding mortality if the high risk cancers are recognized and treated at a localized stage. MATERIALS AND METHODS: Previous pilot studies on early detection consisted of home-based repeated hematuria testing and, in case of hematuria, a urologic evaluation with cytology and cystoscopy was carried out. This design resulted in too many cystoscopies. The recently initiated [Bladder Cancer Urine Marker Project (BLU-P) study www.blu project.org] assesses the feasibility of a population-based screening for BC and at the same time evaluates a screening algorithm using next to hematuria testing, sensitive specific urine markers for BC (NMP22, FGFR3, MA analyses and MLPa) in an attempt to circumvent the high number of cystoscopies. RESULTS: So far 1,611 men are included and 23.5% tested positive for hematuria (11.6% had one or more true positive test results). The additional molecular-based screening tests before referring to cystoscopy resulted in a decrease of the number of cystoscopies from 378 to 66 (82.5%). In those men referred for cystoscopy, so far only 1 BC case was detected. CONCLUSIONS: Further research is needed to evaluate whether this extremely low detection rate is caused by, e.g., a healthy screenee bias or that the additional selection step using the molecular urine tests is too strict and diagnoses are missed. PMID- 21062654 TI - Facilitating large-scale clinical trials: in Asia. AB - The number of clinical trials conducted in Asian countries has started to increase as a result of expansion of the pharmaceutical market in this area. There is a growing opportunity for large-scale clinical trials because of the large number of patients, significant market potential, good quality of data, and the cost effective and qualified medical infrastructure. However, for carrying out large-scale clinical trials in Asia, there are several major challenges, including the quality control of data, budget control, laboratory validation, monitoring capacity, authorship, staff training, and nonstandard treatment that need to be considered. There are also several difficulties in collaborating on international trials in Asia because Asia is an extremely diverse continent. The major challenges are language differences, diversity of patterns of disease, and current treatments, a large gap in the experience with performing multinational trials, and regulatory differences among the Asian countries. In addition, there are also differences in the understanding of global clinical trials, medical facilities, indemnity assurance, and culture, including food and religion. To make regional and local data provide evidence for efficacy through the standardization of these differences, unlimited effort is required. At this time, there are no large clinical trials led by urologists in Asia, but it is anticipated that the role of urologists in clinical trials will continue to increase. PMID- 21062659 TI - Photographs cause false memories for the news. AB - What is the effect on memory when seemingly innocuous photos accompany false reports of the news? We asked people to read news headlines of world events, some of which were false. Half the headlines appeared with photographs that were tangentially related to the event; others were presented without photographs. People saw each headline only once, and indicated whether they remembered the event, knew about it, or neither. Photos led people to immediately and confidently remember false news events. Drawing on the Source Monitoring Framework (Johnson, Hashtroudi, & Lindsay, 1993), we suggest that people often relied on familiarity and other heuristic processes when making their judgments and thus experienced effects of the photos as evidence of memory for the headlines. PMID- 21062660 TI - Enhanced change detection performance reveals improved strategy use in avid action video game players. AB - Recent research has shown that avid action video game players (VGPs) outperform non-video game players (NVGPs) on a variety of attentional and perceptual tasks. However, it remains unknown exactly why and how such differences arise; while some prior research has demonstrated that VGPs' improvements stem from enhanced basic perceptual processes, other work indicates that they can stem from enhanced attentional control. The current experiment used a change-detection task to explore whether top-down strategies can contribute to VGPs' improved abilities. Participants viewed alternating presentations of an image and a modified version of the image and were tasked with detecting and localizing the changed element. Consistent with prior claims of enhanced perceptual abilities, VGPs were able to detect the changes while requiring less exposure to the change than NVGPs. Further analyses revealed this improved change detection performance may result from altered strategy use; VGPs employed broader search patterns when scanning scenes for potential changes. These results complement prior demonstrations of VGPs' enhanced bottom-up perceptual benefits by providing new evidence of VGPs' potentially enhanced top-down strategic benefits. PMID- 21062661 TI - Circulating angiogenic and antiangiogenic factors in women with eclampsia. AB - OBJECTIVE: The objective of the study was to determine whether eclampsia has a different circulating profile of angiogenic (placental growth factor [PlGF]) and antiangiogenic factors (soluble vascular endothelial growth factor receptor-1 [sVEGFR-1] and soluble endoglin [sEng]) from severe preeclampsia. STUDY DESIGN: This cross-sectional study included pregnant women in the following groups: (1) normal pregnancy (n = 40); (2) severe preeclampsia (n = 40); and (3) eclampsia (n = 20). Maternal serum PlGF, sVEGFR-1, and sEng concentrations were determined using an enzyme-linked immunosorbent assay. RESULTS: The study results included the following: (1) the median concentration of sVEGFR-1 and sEng was higher and of PlGF was lower in severe preeclampsia or eclampsia than in normal pregnancy (P < .001 for all); and (2) the median concentrations of these 3 analytes did not differ significantly between patients with severe preeclampsia and those with eclampsia. CONCLUSION: Eclampsia is associated with higher maternal circulating concentrations of sVEGFR-1 and sEng and lower concentrations of PlGF than normal pregnancy but with similar concentrations to severe preeclampsia. These findings suggest that eclampsia shares a common pathogenic pathway as severe preeclampsia. PMID- 21062662 TI - Cecocolic intussusception. PMID- 21062663 TI - The altered expression of ING5 protein is involved in gastric carcinogenesis and subsequent progression. AB - ING5 can interact with p53, thereby inhibiting cell growth and inducing apoptosis. To clarify the roles of ING5 in gastric tumorigenesis and progression, its expression was examined by immunohistochemistry on a tissue microarray containing gastric nonneoplastic mucosa (n = 119), dysplasia (n = 50), and carcinomas (n = 429), with its comparison with clinicopathologic parameters of the carcinomas. ING5 expression was analyzed in gastric carcinoma tissues and cell lines (MKN28, MKN45, AGS, GT-3 TKB, and KATO-III) by Western blot and reverse transcriptase-polymerase chain reaction. ING5 protein was found to distribute to the nuclei of gastric carcinoma cells with similar messenger RNA levels. An increased expression of ING5 messenger RNA was observed in gastric carcinoma in comparison with paired mucosa (P < .05). Lower expression of nuclear ING5 was detected in gastric dysplasia and carcinoma than that in nonneoplastic mucosa (P < .05). Gastric nonneoplastic mucosa and metastatic carcinoma showed more expression of cytoplasmic ING5 than did gastric carcinoma and dysplasia (P < .05). Nuclear ING5 expression was negatively correlated with tumor size, depth of invasion, lymph node metastasis, and clinicopathologic staging (P < .05), whereas cytoplasmic ING5 was positively associated with depth of invasion, venous invasion, lymph node metastasis, and clinicopathologic staging (P < .05). Nuclear ING5 was more expressed in older than younger carcinoma patients (P < .05). There was a higher expression of nuclear ING5 in intestinal-type than diffuse-type carcinoma (P < .05), whereas it was the converse for cytoplasmic ING5 (P < .05). Survival analysis indicated that nuclear ING5 was closely linked to favorable prognosis of carcinoma patients (P < .05), albeit not independent. It was suggested that aberrant ING5 expression may contribute to pathogenesis, growth, and invasion of gastric carcinomas and could be considered as a promising marker to gauge aggressiveness and prognosis of gastric carcinoma. PMID- 21062664 TI - Long-term effects of elevated UV-B radiation on photosynthesis and ultrastructure of Eriophorum russeolum and Warnstorfia exannulata. AB - The depletion of stratospheric ozone above the Arctic regions may increase the amount of UV-B radiation to which the northern ecosystems are exposed. In this paper, we examine the hypothesis that supplemental UV-B radiation may affect the growth rate and photosynthesis of boreal peatland plants and could thereby affect the carbon uptake of these ecosystems. In this study, we report the effects of 3 year exposure to elevated UV-B radiation (46% above ambient) on the photosynthetic performance and ultrastructure of a boreal sedge Eriophorum russeolum and a moss Warnstorfia exannulata. The experiment was conducted on a natural fen ecosystem at Sodankyla in northern Finland. The effects of UV-B radiation on the light response of E. russeolum CO(2) assimilation and the maximal photochemical efficiency of photosystem II in a dark-adapted state (F(v)/F(m)) were measured in the field. In addition, the effect of supplemental UV-B radiation on organelles of photosynthetic cells was studied by electron microscopy. The UV-B treatment had no effect on the CO(2) assimilation rate of either species, nor did it affect the structure of the cell organelles. On chlorophyll fluorescence, the UV-B exposure had only a temporary effect during the third exposure year. Our results suggested that in a natural ecosystem, even long-term exposure to reasonably elevated UV-B radiation levels does not affect the photosynthesis of peatland plants. PMID- 21062665 TI - For severe malaria, artesunate is the answer. PMID- 21062667 TI - Reversing the historical tide of iatrogenic harm: A therapeutic jurisprudence analysis of increases in arrests of domestic batterers and rapists. AB - Therapeutic jurisprudence (TJ) proposes that the law is a social force that can heal or cause harm to parties in a legal action. Historically, women victims of intimate partner rape and domestic violence could not seek justice in the legal system because police, like other actors in the justice system, treated these offenses as private matters or fabrications. In domestic violence and intimate rape cases, TJ is concerned with the needs of the victims, and how the law and police play a role in increasing their well-being. In this article, we use a TJ approach to the study of police responsiveness to victims of these offenses by investigating arrests of the offenders pursuant to law reforms that encourage or mandate arrest. Given that in these offenses, victims have the lowest reporting rates of any violent crime, the victim decision to call the police represents an expectation that the mere physical presence of a police officer may redefine the nature of the violence from a private conflict to a societal wrong that will not be tolerated. Police partnership with and treatment of the victim with respect and dignity can change the dynamics of the violence, terminate the violence, and set the criminal justice process in motion by arresting the offender in most cases. Police arrest, and subsequent prosecution and conviction, sends a message to offenders that society does not tolerate their violence, and allows the victim to begin to heal. Yet, past research indicates that police are less likely to arrest intimates than acquaintances and strangers in misdemeanor and aggravated assault, rape, and sexual assault cases. Using the National Incidence Reporting System (NIBRS) for the year 2000, we examine police arrests of intimate partner rape and domestic violence in jurisdictions with mandatory and presumptive arrest policies compared to police arrests in full discretion jurisdictions. We also ascertain whether arrest rates are higher for strangers and acquaintances than for intimates in misdemeanor and aggravated assault, kidnapping, and rape and sexual assault. Third, we determine whether police arrests of intimate partner rape is more likely if there is evidence of violence, injury to the victim, and use of a weapon. Our multivariate findings suggest that both the rape and the domestic violence reform movements have reversed the tide of historical negative treatment of female victims of these offenses. Logistic regression analysis indicates that police agencies in mandatory and preferred arrest jurisdictions increase the odds of arrest for domestic violence incidents and violations of orders of protection, compared to police agencies in jurisdictions with permissive/discretionary arrest policies. In addition, intimate violence increases the odds of arrest by 98%; forcible rape accompanied by simple assault or kidnapping increases the odds of arrest by 467 and 222%, respectively whereas forcible fondling accompanied by simple assault increases the odds of arrest by 293%. We discuss the implications of our findings for future law reform as well as TJ. PMID- 21062668 TI - Parsimonious classification of binary lacunarity data computed from food surface images using kernel principal component analysis and artificial neural networks. AB - Lacunarity is about quantifying the degree of spatial heterogeneity in the visual texture of imagery through the identification of the relationships between patterns and their spatial configurations in a two-dimensional setting. The computed lacunarity data can designate a mathematical index of spatial heterogeneity, therefore the corresponding feature vectors should possess the necessary inter-class statistical properties that would enable them to be used for pattern recognition purposes. The objectives of this study is to construct a supervised parsimonious classification model of binary lacunarity data-computed by Valous et al. (2009)-from pork ham slice surface images, with the aid of kernel principal component analysis (KPCA) and artificial neural networks (ANNs), using a portion of informative salient features. At first, the dimension of the initial space (510 features) was reduced by 90% in order to avoid any noise effects in the subsequent classification. Then, using KPCA, the first nineteen kernel principal components (99.04% of total variance) were extracted from the reduced feature space, and were used as input in the ANN. An adaptive feedforward multilayer perceptron (MLP) classifier was employed to obtain a suitable mapping from the input dataset. The correct classification percentages for the training, test and validation sets were 86.7%, 86.7%, and 85.0%, respectively. The results confirm that the classification performance was satisfactory. The binary lacunarity spatial metric captured relevant information that provided a good level of differentiation among pork ham slice images. PMID- 21062666 TI - Artesunate versus quinine in the treatment of severe falciparum malaria in African children (AQUAMAT): an open-label, randomised trial. AB - BACKGROUND: Severe malaria is a major cause of childhood death and often the main reason for paediatric hospital admission in sub-Saharan Africa. Quinine is still the established treatment of choice, although evidence from Asia suggests that artesunate is associated with a lower mortality. We compared parenteral treatment with either artesunate or quinine in African children with severe malaria. METHODS: This open-label, randomised trial was undertaken in 11 centres in nine African countries. Children (<15 years) with severe falciparum malaria were randomly assigned to parenteral artesunate or parenteral quinine. Randomisation was in blocks of 20, with study numbers corresponding to treatment allocations kept inside opaque sealed paper envelopes. The trial was open label at each site, and none of the investigators or trialists, apart from for the trial statistician, had access to the summaries of treatment allocations. The primary outcome measure was in-hospital mortality, analysed by intention to treat. This trial is registered, number ISRCTN50258054. FINDINGS: 5425 children were enrolled; 2712 were assigned to artesunate and 2713 to quinine. All patients were analysed for the primary outcome. 230 (8.5%) patients assigned to artesunate treatment died compared with 297 (10.9%) assigned to quinine treatment (odds ratio [OR] stratified for study site 0.75, 95% CI 0.63-0.90; relative reduction 22.5%, 95% CI 8.1-36.9; p=0.0022). Incidence of neurological sequelae did not differ significantly between groups, but the development of coma (65/1832 [3.5%] with artesunate vs 91/1768 [5.1%] with quinine; OR 0.69 95% CI 0.49-0.95; p=0.0231), convulsions (224/2712 [8.3%] vs 273/2713 [10.1%]; OR 0.80, 0.66-0.97; p=0.0199), and deterioration of the coma score (166/2712 [6.1%] vs 208/2713 [7.7%]; OR 0.78, 0.64-0.97; p=0.0245) were all significantly less frequent in artesunate recipients than in quinine recipients. Post-treatment hypoglycaemia was also less frequent in patients assigned to artesunate than in those assigned to quinine (48/2712 [1.8%] vs 75/2713 [2.8%]; OR 0.63, 0.43-0.91; p=0.0134). Artesunate was well tolerated, with no serious drug-related adverse effects. INTERPRETATION: Artesunate substantially reduces mortality in African children with severe malaria. These data, together with a meta-analysis of all trials comparing artesunate and quinine, strongly suggest that parenteral artesunate should replace quinine as the treatment of choice for severe falciparum malaria worldwide. FUNDING: The Wellcome Trust. PMID- 21062669 TI - Estrogens and men with schizophrenia: is there a case for adjunctive therapy? AB - Adjunctive use of estrogen therapy has been shown to be effective in enhancing the treatment of schizophrenia in women. In men, consideration of estrogen therapy has been impacted by concerns of feminising side effects, however, clinical trials of the use of estrogen in treating prostate cancer, bone density loss and even aggression and psychosis in dementia or traumatic brain injury, show this to be a safe and effective therapy. The current 14-day randomised placebo-controlled trial in 53 men with schizophrenia was conducted to evaluate the efficacy of 2 mg oral estradiol valerate as an adjunct to atypical antipsychotic treatment. Results demonstrated for estradiol participants a more rapid reduction in general psychopathology that occurred in the context of greater increases in serum estrogen levels and reductions in FSH and testosterone levels. Approximately 28% of estradiol participants did not achieve an increase (at least a 50% from baseline) in serum estrogen suggesting that further research is needed to refine the type, dose and administration route for estrogen therapy in men. Findings do, however, suggest further exploration of a therapeutic role for adjunctive estradiol treatment in men with schizophrenia is warranted. PMID- 21062670 TI - Relative indices of treatment effect may be constant across different definitions of response in schizophrenia trials. AB - BACKGROUND: In randomized controlled trials of antipsychotics, various cutoffs have been used to define response on continuous outcome measures. AIMS: To find a summary effect measure that remains constant across different definitions of response. METHOD: We conducted secondary analyses of individual patient data from 10 randomized controlled trials of second-generation antipsychotics for schizophrenia (n=4278) by applying a meta-analytic approach to produce odds ratios (OR), risk ratios (RR) and risk differences (RD) and their 95% confidence intervals (CI) for different definitions of response, using cutoffs of 10% through 90% reduction on the symptom severity rating scales. Constancy of these indices was examined through visual inspection, by way of I-squared statistics to quantify heterogeneity, and by way of coefficients of variation. If any of these indices were found to remain reasonably constant, we next examined the concordance between the number needed to treat (NNT) predicted from them and the observed NNT. RESULTS: OR and RR remained reasonably constant across various definitions of response, especially for those using thresholds of 10% through 70% reduction. The NNTs predicted from OR and RR agreed well with the observed NNTs, with ANOVA intraclass correlation coefficients of 0.96 (95% CI: 0.92 to 0.98) and 0.86 (0.72 to 0.93), respectively. CONCLUSIONS: The relative measures of treatment effectiveness remain reasonably constant across different scale-derived definitions of response and, in conjunction with varying control event rates, can give accurate estimates of NNTs for individuals with schizophrenia. PMID- 21062671 TI - Denbinobin suppresses breast cancer metastasis through the inhibition of Src mediated signaling pathways. AB - Denbinobin (5-hydroxy-3,7-dimethoxy- 1,4-phenanthraquinone), a biologically active chemical isolated from Ephemerantha lonchophylla, has been demonstrated to display anti-cancer activity. Breast cancer is the leading cause of female mortality, and the high mortality is mainly attributable to metastasis. Src kinase activity is elevated in many human cancers, including breast cancer, and is often associated with aggressive disease. In the present study, we examined the anti-metastatic effects of denbinobin through decreasing Src kinase activity in human and mouse breast cancer cells. Denbinobin caused significant block of Src kinase activity in both human and mouse breast cancer cells. Moreover, phosphorylation of the signaling molecules focal adhesion kinase, Crk-associated substrate and paxillin downstream of Src was also inhibited by denbinobin. Furthermore, denbinobin inhibited the in vitro migration, invasion and in vivo metastasis of breast cancers in a mouse metastatic model. The denbinobin-treated group showed a significant reduction in tumor metastasis, orthrotopic tumor volume, and spleen enlargement compared to the control group. In addition, transfection of breast cancer cells with a plasmid coding for a constitutively active Src prevented the denbinobin-mediated phosphorylation of Src and downstream molecules and cell migration. Our findings provide evidences that denbinobin inhibits Src-mediated signaling pathways involved in controlling breast cancer migration and metastasis, suggesting that it has therapeutic potential in breast cancer treatment. PMID- 21062672 TI - Synergistic chemoprotective mechanisms of dietary phytoestrogens in a select combination against prostate cancer. AB - Combination of dietary phytoestrogens with diverse molecular mechanisms may enhance their anticancer efficacy at physiological concentrations, as evidenced in epidemiological studies. A select combination of three dietary phytoestrogens containing 8.33 MUM each of genistein (G), quercetin (Q) and biochanin A (B) was found to be more potent in inhibiting the growth of androgen-responsive prostate cancer cells (LNCaP) as well as DU-145 and PC-3 prostate cancer cells in vitro than either 25 MUM of G, B or Q or 12.5+12.5 MUM of G+Q, Q+B or G+B. Subsequent mechanistic studies in PC-3 cells indicated that the action of phytoestrogens was mediated both through estrogen receptor (ER)-dependent and ER-independent pathways as potent estrogen antagonist ICI-182780 (ICI, 5 MUM) could not completely mask the synergistic anticancer effects, which were sustained appreciably in presence of ICI. G+Q+B combination was significantly more effective than individual compounds or their double combinations in increasing ER beta, bax (mRNA expression); phospho-JNK, bax (protein levels); and in decreasing bcl-2, cyclin E, c-myc (mRNA expression); phospho-AKT, phospho-ERK, bcl-2, proliferating cell nuclear antigen (protein levels) in PC-3 cells. Phytoestrogens also synergistically stimulated caspase-3 activity. Our findings suggest that selectively combining anticancer phytoestrogens could significantly increase the efficacy of individual components resulting in improved efficacy at physiologically achievable concentrations. The combination mechanism of multiple anticancer phytochemicals may be indicative of the potential of some vegetarian diet components to elicit chemopreventive effects against prostate cancer at their physiologically achievable concentrations, in vivo. PMID- 21062673 TI - The use of the LARS artificial ligament to augment a short or undersized ACL hamstrings tendon graft. AB - The aim of this study is to evaluate the midterm results of using Ligament Advanced Reinforcement System (LARS) artificial ligament for augmentation of the short (<15 cm length) and small-sized (3-4 mm) diameter for the gracilis and (4-5 mm) for the semitendinosus harvested hamstring tendons in anterior cruciate ligament (ACL) reconstruction, and to assess the knee stability, patient satisfaction and early- and midterm complications following surgery. A total of 112 patients were included in this study and were operated with arthroscopic ACL reconstruction using (augmented hamstring tendon graft with LARS) between January 2004 and December 2006. Assessment before and after the surgery include the history, clinical examination, modified international knee documentation committee score (IKDC), KT1000 measurements for clinical knee stability and osteoarthritis outcome score (KOOS) for patient satisfaction. Clinical results measured by IKDC evaluation, KT1000 and Lysholm scores showed significant postoperative values compared with the preoperative ones. Our findings suggest that at the end of a 5-year follow-up, augmented hamstring tendon graft with LARS artificial ligament is a useful, safe and satisfactory treatment option for ACL reconstruction in deficient knees with short- and small-sized harvested hamstring tendons, especially when an early return to high levels of sport activity is needed. PMID- 21062674 TI - The impact of continuous subcutaneous insulin infusion and multiple daily injections of insulin on glucose variability in older adults with type 2 diabetes. AB - AIMS: To determine whether continuous subcutaneous insulin infusion (CSII) or multiple daily injections of insulin (MDI) are associated with improved glycemic variability. METHODS: Type 2 diabetic patients >=60 years of age were randomized to 12 months of CSII (n=53) or MDI (n=54) therapy. Patients were asked to complete monthly eight-point self-monitored glucose profiles (n=78) and continuous glucose monitoring systems (CGMS) for up to 72 h at Months 0, 6, and 12 (n=77). Within-day mean glucose, standard deviation (SD), range, pre- and post prandial glucose, M value, and mean amplitude of glycemic excursions (MAGE) were calculated from eight-point profiles. Mean glucose, SD, range, area under the curve (AUC) high (>180 mg/dl) and AUC-low (<70 mg/dl) were calculated from CGMS. Mixed model analyses of variance were used to examine the associations between treatment, time, and the study outcomes, adjusting for any effects of sex. RESULTS: With the use of the eight-point profiles, CSII and MDI groups did not differ with respect to mean glucose, mean pre-prandial and post-prandial glucose, SD, range, M value, or MAGE. With the CGMS data, there were no significant between-group differences in measures of mean glucose, range, SD, AUC-high, or AUC-low. In both treatment groups, all measures improved over time (P<.0001) except for AUC-low (P=.68) which did not change. There were treatment-by-time interactions when considering the CGMS range (P=.04) and AUC-high (P=.001), but no significant differences were found at individual time points. CONCLUSIONS: Glucose variability improved equally with CSII and MDI treatment in older patients with type 2 diabetes. PMID- 21062675 TI - Reversion of resistance to immunosuppressive agents in three patients with psoriatic arthritis by cyclosporine A: modulation of P-glycoprotein function. AB - Secondary resistance may be a major problem in the management of autoimmune diseases. P-glycoprotein (P-gp) over-function has been described as a mechanism of drug resistance in autoimmune patients. P-gp function can in vitro be inhibited by cyclosporine A (CSA) and verapamil; moreover, P-gp reduction by CSA in systemic lupus erythematosus and rheumatoid arthritis has been demonstrated. Here, P-gp function before and after CSA administration in three psoriatic arthritis (PsA) patients, who developed a resistance to MTX/SSA, has been evaluated. P-gp function on patient cells was analyzed by measuring the changes in rhodamine-123 (Rh-123) fluorescence after verapamil incubation. CSA treatment resulted in good clinical outcome that was related with a significant P-gp function reduction at CD3+ and CD8+ levels. In addition to its immunosuppressive activity, CSA results may also be related to MTX/SSA effect restoration through P gp inhibition. This is the first time that CSA has been demonstrated as being able to revert MTX/SSA resistance in PsA. PMID- 21062676 TI - Boundary detection in medical images using edge following algorithm based on intensity gradient and texture gradient features. AB - Finding the correct boundary in noisy images is still a difficult task. This paper introduces a new edge following technique for boundary detection in noisy images. Utilization of the proposed technique is exhibited via its application to various types of medical images. Our proposed technique can detect the boundaries of objects in noisy images using the information from the intensity gradient via the vector image model and the texture gradient via the edge map. The performance and robustness of the technique have been tested to segment objects in synthetic noisy images and medical images including prostates in ultrasound images, left ventricles in cardiac magnetic resonance (MR) images, aortas in cardiovascular MR images, and knee joints in computerized tomography images. We compare the proposed segmentation technique with the active contour models (ACM), geodesic active contour models, active contours without edges, gradient vector flow snake models, and ACMs based on vector field convolution, by using the skilled doctors' opinions as the ground truths. The results show that our technique performs very well and yields better performance than the classical contour models. The proposed method is robust and applicable on various kinds of noisy images without prior knowledge of noise properties. PMID- 21062677 TI - A human body model for efficient numerical characterization of UWB signal propagation in wireless body area networks. AB - Wireless body area network (WBAN) is a new enabling system with promising applications in areas such as remote health monitoring and interpersonal communication. Reliable and optimum design of a WBAN system relies on a good understanding and in-depth studies of the wave propagation around a human body. However, the human body is a very complex structure and is computationally demanding to model. This paper aims to investigate the effects of the numerical model's structure complexity and feature details on the simulation results. Depending on the application, a simplified numerical model that meets desired simulation accuracy can be employed for efficient simulations. Measurements of ultra wideband (UWB) signal propagation along a human arm are performed and compared to the simulation results obtained with numerical arm models of different complexity levels. The influence of the arm shape and size, as well as tissue composition and complexity is investigated. PMID- 21062678 TI - Rigid body motion compensation for spiral projection imaging. AB - Spiral projection imaging (SPI) is a 3D, spiral based magnetic resonance imaging (MRI) acquisition scheme that allows for self-navigated motion estimation of all six degrees-of-freedom. The trajectory, a set of spiral planes, is enhanced to accommodate motion tracking by adding orthogonal planes. Rigid-body motion tracking is accomplished by comparing the overlapping data and deducing the motion that is consistent with the comparisons. The accuracy of the proposed method is quantified for simulated data and for data collected using both a phantom and a volunteer. These tests were repeated to measure the effect of off resonance blurring, coil sensitivity, gradient warping, undersampling, and nonrigid motion (e.g., neck). The artifacts of off-resonance, coils sensitivity, and gradient warping impose an unnotable effect on the accuracy of motion estimation. The worst mean accuracy is 0.15 degrees and 0.20 mm for the phantom while the worst mean accuracy is 0.48 degrees and 0.34 mm when imaging a brain, indicating that the nonrigid component in human subjects slightly degrades accuracy. When applied to in vivo motion, the proposed technique considerably reduces motion artifact. PMID- 21062679 TI - Super-resolution method for face recognition using nonlinear mappings on coherent features. AB - Low-resolution (LR) of face images significantly decreases the performance of face recognition. To address this problem, we present a super-resolution method that uses nonlinear mappings to infer coherent features that favor higher recognition of the nearest neighbor (NN) classifiers for recognition of single LR face image. Canonical correlation analysis is applied to establish the coherent subspaces between the principal component analysis (PCA) based features of high resolution (HR) and LR face images. Then, a nonlinear mapping between HR/LR features can be built by radial basis functions (RBFs) with lower regression errors in the coherent feature space than in the PCA feature space. Thus, we can compute super-resolved coherent features corresponding to an input LR image according to the trained RBF model efficiently and accurately. And, face identity can be obtained by feeding these super-resolved features to a simple NN classifier. Extensive experiments on the Facial Recognition Technology, University of Manchester Institute of Science and Technology, and Olivetti Research Laboratory databases show that the proposed method outperforms the state of-the-art face recognition algorithms for single LR image in terms of both recognition rate and robustness to facial variations of pose and expression. PMID- 21062680 TI - Solving nonstationary classification problems with coupled support vector machines. AB - Many learning problems may vary slowly over time: in particular, some critical real-world applications. When facing this problem, it is desirable that the learning method could find the correct input-output function and also detect the change in the concept and adapt to it. We introduce the time-adaptive support vector machine (TA-SVM), which is a new method for generating adaptive classifiers, capable of learning concepts that change with time. The basic idea of TA-SVM is to use a sequence of classifiers, each one appropriate for a small time window but, in contrast to other proposals, learning all the hyperplanes in a global way. We show that the addition of a new term in the cost function of the set of SVMs (that penalizes the diversity between consecutive classifiers) produces a coupling of the sequence that allows TA-SVM to learn as a single adaptive classifier. We evaluate different aspects of the method using appropriate drifting problems. In particular, we analyze the regularizing effect of changing the number of classifiers in the sequence or adapting the strength of the coupling. A comparison with other methods in several problems, including the well-known STAGGER dataset and the real-world electricity pricing domain, shows the good performance of TA-SVM in all tested situations. PMID- 21062681 TI - Multiscale amplitude-modulation frequency-modulation (AM-FM) texture analysis of multiple sclerosis in brain MRI images. AB - This study introduces the use of multiscale amplitude modulation-frequency modulation (AM-FM) texture analysis of multiple sclerosis (MS) using magnetic resonance (MR) images from brain. Clinically, there is interest in identifying potential associations between lesion texture and disease progression, and in relating texture features with relevant clinical indexes, such as the expanded disability status scale (EDSS). This longitudinal study explores the application of 2-D AM-FM analysis of brain white matter MS lesions to quantify and monitor disease load. To this end, MS lesions and normal-appearing white matter (NAWM) from MS patients, as well as normal white matter (NWM) from healthy volunteers, were segmented on transverse T2-weighted images obtained from serial brain MR imaging (MRI) scans (0 and 6-12 months). The instantaneous amplitude (IA), the magnitude of the instantaneous frequency (IF), and the IF angle were extracted from each segmented region at different scales. The findings suggest that AM-FM characteristics succeed in differentiating 1) between NWM and lesions; 2) between NAWM and lesions; and 3) between NWM and NAWM. A support vector machine (SVM) classifier succeeded in differentiating between patients that, two years after the initial MRI scan, acquired an EDSS <= 2 from those with EDSS > 2 (correct classification rate = 86%). The best classification results were obtained from including the combination of the low-scale IA and IF magnitude with the medium scale IA. The AM-FM features provide complementary information to classical texture analysis features like the gray-scale median, contrast, and coarseness. The findings of this study provide evidence that AM-FM features may have a potential role as surrogate markers of lesion load in MS. PMID- 21062682 TI - Reliable confidence measures for medical diagnosis with evolutionary algorithms. AB - Conformal Predictors (CPs) are machine learning algorithms that can provide predictions complemented with valid confidence measures. In medical diagnosis, such measures are highly desirable, as medical experts can gain additional information for each machine diagnosis. A risk assessment in each prediction can play an important role for medical decision making, in which the outcome can be critical for the patients. Several classical machine learning methods can be incorporated into the CP framework. In this paper, we propose a CP that makes use of evolved rule sets generated by a genetic algorithm (GA). The rule-based GA has the advantage of being human readable. We apply our method on two real-world datasets for medical diagnosis, one dataset for breast cancer diagnosis, which contains data gathered from fine needle aspirate of breast mass; and one dataset for ovarian cancer diagnosis, which contains proteomic patterns identified in serum. Our results on both datasets show that the proposed method is as accurate as the classical techniques, while it provides reliable and useful confidence measures. PMID- 21062683 TI - MyCare Card Development: portable GUI framework for the personal electronic health record device. AB - In most emergency situations, health professionals rely on patients to provide information about their medical history. However, in some cases patients might not be able to communicate this information, and in most countries an online integrated patient record system has not been adopted yet. Therefore, in order to address this issue the ongoing project MyCare Card (MyC2, www.myc2.org) has been established. The aim of this project is to design, implement, and evaluate a prototype patient held electronic health record device. Due to the wide range of user requirements, the device, its communication interface, and its software have to be compatible with many common platforms and operating systems. Thus, this paper is addressing one of the software compatibility matters-the cross-platform GUI implementation. It introduces a portable object-oriented GUI framework, suitable for a declarative layout definition, components customization, and fine model-view code separation. It also rationalizes the hardware and software solutions selected for this project implementation. PMID- 21062684 TI - Home-based monitoring and assessment of Parkinson's disease. AB - As a clinically complex neurodegenerative disease, Parkinson's disease (PD) requires regular assessment and close monitoring. In our current study, we have developed a home-based tool designed to monitor and assess peripheral motor symptoms. An evaluation of the tool was carried out over a period of ten weeks on ten people with idiopathic PD. Participants were asked to use the tool twice daily over four days, once when their medication was working at its best ("on" state) and once when it had worn off ("off" state). Results showed the ability of the data collected to distinguish the "on" and "off" state and also demonstrated statistically significant differences in timed assessments. It is anticipated that this tool could be used in the home environment as an early alert to a change in clinical condition or to monitor the effects of changes in prescribed medications used to manage PD. PMID- 21062685 TI - Intensive care window: real-time monitoring and analysis in the intensive care environment. AB - This paper introduces a novel, open-source middleware framework for communication with medical devices and an application using the middleware named intensive care window (ICW). The middleware enables communication with intensive care unit bedside-installed medical devices over standard and proprietary communication protocol stacks. The ICW application facilitates the acquisition of vital signs and physiological parameters exported from patient-attached medical devices and sensors. Moreover, ICW provides runtime and post-analysis procedures for data annotation, data visualization, data query, and analysis. The ICW application can be deployed as a stand-alone solution or in conjunction with existing clinical information systems providing a holistic solution to inpatient medical condition monitoring, early diagnosis, and prognosis. PMID- 21062686 TI - Emergency fall incidents detection in assisted living environments utilizing motion, sound, and visual perceptual components. AB - This paper presents the implementation details of a patient status awareness enabling human activity interpretation and emergency detection in cases, where the personal health is threatened like elder falls or patient collapses. The proposed system utilizes video, audio, and motion data captured from the patient's body using appropriate body sensors and the surrounding environment, using overhead cameras and microphone arrays. Appropriate tracking techniques are applied to the visual perceptual component enabling the trajectory tracking of persons, while proper audio data processing and sound directionality analysis in conjunction to motion information and subject's visual location can verify fall and indicate an emergency event. The postfall visual and motion behavior of the subject, which indicates the severity of the fall (e.g., if the person remains unconscious or patient recovers) is performed through a semantic representation of the patient's status, context and rules-based evaluation, and advanced classification. A number of advanced classification techniques have been examined in the framework of this study and their corresponding performance in terms of accuracy and efficiency in detecting an emergency situation has been thoroughly assessed. PMID- 21062687 TI - The impact of bisphenol A and triclosan on immune parameters in the U.S. population, NHANES 2003-2006. AB - BACKGROUND: Exposure to environmental toxicants is associated with numerous disease outcomes, many of which involve underlying immune and inflammatory dysfunction. OBJECTIVES: To address the gap between environmental exposures and immune dysfunction, we investigated the association of two endocrine-disrupting compounds (EDCs) with markers of immune function. METHODS: Using data from the 2003-2006 National Health and Nutrition Examination Survey, we compared urinary bisphenol A (BPA) and triclosan levels with serum cytomegalovirus (CMV) antibody levels and diagnosis of allergies or hay fever in U.S. adults and children >= 6 years of age. We used multivariate ordinary least squares linear regression models to examine the association of BPA and triclosan with CMV antibody titers, and multivariate logistic regression models to investigate the association of these chemicals with allergy or hay fever diagnosis. Statistical models were stratified by age (< 18 years and >= 18 years). RESULTS: In analyses adjusted for age, sex, race, body mass index, creatinine levels, family income, and educational attainment, in the >= 18-year age group, higher urinary BPA levels were associated with higher CMV antibody titers (p < 0.001). In the < 18-year age group, lower levels of BPA were associated with higher CMV antibody titers (p < 0.05). However, triclosan, but not BPA, showed a positive association with allergy or hay fever diagnosis. In the < 18-year age group, higher levels of triclosan were associated with greater odds of having been diagnosed with allergies or hay fever (p < 0.01). CONCLUSIONS: EDCs such as BPA and triclosan may negatively affect human immune function as measured by CMV antibody levels and allergy or hay fever diagnosis, respectively, with differential consequences based on age. Additional studies should be done to investigate these findings. PMID- 21062688 TI - Prenatal exposure to perfluorinated chemicals and behavioral or coordination problems at age 7 years. AB - OBJECTIVE: Potential neurotoxic effects of perfluorinated compounds (PFCs) have been reported in highly exposed animals, but whether these chemicals are neurotoxic in humans is not known. We therefore investigated whether prenatal exposure to perfluorooctanoic acid (PFOA) or perfluorooctane sulfate (PFOS), two of the most prevalent PFCs, are associated with behavioral or coordination problems in early childhood. METHODS: We used data from the Danish National Birth Cohort, which enrolled mothers in early pregnancy, and we measured maternal blood levels of PFOA and PFOS using specimens drawn around 8 weeks of gestation. When the children reached 7 years of age, mothers completed the Strengths and Difficulties Questionnaire (SDQ, n=787) and the Developmental Coordination Disorder Questionnaire (DCDQ, n=526) to assess behavioral health and motor coordination of their children. SDQ scores above the 90th percentile were a priori defined to identify behavioral problems and DCDQ scores below the 10th percentile were defined as a potential DCD. RESULTS: The median concentrations of PFOS and PFOA in maternal blood were 34.4 ng/mL [interquartile range (IQR), 26.6 44.5] and 5.4 ng/mL (IQR, 4.0-7.1), respectively, similar to distributions reported for populations without occupational exposure. We found no association between higher SDQ scores and maternal levels of PFOS or PFOA, nor did we see any statistically significant association with motor coordination disorders. CONCLUSION: The findings suggest that background levels of PFOA and PFOS are not associated with behavioral and motor coordination problems in childhood. However, effects on other developmental end points, including cognitive, attentional, and clinical mental disorders not measured in this study, cannot be ruled out. PMID- 21062689 TI - E-page original images. Impending thrombus through a patent foramen ovale complicated by pulmonary embolism: successful treatment with thrombolytic application. PMID- 21062690 TI - E-page original images. A rare case of isolated complete congenital sternal cleft. PMID- 21062691 TI - E-page original images. Hiatus hernia mimicking pericardial calcification. PMID- 21062692 TI - E-page original images. Porcelain left atrium. PMID- 21062693 TI - E-page original images. Accessory mitral valve associated with cerebrovascular thromboembolism. PMID- 21062694 TI - E-page original images. A case of Ebstein anomaly and biventricular noncompaction. PMID- 21062695 TI - E-page original images. A frequently overlooked etiology of negative precordial T wave: solitary papillary muscle hypertrophy. PMID- 21062696 TI - E-page original images. Lev's disease: insidious enemy of conduction system. PMID- 21062697 TI - [Homograft implantation to descending aorta for aortic coarctation repair]. PMID- 21062698 TI - [A proposal on support of continuous medical education to family physicians]. PMID- 21062699 TI - Cardiovascular effects of Turkish bath and sauna/The effect of Turkish bath on QT dispersion. PMID- 21062700 TI - An intracardiac mobile mass: ruptured left-ventricular false tendon with big vegetation due to Brucella endocarditis. PMID- 21062701 TI - Unusual bridging on dual-source CT coronary angiography: right atrial myocardial bridging. PMID- 21062702 TI - Thrombotic occlusion of a left main coronary artery in a patient with prosthetic mitral valve. PMID- 21062703 TI - [Spongy myocardium--observation of 23 cases]. PMID- 21062704 TI - A minimally invasive procedure for esthetic achievement: enamel microabrasion of fluorosis stains. AB - Esthetic alterations (such as fluorosis) that result from intrinsic dental staining in enamel and dentin can be controlled or softened by noninvasive methods such as dental bleaching or enamel microabrasion. Part of the enamel is removed during microabrasion; however, this wear is clinically insignificant and does not harm the dental structure. This article presents a case in which the microabrasion technique was used to remove fluorosis staining. Based on the results of this case report, it can be concluded that this technique is efficient and can be considered a minimally invasive procedure. PMID- 21062705 TI - The use of implants as retainers for removable partial dentures. AB - There has been little presented in the literature regarding the use of implant bodies as retainers for removable partial dentures. However, these fixtures can be a useful asset for restorative dentists, as they can be used when there is insufficient bone for a fixed prosthesis or as retainers for a provisional appliance until additional dental treatment is possible. PMID- 21062706 TI - Periodontal surgery associated with odontoplasty in the esthetic functional rehabilitation of a fractured anterior tooth. AB - Anterior dental fractures often require a multidisciplinary approach. This article presents a case in which an extensive fracture with palatal biological width invasion was treated successfully through clinical crown lengthening with odontoplasty. This procedure was a simple direct technique that restored the tooth without damaging the dental esthetics, the gingival contour, or the papillae. PMID- 21062707 TI - Calcium release rates from tooth enamel treated with dentifrices containing whitening agents and abrasives. AB - Tooth whitening agents containing hydrogen peroxide and carbamide peroxide are used frequently in esthetic dental procedures. However, lesions on the enamel surface have been attributed to the action of these products. Using conventional procedures for separating and isolating biological structures, powdered enamel was obtained and treated with hydrogen peroxide, carbamide peroxide, and sodium bicarbonate, ingredients typically found in dentifrices. The enamel was exposed to different pH levels, and atomic emission spectrometry was used to determine calcium release rates. As the pH level increased, the rate of calcium release from enamel treated with dentifrices containing whitening agents decreased. Carbamide peroxide produced the lowest amount of decalcification, while sodium bicarbonate produced the highest release rates at all pH levels. PMID- 21062708 TI - Peripheral and central giant cell granulomas of the jaws: a retrospective study and surgical management. AB - This 18-year retrospective multi-center study analyzed data from patients diagnosed and treated for peripheral giant cell granuloma (PGCG) and central giant cell granuloma (CGCG) of the jaws from 1991-2009. Data included age, gender, the jaw involved, the area of the lesion, the type of surgical treatment, and recurrence. Thorough curettage or partial resection was used to treat CGCG (96.39% success rate) and PGCG (98.71% success rate) in this group of patients documented during the follow-up period (1-18 years). PMID- 21062709 TI - Esthetic removable partial denture design in replacing maxillary anterior teeth. AB - Prosthodontic rehabilitation of missing maxillary anterior teeth requires special consideration to restore function and esthetics. This case report describes the prosthodontic management of a patient who lost three maxillary incisors due to a motor vehicle accident. A rotational path removable partial denture was constructed, for which a proximal undercut was created by means of a composite buildup to provide the retention for the prosthesis. PMID- 21062710 TI - Resin-dentin bond strength of 10 contemporary etch-and-rinse adhesive systems after one year of water storage. AB - To compare the resin-dentin bond degradation of 10 contemporary etch-and-rinse adhesive systems after one year of water storage, 100 bovine incisors were randomly separated into 10 groups and their superficial coronal dentin was exposed. According to manufacturers' instructions, dentin surfaces were bonded with one of seven two-step etch-and-rinse adhesives or one of three three-step etch-and-rinse adhesives. Composite buildups were constructed incrementally. Restored teeth were sectioned to obtain sticks (0.5 mm2). The specimens were subjected to a microtensile bond strength test after storage in distilled water (at 37 degrees C) for one year. Data (MPa) were analyzed using Kruskal-Wallis and Tukey's tests at alpha = 0.05. Of the adhesives tested, One Step, All Bond 2, and Optibond FL attained the highest bond strength to dentin after one year in water storage, while Magic Bond DE and Master Bond presented a high number of premature debonded flaws. PMID- 21062711 TI - Evaluation of the conversion degree, microhardness, and surface roughness of composite resins used after their expiration date. AB - This study evaluated the conversion degree associated with microhardness and surface roughness of four composite resins used 180 days before and after their expiration dates. Ten specimens of each composite were made in a Teflon matrix (2 mm x 7 mm), separated into two groups (n = 10), and submitted to Vickers microhardness (a 10 N load for 15 seconds), R(a)roughness (cut-off 0.25 mm), and conversion degree evaluation. The data submitted to the two-way ANOVA (p < 0.05) showed a reduction in microhardness for the composites used after their expiration dates. It was concluded that the expired composites had altered properties. PMID- 21062712 TI - Clinical case report: treatment of permanent tooth avulsion following trauma from a dog bite. AB - This article reviews the treatment of a pediatric patient following head and neck trauma from a dog bite. The patient had an avulsed mandibular incisor, a fractured maxillary lateral incisor, and various facial lacerations. The avulsed tooth was replanted and secured for two weeks by using a physiologic splint. Both traumatized teeth received endodontic therapy and were returned to normal function. Trauma patients require a thorough evaluation and a multidisciplinary approach for the formation of a proper treatment plan. PMID- 21062714 TI - Back on track. PMID- 21062713 TI - An unusual case of generalized severe gingival enlargement during pregnancy. AB - Increased hormone levels that are present during puberty and pregnancy are associated with localized or generalized gingival enlargement. This article reviews the gingival alterations that can occur during pregnancy and describes a case of generalized severe gingival enlargement associated with pregnancy and its management. A 36-year-old woman had severe bilateral gingival enlargement of short duration. The patient denied taking any medications. The laboratory report revealed no systemic abnormalities; however, the report disclosed that she was pregnant. Surgical therapy for the gingival enlargement included gingivectomy and gingivoplasty of all quadrants, which reduced the size of the enlarged gingiva. Postoperative visits demonstrated uneventful healing, with no recurrence seen at the one-year follow-up appointment. It appears that the English literature includes only one other case report that discusses generalized gingival enlargement during pregnancy. Pregnancy-related gingival enlargement should be included as a differential diagnosis in women who have non-drug-induced generalized gingival enlargement. PMID- 21062715 TI - Antidepressant drugs: new reports on adverse effects and efficacy. PMID- 21062716 TI - Restoration of a fixed full-arch implant-supported failure: a case report. PMID- 21062717 TI - Solving tooth sensitivity. AB - Solving tooth sensitivity requires both you and the patients to be resilient and to understand that if one approach doesn't work, you can try another one that is non-invasive or, at worst, minimally invasive. Much like the clinician who posted the original question, I strongly believe that it is our responsibility to convince patients that jumping to a radical solution could be totally unnecessary -and expensive-- and still might not solve the problem. PMID- 21062718 TI - Adverse events and intravenous versus oral bisphosphonate use in patients with osteoporosis and cancer in the U.S. AB - This observational study utilized a patient-level database of more than 55 million patients and 70 U.S.-based health plans compiled from 2000-2006. Patients diagnosed with osteoporosis or various cancers were categorized according to bisphosphonate use (via IV, oral, or none). Continuous enrollment for at least six months pre- and post-index diagnosis was required. Outcomes of adverse events were defined as inflammatory conditions of the jaw, including osteonecrosis; major jaw surgery for necrotic or inflammatory conditions; or jaw surgeries for malignancies. Propensity scores and multivariate regression analyses were used to determine adjusted odds ratios for adverse events based on IV or oral bisphosphonate use relative to no bisphosphonate use, controlling for patient demographics, co-morbidities, prior dental or oral surgery, physician likelihood of prescribing oral versus IV bisphosphonates, and antibiotic, hormonal treatment, or thalidomide use. Subgroup analyses-excluding patients using oral corticosteroids-were conducted. After controlling for numerous demographic, clinical, and instrumental variables, this study found significant relationships between IV bisphosphonate use and both inflammatory conditions of the jaw and major jaw surgery for necrotic or inflammatory conditions in patients with osteoporosis or various cancers. While no significant relationship was observed for oral bisphosphonates, continued research is warranted to assess the long-term use of the medications and adverse events in patients with osteoporosis. PMID- 21062719 TI - Photocurability of a new silorane-based restorative material. AB - This study sought to determine how irradiance at various distances affected the hardness of a new silorane-based composite compared to a methacrylate-based composite resin restorative material. A visible-light curing unit was used at low and high irradiances. Plastic molds of various thicknesses (1, 2, 3, and 4 mm) were filled with composite resin and polymerized for 20 seconds at various distances (0, 2, 4, and 6 mm). After 24 hours of storage at 37 degrees C, the microhardness of each specimen was tested at the bottom surface using a microhardness tester. These microhardness values were expressed as a percentage of maximum microhardness and analyzed with ANOVA and Tukey's post-hoc tests. At both low and high irradiances, the silorane-based composite (shade A2) was capable of acceptable (80%) bottom-to-maximum microhardness ratios at increments up to 3 mm and at curing distances up to 4 mm (at low irradiance) and 6 mm (at high irradiance). PMID- 21062720 TI - Caries risk assessment, prevention, and management in pediatric dental care. AB - The recent increase in the prevalence of dental caries among young children has highlighted the need for a new approach to prevent caries in children at a younger age. New disease prevention management models call for children to have their first visit to the dentist at age 1 or when their first tooth erupts. This article addresses early childhood caries risk assessment, prevention, and management strategies in young children using the concept of the "dental home" and a simple six-step protocol to conduct an effective and comprehensive infant oral care visit. PMID- 21062721 TI - Change in stated clinical practice associated with participation in the Dental Practice-Based Research Network. AB - Clinical researchers have attempted many methods to translate scientific evidence into routine clinical practice, with varying success. Practice-based research networks (PBRNs) provide an important, practitioner-friendly venue to test these methods. Dentist practitioner-investigators from the Dental Practice-Based Research Network (DPBRN) completed a detailed questionnaire about how they diagnose and treat dental caries. Next, they received a customized report that compared their answers to those from all other practitioner-investigators. Then, 126 of them attended the DPBRN's first network-wide meeting of practitioner investigators from all five of its regions. During that meeting, certain questions were repeated and new ones were asked about the dentist's intention to change the way that he or she diagnosed or treated dental caries. Less than one third of practitioner-investigators intended to change how they diagnosed or treated caries as a result of receiving the customized report. However, as a result of the meeting, the majority of these same practitioner-investigators stated an intention to change toward a more conservative, less surgically invasive approach. These findings are consistent with the idea that a highly interactive meeting with fellow practitioner-investigators may be an effective means to translate scientific findings into clinical practice. Practitioner investigators are open to changing how they treat patients as a result of engaging fellow practitioner-investigators in the scientific process. PMID- 21062722 TI - Failing before starting: when not to do endodontics. AB - It's a fact: Perfect endodontic treatment can be unsuccessful. Unfortunately, endodontic failure often can result from an inadequate preliminary diagnosis or prognosis assessment. Many variables should be considered before endodontic treatment begins, including assessing the endodontic case, addressing periodontal concerns, determining restorative issues, and detecting root fractures. If these parameters are not evaluated carefully, then short- or long-term endodontic failure will result. Unfortunately, an endodontic prognosis assessment is often subjective. Although dentists must rely on evidence-based research to determine the best modality of treatment, good clinical judgment and experience may override the most objective findings. This article discusses the objective and subjective nuances involved in evaluating the potential prognosis of endodontic treatment. PMID- 21062723 TI - Use of cone beam computed tomography to detect and remove a broken suture needle. AB - Suture needles can break when they are used to suture the oral mucosa, especially if they have been bent or if excessive force is applied. Several retrieval modalities have been discussed in the literature; these have focused mainly on locating the needle. Although the segment often is found and removed by the surgeon during the procedure, more extensive procedures and paraclinical diagnostics may be necessary. This article presents a case in which a lost suture needle was located using a cone beam computed tomography scan and retrieved via surgery. PMID- 21062724 TI - Multiple nonvital teeth. Vitamin D-resistant rickets. PMID- 21062725 TI - Bilateral white cheeks. White sponge nevus. PMID- 21062727 TI - Individualizing mesothelioma treatment: small steps into a brighter future. PMID- 21062728 TI - The role of maintenance treatment in advanced non-small-cell lung cancer: reality or early second line? AB - First-line platinum-based chemotherapy has reached a plateau of effectiveness for the treatment of patients with advanced non-small-cell lung cancer (NSCLC). In patients who reported a stable disease, no more than 4 cycles of chemotherapy are recommended while a maximum of 6 cycles is recommended in patients who are responding to therapy. A potential strategy with the aim of improving outcomes for NSCLC patients is to administer more therapy. This term includes different approaches: duration of therapy, sequential therapy, consolidation therapy, and maintenance therapy. Here, we attempt to define the different approaches that fall under the rubric of maintenance strategy, and discuss the results available to date. PMID- 21062729 TI - Extended-duration therapy in advanced non-small-cell lung cancer: promise and pitfalls. AB - For many years, the cornerstone of treatment for non-small-cell lung cancer (NSCLC) has been third-generation platinum-based chemotherapy. Unfortunately, clinical outcomes with the use of this approach have remained poor, with median survival times of only 8-13 months. In an attempt to improve survival, several therapeutic strategies have recently been investigated, including extended duration chemotherapy. Although historically maintenance chemotherapy in NSCLC has resulted in less-than-optimal outcomes and there has been a recent surge in interest with this treatment modality. This has been in part because of the strategy of the early delivery of a non-cross-resistant agent after platinum chemotherapy, now termed switch maintenance therapy. Results from several recent phase III trials using this strategy are shifting the treatment paradigm of patients with advanced-stage NSCLC. Despite more favorable outcomes demonstrated with this strategy, study designs and reported results have not been without critique. Here, we review all published extended-duration chemotherapy strategies in NSCLC and seek to clarify outstanding issues as they relate to more recent approaches using this strategy. PMID- 21062730 TI - Human immunodeficiency virus-associated primary lung cancer in the era of highly active antiretroviral therapy: a multi-institutional collaboration. AB - BACKGROUND: Human immunodeficiency virus (HIV)-infected individuals are at increased risk for primary lung cancer (LC). We wished to compare the clinicopathologic features and treatment outcome of HIV-LC patients with HIV indeterminate LC patients. We also sought to compare behavioral characteristics and immunologic features of HIV-LC patients with HIV-positive patients without LC. PATIENTS AND METHODS: A database of 75 HIV-positive patients with primary LC in the HAART era was established from an international collaboration. These cases were drawn from the archives of contributing physicians who subspecialize in HIV malignancies. Patient characteristics were compared with registry data from the Surveillance Epidemiology and End Results program (SEER; n = 169,091 participants) and with HIV-positive individuals without LC from the Adult and Adolescent Spectrum of HIV-related Diseases project (ASD; n = 36,569 participants). RESULTS: The median age at HIV-related LC diagnosis was 50 years compared with 68 years for SEER participants (P < .001). HIV-LC patients, like their SEER counterparts, most frequently presented with stage IIIB/IV cancers (77% vs. 70%), usually with adenocarcinoma (46% vs. 47%) or squamous carcinoma (35% vs. 25%) histologies. HIV-LC patients and ASD participants had comparable median nadir CD4+ cell counts (138 cells/uL vs. 160 cells/uL). At LC diagnosis, their median CD4+ count was 340 cells/uL and 86% were receiving HAART. Sixty three HIV-LC patients (84%) received cancer-specific treatments, but chemotherapy associated toxicity was substantial. The median survival for both HIV-LC patients and SEER participants with stage IIIB/IV was 9 months. CONCLUSION: Most HIV positive patients were receiving HAART and had substantial improvement in CD4+ cell count at time of LC diagnosis. They were able to receive LC treatments; their tumor types and overall survival were similar to SEER LC participants. However, HIV-LC patients were diagnosed with LC at a younger age than their HIV indeterminate counterparts. Future research should explore how screening, diagnostic and treatment strategies directed toward the general population may apply to HIV-positive patients at risk for LC. PMID- 21062731 TI - Intratracheally administered 5-azacytidine is effective against orthotopic human lung cancer xenograft models and devoid of important systemic toxicity. AB - INTRODUCTION: Hypermethylation of key tumor suppressor genes plays an important role in lung carcinogenesis. The purpose of this study is to explore the therapeutic potential of regional administration (via the airways) of the demethylating agent 5-azacytidine (5-Aza) for the treatment of early lung cancer. PATIENTS AND METHODS: We administered 5-Aza solution directly into the trachea in imprinting control region (ICR) mice (to study its toxicity) and in nude mice bearing orthotopic human lung cancer xenografts (to assess its antitumor activity). RESULTS: In vitro, 5-Aza inhibited the growth of human lung cancer cell lines H226, H358, and H460 in a dose-dependent manner. The concentrations to inhibit cell growth by 50% (IC50) were about 0.6-4.9 ug/mL. 5-Azacytidine reversed hypermethylation in the promoter of tumor suppressor gene RASSF1a in the H226 cells at a 6000-fold lower concentration than its IC50. In animal studies, intratracheal (I.T.) administration of 90 mg/kg 5-Aza (the maximum tolerated dose of 5-Aza intravenous injection [I.V.]) resulted in moderate pulmonary toxicity and 5-fold reduced myelosuppression compared with the same dose of I.V. 5-Aza. Using an optimized multiple dose schedule, I.T. 5-Aza was about 3-fold more effective than I.V. 5-Aza in prolonging the survival of mice bearing orthotopic H460 and H358 xenografts, and did not cause any detectable toxicity. CONCLUSION: 5-Azacytidine can reverse the hypermethylation in the human lung cancer cell lines at a nontoxic dose. Regional administration to the airways enhances the therapeutic index of 5-Aza by 75-fold. The potential of regional administration of 5-Aza (including by aerosolization) for the treatment of advanced bronchial premalignancy deserves further investigation. PMID- 21062732 TI - Analysis of 5-fluorouracil-related enzymes in pulmonary neuroendocrine carcinoma: differences in biological properties compared to epithelial carcinoma. AB - BACKGROUND: Dihydropyrimidine dehydrogenase (DPD), orotate phosphoribosyl transferase (OPRT), and thymidylate synthase (TS) levels correlate with sensitivity and resistance to 5-fluorouracil (5-FU). Few data are available on these enzymes in pulmonary neuroendocrine carcinoma, because 5-FU appears to have minimal effect on such carcinomas. PATIENTS AND METHODS: This study investigated 5-FU-related enzymes in large-cell neuroendocrine carcinoma (LCNEC; n = 31) and small-cell lung carcinoma (SCLC; n = 15), comparing expression levels with epithelial carcinomas including adenocarcinoma (ADC; n = 34) and squamous cell carcinoma (SCC; n = 13) obtained from 93 patients with primary lung tumors. Levels of 5-FU-related enzyme mRNA were analyzed by laser capture microdissection, compared with immunohistochemical findings and correlated with clinicopathologic factors. RESULTS: LCNEC and SCLC showed significantly higher TS and OPRT mRNA levels than ADC. SCLC exhibited significantly higher TS mRNA levels than LCNEC (P = .002). LCNEC displayed significantly lower DPD mRNA levels than ADC (P < .001), with a similar tendency in SCLC. SCC showed significantly lower DPD (P < .01) and higher OPRT (P < .001) mRNA levels than ADC. When we divide the data by pathology into epithelial carcinoma and neuroendocrine carcinoma, malignant potentials and prognoses correlated with mRNA levels in epithelial carcinoma, but not in neuroendocrine carcinoma. Immunohistochemically, neuroendocrine carcinomas were immunonegative for DPD. A significant correlation was found between enzymatic mRNA and protein expression for DPD (R = .500) and a weak correlation was observed for TS (R = .294). CONCLUSION: Neuroendocrine carcinomas show characteristic patterns of expression for 5-FU-related enzymes, including low DPD mRNA and protein level and high TS mRNA level compared with adenocarcinomas. These results partially explain why 5-FU-based chemotherapy shows minimal efficacy against SCLC. Conversely, clinicopathological data and survival analysis indicates that 5-FU-related enzymes themselves might not affect the malignant potential of neuroendocrine carcinoma. Expressional differences in 5-FU-related enzymes among pathologies may provide valuable information for tailor-made chemotherapy. PMID- 21062733 TI - Breathlessness after pneumonectomy: consider postpneumonectomy syndrome. AB - A 59-year-old woman presented with increasing breathlessness several weeks after right pneumonectomy. Imaging confirmed features of postpneumonectomy syndrome with marked mediastinal shift, and compression of the left main bronchus and pulmonary vein. The mediastinum was repositioned by insertion of saline-filled prostheses into the pneumonectomy space with symptomatic and radiologic improvement. Clinicians caring for patients after pneumonectomy should be aware of this rare but treatable complication. PMID- 21062734 TI - Wedge tracheobronchoplasty technique for primary pulmonary carcinoma of the right upper bronchus. AB - We describe a novel tracheobronchoplasty procedure for advanced squamous cell carcinoma of the right upper lung. A 78-year-old male was referred for further investigation of an abnormal shadow on a chest x-ray. A conventional right upper sleeve lobectomy was not applicable because of the invasion of lateral wall of the lower trachea. So, we performed a modified tracheobronchoplasty. This report shows that our cutting design for the trachea and bronchus was reasonable and appropriate for a caliber mismatch, with adaptation and suturing of each edge of the wedge-shaped defect of the trachea. PMID- 21062736 TI - Pseudomonas deceptionensis sp. nov., a psychrotolerant bacterium from the Antarctic. AB - During the taxonomic investigation of cold-adapted bacteria from samples collected in the Antarctic area of the South Shetland Islands, one Gram-reaction negative, psychrotolerant, aerobic bacterium, designated strain M1(T), was isolated from marine sediment collected on Deception Island. The organism was rod shaped, catalase- and oxidase-positive and motile by means of a polar flagellum. This psychrotolerant strain grew at temperatures ranging from -4 degrees C to 34 degrees C. Phylogenetic studies based on 16S rRNA gene sequences confirmed that Antarctic isolate M1(T) was a member of the genus Pseudomonas and was located in the Pseudomonas fragi cluster. 16S rRNA gene sequence similarity values were >98 % between 13 type strains belonging to the Pseudomonas fluorescens lineage. However, phylogenetic analysis of rpoD gene sequences showed that strain M1(T) exhibited high sequence similarity only with respect to Pseudomonas psycrophila (97.42 %) and P. fragi (96.40 %) and DNA-DNA hybridization experiments between the Antarctic isolate M1(T) and the type strains of these two closely related species revealed relatedness values of 58 and 57 %, respectively. Several phenotypic characteristics, together with the results of polar lipid and cellular fatty acid analyses, were used to differentiate strain M1(T) from related pseudomonads. Based on the evidence of this polyphasic taxonomic study, strain M1(T) represents a novel species, for which the name Pseudomonas deceptionensis sp. nov. is proposed. The type strain is M1(T) ( = LMG 25555(T) = CECT 7677(T)). PMID- 21062737 TI - Cell-permeable carboxyl-terminal p27(Kip1) peptide exhibits anti-tumor activity by inhibiting Pim-1 kinase. AB - The incidence and death rate of prostate cancer is increasing rapidly. In addition, the low sensitivity of prostate cancer to chemotherapy makes it difficult to treat this condition. The serine/threonine kinase Pim-1 plays an important role in cell cycle progression and apoptosis inhibition, resulting in prostate tumorigenesis. Therefore, Pim-1 inhibition has been expected to be an attractive target for developing new anti-cancer drugs. However, no small compounds targeting Pim-1 have progressed to clinical use because of their lack of specificity. Here, we have reported a new cell-permeable Pim-1 inhibitory p27(Kip1) peptide that could interfere with the binding of Pim-1 to its substrates and act as an anti-cancer drug. The peptide could bind to Pim-1 and inhibit phosphorylation of endogenous p27(Kip1) and Bad by Pim-1. Treatment of prostate cancer with the peptide induces G(1) arrest and subsequently apoptosis in vitro. However, the peptide showed almost no growth inhibitory or apoptosis inducing effects in normal cells. The peptide could inhibit tumor growth in in vivo prostate cancer xenograft models. Moreover, the peptide treatment could overcome resistance to taxol, one of the first line chemotherapeutic agents for prostate cancer, and a combination of the peptide with taxol synergistically inhibited prostate cancer growth in vivo. These results indicate that a Pim-1 inhibitory p27(Kip1) peptide could be developed as an anti-cancer drug against prostate cancer. PMID- 21062738 TI - Nature of the ferryl heme in compounds I and II. AB - Heme enzymes are ubiquitous in biology and catalyze a vast array of biological redox processes. The formation of high valent ferryl intermediates of the heme iron (known as Compounds I and Compound II) is implicated for a number of catalytic heme enzymes, but these species are formed only transiently and thus have proved somewhat elusive. In consequence, there has been conflicting evidence as to the nature of these ferryl intermediates in a number of different heme enzymes, in particular the precise nature of the bond between the heme iron and the bound oxygen atom. In this work, we present high resolution crystal structures of both Compound I and Compound II intermediates in two different heme peroxidase enzymes, cytochrome c peroxidase and ascorbate peroxidase, allowing direct and accurate comparison of the bonding interactions in the different intermediates. A consistent picture emerges across all structures, showing lengthening of the ferryl oxygen bond (and presumed protonation) on reduction of Compound I to Compound II. These data clarify long standing inconsistencies on the nature of the ferryl heme species in these intermediates. PMID- 21062739 TI - Transducer of Cdc42-dependent actin assembly promotes epidermal growth factor induced cell motility and invasiveness. AB - Toca-1 (transducer of Cdc42-dependent actin assembly) interacts with the Cdc42.N WASP and Abi1.Rac.WAVE F-actin branching pathways that function in lamellipodia formation and cell motility. However, the potential role of Toca-1 in these processes has not been reported. Here, we show that epidermal growth factor (EGF) induces Toca-1 localization to lamellipodia, where it co-localizes with F-actin and Arp2/3 complex in A431 epidermoid carcinoma cells. EGF also induces tyrosine phosphorylation of Toca-1 and interactions with N-WASP and Abi1. Stable knockdown of Toca-1 expression by RNA interference has no effect on cell growth, EGF receptor expression, or internalization. However, Toca-1 knockdown cells display defects in EGF-induced filopodia and lamellipodial protrusions compared with control cells. Further analyses reveal a role for Toca-1 in localization of Arp2/3 and Abi1 to lamellipodia. Toca-1 knockdown cells also display a significant defect in EGF-induced motility and invasiveness. Taken together, these results implicate Toca-1 in coordinating actin assembly within filopodia and lamellipodia to promote EGF-induced cell migration and invasion. PMID- 21062740 TI - Regulation of the inner membrane mitochondrial permeability transition by the outer membrane translocator protein (peripheral benzodiazepine receptor). AB - We studied the properties of the permeability transition pore (PTP) in rat liver mitochondria and in mitoplasts retaining inner membrane ultrastructure and energy linked functions. Like mitochondria, mitoplasts readily underwent a permeability transition following Ca(2+) uptake in a process that maintained sensitivity to cyclosporin A. On the other hand, major differences between mitochondria and mitoplasts emerged in PTP regulation by ligands of the outer membrane translocator protein of 18 kDa, TSPO, formerly known as the peripheral benzodiazepine receptor. Indeed, (i) in mitoplasts, the PTP could not be activated by photo-oxidation after treatment with dicarboxylic porphyrins endowed with protoporphyrin IX configuration, which bind TSPO in intact mitochondria; and (ii) mitoplasts became resistant to the PTP-inducing effects of N,N-dihexyl-2-(4 fluorophenyl)indole-3-acetamide and of other selective ligands of TSPO. Thus, the permeability transition is an inner membrane event that is regulated by the outer membrane through specific interactions with TSPO. PMID- 21062741 TI - The C terminus of tubulin, a versatile partner for cationic molecules: binding of Tau, polyamines, and calcium. AB - The C-terminal region of tubulin is involved in multiple aspects of the regulation of microtubule assembly. To elucidate the molecular mechanisms of this regulation, we study here, using different approaches, the interaction of Tau, spermine, and calcium, three representative partners of the tubulin C-terminal region, with a peptide composed of the last 42 residues of alpha1a-tubulin. The results show that their binding involves overlapping amino acid stretches in the C-terminal tubulin region: amino acid residues 421-441 for Tau, 430-432 and 444 451 for spermine, and 421-443 for calcium. Isothermal titration calorimetry, NMR, and cosedimentation experiments show that Tau and spermine have similar micromolar binding affinities, whereas their binding stoichiometry differs (C terminal tubulin peptide/spermine stoichiometry 1:2, and C-terminal tubulin peptide/Tau stoichiometry 8:1). Interestingly, calcium, known as a negative regulator of microtubule assembly, can compete with the binding of Tau and spermine with the C-terminal domain of tubulin and with the positive effect of these two partners on microtubule assembly in vitro. This observation opens up the possibility that calcium may participate in the regulation of microtubule assembly in vivo through direct (still unknown) or indirect mechanism (displacement of microtubule partners). The functional importance of this part of tubulin was also underlined by the observation that an alpha-tubulin mutant deleted from the last 23 amino acid residues does not incorporate properly into the microtubule network of HeLa cells. Together, these results provide a structural basis for a better understanding of the complex interactions and putative competition of tubulin cationic partners with the C-terminal region of tubulin. PMID- 21062742 TI - Ectopic mineralization in the middle ear and chronic otitis media with effusion caused by RPL38 deficiency in the Tail-short (Ts) mouse. AB - Inflammation of the middle ear cavity (otitis media) and the abnormal deposition of bone at the otic capsule are common causes of conductive hearing impairment in children and adults. Although a host of environmental factors can contribute to these conditions, a genetic predisposition has an important role as well. Here, we analyze the Tail-short (Ts) mouse, which harbors a spontaneous semi-dominant mutation that causes skeletal defects and hearing loss. By genetic means, we show that the Ts phenotypes arise from an 18-kb deletion/insertion of the Rpl38 gene, encoding a ribosomal protein of the large subunit. We show that Ts mutants exhibit significantly elevated auditory-brain stem response thresholds and reduced distortion-product otoacoustic emissions, in the presence of normal endocochlear potentials and typical inner ear histology suggestive of a conductive hearing impairment. We locate the cause of the hearing impairment to the middle ear, demonstrating over-ossification at the round window ridge, ectopic deposition of cholesterol crystals in the middle ear cavity, enlarged Eustachian tube, and chronic otitis media with effusion all beginning at around 3 weeks after birth. Using specific antisera, we demonstrate that Rpl38 is an ~8 kDa protein that is predominantly expressed in mature erythrocytes. Finally, using an Rpl38 cDNA transgene, we rescue the Ts phenotypes. Together, these data present a previously uncharacterized combination of interrelated middle ear pathologies and suggest Rpl38 deficiency as a model to dissect the causative relationships between neo-ossification, cholesterol crystal deposition, and Eustachian tubes in the etiology of otitis media. PMID- 21062743 TI - Mannose trimming is required for delivery of a glycoprotein from EDEM1 to XTP3-B and to late endoplasmic reticulum-associated degradation steps. AB - Although the trimming of alpha1,2-mannose residues from precursor N-linked oligosaccharides is an essential step in the delivery of misfolded glycoproteins to endoplasmic reticulum (ER)-associated degradation (ERAD), the exact role of this trimming is unclear. EDEM1 was initially suggested to bind N-glycans after mannose trimming, a role presently ascribed to the lectins OS9 and XTP3-B, because of their in vitro affinities for trimmed oligosaccharides. We have shown before that ER mannosidase I (ERManI) is required for the trimming and concentrates together with the ERAD substrate and ERAD machinery in the pericentriolar ER-derived quality control compartment (ERQC). Inhibition of mannose trimming prevents substrate accumulation in the ERQC. Here, we show that the mannosidase inhibitor kifunensine or ERManI knockdown do not affect binding of an ERAD substrate glycoprotein to EDEM1. In contrast, substrate association with XTP3-B and with the E3 ubiquitin ligases HRD1 and SCF(Fbs2) was inhibited. Consistently, whereas the ERAD substrate partially colocalized upon proteasomal inhibition with EDEM1, HRD1, and Fbs2 at the ERQC, colocalization was repressed by mannosidase inhibition in the case of the E3 ligases but not for EDEM1. Interestingly, association and colocalization of the substrate with Derlin-1 was independent of mannose trimming. The HRD1 adaptor protein SEL1L had been suggested to play a role in N-glycan-dependent substrate delivery to OS9 and XTP3 B. However, substrate association with XTP3-B was still dependent on mannose trimming upon SEL1L knockdown. Our results suggest that mannose trimming enables delivery of a substrate glycoprotein from EDEM1 to late ERAD steps through association with XTP3-B. PMID- 21062744 TI - Sall1 regulates embryonic stem cell differentiation in association with nanog. AB - Sall1 is a multi-zinc finger transcription factor that regulates kidney organogenesis. It is considered to be a transcriptional repressor, preferentially localized on heterochromatin. Mutations or deletions of the human SALL1 gene are associated with the Townes-Brocks syndrome. Despite its high expression, no function was yet assigned for Sall1 in embryonic stem (ES) cells. In the present study, we show that Sall1 is expressed in a differentiation-dependent manner and physically interacts with Nanog and Sox2, two components of the core pluripotency network. Genome-wide mapping of Sall1-binding loci has identified 591 genes, 80% of which are also targeted by Nanog. A large proportion of these genes are related to self-renewal and differentiation. Sall1 positively regulates and synergizes with Nanog for gene transcriptional regulation. In addition, our data show that Sall1 suppresses the ectodermal and mesodermal differentiation. Specifically, the induction of the gastrulation markers T brachyury, Goosecoid, and Dkk1 and the neuroectodermal markers Otx2 and Hand1 was inhibited by Sall1 overexpression during embryoid body differentiation. These data demonstrate a novel role for Sall1 as a member of the transcriptional network that regulates stem cell pluripotency. PMID- 21062745 TI - The RUN domain of rubicon is important for hVps34 binding, lipid kinase inhibition, and autophagy suppression. AB - The class III phosphatidylinositol 3-kinase (PI3KC3) plays a central role in autophagy. Rubicon, a RUN domain-containing protein, is newly identified as a PI3KC3 subunit through its association with Beclin 1. Rubicon serves as a negative regulator of PI3KC3 and autophagosome maturation. The molecular mechanism underlying the PI3KC3 and autophagy inhibition by Rubicon is largely unknown. Here, we demonstrate that Rubicon interacts with the PI3KC3 catalytic subunit hVps34 via its RUN domain. The RUN domain contributes to the efficient inhibition of PI3KC3 lipid kinase activity by Rubicon. Furthermore, a Rubicon RUN domain deletion mutant fails to complement the autophagy deficiency in Rubicon depleted cells. Hence, these results reveal a critical role of the Rubicon RUN domain in PI3KC3 and autophagy regulation. PMID- 21062746 TI - Manganese binds to Clostridium difficile Fbp68 and is essential for fibronectin binding. AB - Clostridium difficile is an etiological agent of pseudomembranous colitis and antibiotic-associated diarrhea. Adhesion is the crucial first step in bacterial infection. Thus, in addition to toxins, the importance of colonization factors in C. difficile-associated disease is recognized. In this study, we identified Fbp68, one of the colonization factors that bind to fibronectin (Fn), as a manganese-binding protein (K(D) = 52.70 +/- 1.97 nM). Furthermore, the conformation of Fbp68 changed dramatically upon manganese binding. Manganese binding can also stabilize the structure of Fbp68 as evidenced by the increased T(m) measured by thermodenatured circular dichroism and differential scanning calorimetry (CD, T(m) = 58-65 degrees C; differential scanning calorimetry, T(m) = 59-66 degrees C). In addition, enhanced tolerance to protease K also suggests greatly improved stability of Fbp68 through manganese binding. Fn binding activity was found to be dependent on manganese due to the lack of binding by manganese-free Fbp68 to Fn. The C-terminal 194 amino acid residues of Fbp68 (Fbp68C) were discovered to bind to the N-terminal domain of Fn (Fbp68C-NTD, K(D) = 233 +/- 10 nM, obtained from isothermal titration calorimetry). Moreover, adhesion of C. difficile to Caco-2 cells can be partially blocked if cells are pretreated with Fbp68C, and the binding of Fbp68C on Fn siRNA-transfected cells was significantly reduced. These results raise the possibility that Fbp68 plays a key role in C. difficile adherence on host cells to initiate infection. PMID- 21062747 TI - Demonstration of angiotensin II-induced Ras activation in the trans-Golgi network and endoplasmic reticulum using bioluminescence resonance energy transfer-based biosensors. AB - Previous studies have demonstrated that molecules of the Ras signaling pathway are present in intracellular compartments, including early endosomes, the endoplasmic reticulum (ER), and the Golgi, and suggested that mitogens can regulate Ras activity in these endomembranes. In this study, we investigated the effect of angiotensin II (AngII) on intracellular Ras activity in living HEK293 cells expressing angiotensin type 1 receptors (AT(1)-Rs) using newly developed bioluminescence resonance energy transfer biosensors. To investigate the subcellular localization of AngII-induced Ras activation, we targeted our probes to various intracellular compartments, such as the trans-Golgi network (TGN), the ER, and early endosomes. Using these biosensors, we detected AngII-induced Ras activation in the TGN and ER, but not in early endosomes. In cells expressing a cytoplasmic tail deletion AT(1)-R mutant, the AngII-induced response was enhanced, suggesting that receptor internalization and beta-arrestin binding are not required for AngII-induced Ras activation in endomembranes. Although we were able to demonstrate EGF-induced Ras activation in the plasma membrane and TGN, but not in other endomembranes, AG1478, an EGF receptor inhibitor, did not affect the AngII-induced response, suggesting that the latter is independent of EGF receptor transactivation. AngII was unable to stimulate Ras activity in the studied compartments in cells expressing a G protein coupling-deficient AT(1)-R mutant ((125)DRY(127) to (125)AAY(127)). These data suggest that AngII can stimulate Ras activity in the TGN and ER with a G protein-dependent mechanism, which does not require beta-arrestin-mediated signaling, receptor internalization, and EGF receptor transactivation. PMID- 21062748 TI - Partial biopterin deficiency disturbs postnatal development of the dopaminergic system in the brain. AB - Postnatal development of dopaminergic system is closely related to the development of psychomotor function. Tyrosine hydroxylase (TH) is the rate limiting enzyme in the biosynthesis of dopamine and requires tetrahydrobiopterin (BH4) as a cofactor. To clarify the effect of partial BH4 deficiency on postnatal development of the dopaminergic system, we examined two lines of mutant mice lacking a BH4-biosynthesizing enzyme, including sepiapterin reductase knock-out (Spr(-/-)) mice and genetically rescued 6-pyruvoyltetrahydropterin synthase knock out (DPS-Pts(-/-)) mice. We found that biopterin contents in the brains of these knock-out mice were moderately decreased from postnatal day 0 (P0) and remained constant up to P21. In contrast, the effects of BH4 deficiency on dopamine and TH protein levels were more manifested during the postnatal development. Both of dopamine and TH protein levels were greatly increased from P0 to P21 in wild-type mice but not in those mutant mice. Serotonin levels in those mutant mice were also severely suppressed after P7. Moreover, striatal TH immunoreactivity in Spr( /-) mice showed a drop in the late developmental stage, when those mice exhibited hind-limb clasping behavior, a type of motor dysfunction. Our results demonstrate a critical role of biopterin in the augmentation of TH protein in the postnatal period. The developmental manifestation of psychomotor symptoms in BH4 deficiency might be attributable at least partially to high dependence of dopaminergic development on BH4 availability. PMID- 21062749 TI - Up-regulation of microRNA-155 in macrophages contributes to increased tumor necrosis factor {alpha} (TNF{alpha}) production via increased mRNA half-life in alcoholic liver disease. AB - Activation of Kupffer cells (KCs) by gut-derived lipopolysaccharide (LPS) and Toll-Like Receptors 4 (TLR4)-LPS-mediated increase in TNFalpha production has a central role in the pathogenesis of alcoholic liver disease. Micro-RNA (miR) 125b, miR-146a, and miR-155 can regulate inflammatory responses to LPS. Here we evaluated the involvement of miRs in alcohol-induced macrophage activation. Chronic alcohol treatment in vitro resulted in a time-dependent increase in miR 155 but not miR-125b or miR-146a levels in RAW 264.7 macrophages. Furthermore, alcohol pretreatment augmented LPS-induced miR-155 expression in macrophages. We found a linear correlation between alcohol-induced increase in miR-155 and TNFalpha induction. In a mouse model of alcoholic liver disease, we found a significant increase in both miR-155 levels and TNFalpha production in isolated KCs when compared with pair-fed controls. The mechanistic role of miR-155 in TNFalpha regulation was indicated by decreased TNFalpha levels in alcohol-treated macrophages after inhibition of miR-155 and by increased TNFalpha production after miR-155 overexpression, respectively. We found that miR-155 affected TNFalpha mRNA stability because miR-155 inhibition decreased whereas miR-155 overexpression increased TNFalpha mRNA half-life. Using the NF-kappaB inhibitors, MG-132 or Bay11-7082, we demonstrated that NF-kappaB activation mediated the up regulation of miR-155 by alcohol in KCs. In conclusion, our novel data demonstrate that chronic alcohol consumption increases miR-155 in macrophages via NF-kappaB and the increased miR-155 contributes to alcohol-induced elevation in TNFalpha production via increased mRNA stability. PMID- 21062750 TI - Keratin hypersumoylation alters filament dynamics and is a marker for human liver disease and keratin mutation. AB - Keratin polypeptide 8 (K8) associates noncovalently with its partners K18 and/or K19 to form the intermediate filament cytoskeleton of hepatocytes and other simple-type epithelial cells. Human K8, K18, and K19 variants predispose to liver disease, whereas site-specific keratin phosphorylation confers hepatoprotection. Because stress-induced protein phosphorylation regulates sumoylation, we hypothesized that keratins are sumoylated in an injury-dependent manner and that keratin sumoylation is an important regulatory modification. We demonstrate that K8/K18/K19, epidermal keratins, and vimentin are sumoylated in vitro. Upon transfection, K8, K18, and K19 are modified by poly-SUMO-2/3 chains on Lys 285/Lys-364 (K8), Lys-207/Lys-372 (K18), and Lys-208 (K19). Sumoylation affects filament organization and stimulus-induced keratin solubility and is partially inhibited upon mutation of one of three known K8 phosphorylation sites. Extensive sumoylation occurs in cells transfected with individual K8, K18, or K19 but is limited upon heterodimerization (K8/K18 or K8/K19) in the absence of stress. In contrast, keratin sumoylation is significantly augmented in cells and tissues during apoptosis, oxidative stress, and phosphatase inhibition. Poly-SUMO-2/3 conjugates are present in chronically injured but not normal, human, and mouse livers along with polyubiquitinated and large insoluble keratin-containing complexes. Notably, common human K8 liver disease-associated variants trigger keratin hypersumoylation with consequent diminished solubility. In contrast, modest sumoylation of wild type K8 promotes solubility. Hence, conformational changes induced by keratin natural mutations and extensive tissue injury result in K8/K18/K19 hypersumoylation, which retains keratins in an insoluble compartment, thereby limiting their cytoprotective function. PMID- 21062752 TI - Gene tree parsimony of multilocus snake venom protein families reveals species tree conflict as a result of multiple parallel gene loss. AB - The proliferation of gene data from multiple loci of large multigene families has been greatly facilitated by considerable recent advances in sequence generation. The evolution of such gene families, which often undergo complex histories and different rates of change, combined with increases in sequence data, pose complex problems for traditional phylogenetic analyses, and in particular, those that aim to successfully recover species relationships from gene trees. Here, we implement gene tree parsimony analyses on multicopy gene family data sets of snake venom proteins for two separate groups of taxa, incorporating Bayesian posterior distributions as a rigorous strategy to account for the uncertainty present in gene trees. Gene tree parsimony largely failed to infer species trees congruent with each other or with species phylogenies derived from mitochondrial and single copy nuclear sequences. Analysis of four toxin gene families from a large expressed sequence tag data set from the viper genus Echis failed to produce a consistent topology, and reanalysis of a previously published gene tree parsimony data set, from the family Elapidae, suggested that species tree topologies were predominantly unsupported. We suggest that gene tree parsimony failure in the family Elapidae is likely the result of unequal and/or incomplete sampling of paralogous genes and demonstrate that multiple parallel gene losses are likely responsible for the significant species tree conflict observed in the genus Echis. These results highlight the potential for gene tree parsimony analyses to be undermined by rapidly evolving multilocus gene families under strong natural selection. PMID- 21062751 TI - The epigenomic interface between genome and environment in common complex diseases. AB - The epigenome plays the pivotal role as interface between genome and environment. True genome-wide assessments of epigenetic marks, such as DNA methylation (methylomes) or chromatin modifications (chromatinomes), are now possible, either through high-throughput arrays or increasingly by second-generation DNA sequencing methods. The ability to collect these data at this level of resolution enables us to begin to be able to propose detailed questions, and interrogate this information, with regards to changes that occur due to development, lineage and tissue-specificity, and significantly those caused by environmental influence, such as ageing, stress, diet, hormones or toxins. Common complex traits are under variable levels of genetic influence and additionally epigenetic effect. The detection of pathological epigenetic alterations will reveal additional insights into their aetiology and how possible environmental modulation of this mechanism may occur. Due to the reversibility of these marks, the potential for sequence-specific targeted therapeutics exists. This review surveys recent epigenomic advances and their current and prospective application to the study of common diseases. PMID- 21062753 TI - Membranous nephropathy after use of UK-manufactured skin creams containing mercury. PMID- 21062754 TI - Individual dosage of digoxin in patients with heart failure. AB - BACKGROUND: After the publication of DIG trial, the therapeutic target of serum digoxin concentration (SDC) for the treatment of heart failure (HF) has been lowered (0.40-1.00 ng/ml). However, the majority of equations to calculate digoxin dosages were developed for higher SDCs. Recently, a new equation was validated in Asian population for low SDCs by Konishi et al., but results in Caucasians are unknown. AIM: This study was aimed to test the Konishi equation in Caucasians specifically targeting low SDCs. Furthermore, the Konishi equation was compared with other frequently used equations. DESIGN: This was a prospective, multicenter study. METHODS: Clinically indicated digoxin was given in 40 HF patients. The dosage was calculated with the Konishi equation. The SDC was measured at 1 and 6 months after starting digoxin. Adherence to digoxin was monitored with a specific questionnaire. RESULTS: After exclusion of patients admitting poor adherence, we found a reasonable correlation between predicted and measured SDC (r=0.48; P<0.01) by the Konishi equation. Excluding patients with poor adherence and relevant worsening of renal function, the measured SDC (n=54 measurements) was within the pre-defined therapeutic range in 95% of the cases. The mean, maximal and minimal measured SDC were 0.69+/-0.19, 1.00 and 0.32 ng/ml, respectively. The correlation was weaker for the Jelliffe, the Koup and Jusko, and the Bauman equations. CONCLUSION: This study supports the clinical validity of the Konishi equation for calculating individual digoxin dosage in Caucasians, targeting SDCs according to current HF guidelines. PMID- 21062755 TI - A phase II study of outpatient biweekly gemcitabine-oxaliplatin in advanced biliary tract carcinomas. AB - OBJECTIVE: Biliary tract carcinomas are uncommon but highly fatal malignancies. Unfortunately, most cases are ineligible for surgery at diagnosis with chemotherapy being the mainstay of treatment. The aim of this Phase II study was to evaluate the efficacy and safety of a biweekly outpatient regimen of gemcitabine plus oxaliplatin in cases of advanced biliary tract carcinomas. METHODS: Forty patients with advanced, chemotherapy-naive biliary tract carcinomas were enrolled in the study between December 2005 and November 2009. All patients received the gemcitabine plus oxaliplatin treatment protocol as follows: gemcitabine 1000 mg/m(2) (30 m infusion) followed by oxaliplatin 85 mg/m(2) (2 h infusion) on days 1 and 15 of a 28-day cycle. The primary endpoint was the tumor control rate. Efficacy and safety analyses were done by intention to treat. RESULTS: The objective response rate was 27.5% and the tumor control rate was 65%. The median progression-free survival was 4 months and the median overall survival was 12 months. The tumor control was translated into a significant prolongation in overall survival. The regimen was generally well tolerated; Grade 3-4 toxicities were recorded in 25% of the patients with neutropenia being the most common (17.5%); Grade 3 sensory neuropathy was uncommon (2.5%). CONCLUSIONS: The study provides further evidence for the activity of gemcitabine plus oxaliplatin combination as a first-line treatment for advanced biliary tract carcinomas. This combination can be given safely as a convenient biweekly outpatient regimen. PMID- 21062756 TI - High Jagged1 expression predicts poor outcome in clear cell renal cell carcinoma. AB - OBJECTIVE: The pathological roles of Notch pathway in renal cell carcinoma are still unclear, although Notch signaling has been shown to have an effect on many malignant tumors. In this study, Jagged1 was detected to examine its expression pattern and clinical significance in renal cell carcinoma. METHODS: Normal and cancerous kidney tissues from three renal cell carcinoma patients were analyzed using western blot and reverse transcriptase-polymerase chain reaction for Jagged1 expression. Subsequently, extensive immunohistochemistry was performed to detect Jagged1 expression in 129 renal cell carcinoma cases. Clinicopathological data for these patients were evaluated. The prognostic significance was assessed using the Kaplan-Meier survival estimates and log-rank tests. A multivariate study with the Cox proportional hazard model was used to evaluate the prognosis related aspects. RESULTS: Western blot and polymerase chain reaction results showed markedly increased Jagged1 protein and mRNA levels in renal cell carcinoma tissues compared with normal kidney tissues, which was further verified by immunohistochemical analysis. The expression level of Jagged1 was strongly associated with tumor size, nuclear grade and TNM stage. In addition, high Jagged1 expression was statistically linked to reduced overall and disease-free survival, especially at the early stage (P < 0.001 and <0.001, respectively). Jagged1 expression was found to be an independent prognostic factor for both overall survival and disease-free survival in multivariate analysis (P = 0.035 and 0.028, respectively). CONCLUSIONS: Notch signaling may play an important role in the progress of renal cell carcinoma. Jagged1 expression may be useful for predicting prognosis in patients with renal cell carcinoma, especially at the early stage. PMID- 21062757 TI - 3D DOSY-TROSY to determine the translational diffusion coefficient of large protein complexes. AB - The translational diffusion coefficient is a sensitive parameter to probe conformational changes in proteins and protein-protein interactions. Pulsed-field gradient NMR spectroscopy allows one to measure the translational diffusion with high accuracy. Two-dimensional (2D) heteronuclear NMR spectroscopy combined with diffusion-ordered spectroscopy (DOSY) provides improved resolution and therefore selectivity when compared with a conventional 1D readout. Here, we show that a combination of selective isotope labelling, 2D 1H-13C methyl-TROSY (transverse relaxation-optimised spectroscopy) and DOSY allows one to study diffusion properties of large protein complexes. We propose that a 3D DOSY-heteronuclear multiple quantum coherence (HMQC) pulse sequence, that uses the TROSY effect of the HMQC sequence for 13C methyl-labelled proteins, is highly suitable for measuring the diffusion coefficient of large proteins. We used the 20 kDa co chaperone p23 as model system to test this 3D DOSY-TROSY technique under various conditions. We determined the diffusion coefficient of p23 in viscous solutions, mimicking large complexes of up to 200 kDa. We found the experimental data to be in excellent agreement with theoretical predictions. To demonstrate the use for complex formation, we applied this technique to record the formation of a complex of p23 with the molecular chaperone Hsp90, which is around 200 kDa. We anticipate that 3D DOSY-TROSY will be a useful tool to study conformational changes in large protein complexes. PMID- 21062758 TI - GPS 2.1: enhanced prediction of kinase-specific phosphorylation sites with an algorithm of motif length selection. AB - As the most important post-translational modification of proteins, phosphorylation plays essential roles in all aspects of biological processes. Besides experimental approaches, computational prediction of phosphorylated proteins with their kinase-specific phosphorylation sites has also emerged as a popular strategy, for its low-cost, fast-speed and convenience. In this work, we developed a kinase-specific phosphorylation sites predictor of GPS 2.1 (Group based Prediction System), with a novel but simple approach of motif length selection (MLS). By this approach, the robustness of the prediction system was greatly improved. All algorithms in GPS old versions were also reserved and integrated in GPS 2.1. The online service and local packages of GPS 2.1 were implemented in JAVA 1.5 (J2SE 5.0) and freely available for academic researches at: http://gps.biocuckoo.org. PMID- 21062759 TI - From peptides to proteins: lessons from my years at the Centre for Protein Engineering. AB - The MRC Centre for Protein Engineering (CPE) hosted and trained many scientists over the years. It is a unique research environment that shaped the career of many scientists in all aspects. These include research directions and methodologies, but even more important--issues such as how to approach scientific problems and how to manage a research team. Alan Fersht was the director of the CPE when I joined it as a postdoc in the year 2000. In the current article for the PEDS special CPE issue, I will review how my scientific research and my approach to science developed from the days I arrived to the CPE as a young peptide chemist and throughout the years I spent at the CPE, and how it shaped my current research interests and attitude. I will focus on two major fields: (i) Using peptides to study and modulate the structure and interactions of proteins; (ii) Using quantitative biophysical methods to study proteins and their interactions at the molecular level. PMID- 21062760 TI - A stochastic model of tree architecture and biomass partitioning: application to Mongolian Scots pines. AB - BACKGROUND AND AIMS: Mongolian Scots pine (Pinus sylvestris var. mongolica) is one of the principal species used for windbreak and sand stabilization in arid and semi-arid areas in northern China. A model-assisted analysis of its canopy architectural development and functions is valuable for better understanding its behaviour and roles in fragile ecosystems. However, due to the intrinsic complexity and variability of trees, the parametric identification of such models is currently a major obstacle to their evaluation and their validation with respect to real data. The aim of this paper was to present the mathematical framework of a stochastic functional-structural model (GL2) and its parameterization for Mongolian Scots pines, taking into account inter-plant variability in terms of topological development and biomass partitioning. METHODS: In GL2, plant organogenesis is determined by the realization of random variables representing the behaviour of axillary or apical buds. The associated probabilities are calibrated for Mongolian Scots pines using experimental data including means and variances of the numbers of organs per plant in each order based class. The functional part of the model relies on the principles of source sink regulation and is parameterized by direct observations of living trees and the inversion method using measured data for organ mass and dimensions. KEY RESULTS: The final calibration accuracy satisfies both organogenetic and morphogenetic processes. Our hypothesis for the number of organs following a binomial distribution is found to be consistent with the real data. Based on the calibrated parameters, stochastic simulations of the growth of Mongolian Scots pines in plantations are generated by the Monte Carlo method, allowing analysis of the inter-individual variability of the number of organs and biomass partitioning. Three-dimensional (3D) architectures of young Mongolian Scots pines were simulated for 4-, 6- and 8-year-old trees. CONCLUSIONS: This work provides a new method for characterizing tree structures and biomass allocation that can be used to build a 3D virtual Mongolian Scots pine forest. The work paves the way for bridging the gap between a single-plant model and a stand model. PMID- 21062761 TI - Accelerating reaction-diffusion simulations with general-purpose graphics processing units. AB - SUMMARY: We present a massively parallel stochastic simulation algorithm (SSA) for reaction-diffusion systems implemented on Graphics Processing Units (GPUs). These are designated chips optimized to process a high number of floating point operations in parallel, rendering them well-suited for a range of scientific high performance computations. Newer GPU generations provide a high-level programming interface which turns them into General-Purpose Graphics Processing Units (GPGPUs). Our SSA exploits GPGPU architecture to achieve a performance gain of two orders of magnitude over the fastest existing implementations on conventional hardware. AVAILABILITY: The software is freely available at http://www.csse.monash.edu.au/~berndm/inchman/. PMID- 21062762 TI - Inverse perturbation for optimal intervention in gene regulatory networks. AB - MOTIVATION: Analysis and intervention in the dynamics of gene regulatory networks is at the heart of emerging efforts in the development of modern treatment of numerous ailments including cancer. The ultimate goal is to develop methods to intervene in the function of living organisms in order to drive cells away from a malignant state into a benign form. A serious limitation of much of the previous work in cancer network analysis is the use of external control, which requires intervention at each time step, for an indefinite time interval. This is in sharp contrast to the proposed approach, which relies on the solution of an inverse perturbation problem to introduce a one-time intervention in the structure of regulatory networks. This isolated intervention transforms the steady-state distribution of the dynamic system to the desired steady-state distribution. RESULTS: We formulate the optimal intervention problem in gene regulatory networks as a minimal perturbation of the network in order to force it to converge to a desired steady-state distribution of gene regulation. We cast optimal intervention in gene regulation as a convex optimization problem, thus providing a globally optimal solution which can be efficiently computed using standard toolboxes for convex optimization. The criteria adopted for optimality is chosen to minimize potential adverse effects as a consequence of the intervention strategy. We consider a perturbation that minimizes (i) the overall energy of change between the original and controlled networks and (ii) the time needed to reach the desired steady-state distribution of gene regulation. Furthermore, we show that there is an inherent trade-off between minimizing the energy of the perturbation and the convergence rate to the desired distribution. We apply the proposed control to the human melanoma gene regulatory network. AVAILABILITY: The MATLAB code for optimal intervention in gene regulatory networks can be found online: http://syen.ualr.edu/nxbouaynaya/Bioinformatics2010.html. PMID- 21062763 TI - Improved performance on high-dimensional survival data by application of Survival SVM. AB - MOTIVATION: New application areas of survival analysis as for example based on micro-array expression data call for novel tools able to handle high-dimensional data. While classical (semi-) parametric techniques as based on likelihood or partial likelihood functions are omnipresent in clinical studies, they are often inadequate for modelling in case when there are less observations than features in the data. Support vector machines (svms) and extensions are in general found particularly useful for such cases, both conceptually (non-parametric approach), computationally (boiling down to a convex program which can be solved efficiently), theoretically (for its intrinsic relation with learning theory) as well as empirically. This article discusses such an extension of svms which is tuned towards survival data. A particularly useful feature is that this method can incorporate such additional structure as additive models, positivity constraints of the parameters or regression constraints. RESULTS: Besides discussion of the proposed methods, an empirical case study is conducted on both clinical as well as micro-array gene expression data in the context of cancer studies. Results are expressed based on the logrank statistic, concordance index and the hazard ratio. The reported performances indicate that the present method yields better models for high-dimensional data, while it gives results which are comparable to what classical techniques based on a proportional hazard model give for clinical data. PMID- 21062764 TI - NBC: the Naive Bayes Classification tool webserver for taxonomic classification of metagenomic reads. AB - MOTIVATION: Datasets from high-throughput sequencing technologies have yielded a vast amount of data about organisms in environmental samples. Yet, it is still a challenge to assess the exact organism content in these samples because the task of taxonomic classification is too computationally complex to annotate all reads in a dataset. An easy-to-use webserver is needed to process these reads. While many methods exist, only a few are publicly available on webservers, and out of those, most do not annotate all reads. RESULTS: We introduce a webserver that implements the naive Bayes classifier (NBC) to classify all metagenomic reads to their best taxonomic match. Results indicate that NBC can assign next-generation sequencing reads to their taxonomic classification and can find significant populations of genera that other classifiers may miss. AVAILABILITY: Publicly available at: http://nbc.ece.drexel.edu. PMID- 21062765 TI - A hybrid approach to extract protein-protein interactions. AB - MOTIVATION: Protein-protein interactions (PPIs) play an important role in understanding biological processes. Although recent research in text mining has achieved a significant progress in automatic PPI extraction from literature, performance of existing systems still needs to be improved. RESULTS: In this study, we propose a novel algorithm for extracting PPIs from literature which consists of two phases. First, we automatically categorize the data into subsets based on its semantic properties and extract candidate PPI pairs from these subsets. Second, we apply support vector machines (SVMs) to classify candidate PPI pairs using features specific for each subset. We obtain promising results on five benchmark datasets: AIMed, BioInfer, HPRD50, IEPA and LLL with F-scores ranging from 60% to 84%, which are comparable with the state-of-the-art PPI extraction systems. Furthermore, our system achieves the best performance on cross-corpora evaluation and comparative performance in terms of computational efficiency. AVAILABILITY: The source code and scripts used in this article are available for academic use at http://staff.science.uva.nl/~bui/PPIs.zip CONTACT: bqchinh@gmail.com. PMID- 21062766 TI - Stochastic models to demonstrate the effect of motivated testing on HIV incidence estimates using the serological testing algorithm for recent HIV seroconversion (STARHS). AB - OBJECTIVES: To produce valid seroincidence estimates, the serological testing algorithm for recent HIV seroconversion (STARHS) assumes independence between infection and testing, which may be absent in clinical data. STARHS estimates are generally greater than cohort-based estimates of incidence from observable person time and diagnosis dates. The authors constructed a series of partial stochastic models to examine whether testing motivated by suspicion of infection could bias STARHS. METHODS: One thousand Monte Carlo simulations of 10,000 men who have sex with men were generated using parameters for HIV incidence and testing frequency from data from a clinical testing population in Seattle. In one set of simulations, infection and testing dates were independent. In another set, some intertest intervals were abbreviated to reflect the distribution of intervals between suspected HIV exposure and testing in a group of Seattle men who have sex with men recently diagnosed as having HIV. Both estimation methods were applied to the simulated datasets. Both cohort-based and STARHS incidence estimates were calculated using the simulated data and compared with previously calculated, empirical cohort-based and STARHS seroincidence estimates from the clinical testing population. RESULTS: Under simulated independence between infection and testing, cohort-based and STARHS incidence estimates resembled cohort estimates from the clinical dataset. Under simulated motivated testing, cohort-based estimates remained unchanged, but STARHS estimates were inflated similar to empirical STARHS estimates. Varying motivation parameters appreciably affected STARHS incidence estimates, but not cohort-based estimates. CONCLUSIONS: Cohort based incidence estimates are robust against dependence between testing and acquisition of infection, whereas STARHS incidence estimates are not. PMID- 21062767 TI - Genetic variation in PCAF, a key mediator in epigenetics, is associated with reduced vascular morbidity and mortality: evidence for a new concept from three independent prospective studies. AB - AIMS: This study was designed to investigate the counterbalancing influence of genetic variation in the promoter of the gene encoding P300/CBP associated factor (PCAF), a lysine acetyltransferase (KAT), on coronary heart disease (CHD) and mortality. METHODS AND RESULTS: The association of genetic variation in the PCAF gene with CHD, restenosis and mortality was investigated in three large cohorts. The results were combined to examine overall effects on CHD mortality and on restenosis risk. Compared with the homozygous -2481G allele in the PCAF promoter, a significant reduction in CHD mortality risk with the homozygous -2481C PCAF promoter allele was observed. A combined risk reduction for CHD death for the three studies was 21% (15-26%; p=8.1*10(-4)). In elderly patients (>58 years) the effects were stronger. Furthermore, this PCAF allele was significantly associated with all-cause mortality (p=0.001). Functional analysis showed that nuclear factors interact in vitro with the oligonucleotides encompassing the -2481G/C polymorphism and that this interaction might be influenced by this polymorphism in the PCAF promoter. Moreover, modulation of PCAF gene expression was detectable upon cuff-placement in an animal model of reactive stenosis. CONCLUSION: We showed in three large prospective studies that the -2481C allele in the PCAF promoter is associated with a significant survival advantage in elderly patients. Our observations promote the concept that epigenetic processes are under genetic control and that, other than environment, variation in genes encoding KATs may also determine susceptibility to CHD outcomes and mortality. PMID- 21062769 TI - Arrhythmogenic superior vena cava caused by partial anomalous pulmonary venous return. PMID- 21062768 TI - Screening patients with hypertrophic cardiomyopathy for Fabry disease using a filter-paper test: the FOCUS study. AB - BACKGROUND: Patients with Fabry disease (FD) show left ventricular hypertrophy (LVH) mimicking hypertrophic cardiomyopathy (HCM) of sarcomeric origin and might benefit, if detected early, from specific enzyme replacement therapy. The prevalence of FD in patients with LVH of 13 mm or greater, screened using the leucocyte alpha-galactosidase A (alpha-gal A) activity test, a technique that is difficult to apply routinely, ranged from 0% to 6%. OBJECTIVE: To screen systematically for FD in patients with a diagnosis of HCM (LVH >=15 mm) in primary cardiology practice, a validated, physician-friendly alpha-gal A assay was used on dried blood spots using a filter paper test. DESIGN AND PATIENTS: A cohort of 392 adults (278 men) followed for HCM were screened for FD. A standard blood test was used for confirmation in nine men in whom the alpha-gal A result was 40% or less. RESULTS: Four men (1.5%; 1.8% of men >=40 years vs 0% <40 years; all with alpha-gal A <30%), but no women, were diagnosed with FD. Index cases presented with diffuse but asymmetric LVH, with severe obstruction in one case and frequent high-grade atrioventricular conduction block necessitating a pacemaker in three cases. Family screening identified eight additional cases. Genotyping was performed successfully on DNA extracted from the filter papers. CONCLUSION: In male patients diagnosed as having HCM, pure FD cardiac variants are not exceptional and can be specifically identified using a simple filter paper test. The sensitivity of this test is low in female patients. PMID- 21062770 TI - Presence of simple renal cysts is associated with increased risk of aortic dissection: a common manifestation of connective tissue degeneration? AB - OBJECTIVE: Aortic dissection is a multifactorial disease whose primary pathology is connective tissue degeneration of the aorta's medial layer. It was hypothesised that the presence of renal cysts, another possible manifestation of connective tissue weakness, would be associated with increased risk of aortic dissection. METHODS: The incidence of simple renal cysts on CT angiography in 518 patients with aortic dissection (AD group) and 1366 healthy subjects (control group) who underwent CT for routine health screening was compared. To reduce the effects of selection bias and confounding variables, data were adjusted by propensity score matching. RESULTS: The prevalence of simple renal cysts was 37.8% in the AD group and 22.0% in the control group, a statistically significant difference (p<0.0001). The prevalence of renal cysts was even greater in patients with the following characteristics: intramural haematoma, type B dissection, normal blood pressure or advanced age. In the 311 matched cohorts after propensity score matching, the prevalence of simple renal cysts was still significantly higher in the AD group than in the control group (33.8% vs 25.7%, p = 0.023). Multivariate analysis confirmed that the presence of renal cysts (OR 1.49, p = 0.0245) could be a marker of having a common underlying mechanism with aortic dissection. CONCLUSION: Patients with aortic dissection have an increased burden of renal cysts compared with healthy controls. This finding suggests that the connective tissue weakness that predisposes patients to renal cysts may be associated with aortic dissection. PMID- 21062771 TI - Headshop heartache: acute mephedrone 'meow' myocarditis. PMID- 21062772 TI - Prognostic value of cardiac sympathetic nerve activity evaluated by [123I]m iodobenzylguanidine imaging in patients with ST-segment elevation myocardial infarction. AB - BACKGROUND: Many studies have shown that cardiac sympathetic nerve activity evaluated by [(123)I]m-iodobenzylguanidine ([(123)I]MIBG) scintigraphic study during a stable period is useful for determining the prognosis of patients with chronic heart failure. OBJECTIVE: To examine whether results of this imaging method performed 3 weeks after the onset of ST-segment elevation myocardial infarction (STEMI) are a reliable prognostic marker for patients with STEMI. METHODS: The study analysed findings for 213 consecutive patients with STEMI undergoing [(123)I]MIBG scintigraphy. The left ventricular (LV) end-diastolic and end-systolic volume and LV ejection fraction (EF) were determined by left ventriculography or echocardiography 3 weeks after the onset of STEMI. The delayed total defect score, heart-to-mediastinum ratio and washout rate (WR) were also determined from [(123)I]MIBG scintigraphy at the same time. RESULTS: Of the 213 patients, 46 experienced major adverse cardiac events (MACE) during the study. The median follow-up period was 982 days. Patients were divided into an event-free group (n = 167; 78.4%) and a MACE group (n = 46; 21.6%). The LV and [(123)I]MIBG scintigraphic parameters in the event-free group were better than those in the MACE group. Multivariate Cox regression analyses revealed that WR was a significant predictor of MACE along with oral nicorandil (ATP-sensitive potassium channel opener) treatment and undergoing percutaneous coronary intervention. On Kaplan-Meier analysis, the event-free rate of patients with a WR<40% was significantly higher than that in patients with a WR >= 40% (p<0.001). Even when confined to patients with LVEF>45%, WR was a predictor of MACE, pump failure death, cardiac death and progression of heart failure in patients with STEMI. CONCLUSION: WR evaluated by [(123)I]MIBG scintigraphy 3 weeks after the onset of STEMI is a significant predictor of MACE in patients with STEMI, independent of LVEF. PMID- 21062773 TI - Relationship between renal function and the risk of recurrent atrial fibrillation following catheter ablation. AB - BACKGROUND: Although several clinical variables are associated with the recurrence of atrial fibrillation (AF) following catheter ablation, the influence of renal function remains to be determined. OBJECTIVE: To evaluate the association of renal function with the outcome of the paroxysmal AF ablation. METHODS: 224 patients underwent catheter ablation for paroxysmal AF. The relationship between the pre-procedural clinical valuables and ablation outcomes was evaluated. RESULTS: Over the course of 37.4+/-24.4 months of follow-up of catheter ablation procedures for AF (mean number of procedures 1.33+/-0.45), 91.1% of patients (204/224) became free from AF without antiarrhythmic drugs. The estimated glomerular filtration rate (eGFR) was lower in patients with recurrent AF than in those without recurrence (66.6+/-17.5 vs 78.4+/-16.8 ml/min/1.73 m(2), p=0.003). AF recurred more frequently in patients with low eGFR (<60 ml/min/1.73 m(2)) than in those with high eGFR (>60 ml/min/1.73 m(2); 24.3% vs 6.7%, p=0.006). Among the various clinical factors, low eGFR (p=0.02) and left atrium (LA) dilatation (p=0.002) independently predicted the clinical outcome of ablation in patients with paroxysmal AF. CONCLUSION: Low eGFR and LA dilatation independently influence the outcome of catheter ablation for paroxysmal AF. PMID- 21062774 TI - How to cut down salt intake in populations. PMID- 21062775 TI - Further insights into syndrome X. PMID- 21062776 TI - The effects of music on the cardiovascular system and cardiovascular health. AB - Music may not only improve quality of life but may also effect changes in heart rate and heart rate variability. It has been shown that cerebral flow was significantly lower when listening to 'Va pensiero' from Verdi's 'Nabucco' (70.4+/-3.3 cm/s) compared with 'Libiam nei lieti calici' from Verdi's 'La Traviata' (70.2+/-3.1 cm/s) (p<0.02) or Bach's Cantata No. 169 'Gott soll allein mein Herze haben' (70.9+/-2.9 cm/s) (p<0.02). There was no significant difference in cerebral flow during rest (67.6+/-3.3 cm/s) or when listening to Beethoven's Ninth Symphony (69.4+/-3.1 cm/s). It was reported that relaxing music significantly decreases the level of anxiety of patients in a preoperative setting (State-Trait Anxiety Inventory (STAI)-X-1 score 34)-to a greater extent even than orally administered midazolam (STAI-X-1 score 36) (p<0.001). In addition the score was better after surgery in the music group (STAI-X-1 score 30) compared with the midazolam group (STAI-X-1 score 34) (p<0.001). Higher effectiveness and absence of apparent adverse effects make relaxing, preoperative music a useful alternative to midazolam for premedication. In addition, there is sufficient practical evidence of stress reduction suggesting that a proposed regimen of listening to music while resting in bed after open-heart surgery is important in clinical use. After 30 min of bed rest, there was a significant difference in cortisol levels between the music (484.4 mmol/l) and the non-music group (618.8 mmol/l) (p<0.02). Vocal and orchestral music produce significantly better correlations between cardiovascular or respiratory signals compared with music with a more uniform emphasis (p<0.05). The greatest benefit on health is visible with classical music and meditation music, whereas heavy metal music or techno are not only ineffective but possibly dangerous and can lead to stress and/or life-threatening arrhythmias. The music of many composers most effectively improves quality of life, will increase health and probably prolong life, particularly music by Bach, Mozart or Italian composers. PMID- 21062777 TI - Predisposing factors and incidence of newly diagnosed atrial fibrillation in an urban African community: insights from the Heart of Soweto Study. AB - BACKGROUND: Little is known about the incidence and clinical characteristics of newly diagnosed atrial fibrillation/flutter (AF) in urban Africans in epidemiological transition. METHODS: This observational cohort study was carried out in the Chris Hani Baragwanath Hospital in Soweto South Africa. A clinical registry captured detailed clinical data on all de novo cases of AF presenting to the Cardiology Unit during the period 2006-2008. RESULTS: Overall, 246 of 5328 cardiac cases (4.6%) presented with AF (estimated 5.6 cases/100 000 population/annum). Mean age was 59+/-18 years and the majority were of African descent (n=211, 86%) and/or female (n=150, 61%). Men were more than twice as likely to smoke (OR 2.88, 95% CI 1.92 to 4.04) than women, but women were twice as likely to be obese (OR 1.80, 95% CI 1.28 to 2.52) than men. Lone AF occurred in 22 (8.9%) cases, while concurrent valve disease and/or functional valvular abnormality occurred in 107 cases (44%). Overall, 171 cases (70%) presented with uncontrolled AF (ventricular rate >90 beats/min) with no sex-based differences. Common co-morbidities were any form of heart failure (56%) and rheumatic heart disease (21%). Women with AF were more likely to present with hypertensive heart failure (OR 2.37, 95% CI 1.24 to 4.54) but less likely to present with a dilated cardiomyopathy (OR 0.42, 95% CI 0.23 to 0.76) or coronary artery disease (OR 0.38, 95% CI 0.14 to 1.02) than men. Mean overall CHADS(2) score (in 195 non rheumatic cases) was 1.51+/-0.91 and, despite a similar age profile, women had higher scores than men (1.73+/-0.94 vs 1.24+/-0.78; p<0.0001). CONCLUSIONS: These unique data suggest that urban Africans in Soweto develop AF at a relatively young age. Conventional strategies used to manage and treat AF need to be carefully evaluated in this setting. PMID- 21062778 TI - Cardiac troponin I for the prediction of functional recovery and left ventricular remodelling following primary percutaneous coronary intervention for ST-elevation myocardial infarction. AB - OBJECTIVE: To investigate the ability of cardiac troponin I (cTnI) to predict functional recovery and left ventricular remodelling following primary percutaneous coronary intervention (pPCI) in ST-elevation myocardial infarction (STEMI). DESIGN: Post hoc study extending from randomised controlled trial. PATIENTS: 132 patients with STEMI receiving pPCI. MAIN OUTCOME MEASURES: Left ventricular ejection fraction (LVEF), end-diastolic and end-systolic volume index (EDVI and ESVI) and changes in these parameters from day 5 to 4 months after the index event. METHODS: Cardiac magnetic resonance examination performed at 5 days and 4 months for evaluation of LVEF, EDVI and ESVI. cTnI was sampled at 24 and 48 h. RESULTS: In linear regression models adjusted for early (5 days) assessment of LVEF, ESVI and EDVI, single-point cTnI at either 24 or 48 h were independent and strong predictors of changes in LVEF (p<0.01), EDVI (p<0.01) and ESVI (p<0.01) during the follow-up period. In a logistic regression analysis for prediction of an LVEF below 40% at 4 months, single-point cTnI significantly improved the prognostic strength of the model (area under the curve = 0.94, p<0.01) in comparison with the combination of clinical variables and LVEF at 5 days. CONCLUSION: Single-point sampling of cTnI after pPCI for STEMI provides important prognostic information on the time-dependent evolution of left ventricular function and volumes. PMID- 21062779 TI - Staccato reperfusion improves myocardial microcirculatory function and long-term left ventricular remodelling: a randomised contrast echocardiography study. AB - OBJECTIVE: To investigate the effects of staccato reperfusion (SR) during percutaneous coronary intervention (PCI) on myocardial microcirculatory function as assessed by myocardial contrast echocardiography. SETTING: Tertiary centre. METHODS: Thirty-nine patients were randomised to SR (n=20) or abrupt reperfusion (AR, n=19) within 48 h of an acute coronary syndrome. Contrast intensity replenishment curves were constructed to assess the blood volume (An), velocity (beta) and flow (A*beta) of the segments associated with the PCI-treated artery before, 48 h, 1 and 12 months after PCI. Left ventricular (LV) end-diastolic (EDVs) and systolic volumes (ESVs) were evaluated. Plasma malondialdehyde (MDA) was determined immediately before and 18 min after PCI to assess oxidative stress. RESULTS: SR was related to a greater improvement in A(n), beta and A*beta at 48 h, 1 and 12 months after intervention compared with AR (mean A*beta: 0.91, 5.5, 7.14, 6.9 for SR vs 1.02, 3.34, 4.28, 3.71 for AR, p<0.01). After PCI, the mean MDA change was -27% in SR patients and +55% in the AR patients (p<0.05). The percentage change in MDA correlated with the percentage change in A(n) at all time points (r=0.468, r=0.682, r=0.674, p<0.01). Compared with AR, SR was related to a greater percentage decrease in EDV (-11.61% vs -4.13%) and ESV (-34.68% vs 14.83%) at 12 months after PCI (p<0.05). The percentage change in ESV at 12 months correlated with the corresponding percentage changes in A(n), beta and A*beta (r=-0.410, r=-0.509, r=-0.577, respectively, p<0.05). CONCLUSIONS: SR improves myocardial microcirculatory function after PCI, leading to a concomitant improvement in LV geometry, probably through reduction of oxidative stress. PMID- 21062780 TI - Advances in SPECT and PET for the management of heart failure. PMID- 21062781 TI - Management of asymptomatic mitral regurgitation. PMID- 21062782 TI - Differential effects of lobe A and lobe B of the Conserved Oligomeric Golgi complex on the stability of {beta}1,4-galactosyltransferase 1 and {alpha}2,6 sialyltransferase 1. AB - Initially described by Jaeken et al. in 1980, congenital disorders of glycosylation (CDG) is a rapidly expanding group of human multisystemic disorders. To date, many CDG patients have been identified with deficiencies in the conserved oligomeric Golgi (COG) complex which is a complex involved in the vesicular intra-Golgi retrograde trafficking. Composed of eight subunits that are organized in two lobes, COG subunit deficiencies have been associated with Golgi glycosylation abnormalities. Analysis of the total serum N-glycans of COG deficient CDG patients demonstrated an overall decrease in terminal sialylation and galactosylation. According to the mutated COG subunits, differences in late Golgi glycosylation were observed and led us to address the question of an independent role and requirement for each of the two lobes of the COG complex in the stability and localization of late terminal Golgi glycosylation enzymes. For this, we used a small-interfering RNAs strategy in HeLa cells stably expressing green fluorescent protein (GFP)-tagged beta1,4-galactosyltransferase 1 (B4GALT1) and alpha2,6-sialyltransferase 1 (ST6GAL1), two major Golgi glycosyltransferases involved in late Golgi N-glycosylation. Using fluorescent lectins and flow cytometry analysis, we clearly demonstrated that depletion of both lobes was associated with deficiencies in terminal Golgi N-glycosylation. Lobe A depletion resulted in dramatic changes in the Golgi structure, whereas lobe B depletion severely altered the stability of B4GALT1 and ST6GAL1. Only MG132 was able to rescue their steady-state levels, suggesting that B4GALT1- and ST6GAL1-induced degradation are likely the consequence of an accumulation in the endoplasmic reticulum (ER), followed by a retrotranslocation into the cytosol and proteasomal degradation. All together, our results suggest differential effects of lobe A and lobe B for the localization/stability of B4GALT1 and ST6GAL1. Lobe B would be crucial in preventing these two Golgi glycosyltransferases from inappropriate retrograde trafficking to the ER, whereas lobe A appears to be essential for maintaining the overall Golgi structure. PMID- 21062783 TI - Characterization of a novel Salmonella Typhimurium chitinase which hydrolyzes chitin, chitooligosaccharides and an N-acetyllactosamine conjugate. AB - Salmonella contain genes annotated as chitinases; however, their chitinolytic activities have never been verified. We now demonstrate such an activity for a chitinase assigned to glycoside hydrolase family 18 encoded by the SL0018 (chiA) gene in Salmonella enterica Typhimurium SL1344. A C-terminal truncated form of chiA lacking a putative chitin-binding domain was amplified by PCR, cloned and expressed in Escherichia coli BL21 (DE3) with an N-terminal (His)(6) tag. The purified enzyme hydrolyzes 4-nitrophenyl N,N'-diacetyl-beta-D-chitobioside, 4 nitrophenyl beta-D-N,N',N"-triacetylchitotriose and carboxymethyl chitin Remazol Brilliant Violet but does not act on 4-nitrophenyl N-acetyl-beta-D-glucosaminide, peptidoglycan or 4-nitrophenyl beta-D-cellobioside. Enzyme activity was also characterized by directly monitoring product formation using (1)H-nuclear magnetic resonance which showed that chitin is a substrate with the release of N,N'-diacetylchitobiose. Hydrolysis occurs with the retention of configuration and the enzyme acts on only the beta-anomers of chitooligosaccharide substrates. The enzyme also released N-acetyllactosamine disaccharide from Galbeta1 -> 4GlcNAcbeta-O-(CH(2))(8)CONH(CH(2))(2)NHCO-tetramethylrhodamine, a model substrate for LacNAc terminating glycoproteins and glycolipids. PMID- 21062784 TI - A novel glycosylation signal regulates transforming growth factor beta receptors as evidenced by endo-beta-galactosidase C expression in rodent cells. AB - The alphaGal (Galalpha1-3Gal) epitope is a xenoantigen that is responsible for hyperacute rejection in xenotransplantation. This epitope is expressed on the cell surface in the cells of all mammals except humans and Old World monkeys. It can be digested by the enzyme endo-beta-galactosidase C (EndoGalC), which is derived from Clostridium perfringens. Previously, we produced EndoGalC transgenic mice to identify the phenotypes that would be induced following EndoGalC overexpression. The mice lacked the alphaGal epitope in all tissues and exhibited abnormal phenotypes such as postnatal death, growth retardation, skin lesion and abnormal behavior. Interestingly, skin lesions caused by increased proliferation of keratinocytes suggest the role of a glycan structure [in which the alphaGal epitope has been removed or the N-acetylglucosamine (GlcNAc) residue is newly exposed] as a regulator of signal transduction. To verify this hypothesis, we introduced an EndoGalC expression vector into cultured mouse NIH3T3 cells and obtained several EndoGalC-expressing transfectants. These cells lacked alphaGal epitope expression and exhibited 1.8-fold higher proliferation than untransfected parental cells. We then used several cytokine receptor inhibitors to assess the signal transduction cascades that were affected. Only SB431542 and LY364947, both of which are transforming growth factor beta (TGFbeta) receptor type-I (TbetaR-I) inhibitors, were found to successfully reverse the enhanced cell proliferation rate of EndoGalC transfectants, indicating that the glycan structure is a regulator of TbetaRs. Biochemical analysis demonstrated that the glycan altered association between TbetaR-I and TbetaR-II in the absence of ligands. PMID- 21062785 TI - Protein core-dependent glycosaminoglycan modification and glycosaminoglycan dependent polarized sorting in epithelial Madin-Darby canine kidney cells. AB - The proteoglycan serglycin (SG) fused to green fluorescent protein (GFP) is secreted predominantly from the apical surface of polarized epithelial Madin Darby canine kidney (MDCK) cell monolayers, but the minor fraction secreted basolaterally carries more intensely sulfated glycosaminoglycan (GAG) chains (Tveit H, Dick G, Skibeli V, Prydz K. 2005. A proteoglycan undergoes different modifications en route to the apical and basolateral surfaces of Madin-Darby canine kidney cells. J Biol Chem 280: 29596-29603). To investigate whether the domain with GAG attachment sites in SG (i) is sufficient to drive apical protein sorting and (ii) independently generates the sulfation differences observed in the apical and basolateral pathways, the GAG domain of SG was fused into the junction of rat growth hormone (rGH) and GFP and expressed in MDCK cells, either with or without two N-glycosylation sites in the rGH part. Both variants acquired chondroitin sulfate GAG chains and were secreted predominantly to the apical medium, to the same extent as rGH-GFP with two N-glycosylation sites only, and different from the nonsorted variant lacking glycosylation sites. Transfer of the GAG attachment domain from SG to the new rGH context abolished the differences in sulfation intensity and positions observed for SG in the apical and basolateral secretory routes. Thus, these differences are coded by elements outside the GAG attachment domain. PMID- 21062786 TI - 'Kangaroo mother care' to prevent neonatal deaths due to pre-term birth complications. PMID- 21062787 TI - The end of the line for the Surviving Sepsis Campaign, but not for early goal directed therapy. PMID- 21062788 TI - Profiles of disciplinary behaviors among biological fathers. AB - This study assesses fathers' discipline of their 3-year-old child. Data are from 1,238 mother and father participants in the Fragile Families and Child Wellbeing Study. Latent class analysis (LCA) of nonaggressive and aggressive behaviors, as reported by mothers, indicated four distinct paternal disciplinary profiles: low discipline, low aggression, moderate physical aggression, and high physical and psychological aggression. Serious forms of psychological aggression directed toward the child were uncommon but may identify those fathers most in need of intervention. Use of nonaggressive discipline was high and nearly equivalent among the parenting profiles. However, child aggressive behavior increased as the child's exposure to paternal aggression increased, even when aggressive discipline was combined with high levels of nonaggressive discipline. Fathers who exhibited more aggression toward their child had higher levels of alcohol use, used more psychological aggression toward the child's mother, and were more likely to spank their child. PMID- 21062789 TI - Development of retinol-binding protein 4 immunocolloidal gold fast test strip using high-sensitivity monoclonal antibodies generated by DNA immunization. AB - DNA immunization is an efficient method for high-affinity monoclonal antibody generation. Here, we describe the generation of several high-quality monoclonal antibodies (mAbs) against retinol-binding protein 4 (RBP4), an important marker for kidney abnormality and dysfunction, with a combination method of DNA priming and protein boost. The mAbs generated could bind to RBP4 with high sensitivity and using these mAbs, an immunocolloidal gold fast test strip was constructed. The strip can give a result in <5 min and is very sensitive with a detection limit of about 1 ng/ml. A small-scale clinical test revealed that the result of this strip was well in accordance with that of an enzyme-labeled immunosorbent assay kit currently available on the market. Consequently, it could be useful for more convenient and faster RBP4 determination in the clinic. PMID- 21062790 TI - Lung function after total intravenous anaesthesia or balanced anaesthesia with sevoflurane. AB - BACKGROUND: We investigated the effects of total i.v. anaesthesia (TIVA) and balanced anaesthesia (BAL) with sevoflurane on postoperative lung function in patients undergoing surgery in the prone position. METHODS: Sixty patients, aged 21-60 yr, undergoing elective lumbar disc surgery in the prone position were randomly allocated to undergo TIVA (propofol-remifentanil) or BAL (fentanyl nitrous oxide-sevoflurane). Forced vital capacity (FVC), forced expiratory volume in 1 s, mid-expiratory flow (MEF 25-75), and peak expiratory flow were measured before and after general anaesthesia. RESULTS: Both groups were similar with respect to patient characteristic data and preoperative lung function parameters. Irrespective of the type of anaesthesia administered, lung function parameters decreased after operation, with the decrease in FVC being greater after TIVA than after BAL with sevoflurane. CONCLUSIONS: In patients emerging from general anaesthesia, postoperative reduction in FVC is greater after TIVA than after BAL with sevoflurane. PMID- 21062791 TI - 'Selective' reel syndrome? PMID- 21062792 TI - Single centre experience of ivabradine in postural orthostatic tachycardia syndrome. AB - AIMS: Postural orthostatic tachycardia syndrome (POTS) is associated with tachycardia on orthostasis. Patients frequently report palpitations, presyncope, and fatigue. Conventional therapy is effective in less than 60%. Case reports suggest ivabradine (a selective sinus node blocker, with no effect on blood pressure) may alleviate POTS-related symptoms. This is a retrospective case series. METHODS AND RESULTS: Postural orthostatic tachycardia syndrome patients prescribed ivabradine were identified from the pharmacy database. Case notes were reviewed and participants completed a symptom assessment tool. Twenty-two patients were identified. Data were available from 20. Eight patients reported reduced tachycardia and fatigue and four reported only reduced tachycardia. The most common reason for discontinuing ivabradine was lack of efficacy (n = 6). Five patients reported side-effects resulting in two discontinuing treatment. CONCLUSION: This retrospective case series indicates that 60% of patients treated with ivabradine report a symptomatic improvement. A randomized controlled trial accessing the efficacy of ivabradine in POTS is indicated, particularly in patients resistant to, or intolerant of, conventional therapy. PMID- 21062793 TI - Interspecies effects in a ceftazidime-treated mixed culture of Pseudomonas aeruginosa, Burkholderia cepacia and Staphylococcus aureus: analysis at the single-species level. AB - OBJECTIVES: in vitro studies commonly use single bacterial isolates for testing antibiotic susceptibilities. However, interspecies effects that may arise when mixed infections are treated with antibiotics can obviously not be investigated by this approach. In the study presented here, the effect of ceftazidime against a model microbial community consisting of Pseudomonas aeruginosa, Burkholderia cepacia and Staphylococcus aureus was studied in order to reveal effects that only may appear in a ceftazidime-treated mixed culture. METHODS: time-kill experiments were conducted with mixed and pure cultures in a defined medium containing 30 mg/L ceftazidime. Interspecies effects were revealed by comparing growth and kill dynamics from time-kill experiments with results from untreated mixed and pure cultures. For species-specific cell enumeration, a quantitative terminal restriction fragment length polymorphism was used. Ceftazidime was measured by HPLC. RESULTS: P. aeruginosa showed only a lytic phase in the ceftazidime-treated mixed culture, but not in the untreated mixed culture nor in the ceftazidime-treated pure culture. On the other hand, S. aureus did not lyse in the ceftazidime-treated mixed culture, while it did in the untreated mixed culture. CONCLUSIONS: this finding suggests that the efficacy of ceftazidime against P. aeruginosa was increased by an interspecies effect during co cultivation with B. cepacia and S. aureus. The latter seemed to be negatively affected by interspecies effects in mixed culture without ceftazidime. The same effect was nullified when ceftazidime was applied to the mixed culture. Further studies are required to reveal the underlying mechanisms. PMID- 21062794 TI - High prevalence of the arginine catabolic mobile element in carriage isolates of methicillin-resistant Staphylococcus epidermidis. AB - BACKGROUND: the arginine catabolic mobile element (ACME) associated with staphylococcal cassette chromosome mec (SCCmec) in the USA300 clone of community acquired methicillin-resistant Staphylococcus aureus enhances its fitness and ability to colonize the host. Staphylococcus epidermidis may act as a reservoir of ACME for S. aureus. We assessed the diffusion of ACME in methicillin-resistant S. epidermidis (MRSE) isolates colonizing outpatients. METHODS: seventy-eight MRSE strains isolated in outpatients from five countries were characterized by multilocus sequence typing (MLST) and SCCmec typing and screened for the arcA and opp3AB markers of ACME. ACME-arcA and ACME-opp3AB were sequenced. ACME type I from MRSE and USA300 were compared by long-range PCR (LR-PCR). RESULTS: fifty three (67.9%) MRSE strains carried an ACME element, including 19 (24.4%), 32 (41.0%) and 2 (2.6%) with ACME type I (arcA+/opp3AB+), II (arcA+/opp3AB-) and III (arcA-/opp3AB+), respectively. The prevalence of ACME did not differ between clonal complex 2 (42/60 strains) and other sequence types (11/18 strains, P = 0.7), with MLST data suggesting frequent intraspecies acquisition. ACME-arcA sequences were highly conserved, whereas ACME-opp3AB displayed 11 distinct allotypes. ACME was found in 14/29, 9/11 and 30/37 strains with type IV, type V and non-typeable SCCmec, respectively (P = 0.01). ACME was more frequently associated with ccrC than with ccrAB2 (82.4% versus 60.0%, P = 0.048). LR-PCR indicated structural homologies of ACME I between MRSE and USA300. CONCLUSIONS: ACME is widely disseminated in MRSE strains colonizing outpatients and may contribute to their spread in a community environment with low antibiotic exposure, as suggested for USA300. PMID- 21062796 TI - Therapeutic efficacy and safety of TRAIL-producing human adipose tissue-derived mesenchymal stem cells against experimental brainstem glioma. AB - Mesenchymal stem cells (MSCs) have an extensive migratory capacity for gliomas, which is comparable to that of neural stem cells. Among the various types of MSCs, human adipose tissue-derived MSCs (hAT-MSC) emerge as one of the most attractive vehicles for gene therapy because of their high throughput, lack of ethical concerns, and availability and ease of isolation. We evaluated the therapeutic potential and safety of genetically engineered hAT-MSCs encoding the tumor necrosis factor-related apoptosis-inducing ligand (TRAIL) against brainstem gliomas. Human AT-MSCs were isolated from human fat tissue, characterized, and transfected with TRAIL using nucleofector. The therapeutic potential of TRAIL producing hAT-MSCs (hAT-MSC.TRAIL) was confirmed using in vitro and in vivo studies. The final fate of injected hAT-MSCs was traced in long-survival animals. The characterization of hAT-MSCs revealed the expression of MSC-specific cell type markers and their differentiation potential into mesenchymal lineage. Short term outcomes included a 56.3% reduction of tumor volume (P < .001) with increased apoptosis (3.03-fold, P < .05) in animals treated with hAT-MSC.TRAIL compared with the control groups. Long-term outcomes included a significant survival benefit in the hAT-MSC.TRAIL-treated group (26 days of median survival in the control group vs 84 days in the hAT-MSC.TRAIL-treated group, P < .0001), without any evidence of mesenchymal differentiation in vivo. Our study demonstrated the therapeutic efficacy and safety of nonvirally engineered hAT MSCs against brainstem gliomas and showed the possibility of stem-cell-based targeted gene therapy for clinical application. PMID- 21062797 TI - "An object of vulgar curiosity": legitimizing medical hypnosis in Imperial Germany. AB - During the late nineteenth and early twentieth centuries, German medical hypnotists sought to gain a therapeutic and epistemological monopoly over hypnosis. In order to do this, however, these physicians were required to engage in a complex multi-dimensional form of boundary-work, which was intended on the one hand to convince the medical community of the legitimacy and efficacy of hypnosis and on the other to demarcate their use of suggestion from that of stage hypnotists, magnetic healers, and occultists. While the epistemological, professional, and legal boundaries that medical hypnotists erected helped both exclude lay practitioners from this field and sanitize the medical use of hypnosis, the esoteric interests, and sensational public experiments of some of these researchers, which mimicked the theatricality and occult interests of their lay competitors, blurred the distinctions that these professionals were attempting to draw between their "legitimate" medical use of hypnosis and the "illegitimate" lay and occult use of it. PMID- 21062798 TI - Recalibration of indium foil for personnel screening in criticality accidents. AB - At the Nuclear Fuel Cycle Engineering Laboratories of the Japan Atomic Energy Agency (JAEA), small pieces of indium foil incorporated into personal dosemeters have been used for personnel screening in criticality accidents. Irradiation tests of the badges were performed using the SILENE reactor to verify the calibration of the indium activation that had been made in the 1980s and to recalibrate them for simulated criticalities that would be the most likely to occur in the solution process line. In addition, Monte Carlo calculations of the indium activation using the badge model were also made to complement the spectral dependence. The results lead to a screening level of 15 kcpm being determined that corresponds to a total dose of 0.25 Gy, which is also applicable in posterior-anterior exposure. The recalibration based on the latest study will provide a sounder basis for the screening procedure in the event of a criticality accident. PMID- 21062799 TI - Occupational exposure to staff during endoscopic retrograde cholangiopancreatography in Sudan. AB - Endoscopic retrograde cholangiopancreatography (ERCP) procedure is an invasive technique that requires fluoroscopic and radiographic exposure. The purpose of this study was to determine the occupational dose of ionising radiation at three gastroenterology departments (Fedial, Soba and Ibn seena hospitals) in Khartoum, Sudan. The radiation dose was measured during 55 therapeutic ERCP procedures. Thermoluminescence dosemeters were used. The mean radiation dose for the first operator was 0.27 mGy for the eye lens, 0.21 for the thyroid, 0.32 for the chest, 0.17 for the hand and 0.22 for the leg. The mean radiation dose for the second operator was 0.21 mGy for the hand and 0.20 mGy for the chest, while the mean radiation dose for the nurse was 0.44 mGy for the hand and 0.19 for the chest. The radiation dose received by the staff in these hospitals was found to be higher than most of the values in the literature. The radiation absorbed dose received by the different organs is relatively low. Additional studies need to be conducted for radiation dose optimisation. PMID- 21062800 TI - Determination of the dose to persons assisting when X-radiation is used in medicine, dentistry and veterinary medicine. AB - During medical X-ray examinations of patients, humans as well as animals, voluntarily assisting persons are frequently needed in order to calm down the patient or animal. Typical exposure situations have been identified and measurements were performed in the fields of scattered X-rays. The personal dose equivalent H(p)(10) for persons assisting knowingly and willingly in X-ray examinations in dentistry, and human and veterinary medicine was measured. The typical dose values, measured above the protective lead apron, are in the order of a few microsieverts. PMID- 21062801 TI - The national dose register in Finland. AB - The Finnish Dose Register includes exposure data for all workers engaged in radiation work. These data already cover a period of almost 50 y. The earliest data in the register apply to workers in health care, research and industry. Data on nuclear power plant workers have been recorded since 1977 and data on aircrews since 2001. The Dose Register is an extensive national register with doses currently recorded for more than 15,000 workers annually. This paper presents the content and structure of the register, together with recently completed and forthcoming reforms. It also describes how the recorded data are used in the regulatory control of radiation practices. PMID- 21062802 TI - Measurement of natural radioactivity in sand samples collected along the bank of rivers Indus and Kabul in northern Pakistan. AB - Radioactivity is a part of the natural environment. The presence of natural radioactivity in sand and other building materials results in internal and external exposure to the general public. Therefore, it is desirable to determine the concentration of naturally occurring radionuclides, namely (232)Th, (226)Ra and (40)K in sand, bricks and cement which are commonly used as building materials in Pakistan. In this context, sand samples were collected from 18 different locations covering an area of ~1000 km(2) along the banks of river Indus (Ghazi to Jabba) and river Kabul (Nowshera to Kund) in the northern part of Pakistan, whereas bricks and cement samples were collected from local suppliers of the studied area. In order to measure the specific activities in these samples, a P-type coaxial high-purity germanium-based gamma-ray spectrometer was used. In sand samples, the average specific activities of (226)Ra, (232)Th, and (40)K were found to be 30.5+/-11.4, 53.2+/-19.5 and 531+/-49 Bq kg(-1), whereas in brick samples, specific activities of 30+/-14, 41+/-21 and 525+/-183 Bq kg(-1) were observed, respectively. In cement samples, measured specific activity values were 21+/-5, 14+/-3 and 231+/-30 Bq kg(-1), respectively. Radium equivalent activities were calculated and found to be 143.8+/-38.6, 124+/-49.8 and 56.69+/-7 Bq kg(-1) for sand, brick and cement samples, respectively. The annual mean effective dose for the studied sand samples was found to be 0.40 mSv. External and internal hazard indices were less than unity for all the studied samples. The present results have been compared with those reported in the literature. PMID- 21062803 TI - Establishment of radiation qualities for mammography according to the IEC 61267 and TRS 457. AB - This article presents the technical conditions necessary to establish appropriate radiation qualities for the calibration of the dosemeters used in the mammography detectors in the Laboratorio de Ciencias Radiologicas (LCR) from the Universidade do Estado do Rio de Janeiro. Tests were conducted to evaluate the homogeneity of the radiation field, scattering, half-value layers and system stability. The calibration method (substitution) is described in this work. A moderate alteration in filtration makes it possible to maintain the half-value layers within the limits recommended. The results indicate the adequacy of the LCR laboratory for the calibration of the dosemeters in the radiation qualities for mammography with an expanded uncertainty in the best measurement capability of +/ 1.8 % (k = 2). PMID- 21062804 TI - Seasonal variation of indoor radon concentration in dwellings of Alexandria city, Egypt. AB - Inhalation of radon ((222)Rn) and daughter products are a major source of natural radiation exposure. Keeping this in view, seasonal indoor radon measurement studies have been carried out in 68 dwellings belonging to 17 residential areas in Alexandria city, Egypt. LR-115 Type 2 films were exposed for four seasons of 3 months each covering a period of 1 y for the measurement of indoor radon levels. Assuming an indoor occupancy factor of 0.8 and a factor of 0.4 for the equilibrium factor of radon indoors, it was found that the estimated annual average indoor radon concentration in the houses surveyed ranged from 45 +/- 8 to 90 +/- 13 Bq m(-3) with an overall average value of 65 +/- 10 Bq m(-3). The observed annual average values are greater than the world average of 40 Bq m(-3). Seasonal variation of indoor radon shows that maximum radon concentrations were observed in the winter season, whereas minimum levels were observed in the summer season. The season/annual ratios for different type of dwellings varied from 1.54 to 2.50. The mean annual estimated effective dose received by the residents of the studied area was estimated to be 1.10 mSv. The annual estimated effective dose is less than the recommended action level (3-10 mSv y(-1)). PMID- 21062805 TI - Quality assurance of testing methods in incorporation monitoring at the officially recognised incorporation measurement office at Julich, Germany. AB - The systematic quality assurance (QA) and control of testing methods in incorporation monitoring consists of continual measures for internal QA and additional measures such as external laboratory controls. This includes among other aspects accuracy, precision and descriptions of the methods as well as the representation and timely availability of analytic results of measurements and internal dose assessment. At the officially recognised incorporation measurement office at Julich, QA is performed for direct measurements (whole-body counter), indirect measurements with radiochemical testing methods of excretion samples and internal dose assessment. PMID- 21062806 TI - Dose reconstruction using mobile phones. AB - Electronic components inside mobile phones are regarded as useful tools for accident and retrospective dosimetry using optically stimulated luminescence (OSL) and thermoluminescence. Components inside the devices with suitable properties for luminescence dosimetry include, amongst others, ceramic substrates in resistors, capacitors, transistors and antenna switches. Checking the performance of such devices in dosimetric experiments is a crucial step towards developing a reliable dosimetry system for emergency situations using personal belongings. Here, the results of dose assessment experiments using irradiated mobile phones are reported. It will be shown that simple regenerative dose estimates, derived from various types of components removed from different mobile phone models, are consistent with the given dose, after applying an average fading correction factor. PMID- 21062807 TI - Analysis of in situ pre-mRNA targets of human splicing factor SF1 reveals a function in alternative splicing. AB - The conserved pre-mRNA splicing factor SF1 is implicated in 3' splice site recognition by binding directly to the intron branch site. However, because SF1 is not essential for constitutive splicing, its role in pre-mRNA processing has remained mysterious. Here, we used crosslinking and immunoprecipitation (CLIP) to analyze short RNAs directly bound by human SF1 in vivo. SF1 bound mainly pre mRNAs, with 77% of target sites in introns. Binding to target RNAs in vitro was dependent on the newly defined SF1 binding motif ACUNAC, strongly resembling human branch sites. Surprisingly, the majority of SF1 binding sites did not map to the expected position near 3' splice sites. Instead, target sites were distributed throughout introns, and a smaller but significant fraction occurred in exons within coding and untranslated regions. These data suggest a more complex role for SF1 in splicing regulation. Indeed, SF1 silencing affected alternative splicing of endogenous transcripts, establishing a previously unexpected role for SF1 and branch site-like sequences in splice site selection. PMID- 21062808 TI - Rfam: Wikipedia, clans and the "decimal" release. AB - The Rfam database aims to catalogue non-coding RNAs through the use of sequence alignments and statistical profile models known as covariance models. In this contribution, we discuss the pros and cons of using the online encyclopedia, Wikipedia, as a source of community-derived annotation. We discuss the addition of groupings of related RNA families into clans and new developments to the website. Rfam is available on the Web at http://rfam.sanger.ac.uk. PMID- 21062809 TI - The mouse Gene Expression Database (GXD): 2011 update. AB - The Gene Expression Database (GXD) is a community resource of mouse developmental expression information. GXD integrates different types of expression data at the transcript and protein level and captures expression information from many different mouse strains and mutants. GXD places these data in the larger biological context through integration with other Mouse Genome Informatics (MGI) resources and interconnections with many other databases. Web-based query forms support simple or complex searches that take advantage of all these integrated data. The data in GXD are obtained from the literature, from individual laboratories, and from large-scale data providers. All data are annotated and reviewed by GXD curators. Since the last report, the GXD data content has increased significantly, the interface and data displays have been improved, new querying capabilities were implemented, and links to other expression resources were added. GXD is available through the MGI web site (www.informatics.jax.org), or directly at www.informatics.jax.org/expression.shtml. PMID- 21062810 TI - Phospho.ELM: a database of phosphorylation sites--update 2011. AB - The Phospho.ELM resource (http://phospho.elm.eu.org) is a relational database designed to store in vivo and in vitro phosphorylation data extracted from the scientific literature and phosphoproteomic analyses. The resource has been actively developed for more than 7 years and currently comprises 42,574 serine, threonine and tyrosine non-redundant phosphorylation sites. Several new features have been implemented, such as structural disorder/order and accessibility information and a conservation score. Additionally, the conservation of the phosphosites can now be visualized directly on the multiple sequence alignment used for the score calculation. Finally, special emphasis has been put on linking to external resources such as interaction networks and other databases. PMID- 21062811 TI - Enhanced anti-HIV-1 activity of G-quadruplexes comprising locked nucleic acids and intercalating nucleic acids. AB - Two G-quadruplex forming sequences, 5'-TGGGAG and the 17-mer sequence T30177, which exhibit anti-HIV-1 activity on cell lines, were modified using either locked nucleic acids (LNA) or via insertions of (R)-1-O-(pyren-1 ylmethyl)glycerol (intercalating nucleic acid, INA) or (R)-1-O-[4-(1 pyrenylethynyl)phenylmethyl]glycerol (twisted intercalating nucleic acid, TINA). Incorporation of LNA or INA/TINA monomers provide as much as 8-fold improvement of anti-HIV-1 activity. We demonstrate for the first time a detailed analysis of the effect the incorporation of INA/TINA monomers in quadruplex forming oligonucleotides (QFOs) and the effect of LNA monomers in the context of biologically active QFOs. In addition, recent literature reports and our own studies on the gel retardation of the phosphodiester analogue of T30177 led to the conclusion that this sequence forms a parallel, dimeric G-quadruplex. Introduction of the 5'-phosphate inhibits dimerisation of this G-quadruplex as a result of negative charge-charge repulsion. Contrary to that, we found that attachment of the 5'-O-DMT-group produced a more active 17-mer sequence that showed signs of aggregation-forming multimeric G-quadruplex species in solution. Many of the antiviral QFOs in the present study formed more thermally stable G quadruplexes and also high-order G-quadruplex structures which might be responsible for the increased antiviral activity observed. PMID- 21062812 TI - microRNA profiling in Epstein-Barr virus-associated B-cell lymphoma. AB - The Epstein-Barr virus (EBV) is an oncogenic human Herpes virus found in ~15% of diffuse large B-cell lymphoma (DLBCL). EBV encodes miRNAs and induces changes in the cellular miRNA profile of infected cells. MiRNAs are small, non-coding RNAs of ~19-26 nt which suppress protein synthesis by inducing translational arrest or mRNA degradation. Here, we report a comprehensive miRNA-profiling study and show that hsa-miR-424, -223, -199a-3p, -199a-5p, -27b, -378, -26b, -23a, -23b were upregulated and hsa-miR-155, -20b, -221, -151-3p, -222, -29b/c, -106a were downregulated more than 2-fold due to EBV-infection of DLBCL. All known EBV miRNAs with the exception of the BHRF1 cluster as well as EBV-miR-BART15 and -20 were present. A computational analysis indicated potential targets such as c-MYB, LATS2, c-SKI and SIAH1. We show that c-MYB is targeted by miR-155 and miR-424, that the tumor suppressor SIAH1 is targeted by miR-424, and that c-SKI is potentially regulated by miR-155. Downregulation of SIAH1 protein in DLBCL was demonstrated by immunohistochemistry. The inhibition of SIAH1 is in line with the notion that EBV impedes various pro-apoptotic pathways during tumorigenesis. The down-modulation of the oncogenic c-MYB protein, although counter-intuitive, might be explained by its tight regulation in developmental processes. PMID- 21062813 TI - A novel method for the genome-wide high resolution analysis of DNA damage. AB - DNA damage occurs via endogenous and exogenous genotoxic agents and compromises a genome's integrity. Knowing where damage occurs within a genome is crucial to understanding the repair mechanisms which protect this integrity. This paper describes a new development based on microarray technology which uses ultraviolet light induced DNA damage as a paradigm to determine the position and frequency of DNA damage and its subsequent repair throughout the entire yeast genome. PMID- 21062814 TI - DDBJ progress report. AB - The DNA Data Bank of Japan (DDBJ, http://www.ddbj.nig.ac.jp) provides a nucleotide sequence archive database and accompanying database tools for sequence submission, entry retrieval and annotation analysis. The DDBJ collected and released 3,637,446 entries/2,272,231,889 bases between July 2009 and June 2010. A highlight of the released data was archive datasets from next-generation sequencing reads of Japanese rice cultivar, Koshihikari submitted by the National Institute of Agrobiological Sciences. In this period, we started a new archive for quantitative genomics data, the DDBJ Omics aRchive (DOR). The DOR stores quantitative data both from the microarray and high-throughput new sequencing platforms. Moreover, we improved the content of the DDBJ patent sequence, released a new submission tool of the DDBJ Sequence Read Archive (DRA) which archives massive raw sequencing reads, and enhanced a cloud computing-based analytical system from sequencing reads, the DDBJ Read Annotation Pipeline. In this article, we describe these new functions of the DDBJ databases and support tools. PMID- 21062815 TI - Synthesis and structural characterization of piperazino-modified DNA that favours hybridization towards DNA over RNA. AB - We report the synthesis of two C4'-modified DNA analogues and characterize their structural impact on dsDNA duplexes. The 4'-C-piperazinomethyl modification stabilizes dsDNA by up to 5 degrees C per incorporation. Extension of the modification with a butanoyl-linked pyrene increases the dsDNA stabilization to a maximum of 9 degrees C per incorporation. Using fluorescence, ultraviolet and nuclear magnetic resonance (NMR) spectroscopy, we show that the stabilization is achieved by pyrene intercalation in the dsDNA duplex. The pyrene moiety is not restricted to one intercalation site but rather switches between multiple sites in intermediate exchange on the NMR timescale, resulting in broad lines in NMR spectra. We identified two intercalation sites with NOE data showing that the pyrene prefers to intercalate one base pair away from the modified nucleotide with its linker curled up in the minor groove. Both modifications are tolerated in DNA:RNA hybrids but leave their melting temperatures virtually unaffected. Fluorescence data indicate that the pyrene moiety is residing outside the helix. The available data suggest that the DNA discrimination is due to (i) the positive charge of the piperazino ring having a greater impact in the narrow and deep minor groove of a B-type dsDNA duplex than in the wide and shallow minor groove of an A-type DNA:RNA hybrid and (ii) the B-type dsDNA duplex allowing the pyrene to intercalate and bury its apolar surface. PMID- 21062816 TI - SUPERFAMILY 1.75 including a domain-centric gene ontology method. AB - The SUPERFAMILY resource provides protein domain assignments at the structural classification of protein (SCOP) superfamily level for over 1400 completely sequenced genomes, over 120 metagenomes and other gene collections such as UniProt. All models and assignments are available to browse and download at http://supfam.org. A new hidden Markov model library based on SCOP 1.75 has been created and a previously ignored class of SCOP, coiled coils, is now included. Our scoring component now uses HMMER3, which is in orders of magnitude faster and produces superior results. A cloud-based pipeline was implemented and is publicly available at Amazon web services elastic computer cloud. The SUPERFAMILY reference tree of life has been improved allowing the user to highlight a chosen superfamily, family or domain architecture on the tree of life. The most significant advance in SUPERFAMILY is that now it contains a domain-based gene ontology (GO) at the superfamily and family levels. A new methodology was developed to ensure a high quality GO annotation. The new methodology is general purpose and has been used to produce domain-based phenotypic ontologies in addition to GO. PMID- 21062817 TI - A distinct first replication cycle of DNA introduced in mammalian cells. AB - Many mutation events in microsatellite DNA sequences were traced to the first embryonic divisions. It was not known what makes the first replication cycles of embryonic DNA different from subsequent replication cycles. Here we demonstrate that an unusual replication mode is involved in the first cycle of replication of DNA introduced in mammalian cells. This alternative replication starts at random positions, and occurs before the chromatin is fully assembled. It is detected in various cell lines and primary cells. The presence of single-stranded regions increases the efficiency of this alternative replication mode. The alternative replication cannot progress through the A/T-rich FRA16B fragile site, while the regular replication mode is not affected by it. A/T-rich microsatellites are associated with the majority of chromosomal breakpoints in cancer. We suggest that the alternative replication mode may be initiated at the regions with immature chromatin structure in embryonic and cancer cells resulting in increased genomic instability. This work demonstrates, for the first time, differences in the replication progression during the first and subsequent replication cycles in mammalian cells. PMID- 21062818 TI - UKPMC: a full text article resource for the life sciences. AB - UK PubMed Central (UKPMC) is a full-text article database that extends the functionality of the original PubMed Central (PMC) repository. The UKPMC project was launched as the first 'mirror' site to PMC, which in analogy to the International Nucleotide Sequence Database Collaboration, aims to provide international preservation of the open and free-access biomedical literature. UKPMC (http://ukpmc.ac.uk) has undergone considerable development since its inception in 2007 and now includes both a UKPMC and PubMed search, as well as access to other records such as Agricola, Patents and recent biomedical theses. UKPMC also differs from PubMed/PMC in that the full text and abstract information can be searched in an integrated manner from one input box. Furthermore, UKPMC contains 'Cited By' information as an alternative way to navigate the literature and has incorporated text-mining approaches to semantically enrich content and integrate it with related database resources. Finally, UKPMC also offers added value services (UKPMC+) that enable grantees to deposit manuscripts, link papers to grants, publish online portfolios and view citation information on their papers. Here we describe UKPMC and clarify the relationship between PMC and UKPMC, providing historical context and future directions, 10 years on from when PMC was first launched. PMID- 21062819 TI - Structural basis for the methylation of A1408 in 16S rRNA by a panaminoglycoside resistance methyltransferase NpmA from a clinical isolate and analysis of the NpmA interactions with the 30S ribosomal subunit. AB - NpmA, a methyltransferase that confers resistance to aminoglycosides was identified in an Escherichia coli clinical isolate. It belongs to the kanamycin apramycin methyltransferase (Kam) family and specifically methylates the 16S rRNA at the N1 position of A1408. We determined the structures of apo-NpmA and its complexes with S-adenosylmethionine (AdoMet) and S-adenosylhomocysteine (AdoHcy) at 2.4, 2.7 and 1.68 A, respectively. We generated a number of NpmA variants with alanine substitutions and studied their ability to bind the cofactor, to methylate A1408 in the 30S subunit, and to confer resistance to kanamycin in vivo. Residues D30, W107 and W197 were found to be essential. We have also analyzed the interactions between NpmA and the 30S subunit by footprinting experiments and computational docking. Helices 24, 42 and 44 were found to be the main NpmA-binding site. Both experimental and theoretical analyses suggest that NpmA flips out the target nucleotide A1408 to carry out the methylation. NpmA is plasmid-encoded and can be transferred between pathogenic bacteria; therefore it poses a threat to the successful use of aminoglycosides in clinical practice. The results presented here will assist in the development of specific NpmA inhibitors that could restore the potential of aminoglycoside antibiotics. PMID- 21062820 TI - A nucleoside kinase as a dual selector for genetic switches and circuits. AB - The development of genetic switches and their integrated forms (genetic circuits) with desired specifications/functions is key for success in synthetic biology. Due to the difficulty in rational design, genetic switches and circuits with desirable specifications are mostly obtained by directed evolution. Based on a virus-derived nucleotide kinase as a single-gene dual selector, we constructed a robust, efficient and stringent selection system for genetic switches. This method exhibited unprecedented enrichment efficacy (>30,000-fold) of functional switches from non-functional ones in a single selection cycle. In addition, negative (OFF) selection was exceptionally stringent, allowing the rapid and efficient selection of non-leaky from leaky circuits. PMID- 21062821 TI - Complementary non-radioactive assays for investigation of human flap endonuclease 1 activity. AB - FEN1, a key participant in DNA replication and repair, is the major human flap endonuclease that recognizes and cleaves flap DNA structures. Deficiencies in FEN1 function or deletion of the fen1 gene have profound biological effects, including the suppression of repair of DNA damage incurred from the action of various genotoxic agents. Given the importance of FEN1 in resolving abnormal DNA structures, inhibitors of the enzyme carry a potential as enhancers of DNA interactive anticancer drugs. To facilitate the studies of FEN1 activity and the search for novel inhibitors, we developed a pair of complementary-readout homogeneous assays utilizing fluorogenic donor/quencher and AlphaScreen chemiluminescence strategies. A previously reported FEN1 inhibitor 3-hydroxy-5 methyl-1-phenylthieno[2,3-d]pyrimidine-2,4(1H,3H)-dione displayed equal potency in the new assays, in agreement with its published IC(50). The assays were optimized to a low 4 ul volume and used to investigate a set of small molecules, leading to the identification of previously-unreported FEN1 inhibitors, among which aurintricarboxylic acid and NSC-13755 (an arylstibonic derivative) displayed submicromolar potency (average IC(50) of 0.59 and 0.93 uM, respectively). The availability of these simple complementary assays obviates the need for undesirable radiotracer-based assays and should facilitate efforts to develop novel inhibitors for this key biological target. PMID- 21062822 TI - miRGator v2.0: an integrated system for functional investigation of microRNAs. AB - miRGator is an integrated database of microRNA (miRNA)-associated gene expression, target prediction, disease association and genomic annotation, which aims to facilitate functional investigation of miRNAs. The recent version of miRGator v2.0 contains information about (i) human miRNA expression profiles under various experimental conditions, (ii) paired expression profiles of both mRNAs and miRNAs, (iii) gene expression profiles under miRNA-perturbation (e.g. miRNA knockout and overexpression), (iv) known/predicted miRNA targets and (v) miRNA-disease associations. In total, >8000 miRNA expression profiles, ~300 miRNA perturbed gene expression profiles and ~2000 mRNA expression profiles are compiled with manually curated annotations on disease, tissue type and perturbation. By integrating these data sets, a series of novel associations (miRNA-miRNA, miRNA-disease and miRNA-target) is extracted via shared features. For example, differentially expressed genes (DEGs) after miRNA knockout were systematically compared against miRNA targets. Likewise, differentially expressed miRNAs (DEmiRs) were compared with disease-associated miRNAs. Additionally, miRNA expression and disease-phenotype profiles revealed miRNA pairs whose expression was regulated in parallel in various experimental and disease conditions. Complex associations are readily accessible using an interactive network visualization interface. The miRGator v2.0 serves as a reference database to investigate miRNA expression and function (http://miRGator.kobic.re.kr). PMID- 21062823 TI - The sequence read archive. AB - The combination of significantly lower cost and increased speed of sequencing has resulted in an explosive growth of data submitted into the primary next generation sequence data archive, the Sequence Read Archive (SRA). The preservation of experimental data is an important part of the scientific record, and increasing numbers of journals and funding agencies require that next generation sequence data are deposited into the SRA. The SRA was established as a public repository for the next-generation sequence data and is operated by the International Nucleotide Sequence Database Collaboration (INSDC). INSDC partners include the National Center for Biotechnology Information (NCBI), the European Bioinformatics Institute (EBI) and the DNA Data Bank of Japan (DDBJ). The SRA is accessible at http://www.ncbi.nlm.nih.gov/Traces/sra from NCBI, at http://www.ebi.ac.uk/ena from EBI and at http://trace.ddbj.nig.ac.jp from DDBJ. In this article, we present the content and structure of the SRA, detail our support for sequencing platforms and provide recommended data submission levels and formats. We also briefly outline our response to the challenge of data growth. PMID- 21062824 TI - Characterization of the relationship between integrase, excisionase and antirepressor activities associated with a superinfecting Shiga toxin encoding bacteriophage. AB - Shigatoxigenic Escherichia coli emerged as new food borne pathogens in the early 1980s, primarily driven by the dispersal of Shiga toxin-encoding lambdoid bacteriophages. At least some of these Stx phages display superinfection phenotypes, which differ significantly from lambda phage itself, driving through in situ recombination further phage evolution, increasing host range and potentially increasing the host's pathogenic profile. Here, increasing levels of Stx phage Phi24(B) integrase expression in multiple lysogen cultures are demonstrated along with apparently negligible repression of integrase expression by the cognate CI repressor. The Phi24(B) int transcription start site and promoter region were identified and found to differ from in silico predictions. The unidirectional activity of this integrase was determined in an in situ, inducible tri-partite reaction. This indicated that Phi24(B) must encode a novel directionality factor that is controlling excision events during prophage induction. This excisionase was subsequently identified and characterized through complementation experiments. In addition, the previous proposal that a putative antirepressor was responsible for the lack of immunity to superinfection through inactivation of CI has been revisited and a new hypothesis involving the role of this protein in promoting efficient induction of the Phi24(B) prophage is proposed. PMID- 21062825 TI - Efficient and specific knockdown of small non-coding RNAs in mammalian cells and in mice. AB - Hundreds of small nuclear non-coding RNAs, including small nucleolar RNAs (snoRNAs), have been identified in different organisms, with important implications in regulating gene expression and in human diseases. However, functionalizing these nuclear RNAs in mammalian cells remains challenging, due to methodological difficulties in depleting these RNAs, especially snoRNAs. Here we report a convenient and efficient approach to deplete snoRNA, small Cajal body RNA (scaRNA) and small nuclear RNA in human and mouse cells by conventional transfection of chemically modified antisense oligonucleotides (ASOs) that promote RNaseH-mediated cleavage of target RNAs. The levels of all seven tested snoRNA/scaRNAs and four snRNAs were reduced by 80-95%, accompanied by impaired endogenous functions of the target RNAs. ASO-targeting is highly specific, without affecting expression of the host genes where snoRNAs are embedded in the introns, nor affecting the levels of snoRNA isoforms with high sequence similarities. At least five snoRNAs could be depleted simultaneously. Importantly, snoRNAs could be dramatically depleted in mice by systematic administration of the ASOs. Together, our findings provide a convenient and efficient approach to characterize nuclear non-coding RNAs in mammalian cells, and to develop antisense drugs against disease-causing non-coding RNAs. PMID- 21062826 TI - Ultraconserved cDNA segments in the human transcriptome exhibit resistance to folding and implicate function in translation and alternative splicing. AB - Ultraconservation, defined as perfect human-to-rodent sequence identity at least 200-bp long, is a strong indicator of evolutionary and functional importance and has been explored extensively at the genome level. However, it has not been investigated at the transcript level, where such extreme conservation might highlight loci with important post-transcriptional regulatory roles. We present 96 ultraconserved cDNA segments (UCSs), stretches of human mature mRNAs that match identically with orthologous regions in the mouse and rat genomes. UCSs can span multiple exons, a feature we leverage here to elucidate the role of ultraconservation in post-transcriptional regulation. UCS sites are implicated in functions at essentially every post-transcriptional stage: pre-mRNA splicing and degradation through alternative splicing and nonsense-mediated decay (AS-NMD), mature mRNA silencing by miRNA, fast mRNA decay rate and translational repression by upstream AUGs. We also found UCSs to exhibit resistance to formation of RNA secondary structure. These multiple layers of regulation underscore the importance of the UCS-containing genes as key global RNA processing regulators, including members of the serine/arginine-rich protein and heterogeneous nuclear ribonucleoprotein (hnRNP) families of essential splicing regulators. The discovery of UCSs shed new light on the multifaceted, fine-tuned and tight post transcriptional regulation of gene families as conserved through the majority of the mammalian lineage. PMID- 21062827 TI - Engineered split in Pfu DNA polymerase fingers domain improves incorporation of nucleotide gamma-phosphate derivative. AB - Using compartmentalized self-replication (CSR), we evolved a version of Pyrococcus furiosus (Pfu) DNA polymerase that tolerates modification of the gamma phosphate of an incoming nucleotide. A Q484R mutation in alpha-helix P of the fingers domain, coupled with an unintended translational termination-reinitiation (split) near the finger tip, dramatically improve incorporation of a bulky gamma phosphate-O-linker-dabcyl substituent. Whether synthesized by coupled translation from a bicistronic (-1 frameshift) clone, or reconstituted from separately expressed and purified fragments, split Pfu mutant behaves identically to wild type DNA polymerase with respect to chromatographic behavior, steady-state kinetic parameters (for dCTP), and PCR performance. Although naturally-occurring splits have been identified previously in the finger tip region of T4 gp43 variants, this is the first time a split (in combination with a point mutation) has been shown to broaden substrate utilization. Moreover, this latest example of a split hyperthermophilic archaeal DNA polymerase further illustrates the modular nature of the Family B DNA polymerase structure. PMID- 21062828 TI - BRENDA, the enzyme information system in 2011. AB - The BRENDA (BRaunschweig ENzyme Database, http://www.brenda-enzymes.org) enzyme information system is the main collection of enzyme functional and property data for the scientific community. The majority of the data are manually extracted from the primary literature. The content covers information on function, structure, occurrence, preparation and application of enzymes as well as properties of mutants and engineered variants. The number of manually annotated references increased by 30% to more than 100,000, the number of ligand structures by 45% to almost 100,000. New query, analysis and data management tools were implemented to improve data processing, data presentation, data input and data access. BRENDA now provides new viewing options such as the display of the statistics of functional parameters and the 3D view of protein sequence and structure features. Furthermore a ligand summary shows comprehensive information on the BRENDA ligands. The enzymes are linked to their respective pathways and can be viewed in pathway maps. The disease text mining part is strongly enhanced. It is possible to submit new, not yet classified enzymes to BRENDA, which then are reviewed and classified by the International Union of Biochemistry and Molecular Biology. A new SBML output format of BRENDA kinetic data allows the construction of organism-specific metabolic models. PMID- 21062829 TI - B-cell display-based one-step method to generate chimeric human IgG monoclonal antibodies. AB - The recent development of screening strategies based on the generation and display of large libraries of antibody fragments has allowed considerable advances for the in vitro isolation of monoclonal antibodies (mAbs). We previously developed a technology referred to as the 'ADLib (Autonomously Diversifying Library) system', which allows the rapid screening and isolation in vitro of antigen-specific monoclonal antibodies (mAbs) from libraries of immunoglobulin M (IgM) displayed by the chicken B-cell line DT40. Here, we report a novel application of the ADLib system to the production of chimeric human mAbs. We have designed gene knock-in constructs to generate DT40 strains that coexpress chimeric human IgG and chicken IgM via B-cell-specific RNA alternative splicing. We demonstrate that the application of the ADLib system to these strains allows the one-step selection of antigen-specific human chimeric IgG. In addition, the production of chimeric IgG can be selectively increased when we modulate RNA processing by overexpressing the polyadenylation factor CstF-64. This method provides a new way to efficiently design mAbs suitable for a wide range of purposes including antibody therapy. PMID- 21062830 TI - Allele frequency net: a database and online repository for immune gene frequencies in worldwide populations. AB - The allele frequency net database (http://www.allelefrequencies.net) is an online repository that contains information on the frequencies of immune genes and their corresponding alleles in different populations. The extensive variability observed in genes and alleles related to the immune system response and its significance in transplantation, disease association studies and diversity in populations led to the development of this electronic resource. At present, the system contains data from 1133 populations in 608,813 individuals on the frequency of genes from different polymorphic regions such as human leukocyte antigens, killer-cell immunoglobulin-like receptors, major histocompatibility complex Class I chain-related genes and a number of cytokine gene polymorphisms. The project was designed to create a central source for the storage of frequency data and provide individuals with a set of bioinformatics tools to analyze the occurrence of these variants in worldwide populations. The resource has been used in a wide variety of contexts, including clinical applications (histocompatibility, immunology, epidemiology and pharmacogenetics) and population genetics. Demographic information, frequency data and searching tools can be freely accessed through the website. PMID- 21062831 TI - eIF4G stimulates the activity of the DEAD box protein eIF4A by a conformational guidance mechanism. AB - The activity of eIF4A, a key player in translation initiation, is regulated by other translation factors through currently unknown mechanisms. Here, we provide the necessary framework to understand the mechanism of eIF4A's regulation by eIF4G. In solution, eIF4A adopts a defined conformation that is different from the crystal structure. Binding of eIF4G induces a 'half-open' conformation by interactions with both domains, such that the helicase motifs are pre-aligned for activation. A primary interface acts as an anchor for complex formation. We show here that formation of the secondary interface is essential for imposing the 'half-open' conformation on eIF4A, and it is critical for the functional interaction of eIF4G with eIF4A. Via this bipartite interaction, eIF4G guides the transition of eIF4A between the 'half-open' and closed conformations, and stimulates its activity by accelerating the rate-limiting step of phosphate release. Subtle changes induced by eIF4G may be amplified by input signals from other translation factors, leading to an efficient regulation of translation initiation. PMID- 21062833 TI - Public health research in Norway: Selected topics. Introduction to the supplement. PMID- 21062834 TI - Healthy and unhealthy eating at lower secondary school in Norway. AB - AIMS: To assess adolescents' eating/drinking habits of a selection of healthy and unhealthy food items at school, variations in gender and socioeconomic status in these eating habits, and variations between the schools. METHODS: A cross sectional study among 2870 adolescents (mean age: 15.5 years) within the Fruits and Vegetables Make the Marks (FVMM) project. A survey questionnaire was completed by the pupils in the classroom in the presence of a trained project worker. One school lesson (45 minutes) was used to complete the questionnaire. A total of two healthy (fruit and vegetables (FV), water) and five unhealthy (candy and/or potato chips, sweet bakery, instant noodles, regular soft drinks, and diet soft drinks) food items were assessed by food frequency questions. All variables were dichotomised to less than once a week and once a week or more. RESULTS: Several pupils reported to consume snacks (33%), sweet bakery (36%) and regular soft drinks (24%) at school at least once a week. The proportion of pupils who reported to eat FV at least once a week (40%) was low. Girls and pupils with plans of higher education had a more favourable intake of healthy versus unhealthy food items at school. In two-level variance component analyses the proportional school variation ranged from 3.4% (diet soft drinks) to 30.7% (noodles). CONCLUSIONS: A large number of adolescents consume unhealthy food items at school and few eat FV. Large differences were observed between groups of pupils and between the schools in consumption of these foods. PMID- 21062835 TI - Number of meals eaten in relation to weight status among Norwegian adolescents. AB - AIM: To assess the relationship between number of meals eaten and weight status, and to assess potential confounders of this relationship. METHODS: A total of 2870 (participation rate: 85%) 9th and 10th graders (mean age: 15.5 years) at 33 schools completed questionnaires in May 2005. Number of meals was measured with questions asking whether they ate breakfast, lunch, dinner, and supper the day before, giving a scale ranging from zero to four meals/day. Data on gender, height, weight, education plans, intake of fruits and vegetables, consumption of unhealthy snacks, TV/computer time, physical activity level, and dieting were also collected. RESULTS: The proportions of overweight adolescents related to the number of meals eaten were: 10% (0-1 meals, n = 107), 18% (2 meals, n = 399), 14% (3 meals, n = 925), and 10% (4 meals, n = 1402), p <= 0.001. Low education plans, high TV/computer time, low physical activity level, and dieting were all positively associated with both being overweight and not having four meals. Being a boy was positively associated with being overweight but negatively associated with not having four meals. High intake of unhealthy snacks was negatively associated with being overweight, but positively associated with not having four meals. In a logistic regression analysis, adjusting for all variables mentioned, odds ratio for being overweight were 0.8 (95% CI 0.3-1.9), 1.8 (95% CI 1.2-2.7) and 1.6 (95% CI 1.2-2.3), respectively, for eating one or zero, two, and three meals compared to four meals. CONCLUSIONS: Eating four meals/day was significantly negatively related to being overweight, also when controlling for potential confounding factors. PMID- 21062836 TI - Overweight and waist circumference among Norwegian 11-year-olds and associations with reported parental overweight and waist circumference: The HEIA study. AB - AIMS: The aim of this paper is to investigate anthropometric characteristics in 11-year-old Norwegian by gender and parental education, and to study associations between adolescents' overweight and waist circumference (WC) and maternal and paternal overweight and WC. METHODS: A total of 1483 adolescents, 1156 mothers, and 1016 fathers participated in the baseline survey of the HEalth In Adolescents (HEIA) study (September 2007). Anthropometric measures of the adolescents were assessed by project staff according to standard procedures. Self-reported data about pubertal status were collected through questionnaires. Parental education and anthropometric measures of parents were collected by self-report. RESULTS: The prevalence of overweight (including obesity) determined by the cut-offs for body mass index (BMI) suggested by the International Obesity Task Force was 14.6% among girls and 13.6% among boys. The highest prevalence of overweight was observed among adolescents with parents who had less than 12 years of education (18.8%). Overweight and WC in girls was strongly associated with maternal overweight and WC. For boys, overweight and WC was strongly associated with both maternal and paternal overweight and WC. CONCLUSIONS: There was a social gradient in anthropometric characteristics and overweight rates among Norwegian 11-year old adolescents. Maternal overweight and WC was associated with overweight and WC in girls and boys, while paternal overweight and WC were associated with overweight and WC in boys. The results indicate that mothers are key persons in prevention of overweight among adolescents, despite gender. Fathers are important as role models for their sons. Targeting parental overweight/ obesity could be a strategy in future interventions. PMID- 21062837 TI - Schoolyard physical activity in 14-year-old adolescents assessed by mobile GPS and heart rate monitoring analysed by GIS. AB - BACKGROUND: Environmental settings seem to influence the activity patterns of children in neighbourhoods and schoolyards, the latter being an important arena to promote physical activity (PA) in school children. New technology has made it possible to describe free-living PA in interaction with the environment. AIMS OF STUDY: This study focused on how schoolyard environments influenced the activity patterns and intensity levels in 14-year-old children and whether PA levels in adolescents complied with official recommendations. Another objective was to introduce methodology of using a mobile global positioning system (GPS) device with synchronous heart rate (HR) recordings as a proxy for PA level and a geographical information system (GIS) for spatial analyses. METHODS: The sample constituted of 81 children (aged 14 years) from two schools. Movement patterns and activity levels were recorded during lunch break applying a GPS Garmin Forerunner 305 with combined HR monitoring and analysed in a GIS by an overlaid grid and kriging interpolation. RESULTS: Spatial data from GPS recordings showed particular movement patterns in the schoolyards. Low activity levels (mean HR < 120 bpm) dominated in both schools with no gender differences. Activities located to a handball goal area showed the highest monitored HR (>160 bpm) with higher intensity in girls than in boys. CONCLUSIONS: Movement patterns and PA generated in GIS for visualisation and analysis enabled direct and realistic description of utilising of schoolyard facilities and activity levels. Linking GPS data and PA levels to spatial structures made it possible to visualise the environmental interaction with PA and which environments promoted low or high PA. PMID- 21062838 TI - Design of a 20-month comprehensive, multicomponent school-based randomised trial to promote healthy weight development among 11-13 year olds: The HEalth In Adolescents study. AB - BACKGROUND AND PURPOSE: The lack of effective school-based interventions for preventing obesity in children has caused a call for longer duration of interventions and better reporting on design and evaluation methodology. The purpose of this paper is to present the development of the intervention, the design of the effectiveness study, and the test-retest reliability of the main outcome measures in the HEalth In Adolescents (HEIA) study. METHODS/DESIGN: The HEIA intervention programme was developed based on literature reviews, a social ecological framework, and focus groups. The intervention aimed to increase total physical activity (PA) and consumption of fruit and vegetables and to decrease screen time and consumption of sugar-sweetened beverages. The intervention programme consisted of a classroom component, including dietary behaviour lessons, computer tailoring, fruit/vegetable and PA breaks, and posters, and an environmental component including active transport campaigns, equipment, suggestions for easy improvements of schoolyards, inspirational courses for teachers (all with regards to PA), and fact sheets to parents. The effect of the intervention programme is evaluated in a cluster randomised controlled trial design (intervention = 12 schools, control = 25 schools) including process evaluation. Main outcomes include anthropometry, PA, screen time, and consumption of fruit, vegetables, and sugar-sweetened beverages. A 2-week test- retest study was conducted among 114 pupils. Determinants of the behaviours were assessed. Similar data were collected from parents. Children's PA was measured objectively by accelerometers. CONCLUSIONS: The HEIA study represents a theoretically informed randomised trial comprising a comprehensive set of multilevel intervention components with a thorough evaluation using reliable outcome measures. The study will contribute to a better understanding of determinants of healthy weight development among young people and how such determinants can be modified. PMID- 21062839 TI - Barriers to healthy eating among Norwegian-Pakistani women participating in a culturally adapted intervention. AB - AIMS: To explore barriers to healthy dietary changes experienced by Pakistani immigrant women participating in a culturally adapted intervention, and whether these barriers were associated with intentions to change dietary behaviours. METHODS: Participants were randomly assigned to control and intervention group. The 7-month intervention consisted of six educational group sessions on diet and physical activity, based on knowledge about Pakistani lifestyle and focusing on blood glucose control. Data on barriers for and intentions to healthy dietary changes were collected through an interview with help of a questionnaire. The article is based on data from follow-up assessments in the intervention group, comprising 82 women, aged 28-62 years, without a history of type 2 diabetes. RESULTS: The most important barriers to healthy dietary changes were preferences of children and other family members and perceived expectations during social gatherings. The perceived pressure from other family members was especially strong when the women were trying to change to more vegetables, lentils, and fish and to use less oil in food preparation. The barriers were inversely related to intentions to change. CONCLUSIONS: The women encountered various types of barriers when trying to change to healthier food habits, the most prominent being those related to the social dimensions of food consumption, as well as to awareness of the amount of oil used for cooking. PMID- 21062840 TI - The STORK Groruddalen research programme: A population-based cohort study of gestational diabetes, physical activity, and obesity in pregnancy in a multiethnic population. Rationale, methods, study population, and participation rates. AB - BACKGROUND: Gestational diabetes mellitus (GDM) and obesity may cause adverse pregnancy outcomes for mothers and offspring. We have set up a research programme to identify predictors for GDM and fetal growth in a multiethnic population in Oslo to improve the identification of high risk pregnancies and reduce adverse short and long-term outcomes for mothers and offspring. AIMS: To present the rationale, methods, study population and participation rates. METHODS: Population based cohort study of pregnant women attending the Child Health Clinics (CHC) in Groruddalen, Oslo, and their offspring. Questionnaire data, blood pressure, anthropometric measurements, and fasting blood and urine samples are collected (gestational weeks 8-20 and 28, and 12 weeks postpartum) and an oral glucose tolerance test (28 weeks). Physical activity is measured, three ultrasound measurements are performed and paternal questionnaire data collected. Routine hospital data are available for all mothers and offspring. Umbilical venous blood and placentas are collected, sampled, and stored and neonatal anthropometric measurements performed. Ethnicity is self-reported country of birth. RESULTS: 823 women were included, 59% of non-Western origin. The participation rate was 74% (64-83% in main ethnic groups), mean age 29.8 years (95% CI 29.5-30.1) and median parity 1 (inter-quartile range 1). The cohort is representative for women attending the CHC with respect to ethnicity and age. A slight selection towards lower parity (South Asians) and age (Africans) was found. Few were lost to follow up. CONCLUSIONS: Unique information is collected from a representative group of multiethnic women to address important public health problems and mechanisms of disease. Participation rates are high in all ethnic groups. PMID- 21062841 TI - ''Healthism'' and looking good: Body ideals and body practices in Norway. AB - AIMS: This article explores judgemental and disciplining attitudes and ''gazes'' found in magazines and among Norwegian men and women concerning body ideals and body practices. The analysis is informed by Michel Foucault's argument on governmentality and Nikolas Rose's on governing the soul. METHODS: The data consist of 20 qualitative interviews and four selected Norwegian magazines. RESULTS: The analysis shows a corresponding relationship of how magazines depict ideal bodies and how the informants describe good-looking bodies. The interviews also indicate that people who train (ie work out) give more detailed and clear descriptions of good-looking bodies than those who do not train. Body dissatisfaction is present among both men and women, but seems more widespread among women. Body dissatisfaction is understood as a public health issue. CONCLUSIONS: The analysis suggests that the Norwegian men and women in the study are governed by ''healthism'' and dominant body ideals depicted in media discourses concerning description of ideal bodies and their own body practices. In addition, the material shows widespread body dissatisfaction particularly among women, and suggests that this is a health issue and an indication of new forms of patriarchal power governing the thoughts of women and to an increasing degree also men. Having a free choice concerning body practices is thus suggested to be an illusion. PMID- 21062842 TI - The potential of the commonplace: A sociological study of emotions, identity and therapeutic change. AB - AIMS: To explore aspects of treatment clients identify as having had a positive effect on their process of change. METHOD: The first author conducted data collection for one year through both participant observation and interviews. RESULTS: Certain, apparently commonplace, informal interaction situations appear to constitute emotionally moving and identity-constructing contexts that have a significant impact on clients. These are situations in which new, ''straight'' identities can be proffered, tried out and explored. The situations in question seem to move clients, emotionally, mentally and biographically in a positive direction. CONCLUSIONS: The process of change is presented as an upside-down version of the traditional labelling theory: if normal people can be labeled as deviants, deviants can be re-labeled as normal. This study concludes that commonplace interactions are powerful labeling situations. These situations seem at first glance to be trivial, superficial and very common. Still, and perhaps because of their ''smallness'', they are identified as authentic and thereby trustworthy contributors to new narratives of worthy selves. PMID- 21062843 TI - Psychotropic drug use among women exposed to intimate partner violence: A population-based study. AB - AIMS: To investigate psychotropic drug use among women ever exposed to intimate partner violence (IPV) in relation to mental distress and sociodemographic, lifestyle and somatic health characteristics, and to assess whether drug use differed for physical and/or sexual violence compared with psychological abuse alone. METHODS: Cross-sectional data from women aged 30-60 years were drawn from self-reported questionnaires in the Oslo Health study 2000-2001. Women reporting hypnotic, anxiolytic and/or antidepressant drug use in the previous four weeks were defined as users. Differences in psychotropic drug use by IPV exposure were examined by logistic regression analyses. RESULTS: In total, 880 (14%) of 6,471 included women reported ever experiencing IPV; 494 (8%) reported physical and/or sexual IPV, and 386 (6%) reported psychological IPV alone. Physical and/or sexual IPV was significantly associated with use of all psychotropic drugs: hypnotics (odds ratio (OR) 2.28; 95% confidence interval (95% CI), 1.73-3.00); anxiolytics (OR 3.29; 95% CI, 2.43-4.44); and antidepressants (OR 2.72; 95% CI, 1.97-3.76). The associations remained significant for anxiolytics (OR 1.67; 95% CI, 1.14 2.45) and antidepressants (OR 1.50; 95% CI, 1.02-2.19) after adjusting for mental distress, sociodemographic, lifestyle and somatic health characteristics. Psychological IPV alone was associated with use of anxiolytics (OR 1.81; 95% CI, 1.20-2.75) and antidepressants (OR 2.38; 95% CI, 1.64-3.45). After adjustments the association persisted for use of antidepressants only (OR 1.64; 95% CI, 1.05 2.55). CONCLUSIONS: Women exposed to IPV were more likely to report use of psychotropic drugs, even after adjusting for mental distress. The study indicates that exposure to IPV; including psychological abuse should be evaluated as a possible source of distress when psychotropic drug treatment is considered. PMID- 21062844 TI - Families' visits to practitioners of complementary and alternative medicine in a total population (the HUNT studies). AB - AIMS: To investigate characteristics of families with adolescent children who have visited practitioners of complementary and alternative medicine (CAM). METHODS: The Nord-Trondelag Health Studies (HUNT) invited all inhabitants aged 13 years and older to a population-based study. The data of parents and adolescents were merged through the Norwegian family register. A family CAM visitor was a family where either the adolescent or the mother or father had visited a CAM practitioner in the previous year. The data were analyzed using multivariable logistic regression. RESULTS: A total of 7,888 adolescents with mother and/or fathers were included. The prevalence of families visiting CAM practitioners was 19.8%. The odds of a family visiting a CAM practitioner was significantly associated (p < 0.01) with a father with poor self-reported global health (adjusted odds ratio (adjOR) 3.0, 95% confidence interval (95% CI) 1.7-5.3), who exercised (adjOR 1.3, 1.1-1.5) or smoked daily (adjOR 0.7, 0.6-0.8). Family CAM visits were also associated with the mother having a recent health complaint (adjOR 1.4, 1.1-1.7) or having fair global health (adjOR 1.6, 1.2-2.0), or with the adolescent, mother or father having visited a general practitioner during the past year (adolescent adjOR 1.3, 1.2-1.5; mother 1.7, 1.5-2.0; father 1.4, 1.2 1.6). For family visits to a homeopath, the strongest association was the mother having visited a general practitioner (adjOR 1.9, 1.4-2.5). For visits to chiropractors the strongest association was whether the father was currently working (adjOR 2.1, 1.2-3.8). CONCLUSIONS: The factor most strongly associated with families' visits to CAM practitioners was a father who had poor self reported health. PMID- 21062845 TI - Does a variation in self-reported physical activity reflect variation in objectively measured physical activity, resting heart rate, and physical fitness? Results from the Tromso study. AB - AIMS: To study the association between self-reported physical activity (PA) and objectively measured PA, resting heart rate, and physical fitness. METHODS: During 2007-08, 5017 men and 5607 women aged 30-69 years attended the sixth survey of the Tromso study. Self-reported PA during leisure-time and work were assessed and resting heart rate was measured. In a sub-study, the activity study, PA (Actigraph LLC) and physical fitness (VO2(max)) were objectively measured among 313 healthy men and women aged 40-44 years. RESULTS: Self-reported leisure PA was significantly correlated with VO2(max) (ml/kg/min) (women 0.40, p < 0.001, men 0.44 p < 0.001) and moderate-to-vigorous PA (>2000 counts/min) (women 0.28, p < 0.01, men 0.25, p < 0.01). The intra-class correlation coefficient between self reported leisure PA and overall PA (counts/min) measured by accelerometer was 0.62 (95% CI 0.51, 0.71) for women and 0.59 (95% CI 0.47, 0.69) for men, and for VO2(max) the intra-class correlation coefficient was 0.86 (95% CI 0.81, 0.90) for both sexes. Among all participants, an inverse dose-response relationship was observed between self-reported leisure PA and resting heart rate for both men and women (p < 0.0001). More women than men met the international recommendations of 10,000 step counts/day (27% vs. 22%) and the recommendation of at least 30 minutes/day of moderate-to-vigorous intensities (30% vs. 22 %). CONCLUSIONS: The Tromso physical activity questionnaire has acceptable validity and provides valid estimates of high-intensity leisure activity. However, these results underscore the need for collecting objectively PA measurements in large epidemiological studies. PMID- 21062846 TI - Analysing the effect of area of residence over the life course in multilevel epidemiology. AB - BACKGROUND: In this paper we present multilevel models of individuals' residential history at multiple time points through the life course and their application and discuss some advantages and disadvantages for their use in epidemiological studies. METHODS: Literature review of research using longitudinal multilevel models in studies of neighbourhood effects, statistical multilevel models that take individuals' residential history into account, and the application of these models in the Oslo mortality study. RESULTS: Measures of variance have been used to investigate the contextual impact of membership to collectives, such as area of residence, at several time points. The few longitudinal multilevel models that have been used suggest that early life area of residence may have an effect on mortality independently of residence later in life although the proportion of variation attributable to area level is small compared to individual level. The following multilevel models have been developed: simple multilevel models for each year separately, a multiple membership model, a cross-classified model, and finally a correlated cross classified model. These models have different assumptions regarding the timing of influence through the life course. CONCLUSIONS: To fully recognise the origin of adult chronic diseases, factors at all stages of the life course at both individual and area level needs to be considered in order to avoid biased estimates. Important challenges in making life course residential data available for research and assessing how changing administrative coding over time reflect contextual impact need to be overcome before these models can be implemented as normal practice in multilevel epidemiology. PMID- 21062847 TI - Does the southern European cardiovascular mortality advantage extend to total mortality? 50-year trends in death risks between 40 and 70 years of age in Western European men and women. AB - AIMS: To study 50-year mortality trends in men and women of northern and southern Western Europe. METHODS: The World Health Organization mortality data base and multiple decrement life table methods was used to compute all-cause and cause specific risks of middle-age death (40-69 years of age) for northern (Denmark, Finland, Germany, Ireland, Netherlands, Norway, Sweden, and UK) and southern (France, Italy, Spain, and Switzerland) Western European countries. RESULTS: From 1952 to 2001, the risk of a cardiovascular death in middle age was higher in the north compared to the south. The north/ south cardiovascular mortality ratios (MR) peaked in 1990-1993 and were 1.57 among women and 1.47 among men in 2001. In 1952, the all-cause risks of middle-age death were similar in the north and south for both women and men (39% and 27%, respectively). In 2001, middle-age death risks were similar for men in the north and the south (24% and 23%, MR = 1.05), but higher among women in the north compared to the south (14% and 11%, MR = 1.28). Cause-specific death risks demonstrated that the cardiovascular advantage for southern European men was countered by a disadvantage in cancer death risk (2001 north/south cancer MR = 0.85). For northern European women in 2001, there was a disadvantage also in the other major cause-of-death groups: cancer (MR = 1.22) and other diseases (MR = 1.28). CONCLUSIONS: The southern European cardiovascular middle-age mortality advantage extended to total mortality much more for women than for men. We suggest that forces behind this gender difference in this north/south mortality gradient include life style factors. PMID- 21062848 TI - Clinical effectiveness and safety of acupuncture in the treatment of irradiation induced xerostomia in patients with head and neck cancer: a systematic review. AB - BACKGROUND: Irradiation-induced xerostomia seriously reduces quality of life for patients with head and neck cancer (HNC). Anecdotal evidence suggests that acupuncture may be beneficial. OBJECTIVE: To systematically review evidence on clinical effectiveness and safety of acupuncture in irradiation-induced xerostomia in patients with HNC. METHODS: A detailed search was performed to identify randomised controlled trials (RCTs) and systematic reviews of RCTs on acupuncture in irradiation-induced xerostomia, using AMED, BNIA, CINAHL, Cochrane, Embase, HPSI, PsycInfo and Medline. Grey literature was explored and 11 journals hand searched. Search terms included: acupuncture, xerostomia, salivary hypofunction, hyposalivation, dry mouth, radiotherapy, irradiation, brachytherapy, external beam. Two authors independently extracted data for analysis using predefined selection criteria and quality indicators. RESULTS: 43 of the 61 articles identified were excluded on title/abstract. 18 articles underwent full-text review; three were deemed eligible for inclusion. Two trials had moderate risk of bias; one had high risk. Two trials compared acupuncture with sham acupuncture; one control arm received 'usual care'. Outcome measurements included salivary flow rates (SFRs) in two trials and subjective questionnaires in three. All three trials reported significant reduction in xerostomia versus baseline SFR (p<0.05); one reported greater effect in the intervention group for stimulated SFR (p<0.01). Subjective assessment reported significant differences between real acupuncture and control in two trials (p<0.02-0.05). Insufficient evidence was presented to undertake risk/benefit assessment. CONCLUSIONS: Limited evidence suggests that acupuncture is beneficial for irradiation-induced xerostomia. Although current evidence is insufficient to recommend this intervention, it is sufficient to justify further studies. Highlighted methodological limitations must be dealt with. PMID- 21062849 TI - Epidemics of acute renal failure in children (diethylene glycol toxicity). AB - Acute renal failure in children can have a variety of causes. There have been several epidemics of acute renal failure affecting predominantly young children where the cause has been diethylene glycol (DEG) poisoning. These children have presented with gastrointestinal bleeding, seizures and liver failure as well as renal failure. The poisoning has been the result of either contamination of the medicinal products by DEG or the deliberate illegal use of DEG as a solvent. More than 300 children worldwide have died from DEG poisoning. Health professionals need to be aware of the clinical presentation of DEG poisoning as prompt action is likely to save lives by the removal of the contaminated/illegal medicine from pharmacies and shops in the affected area. PMID- 21062850 TI - PADI4 polymorphism predisposes male smokers to rheumatoid arthritis. AB - OBJECTIVE: To elucidate the differential role of peptidyl arginine deiminase 4 (PADI4) polymorphism in rheumatoid arthritis (RA) between Asian and European populations, possible gene-environmental interactions among the PADI4 polymorphism, sex and smoking status were analysed. METHODS: Three independent sets of case-control samples were genotyped for single-nucleotide polymorphisms in PADI4; Japanese samples (first set, 1019 RA patients, 907 controls; second set, 999 RA patients, 1128 controls) using TaqMan assays and Dutch samples (635 RA patients, 391 controls) using Sequenom MassARRAY platform. The association of PADI4 with RA susceptibility was evaluated by smoking status and sex in contingency tables and logistic regression models. RESULTS: In the first set of Japanese samples, PADI4 polymorphism (rs1748033) showed a greater risk in men (OR(allele) 1.39; 95% CI 1.10 to 1.76; p(trend)=0.0054) than in women and in ever smokers (OR(allele) 1.25; 95% CI 1.02 to 1.53; p(trend)=0.032) than in never smokers. Moreover, the highest risk was seen in male ever-smokers (OR(allele) 1.46; 95% CI 1.12 to 1.90; p(trend)=0.0047). Similar trends were observed in the second set of Japanese samples as well as in Dutch samples. CONCLUSION: PADI4 polymorphism highly predisposes male smokers to RA, and the genetic heterogeneity observed between Asian and European populations may be partly explained by differences in smoking prevalence among men. PMID- 21062851 TI - Effects of p38 mitogen-activated protein kinase inhibition on anti-neutrophil cytoplasmic autoantibody pathogenicity in vitro and in vivo. AB - OBJECTIVE: To determine whether inhibition of p38 mitogen-activated protein kinase (p38MAPK) reduces the pathogenicity of anti-neutrophil cytoplasmic autoantibodies (ANCAs) in vitro and in vivo. METHODS: The effects of the p38MAPK specific inhibitor AR-447 were studied in vitro using neutrophil respiratory burst and degranulation assays, and in lipopolysaccharide (LPS)-stimulated human glomerular endothelial cells. In vivo, p38MAPK inhibition was investigated in a mouse anti-myeloperoxidase (MPO) IgG/LPS glomerulonephritis model. Mice were treated orally with AR-447 daily, starting before (pretreatment group) or 24 h after disease onset (treatment group), and killed after 1 or 7 day(s). RESULTS: In vitro, AR-447 diminished neutrophil respiratory burst and degranulation induced by patient-derived MPO-ANCA and proteinase 3 (Pr3)-ANCA. In glomerular endothelial cells, AR-447 reduced LPS-induced secretion of IL-6 and IL-8, but not of MCP-1. In mice, pretreatment with AR-447 reduced albuminuria 1 day after induction of glomerulonephritis. After 7 days, no effects on urinary abnormalities were observed upon AR-447 pretreatment or treatment. Also, glomerular neutrophil accumulation was not diminished. In contrast, glomerular macrophage accumulation and the formation of glomerular crescents was significantly reduced by AR-447 pretreatment (vehicle: 12.5 +/- 5.6% crescentic glomeruli; AR-447: 7.7 +/- 2.7%) and treatment (vehicle 14.6 +/- 1.8%; AR-447 6.0 +/- 3.4%) at 7 days. CONCLUSION: This study shows that p38MAPK inhibition markedly reduces ANCA-induced neutrophil activation in vitro. In vivo, p38MAPK inhibition partly reduced crescent formation when the drug was administered prior to disease induction and after disease onset, suggesting that besides p38MAPK activity other signalling pathways contribute to the disease activity. PMID- 21062852 TI - Effectiveness of switching between TNF inhibitors in ankylosing spondylitis: data from the NOR-DMARD register. AB - OBJECTIVE: To assess the effectiveness of switching to a second tumour necrosis factor inhibitor (TNFi) in patients with ankylosing spondylitis (AS). METHODS: Data were extracted from an ongoing longitudinal observational multicentre study in Norway. This study included anti-TNF naive patients with AS starting treatment with a TNFi as well as treatment with a second TNFi in these same patients. Effectiveness data and 2-year drug survival were compared between switchers and non-switchers and within switchers (first and second TNFi). RESULTS: 514 anti-TNF naive patients with AS were included; 77 patients switched to a second TNFi while 437 patients did not switch. The percentages of non-switchers using etanercept, infliximab or adalimumab were 53%, 32% and 15%, and the percentages of first and second TNFi in the switchers were 42%, 53% and 5% and 40%, 23% and 36%, respectively. The reason for switching was insufficient response (IR) in 30, adverse events (AEs) in 44 and not reported in 3 patients. Baseline disease activity was similar between the groups. Three-month BASDAI 50 and ASAS 40 responses were achieved by 49% and 38% of non-switchers, by 25% and 30% of switchers after the first TNFi and by 28% and 31% after the second TNFi. The 3 month disease activity level was higher for switchers on the second TNFi than for non-switchers. Drug withdrawal rate was higher during the second TNFi among switchers than for non-switchers (p=0.001). No difference was found in the effectiveness of the second TNFi between switchers due to IR and AE. CONCLUSION: This study confirms that switching to a second TNFi can be effective in AS and can be as useful as in rheumatoid arthritis, although overall effectiveness seems to be somewhat lower than in non-switchers. PMID- 21062853 TI - The extent of the anti-citrullinated protein antibody repertoire is associated with arthritis development in patients with seropositive arthralgia. AB - OBJECTIVES: To determine the fine specificity of anti-citrullinated protein antibodies (ACPA) in the early phase of arthritis development, the ACPA repertoire in arthralgia patients and the association with arthritis development were studied. METHODS: A total of 244 patients with arthralgia positive for anti cyclic citrullinated peptide antibodies (aCCPs) and/or IgM rheumatoid factor (IgM RF), without arthritis were included. Development of arthritis was defined as presence of one or more swollen joints at clinical examination during follow-up. Sera were tested at baseline for reactivity to five citrullinated peptides derived from fibrinogen (three), vimentin (one) and alpha-enolase (one) and five corresponding arginine peptides in an ELISA. RESULTS: In all, 69 patients (28%) developed arthritis in a median of 3 joints after a median follow-up of 11 (IQR 5 20) months. Reactivity to each peptide was significantly associated with arthritis development (p<0.001). The ACPA repertoire did not differ between patients who did or did not develop arthritis. Among aCCP-positive patients, patients recognising two or more additional citrullinated peptides developed arthritis more often (p=0.04). The number of recognised peptides was positively associated with the aCCP level (p<0.001). Crossreactivity between different peptides was minimal. CONCLUSIONS: Arthritis development is not associated with recognition of a specific citrullinated peptide once joint complaints are present. The ACPA repertoire in some patients with arthralgia is expanded. High aCCP levels are associated with a qualitatively broad ACPA repertoire. Patients with an extended ACPA repertoire have a higher risk of developing arthritis. PMID- 21062855 TI - Futures planning, parental expectations and sibling concern for people who have a learning disability. AB - A questionnaire was e-mailed to 200 siblings on the Sibs database to explore future plans, parental expectations and sibling concerns regarding people who have a learning disability; 21 questionnaires were returned. A full discussion regarding sibling support was reported by 12 (57%) of respondents; 7 (33%) stated this discussion had not taken place, and 2 (9%) were unsure. Twelve (57%) of participants reported no clear future plan; where a plan did exist, seven (33%) of respondents claimed it was fully agreeable to both them and their parents. Eleven (52%) of respondents reported no difference between their wishes regarding their future role and parental wishes. Key themes generated were: satisfaction with services, parental influence, sibling concern about the future, futures planning, the impact of the disabled person upon sibling lives, and siblings needs. Further qualitative exploration into issues for siblings of adults who have a learning disability is required. PMID- 21062856 TI - Workforce development and challenging behaviour: training staff to treat, to manage or to cope? AB - Staff working directly with adults' challenging behaviours in learning disability services need to be very good at what they do. They also need to want to do the job. A theory-practice gap exists, however, between what is known about effective, evidence-based approaches and whether and how these are used in person centred community services. Many frontline staff working with people with the most serious challenging behaviours do not have the skills to implement programmes to change behaviour. This discussion article reviews workforce development in the context of clinical and service guidelines and asks whether the legitimate purview of frontline staff is treating challenging behaviour, managing it or simply coping with it on a daily basis, whilst maintaining the best quality of life possible for service users. PMID- 21062857 TI - Exploring decision making in intellectual disability nursing practice: a qualitative study. AB - Due to the dearth of relevant research, intellectual disability nurses may have difficulty identifying sources of evidence on which they can base their clinical decisions. The aim of the present research was to explore how intellectual disability nurses make decisions and how their decisions are influenced by evidence. The method was guided by interpretative phenomenology and the analysis adopted an idiographic approach. Twelve National Health Service intellectual disability nurses in Wales participated in semi-structured interviews. Four key themes were identified: getting to know the person; working as a team; evidence to support decision making; and understanding of evidence-based practice. In the context of the myriad of other professionals involved in caregiving, the nurses conveyed a commitment towards ensuring that the person with intellectual disability is at the centre of decision making. Although using empirical evidence to support practice was acknowledged, these nurses considered person centred decision making to be paramount. PMID- 21062858 TI - A study to define: profound and multiple learning disabilities (PMLD). AB - This study aimed to define the term 'profound and multiple learning disabilities' (PMLD). A shared understanding of terminology or diagnostic terms describing groups of individuals is important for the purposes of strategic development, service planning, and the provision and equity of service delivery. A literature review provided different definitions and meanings associated with the term. The meaning attributed to the definitions was explored in focus groups and individual interviews (face to face and telephone) with service managers, commissioners, practitioners, frontline healthcare staff and family carers who provide services, support and care for people with PMLD. Further iterative discussions were held with a smaller group over the precise wording of the chosen definition to ensure there was a shared and common understanding. Personal characteristics for the purpose of this study are defined as diagnoses, disabilities, impairments, activity restrictions and other characteristics which represent a person with PMLD. PMID- 21062859 TI - Unlicensed applications of licensed psychotropic drugs in an intellectual disability clinical service: retrospective case-note study. AB - Prescribing of psychotropic drugs for unlicensed indications is common in inpatients with intellectual disability, but the frequency in the community is uncertain. This study examined the medical records of all patients undergoing review within a single service led by a consultant psychiatrist. The notes of 114 individuals with varying degrees of disability and independence were available. In 78 percent of individuals the cause of disability was uncertain; 72, 69 and 78 percent had mental health problems, physical ill-health and behavioural challenges respectively; and 66 percent received licensed drugs for unlicensed applications, principally for aggression, risperidone being the drug most prescribed. There were no significant differences between groups with mild, moderate or severe disability in the proportion receiving licensed and unlicensed prescriptions. Unlicensed prescribing was similarly common for patients living in different settings. Prescribing for unlicensed applications in patients with intellectual disability is common, regardless of degree of disability or place of residence. PMID- 21062860 TI - Sry: the master switch in mammalian sex determination. AB - SRY, the mammalian Y-chromosomal testis-determining gene, induces male sex determination. Recent studies in mice reveal that the major role of SRY is to achieve sufficient expression of the related gene Sox9, in order to induce Sertoli cell differentiation, which in turn drives testis formation. Here, we discuss the cascade of events triggered by SRY and the mechanisms that reinforce the differentiation of the testes in males while actively inhibiting ovarian development. PMID- 21062861 TI - Characterisation of a new regulator of BDNF signalling, Sprouty3, involved in axonal morphogenesis in vivo. AB - During development, many organs, including the kidney, lung and mammary gland, need to branch in a regulated manner to be functional. Multicellular branching involves changes in cell shape, proliferation and migration. Axonal branching, however, is a unicellular process that is mediated by changes in cell shape alone and as such appears very different to multicellular branching. Sprouty (Spry) family members are well-characterised negative regulators of Receptor tyrosine kinase (RTK) signalling. Knockout of Spry1, 2 and 4 in mouse result in branching defects in different organs, indicating an important role of RTK signalling in controlling branching pattern. We report here that Spry3, a previously uncharacterised member of the Spry family plays a role in axonal branching. We found that spry3 is expressed specifically in the trigeminal nerve and in spinal motor and sensory neurons in a Brain-derived neurotrophin factor (BDNF)-dependent manner. Knockdown of Spry3 expression causes an excess of axonal branching in spinal cord motoneurons in vivo. Furthermore, Spry3 inhibits the ability of BDNF to induce filopodia in Xenopus spinal cord neurons. Biochemically, we show that Spry3 represses calcium release downstream of BDNF signalling. Altogether, we have found that Spry3 plays an important role in the regulation of axonal branching of motoneurons in vivo, raising the possibility of unexpected conservation in the involvement of intracellular regulators of RTK signalling in multicellular and unicellular branching. PMID- 21062862 TI - A homeodomain feedback circuit underlies step-function interpretation of a Shh morphogen gradient during ventral neural patterning. AB - The deployment of morphogen gradients is a core strategy to establish cell diversity in developing tissues, but little is known about how small differences in the concentration of extracellular signals are translated into robust patterning output in responding cells. We have examined the activity of homeodomain proteins, which are presumed to operate downstream of graded Shh signaling in neural patterning, and describe a feedback circuit between the Shh pathway and homeodomain transcription factors that establishes non-graded regulation of Shh signaling activity. Nkx2 proteins intrinsically strengthen Shh responses in a feed-forward amplification and are required for ventral floor plate and p3 progenitor fates. Conversely, Pax6 has an opposing function to antagonize Shh signaling, which provides intrinsic resistance to Shh responses and is important to constrain the inductive capacity of the Shh gradient over time. Our data further suggest that patterning of floor plate cells and p3 progenitors is gated by a temporal switch in neuronal potential, rather than by different Shh concentrations. These data establish that dynamic, non-graded changes in responding cells are essential for Shh morphogen interpretation, and provide a rationale to explain mechanistically the phenomenon of cellular memory of morphogen exposure. PMID- 21062864 TI - Prohibitin1 acts as a neural crest specifier in Xenopus development by repressing the transcription factor E2F1. AB - Prohibitin 1 (phb1), which was initially described as an inhibitor of cell proliferation, is a highly conserved protein found in multiple cellular compartments. In the nucleus it interacts with the transcriptional regulators Rb and E2F1 and controls cell proliferation and apoptosis. Here we unravel an unexpected novel function for phb1 in Xenopus cranial neural crest (CNC) development. Xphb1 is maternally expressed; zygotically expressed neurula stage transcripts accumulate in the CNC and the neural tube. Knockdown of Xphb1 by antisense morpholino injection results in the loss of foxD3, snail2 and twist expression, whereas expression of c-myc, AP-2 and snail1 remains unaffected. Xphb2, its closest relative, cannot substitute for Xphb1, underlining the specificity of Xphb1 function. Epistatic analyses place Xphb1 downstream of c-myc and upstream of foxD3, snail2 and twist. To elucidate which subdomain in Xphb1 is required for neural crest gene regulation we generated deletion mutants and tested their rescue ability in Xphb1 morphants. The E2F1-binding domain was found to be necessary for Xphb1 function in neural crest development. Gain- and loss-of function experiments reveal that Xphb1 represses E2F1 activity; suppression of E2F1 through Xphb1 is required for twist, snail2 and foxD3 expression in the CNC. With the Xphb1 dependency of a subset of CNC specifiers downstream of c-myc, we have identified a new branching point in the neural crest gene regulatory network. PMID- 21062863 TI - Jagged1 in the portal vein mesenchyme regulates intrahepatic bile duct development: insights into Alagille syndrome. AB - Mutations in the human Notch ligand jagged 1 (JAG1) result in a multi-system disorder called Alagille syndrome (AGS). AGS is chiefly characterized by a paucity of intrahepatic bile ducts (IHBD), but also includes cardiac, ocular, skeletal, craniofacial and renal defects. The disease penetration and severity of the affected organs can vary significantly and the molecular basis for this broad spectrum of pathology is unclear. Here, we report that Jag1 inactivation in the portal vein mesenchyme (PVM), but not in the endothelium of mice, leads to the hepatic defects associated with AGS. Loss of Jag1 expression in SM22alpha positive cells of the PVM leads to defective bile duct development beyond the initial formation of the ductal plate. Cytokeratin 19-positive cells are detected surrounding the portal vein, yet they are unable to form biliary tubes, revealing an instructive role of the vasculature in liver development. These findings uncover the cellular basis for the defining feature of AGS, identify mesenchymal Jag1-dependent and -independent stages of duct development, and provide mechanistic information for the role of Jag1 in IHBD formation. PMID- 21062865 TI - Disruption of an N-acetyltransferase gene in the silkworm reveals a novel role in pigmentation. AB - The pigmentation of insects has served as an excellent model for the study of morphological trait evolution and developmental biology. The melanism (mln) mutant of the silkworm Bombyx mori is notable for its strong black coloration, phenotypic differences between larval and adult stages, and its widespread use in strain selection. Here, we report the genetic and molecular bases for the formation of the mln morphological trait. Fine mapping revealed that an arylalkylamine N-acetyltransferase (AANAT) gene co-segregates with the black coloration patterns. Coding sequence variations and expression profiles of AANAT are also associated with the melanic phenotypes. A 126 bp deletion in the mln genome causes two alternatively spliced transcripts with premature terminations. An enzymatic assay demonstrated the absolute loss of AANAT activity in the mutant proteins. We also performed RNA interference of AANAT in wild-type pupae and observed a significant proportion of adults with ectopic black coloration. These findings indicate that functional deletion of this AANAT gene accounts for the mln mutation in silkworm. AANAT is also involved in a parallel melanin synthesis pathway in which ebony plays a role, whereas no pigmentation defect has been reported in the Drosophila model or in other insects to date. To the best of our knowledge, the mln mutation is the first characterized mutant phenotype of insects with AANAT, and this result contributes to our understanding of dopamine metabolism and melanin pattern polymorphisms. PMID- 21062866 TI - When whorls collide: the development of hair patterns in frizzled 6 mutant mice. AB - Surface appendages such as bristles, feathers and hairs exhibit both long- and short-range order. In the frizzled 6 null (Fz6(-/-)) mouse the orientations of the earliest born hair follicles are uncorrelated, but over time the follicles reorient to create patterns that are characterized by a high degree of local order. By quantifying follicle orientations over time, in both living and fixed tissues, we define the time course of local hair follicle refinement and the resulting evolution of a montage of competing patterns in Fz6(-/-) skin. We observe an apparently local process that within one week can organize a field of many tens of thousands of follicles, generating long-range order that extends over distances of more than one centimeter. Physical systems that undergo an analogous ordering of vector components suggest potential mechanisms that might apply to the patterning of hair follicles and related biological structures. PMID- 21062868 TI - Cyclic electron flow plays an important role in photoprotection of tropical trees illuminated at temporal chilling temperature. AB - Our previous study indicated that PSII is more sensitive to chilling and light stress than PSI in tropical trees, and Erythrophleum guineense is more sensitive to chilling stress than Dalbergia odorifera and Khaya ivorensis, but the underlying physiological mechanisms are unclear. Although recent studies have reported that cyclic electron flow (CEF) plays an important role in photoprotection, the role of CEF in protecting PSI and PSII of tropical tree species remains unclear. We investigated the effect of temporal chilling temperature on energy distribution in PSII, the redox state of P700 and CEF in the above-mentioned tropical evergreen tree species grown in an open field. Our results indicated that the overclosure of PSII reaction centers at chilling temperature led to excess excitation pressure in PSII. At the temporal chilling temperature under low light, PSI acceptor side limitation [Y(NA)] was lower than those at 25 degrees C for all species. Although the effective quantum yield of CEF [Y(CEF)] was not significantly stimulated in E. guineense and K. ivorensis under temporal chilling at low light levels, the ratio of Y(CEF) to the effective quantum yield of PSII [Y(II)] significantly increased. Under chilling conditions Y(CEF)/Y(II) was stimulated much more in K. ivorensis and D. odorifera compared with that in the chilling-sensitive E. guineense. These results suggested that stimulation of Y(CEF)/Y(II) plays an important role in protecting PSI and PSII from photoinhibition caused by chilling stress. PMID- 21062867 TI - Cdc42 and Gsk3 modulate the dynamics of radial glial growth, inter-radial glial interactions and polarity in the developing cerebral cortex. AB - Polarized radial glia are crucial to the formation of the cerebral cortex. They serve as neural progenitors and as guides for neuronal placement in the developing cerebral cortex. The maintenance of polarized morphology is essential for radial glial functions, but the extent to which the polarized radial glial scaffold is static or dynamic during corticogenesis remains an open question. The developmental dynamics of radial glial morphology, inter-radial glial interactions during corticogenesis, and the role of the cell polarity complexes in these activities remain undefined. Here, using real-time imaging of cohorts of mouse radial glia cells, we show that the radial glial scaffold, upon which the cortex is constructed, is highly dynamic. Radial glial cells within the scaffold constantly interact with one another. These interactions are mediated by growth cone-like endfeet and filopodia-like protrusions. Polarized expression of the cell polarity regulator Cdc42 in radial glia regulates glial endfeet activities and inter-radial glial interactions. Furthermore, appropriate regulation of Gsk3 activity is required to maintain the overall polarity of the radial glia scaffold. These findings reveal dynamism and interactions among radial glia that appear to be crucial contributors to the formation of the cerebral cortex. Related cell polarity determinants (Cdc42, Gsk3) differentially influence radial glial activities within the evolving radial glia scaffold to coordinate the formation of cerebral cortex. PMID- 21062869 TI - Complex regulation of two target genes encoding SPX-MFS proteins by rice miR827 in response to phosphate starvation. AB - Here we report on the characterization of rice osa-miR827 and its two target genes, OsSPX-MFS1 and OsSPX-MFS2, which encode SPX-MFS proteins predicted to be implicated in phosphate (Pi) sensing or transport. We first show by Northern blot analysis that osa-miR827 is strongly induced by Pi starvation in both shoots and roots. Hybridization of osa-miR827 in situ confirms its strong induction by Pi starvation, with signals concentrated in mesophyll, epidermis and ground tissues of roots. In parallel, we analyzed the responses of the two OsSPX-MFS1 and OsSPX MFS2 gene targets to Pi starvation. OsSPX-MFS1 mRNA is mainly expressed in shoots under sufficient Pi supply while its expression is reduced on Pi starvation, revealing a direct relationship between induction of osa-miR827 and down regulation of OsSPX-MFS1. In contrast, OsSPX-MFS2 responds in a diametrically opposed manner to Pi starvation. The accumulation of OsSPX-MFS2 mRNA is dramatically enhanced under Pi starvation, suggesting the involvement of complex regulation of osa-miR827 and its two target genes. We further produced transgenic rice lines overexpressing osa-miR827 and T-DNA knockout mutant lines in which the expression of osa-miR827 is abolished. Compared with wild-type controls, both target mRNAs exhibit similar changes, their expression being reduced and increased in overexpressing and knockout lines, respectively. This suggests that OsSPX-MFS1 and OsSPX-MFS2 are both negatively regulated by osa-miR827 abundance although they respond differently to external Pi conditions. We propose that this is a complex mechanism comprising fine tuning of spatial or temporal regulation of both targets by osa-miR827. PMID- 21062870 TI - Rice expression atlas in reproductive development. AB - Gene expression throughout the reproductive process in rice (Oryza sativa) beginning with primordia development through pollination/fertilization to zygote formation was analyzed. We analyzed 25 stages/organs of rice reproductive development including early microsporogenesis stages with 57,381 probe sets, and identified around 26,000 expressed probe sets in each stage. Fine dissection of 25 reproductive stages/organs combined with detailed microarray profiling revealed dramatic, coordinated and finely tuned changes in gene expression. A decrease in expressed genes in the pollen maturation process was observed in a similar way with Arabidopsis and maize. An almost equal number of ab initio predicted genes and cloned genes which appeared or disappeared coordinated with developmental stage progression. A large number of organ-/stage-specific genes were identified; notably 2,593 probe sets for developing anther, including 932 probe sets corresponding to ab initio predicted genes. Analysis of cell cycle related genes revealed that several cyclin-dependent kinases (CDKs), cyclins and components of SCF E3 ubiquitin ligase complexes were expressed specifically in reproductive organs. Cell wall biosynthesis or degradation protein genes and transcription factor genes expressed specifically in reproductive stages were also newly identified. Rice genes homologous to reproduction-related genes in other plants showed expression profiles both consistent and inconsistent with their predicted functions. The rice reproductive expression atlas is likely to be the most extensive and most comprehensive data set available, indispensable for unraveling functions of many specific genes in plant reproductive processes that have not yet been thoroughly analyzed. PMID- 21062871 TI - O-carboxyl- and N-methyltransferases active on plant aquaporins. AB - Methylation of biologically active molecules is achieved by methyltransferases (MTases). MTases can act on proteins through N- or O-carboxylmethylation reactions. Methylation of lysine and glutamic acid residues was recently described on the N-terminal tail of AtPIP2;1, a plasma membrane aquaporin of plants. In this study, we combine a bioinformatic and a biochemical screen and identify two MTases of Arabidopsis thaliana, SDG7 (At2g44150) and OMTF3 (At3g61990), as acting on the N-terminal tail of AtPIP2;1, at Lys3 and Glu6, respectively. Confocal microscopy imaging showed the two enzymes to be associated with the endoplasmic reticulum. An in vitro assay using various AtPIP2;1 N terminal peptides as a bait allowed characterization of the enzymatic properties of recombinant SDG7 and OMTF3. The two enzymes showed minimal apparent K(m) values for their substrates, S-adenosylmethionine and peptide, in the range of 5 8 and 2-9 MUM, respectively. SDG7 was shown to almost exclusively mono- or di methylate Lys3. In contrast, OMTF3 specifically methylated Glu6, this methylation being dependent on the methylation profile of the neighboring Lys3 residue. In conclusion, this study allows the characterization of the first MTases able to methylate plant transmembrane proteins and provides the first identification of a glutamate-MTase in eukaryotes. PMID- 21062874 TI - Avoidance of endobronchial intubation. PMID- 21062875 TI - Endobronchial intubation detected by insertion depth of endotracheal tube, bilateral auscultation, or observation of chest movements: randomised trial. AB - OBJECTIVE: To determine which bedside method of detecting inadvertent endobronchial intubation in adults has the highest sensitivity and specificity. DESIGN: Prospective randomised blinded study. SETTING: Department of anaesthesia in tertiary academic hospital. PARTICIPANTS: 160 consecutive patients (American Society of Anesthesiologists category I or II) aged 19-75 scheduled for elective gynaecological or urological surgery. INTERVENTIONS: Patients were randomly assigned to eight study groups. In four groups, an endotracheal tube was fibreoptically positioned 2.5-4.0 cm above the carina, whereas in the other four groups the tube was positioned in the right mainstem bronchus. The four groups differed in the bedside test used to verify the position of the endotracheal tube. To determine whether the tube was properly positioned in the trachea, in each patient first year residents and experienced anaesthetists were randomly assigned to independently perform bilateral auscultation of the chest (auscultation); observation and palpation of symmetrical chest movements (observation); estimation of the position of the tube by the insertion depth (tube depth); or a combination of all three (all three). MAIN OUTCOME MEASURES: Correct and incorrect judgments of endotracheal tube position. RESULTS: 160 patients underwent 320 observations by experienced and inexperienced anaesthetists. First year residents missed endobronchial intubation by auscultation in 55% of cases and performed significantly worse than experienced anaesthetists with this bedside test (odds ratio 10.0, 95% confidence interval 1.4 to 434). With a sensitivity of 88% (95% confidence interval 75% to 100%) and 100%, respectively, tube depth and the three tests combined were significantly more sensitive for detecting endobronchial intubation than auscultation (65%, 49% to 81%) or observation(43%, 25% to 60%) (P<0.001). The four tested methods had the same specificity for ruling out endobronchial intubation (that is, confirming correct tracheal intubation). The average correct tube insertion depth was 21 cm in women and 23 cm in men. By inserting the tube to these distances, however, the distal tip of the tube was less than 2.5 cm away from the carina (the recommended safety distance, to prevent inadvertent endobronchial intubation with changes in the position of the head in intubated patients) in 20% (24/118) of women and 18% (7/42) of men. Therefore optimal tube insertion depth was considered to be 20 cm in women and 22 cm in men. CONCLUSION: Less experienced clinicians should rely more on tube insertion depth than on auscultation to detect inadvertent endobronchial intubation. But even experienced physicians will benefit from inserting tubes to 20-21 cm in women and 22-23 cm in men, especially when high ambient noise precludes accurate auscultation (such as in emergency situations or helicopter transport). The highest sensitivity and specificity for ruling out endobronchial intubation, however, is achieved by combining tube depth, auscultation of the lungs, and observation of symmetrical chest movements. TRIAL REGISTRATION: NCT01232166. PMID- 21062876 TI - Journals and consent forms. Policy needs to be revisited. PMID- 21062877 TI - NHS reforms. Author? Author? PMID- 21062878 TI - NHS reforms. New ways to harm patients. PMID- 21062879 TI - NHS reforms. New ways to harm doctors. PMID- 21062881 TI - Chilean miners. Let's hope doctor is misquoted. PMID- 21062882 TI - Data openness. Don't forget preclinical science. PMID- 21062883 TI - Data openness. Maintaining trust. PMID- 21062884 TI - Glucosamine and osteoarthritis. Effect size is encouraging. PMID- 21062885 TI - Glucosamine and osteoarthritis. Prescribed regimen is effective. PMID- 21062886 TI - Glucosamine and osteoarthritis. Conclusions not supported by methods and results. PMID- 21062889 TI - Osteosclerosis in two brothers with autosomal dominant pseudohypoparathyroidism type 1b: bone histomorphometric analysis. AB - OBJECTIVE: Pseudohypoparathyroidism (PHP) is a heterogeneous disorder characterized by hypocalcemia and hyperphosphatemia resulting from selective renal resistance to parathyroid hormone (PTH). One autosomal dominant form of PHP type 1b (PHP-Ib) is most frequently caused by a maternally inherited 3-kb deletion within STX16, the gene encoding syntaxin 16. To date, increased bone mineral density (BMD) has been described only in PHP type 1a, and there is a lack of detailed information on bone histomorphometry in PHP-Ib. The objective of this report was to present trans-iliac static and dynamic histomorphometry in two brothers with the 3-kb deletion in the STX16 region and elevated BMD. DESIGN: Observational study of two brothers (age 18.0 and 22.7 years) with the 3-kb STX16 deletion and increased BMD. RESULTS: The brothers had elevated PTH (146 pg/ml (15.6 pmol/l) and 102 pg/ml (10.9 pmol/l); normal: 10-64 pg/ml (1.1-6.8 pmol/l)) and striking osteosclerosis (lumbar spine areal BMD Z-scores: +5.4 and +4.9). Bone histomorphometry showed marked elevations in cortical width for both brothers (241 and 209% of the mean result expected for age), with elevations in the bone formation rate on the endocortical (119 and 260% of the healthy mean) and trabecular (220 and 190% of mean) surfaces. CONCLUSION: Our findings suggest that PTH in this PHP-Ib genotype can increase cortical thickness due to its anabolic effect on endocortical bone, and underscore the heterogeneity in the skeletal phenotype among patients with PHP-Ib. PMID- 21062890 TI - Genetic variation in biomass traits among 20 diverse rice varieties. AB - Biofuels provide a promising route of producing energy while reducing reliance on petroleum. Developing sustainable liquid fuel production from cellulosic feedstock is a major challenge and will require significant breeding efforts to maximize plant biomass production. Our approach to elucidating genes and genetic pathways that can be targeted for improving biomass production is to exploit the combination of genomic tools and genetic diversity in rice (Oryza sativa). In this study, we analyzed a diverse set of 20 recently resequenced rice varieties for variation in biomass traits at several different developmental stages. The traits included plant size and architecture, aboveground biomass, and underlying physiological processes. We found significant genetic variation among the 20 lines in all morphological and physiological traits. Although heritability estimates were significant for all traits, heritabilities were higher in traits relating to plant size and architecture than for physiological traits. Trait variation was largely explained by variety and breeding history (advanced versus landrace) but not by varietal groupings (indica, japonica, and aus). In the context of cellulosic biofuels development, cell wall composition varied significantly among varieties. Surprisingly, photosynthetic rates among the varieties were inversely correlated with biomass accumulation. Examining these data in an evolutionary context reveals that rice varieties have achieved high biomass production via independent developmental and physiological pathways, suggesting that there are multiple targets for biomass improvement. Future efforts to identify loci and networks underlying this functional variation will facilitate the improvement of biomass traits in other grasses being developed as energy crops. PMID- 21062891 TI - Structural model of the p14/SF3b155 . branch duplex complex. AB - Human p14 (SF3b14), a component of the spliceosomal U2 snRNP, interacts directly with the pre-mRNA branch adenosine within the context of the bulged duplex formed between the pre-mRNA branch region and U2 snRNA. This association occurs early in spliceosome assembly and persists within the fully assembled spliceosome. Analysis of the crystal structure of a complex containing p14 and a peptide derived from p14-associated SF3b155 combined with the results of cross-linking studies has suggested that the branch nucleotide interacts with a pocket on a non canonical RNA binding surface formed by the complex. Here we report a structural model of the p14 . bulged duplex interaction based on a combination of X-ray crystallography of an adenine p14/SF3b155 peptide complex, biochemical comparison of a panel of disulfide cross-linked protein-RNA complexes, and small-angle X-ray scattering (SAXS). These studies reveal specific recognition of the branch adenosine within the p14 pocket and establish the orientation of the bulged duplex RNA bound on the protein surface. The intimate association of one surface of the bulged duplex with the p14/SF3b155 peptide complex described by this model buries the branch nucleotide at the interface and suggests that p14 . duplex interaction must be disrupted before the first step of splicing. PMID- 21062892 TI - Linking multivesicular bodies to resistance against fungal invasion. PMID- 21062893 TI - The FtsH protease heterocomplex in Arabidopsis: dispensability of type-B protease activity for proper chloroplast development. AB - FtsH is an ATP-dependent metalloprotease present as a hexameric heterocomplex in thylakoid membranes. Encoded in the Arabidopsis thaliana YELLOW VARIEGATED2 (VAR2) locus, FtsH2 is one isoform among major Type A (FtsH1/5) and Type B (FtsH2/8) isomers. Mutants lacking FtsH2 (var2) and FtsH5 (var1) are characterized by a typical leaf-variegated phenotype. The functional importance of the catalytic center (comprised by the zinc binding domain) in FtsH2 was assessed in this study by generating transgenic plants that ectopically expressed FtsH2(488), a proteolytically inactive version of FtsH2. The resulting amino acid substitution inhibited FtsH protease activity in vivo when introduced into Escherichia coli FtsH. By contrast, expression of FtsH2(488) rescued not only leaf variegation in var2 but also seedling lethality in var2 ftsh8, suggesting that the protease activity of Type B isomers is completely dispensable, which implies that the chloroplastic FtsH complex has protease sites in excess and that they act redundantly rather than coordinately. However, expression of FtsH2(488) did not fully rescue leaf variegation in var1 var2 because the overall FtsH levels were reduced under this background. Applying an inducible promoter to our complementation analysis revealed that rescue of leaf variegation indeed depends on the overall amount of FtsH. Our results elucidate protein activity and its amount as important factors for the function of FtsH heterocomplexes that are composed of multiple isoforms in the thylakoid membrane. PMID- 21062894 TI - The Rab GTPase Ypt7 is linked to retromer-mediated receptor recycling and fusion at the yeast late endosome. AB - Organelles of the endomembrane system need to counterbalance fission and fusion events to maintain their surface-to-volume ratio. At the late mammalian endosome, the Rab GTPase Rab7 is a major regulator of fusion, whereas the homologous yeast protein Ypt7 seems to be restricted to the vacuole surface. Here, we present evidence that Ypt7 is recruited to and acts on late endosomes, where it affects multiple trafficking reactions. We show that overexpression of Ypt7 results in expansion and massive invagination of the vacuolar membrane, which requires cycling of Ypt7 between GDP- and GTP-bound states. Invaginations are blocked by ESCRT, CORVET and retromer mutants, but not by autophagy or AP-3 mutants. We also show that Ypt7-GTP specifically binds to the retromer cargo-recognition subcomplex, which--like its cargo Vps10--is found on the vacuole upon Ypt7 overproduction. Our data suggest that Ypt7 functions at the late endosome to coordinate retromer-mediated recycling with the fusion of late endosomes with vacuoles. PMID- 21062895 TI - Agonist-activated Ca2+ influx occurs at stable plasma membrane and endoplasmic reticulum junctions. AB - Junctate is a 33 kDa integral protein of sarco(endo)plasmic reticulum membranes that forms a macromolecular complex with inositol 1,4,5-trisphosphate [Ins(1,4,5)P(3)] receptors and TRPC3 channels. TIRF microscopy shows that junctate enhances the number of fluorescent puncta on the plasma membrane. The size and distribution of these puncta are not affected by the addition of agonists that mobilize Ca(2+) from Ins(1,4,5)P(3)-sensitive stores. Puncta are associated with a significantly larger number of peripheral junctions between endoplasmic reticulum and plasma membrane, which are further enhanced upon stable co-expression of junctate and TRPC3. The gap between the membranes of peripheral junctions is bridged by regularly spaced electron-dense structures of 10 nm. Ins(1,4,5)P(3) inhibits the interaction of the cytoplasmic N-terminus of junctate with the ligand-binding domain of the Ins(1,4,5)P(3) receptor. Furthermore, Ca(2+) influx evoked by activation of Ins(1,4,5)P(3) receptors is increased where puncta are located. We conclude that stable peripheral junctions between the plasma membrane and endoplasmic reticulum are the anatomical sites of agonist activated Ca(2+) entry. PMID- 21062896 TI - MT1-MMP regulates VEGF-A expression through a complex with VEGFR-2 and Src. AB - Membrane-type-1 matrix metalloproteinase (MT1-MMP) is a zinc-dependent type-I transmembrane metalloproteinase involved in pericellular proteolysis, migration and invasion, with elevated levels correlating with a poor prognosis in cancer. MT1-MMP-mediated transcriptional regulation of genes in cancer cells can contribute to tumour growth, although this is poorly understood at a mechanistic level. In this study, we investigated the mechanism by which MT1-MMP regulates the expression of VEGF-A in breast cancer cells. We discovered that MT1-MMP regulates VEGFR-2 cell surface localisation and forms a complex with VEGFR-2 and Src that is dependent on the MT1-MMP hemopexin domain and independent of its catalytic activity. Although the localisation of VEGFR-2 was independent of the catalytic and intracellular domain of MT1-MMP, intracellular signalling dependent on VEGFR-2 activity leading to VEGF-A transcription still required the MT1-MMP catalytic and intracellular domain, including residues Y573, C574 and DKV582. However, there was redundancy in the function of the catalytic activity of MT1 MMP, as this could be substituted with MMP-2 or MMP-7 in cells expressing inactive MT1-MMP. The signalling cascade dependent on the MT1-MMP-VEGFR-2-Src complex activated Akt and mTOR, ultimately leading to increased VEGF-A transcription. PMID- 21062897 TI - Ethanol sensitizes mitochondria to the permeability transition by inhibiting deacetylation of cyclophilin-D mediated by sirtuin-3. AB - Ethanol increases the vulnerability of mitochondria to induction of the mitochondrial permeability transition (MPT). Cyclophilin-D activity enhances the potential for the permeability transition pore (PTP) to open. In the present study, we demonstrate that ethanol and its metabolism sensitize the PTP to opening, in part by increasing the acetylation and activity of cyclophilin-D. This effect of ethanol is mediated by inhibiting the activity of sirtuin-3, an NAD(+) dependent deacetylase that is localized to the mitochondrial matrix. The ethanol-enhanced acetylation of cyclophilin-D also increases the interaction of cyclophilin-D with the adenine nucleotide translocator-1 (ANT-1) and is dependent on ethanol metabolism. Moreover, activation of AMPK, a known positive modulator of sirtuin activity, prevented the ethanol-induced suppression of sirtuin-3 activity and the attendant increase of cyclophilin-D acetylation, activity and association with ANT-1. Additionally, AMPK reactivation of sirtuin-3 prevented the sensitization to the MPT and the enhancement of cell killing by TNF in cells exposed to ethanol. PMID- 21062898 TI - TNFalpha-induced and berberine-antagonized tight junction barrier impairment via tyrosine kinase, Akt and NFkappaB signaling. AB - TNFalpha-mediated tight junction defects contribute to diarrhea in inflammatory bowel diseases (IBDs). In our study, the signaling pathways of the TNFalpha effect on barrier- or pore-forming claudins were analyzed in HT-29/B6 human colon monolayers. Berberine, a herbal therapeutic agent that has been recently established as a therapy for diabetes and hypercholesterinemia, was able to completely antagonize the TNFalpha-mediated barrier defects in the cell model and in rat colon. Ussing chamber experiments and two-path impedance spectroscopy revealed a decrease of paracellular resistance after TNFalpha to 11+/-4%, whereas transcellular resistance was unchanged. The permeability of the paracellular marker fluorescein was increased fourfold. Berberine alone had no effect while it fully prevented the TNFalpha-induced barrier defects. This effect on resistance was confirmed in rat colon. TNFalpha removed claudin-1 from the tight junction and increased claudin-2 expression. Berberine prevented TNFalpha-induced claudin 1 disassembly and upregulation of claudin-2. The effects of berberine were mimicked by genistein plus BAY11-7082, indicating that they are mediated via tyrosine kinase, pAkt and NFkappaB pathways. In conclusion, the anti-diarrheal effect of berberine is explained by a novel mechanism, suggesting a therapeutic approach against barrier breakdown in intestinal inflammation. PMID- 21062899 TI - Xenopus Kazrin interacts with ARVCF-catenin, spectrin and p190B RhoGAP, and modulates RhoA activity and epithelial integrity. AB - In common with other p120-catenin subfamily members, Xenopus ARVCF (xARVCF) binds cadherin cytoplasmic domains to enhance cadherin metabolic stability or, when dissociated, modulates Rho-family GTPases. We report here that xARVCF binds and is stabilized by Xenopus KazrinA (xKazrinA), a widely expressed conserved protein that bears little homology to established protein families, and which is known to influence keratinocyte proliferation and differentiation and cytoskeletal activity. Although we found that xKazrinA binds directly to xARVCF, we did not resolve xKazrinA within a larger ternary complex with cadherin, nor did it co precipitate with core desmosomal components. Instead, screening revealed that xKazrinA binds spectrin, suggesting a potential means by which xKazrinA localizes to cell-cell borders. This was supported by the resolution of a ternary biochemical complex of xARVCF-xKazrinA-xbeta2-spectrin and, in vivo, by the finding that ectodermal shedding followed depletion of xKazrin in Xenopus embryos, a phenotype partially rescued with exogenous xARVCF. Cell shedding appeared to be the consequence of RhoA activation, and thereby altered actin organization and cadherin function. Indeed, we also revealed that xKazrinA binds p190B RhoGAP, which was likewise capable of rescuing Kazrin depletion. Finally, xKazrinA was found to associate with delta-catenins and p0071-catenins but not with p120-catenin, suggesting that Kazrin interacts selectively with additional members of the p120-catenin subfamily. Taken together, our study supports the essential role of Kazrin in development, and reveals the biochemical and functional association of KazrinA with ARVCF-catenin, spectrin and p190B RhoGAP. PMID- 21062900 TI - A genome-wide RNAi screen identifies multiple RSK-dependent regulators of cell migration. AB - To define the functional pathways regulating epithelial cell migration, we performed a genome-wide RNAi screen using 55,000 pooled lentiviral shRNAs targeting ~11,000 genes, selecting for transduced cells with increased motility. A stringent validation protocol generated a set of 31 genes representing diverse pathways whose knockdown dramatically enhances cellular migration. Some of these pathways share features of epithelial-to-mesenchymal transition (EMT), and together they implicate key regulators of transcription, cellular signaling, and metabolism, as well as novel modulators of cellular trafficking, such as DLG5. In delineating downstream pathways mediating these migration phenotypes, we observed universal activation of ERKs and a profound dependence on their RSK effectors. Pharmacological inhibition of RSK dramatically suppresses epithelial cell migration induced by knockdown of all 31 genes, suggesting that convergence of diverse migratory pathways on this kinase may provide a therapeutic opportunity in disorders of cell migration, including cancer metastasis. PMID- 21062901 TI - Tsc2 gene inactivation causes a more severe epilepsy phenotype than Tsc1 inactivation in a mouse model of tuberous sclerosis complex. AB - Tuberous Sclerosis Complex (TSC) is an autosomal dominant, multi-system disorder, typically involving severe neurological symptoms, such as epilepsy, cognitive deficits and autism. Two genes, TSC1 and TSC2, encoding the proteins hamartin and tuberin, respectively, have been identified as causing TSC. Although there is a substantial overlap in the clinical phenotype produced by TSC1 and TSC2 mutations, accumulating evidence indicates that TSC2 mutations cause more severe neurological manifestations than TSC1 mutations. In this study, the neurological phenotype of a novel mouse model involving conditional inactivation of the Tsc2 gene in glial-fibrillary acidic protein (GFAP)-positive cells (Tsc2(GFAP1)CKO mice) was characterized and compared with previously generated Tsc1(GFAP1)CKO mice. Similar to Tsc1(GFAP1)CKO mice, Tsc2(GFAP1)CKO mice exhibited epilepsy, premature death, progressive megencephaly, diffuse glial proliferation, dispersion of hippocampal pyramidal cells and decreased astrocyte glutamate transporter expression. However, Tsc2(GFAP1)CKO mice had an earlier onset and higher frequency of seizures, as well as significantly more severe histological abnormalities, compared with Tsc1(GFAP1)CKO mice. The differences between Tsc1(GFAP1)CKO and Tsc2(GFAP1)CKO mice were correlated with higher levels of mammalian target of rapamycin (mTOR) activation in Tsc2(GFAP1)CKO mice and were reversed by the mTOR inhibitor, rapamycin. These findings provide novel evidence in mouse models that Tsc2 mutations intrinsically cause a more severe neurological phenotype than Tsc1 mutations and suggest that the difference in phenotype may be related to the degree to which Tsc1 and Tsc2 inactivation causes abnormal mTOR activation. PMID- 21062902 TI - Diaphragm rescue alone prevents heart dysfunction in dystrophic mice. AB - Duchenne muscular dystrophy (DMD) is an X-linked recessive disease caused, in most cases, by the complete absence of the 427 kDa cytoskeletal protein, dystrophin. There is no effective treatment, and affected individuals die from respiratory failure and cardiomyopathy by age 30. Here, we investigated whether cardiomyopathy could be prevented in animal models of DMD by increasing diaphragm utrophin or dystrophin expression and thereby restoring diaphragm function. In a transgenic mdx mouse, where utrophin was over expressed in the skeletal muscle and the diaphragm, but not in the heart, we found cardiac function, specifically right and left ventricular ejection fraction as measured using in vivo magnetic resonance imaging, was restored to wild-type levels. In mdx mice treated with a peptide-conjugated phosphorodiamidate morpholino oligomer (PPMO) that resulted in high levels of dystrophin restoration in the skeletal muscle and the diaphragm only, cardiac function was also restored to wild-type levels. In dystrophin/utrophin-deficient double-knockout (dKO) mice, a more severely affected animal model of DMD, treatment with a PPMO again produced high levels of dystrophin only in the skeletal muscle and the diaphragm, and once more restored cardiac function to wild-type levels. In the dKO mouse, there was no difference in heart function between treatment of the diaphragm plus the heart and treatment of the diaphragm alone. Restoration of diaphragm and other respiratory muscle function, irrespective of the method used, was sufficient to prevent cardiomyopathy in dystrophic mice. This novel mechanism of treating respiratory muscles to prevent cardiomyopathy in dystrophic mice warrants further investigation for its implications on the need to directly treat the heart in DMD. PMID- 21062903 TI - A missense mutation of the Dhh gene is associated with male pseudohermaphroditic rats showing impaired Leydig cell development. AB - Development of the male gonads is a complex process with interaction of various cells in the gonads including germ, Sertoli, Leydig, and myoid cells. TF is a mutant rat strain showing male pseudohermaphroditism, with agenesis of Leydig cells and androgen deficiency controlled by an autosomal single recessive gene (mp). The mp locus was mapped on the distal region of rat chromosome 7 by linkage analysis, but the gene responsible for the mp mutation has not been identified. In this study, we performed fine linkage mapping and sequence analysis to determine the causative gene of the mp mutation, and performed an immunohistochemical study using a Leydig cell-specific marker to investigate detailed phenotypes of the mutant rats during the testicular development. As a result, we found a missense mutation of the gene encoding Desert hedgehog (Dhh) in the mutant rat, which could result in loss of function of the DHH signaling pathway. Histochemical examination revealed remarkably reduced number of fetal Leydig cells and lack of typical spindle-shaped adult Leydig cell in the mp/mp rats. These phenotypes resembled those of the Dhh-null mice. Additionally, testosterone levels were significantly lower in the mp/mp fetus, indicating androgen deficiency during embryonic development. These results indicate that the mutation of the Dhh gene may be responsible for the pseudohermaphrodite phenotypes of the mutant rat, and that the Dhh gene is probably essential for the development of Leydig cells. PMID- 21062904 TI - Specific transgenerational imprinting effects of the endocrine disruptor methoxychlor on male gametes. AB - Endocrine-disrupting chemicals (EDCs), among which methoxychlor (MXC), have been reported to affect the male reproductive system. This study evaluates the possible deleterious effects of MXC on imprinted genes. After administration of the chemical in adult male mice or in pregnant mice we analyzed by pyrosequencing possible methylation defects in two paternally imprinted (H19 and Meg3 (Gtl2)) and three maternally imprinted (Mest (Peg1), Snrpn, and Peg3) genes in the sperm and in the tail, liver, and skeletal muscle DNAs of the adult male mice and of the male offspring. MXC treatment of adult mice decreased the percentages of methylated CpGs of Meg3 and increased those of Mest, Snrpn, and Peg3 in the sperm DNA. MXC treatment of pregnant mice decreased the mean sperm concentrations by 30% and altered the methylation pattern of all the imprinted genes tested in the F1 offspring. In the latter case, MXC effects were transgenerational but disappeared gradually from F1 to F3. MXC did not affect imprinting in the somatic cells, suggesting that it exerts its damaging effects via the process of reprogramming that is unique to gamete development. A systematic analysis at the CpG level showed a heterogeneity in the CpG sensitivity to MXC. This observation suggests that not only DNA methylation but also other epigenetic modifications can explain the transgenerational effects of MXC. The reported effects of EDCs on human male spermatogenesis might be mediated by complex imprinting alterations analogous to those described in this study. PMID- 21062905 TI - In silico QTL mapping of basal liver iron levels in inbred mouse strains. AB - Both iron deficiency and iron excess are detrimental in many organisms, and previous studies in both mice and humans suggest that genetic variation may influence iron status in mammals. However, these genetic factors are not well defined. To address this issue, we measured basal liver iron levels in 18 inbred strains of mice of both sexes on a defined iron diet and found ~4-fold variation in liver iron in males (lowest 153 MUg/g, highest 661 MUg/g) and ~3-fold variation in females (lowest 222 MUg/g, highest 658 MUg/g). We carried out a genome-wide association mapping to identify haplotypes underlying differences in liver iron and three other related traits (copper and zinc liver levels, and plasma diferric transferrin levels) in a subset of 14 inbred strains for which genotype information was available. We identified two putative quantitative trait loci (QTL) that contain genes with a known role in iron metabolism: Eif2ak1 and Igf2r. We also identified four putative QTL that reside in previously identified iron-related QTL and 22 novel putative QTL. The most promising putative QTL include a 0.22 Mb region on Chromosome 7 and a 0.32 Mb region on Chromosome 11 that both contain only one candidate gene, Adam12 and Gria1, respectively. Identified putative QTL are good candidates for further refinement and subsequent functional studies. PMID- 21062906 TI - Prediabetes as a therapeutic target. AB - BACKGROUND: The term "prediabetes" is used to describe a condition that involves impaired glucose tolerance (IGT) or impaired fasting glucose (IFG). IGT is defined by a 2-h oral glucose tolerance test plasma glucose concentration >140 mg/dL (7.8 mmol/L) but <200 mg/dL (11.1 mmol/L), and IFG is defined by a fasting plasma glucose concentration >=100 mg/dL (5.6 mmol/L), but <126 mg/dL (7.0 mmol/L). Studies have shown that people with prediabetes tend to develop type 2 diabetes within 10 years and are at increased risk for cardiovascular disease and death even before the development of diabetes. CONTENT: In this minireview we discusses the epidemiology, pathophysiology, and clinical implications of prediabetes. The rationale for therapeutic intervention in people with prediabetes, the goals of intervention, and the specific tools for intervention are presented. Emphasis is placed on data from randomized controlled clinical trials, whenever such data are available. SUMMARY: Approximately 57 million Americans have prediabetes and are consequently at risk for cardiometabolic complications. Lifestyle modifications (dietary restriction and exercise) and certain medications can prevent the development of diabetes in persons with prediabetes. Lifestyle intervention also has been demonstrated to decrease cardiovascular disease risk markers, although data on clinical events are lacking. PMID- 21062907 TI - Prolonged propofol use in a critically ill pregnant patient. AB - OBJECTIVE: To describe the prolonged use of propofol for sedation of a critically ill pregnant patient in her second trimester. CASE SUMMARY: A 20-year-old pregnant woman at 14 weeks' gestation with non-Hodgkin's lymphoma required intubation and mechanical ventilation secondary to tumor-related airway obstruction. Immediately after intubation, propofol was initiated for sedation and maintained for 51 days with only one 5-day interruption in therapy. Over the course of the patient's stay in the intensive care unit, systemic chemotherapy was administered, resulting in a reduction in tumor burden and allowing for successful extubation. Ultimately, the fetus was deemed nonviable and the pregnancy was terminated. DISCUSSION: Propofol is an intravenous anesthetic agent commonly used for the sedation of mechanically ventilated patients and is the only sedative agent that carries a pregnancy category B rating. Fetal outcomes following long-term use of propofol during the first trimester have not been formally evaluated and few reports of propofol use outside of early pregnancy termination, outpatient procedures, or parturition exist in the medical literature. Our patient required early termination of pregnancy; however, we were unable to determine whether fetal loss was a result of propofol use, chemotherapy administration, the use of other pharmacologic agents, or perhaps a combined effect. CONCLUSIONS: Despite propofol's pregnancy category B rating, data are lacking in humans regarding its safe use during pregnancy and long-term developmental outcomes in children after exposure to propofol in utero. The safety of propofol as a sedative agent for critically ill pregnant patients remains unknown. PMID- 21062908 TI - Acamprosate calcium as augmentation therapy for anxiety disorders. AB - BACKGROUND: Glutamate is a major excitatory neurotransmitter, while gamma aminobutyric acid (GABA) is a predominant inhibitory neurotransmitter in the central nervous system. This GABA-glutamate imbalance is thought to play a role in the development of anxiety. Acamprosate calcium is thought to restore this chemical imbalance in alcohol withdrawal. OBJECTIVE: To examine acamprosate calcium as augmentation therapy for treatment of anxiety. METHODS: This 8-week, open-label study was designed to evaluate patients with anxiety who were stable on current medications (selective serotonin-reuptake inhibitors and serotonin norepinephrine-reuptake inhibitors) but still symptomatic. Acamprosate was dosed at 1998 mg/day. Assessments included the Hamilton Rating Scale for Anxiety (HAM A) and the Hospital Anxiety and Depression Scale. RESULTS: Thirteen patients enrolled in the study and received study medication. Acamprosate reduced anxiety symptoms (mean HAM-A score reduction to 8.87 from a baseline of 20). Sixty-two percent of patients receiving acamprosate achieved remission (HAM-A score <= 7). Modal dose was 1998 mg/day (range 999-1998). The most commonly reported adverse events were nausea (n = 1), gastrointestinal upset (n = 1), and increased dream activity (n = 1). CONCLUSIONS: Acamprosate calcium may be effective augmentation therapy in patients with treatment-resistant anxiety. PMID- 21062909 TI - Carisoprodol legal status and patterns of abuse. AB - OBJECTIVE: To review the current legal status and patterns of abuse of carisoprodol. DATA SOURCES: A literature search was conducted through MEDLINE (1950-August 2010), PubMed (1966-August 2010), EMBASE (1966-August 2010), and International Pharmaceutical Abstracts (1970-August 2010) using the search terms carisoprodol and abuse. In addition, reference citations from publications identified were reviewed. State laws and regulations were accessed through NABPLAW Online (2010) using the search term carisoprodol. Federal proposed rules were accessed through the Federal Register (1995 Volume 59-2010 Volume 75) using the search term carisoprodol. STUDY SELECTION AND DATA EXTRACTION: State laws and federal proposed rules regarding carisoprodol were examined. Case reports and studies involving carisoprodol abuse were evaluated. DATA SYNTHESIS: Carisoprodol is not federally scheduled under the Controlled Substances Act (CSA). However, carisoprodol is scheduled in 36% (n = 18) of states of the US. The Drug Enforcement Administration issued a Notice of Proposed Rulemaking in the Federal Register on November 17, 2009, to place carisoprodol into schedule IV of the CSA, with a deadline to submit written comments by December 17, 2009. Case reports, retrospective studies, and national reports, including reports from the American Association of Poison Control Centers and results from the Monitoring the Future national survey on drug use, have identified carisoprodol's abuse potential. CONCLUSIONS: Carisoprodol should be placed in schedule IV of the CSA based on its abuse potential and current state laws and regulations. Federally scheduling carisoprodol would lead to uniformity among the states and hopefully assist in preventing prescription drug abuse. Larger, well-designed studies evaluating carisoprodol abuse should be performed. PMID- 21062910 TI - Coordination of autophagy and the proteasome in resolving endoplasmic reticulum stress. AB - Macroautophagy is a cellular degradation mechanism that involves the delivery of cytosolic components (macromolecules or organelles) by the autophagosome to the lysosome for degradation. In mammalian cells, macroautophagy and the ubiquitin proteasome system are 2 major mechanisms to eliminate abnormal proteins accumulated in pathological conditions. Here, the coordination of the 2 pathways to alleviate endoplasmic reticulum stress is reviewed. Also discussed is the regulatory role of macroautophagy and proteasome activity in cell survival and death, as well as the recent discoveries leading to novel strategies of simultaneous control of the proteasome and autophagy activity in anticancer treatment. PMID- 21062911 TI - Proposal of a 2-tier histologic grading system for canine cutaneous mast cell tumors to more accurately predict biological behavior. AB - Currently, prognostic and therapeutic determinations for canine cutaneous mast cell tumors (MCTs) are primarily based on histologic grade. However, the use of different grading systems by veterinary pathologists and institutional modifications make the prognostic value of histologic grading highly questionable. To evaluate the consistency of microscopic grading among veterinary pathologists and the prognostic significance of the Patnaik grading system, 95 cutaneous MCTs from 95 dogs were graded in a blinded study by 28 veterinary pathologists from 16 institutions. Concordance among veterinary pathologists was 75% for the diagnosis of grade 3 MCTs and less than 64% for the diagnosis of grade 1 and 2 MCTs. To improve concordance among pathologists and to provide better prognostic significance, a 2-tier histologic grading system was devised. The diagnosis of high-grade MCTs is based on the presence of any one of the following criteria: at least 7 mitotic figures in 10 high-power fields (hpf); at least 3 multinucleated (3 or more nuclei) cells in 10 hpf; at least 3 bizarre nuclei in 10 hpf; karyomegaly (ie, nuclear diameters of at least 10% of neoplastic cells vary by at least two-fold). Fields with the highest mitotic activity or with the highest degree of anisokaryosis were selected to assess the different parameters. According to the novel grading system, high-grade MCTs were significantly associated with shorter time to metastasis or new tumor development, and with shorter survival time. The median survival time was less than 4 months for high-grade MCTs but more than 2 years for low-grade MCTs. PMID- 21062912 TI - Luteinizing Hormone-Releasing Hormone (LHRH)-I antagonist cetrorelix inhibits myeloma cell growth in vitro and in vivo. AB - The objective of this study was to determine the effects of an luteinizing hormone-releasing hormone (LHRH)-I antagonist, Cetrorelix, on human multiple myeloma (MM) cells and to elucidate the mechanisms of action. We showed that LHRH I and LHRHR-I genes were expressed in MM cell lines and primary MM cells. Treatment with Cetrorelix inhibited growth and colony-forming ability of myeloma cells, including cell lines resistant to arsenic trioxide, bortezomib, or lenalidomide. Cetrorelix induced apoptosis in myeloma cells including primary myeloma cells. In addition, Cetrorelix inhibited the growth of human myeloma cells xenografted into mice without any apparent side effects. Cetrorelix downregulated the nuclear factor-kappa B (NF-kappaB) pathway activity and the expression of cytokines, including interleukin 6, insulin-like growth factor 1, VEGF-A, and stromal-derived factor 1, important for myeloma cell growth and survival in myeloma cells and/or marrow stromal cells from myeloma patients. Cetrorelix decreased the phosphorylation of extracellular signal regulated kinase 1/2 and STAT3 in myeloma cells, two crucial pathways for myeloma cells growth and survival. Moreover, the expression of p21 and p53 was increased, whereas that of antiapoptotic proteins Bcl-2 and Bcl-x(L) was reduced by Cetrorelix. Our findings indicate that Cetrorelix induces cytotoxicity in myeloma cells through various mechanisms and provide a rationale for investigating Cetrorelix for the treatment of MM. PMID- 21062913 TI - RITA inhibits multiple myeloma cell growth through induction of p53-mediated caspase-dependent apoptosis and synergistically enhances nutlin-induced cytotoxic responses. AB - Mutations or deletions of p53 are relatively rare in multiple myeloma (MM), at least in newly diagnosed patients. Thus, restoration of p53 tumor suppressor function in MM by blocking the inhibitory role of murine double minute 2 (MDM2) is a promising and applicable therapeutic strategy. RITA and nutlin are two new classes of small molecule MDM2 inhibitors that prevent the p53-MDM2 interaction. Earlier reports showed p53-dependent activity of RITA in solid tumors as well as in leukemias. We and others recently described nutlin-induced apoptosis in MM cells, but it remains unclear whether RITA exerts antimyeloma activity. Here, we found that RITA activates the p53 pathway and induces apoptosis in MM cell lines and primary MM samples, preferentially killing myeloma cells. The activation of p53 induced by RITA was mediated through modulation of multiple apoptotic regulatory proteins, including upregulation of a proapoptotic protein (NOXA), downregulation of an antiapoptotic protein, Mcl-1, and activation of caspases through extrinsic pathways. Moreover, a number of key p53-mediated apoptotic target genes were identified by gene expression profiling and further validated by quantitative real-time PCR. Importantly, the combination of RITA with nutlin displayed a strong synergism on growth inhibition with the combination index ranging from 0.56 to 0.82 in MM cells. Our data support further clinical evaluation of RITA as a potential novel therapeutic intervention in MM. PMID- 21062914 TI - Expression and silencing of the microtubule-associated protein Tau in breast cancer cells. AB - The microtubule-associated protein Tau has been reported to be a predictive factor for clinical response to taxanes in metastatic breast cancer. We generated a panel of eight taxane-resistant variants from four human breast cancer cell lines (MCF-7, T-47D, MDA-MB-231, and BT-549). Four variants had higher levels of Tau compared with their T-47D and MDA-MB-231 parental cells. Using isoform specific primers, we found that Tau 0N, 1N, 2N, 3R, and 4R isoforms are overexpressed in the resistant variants, as is Tau exon 6 but not exons 4A or 8. To determine whether Tau overexpression produces resistance to taxanes, we derived three independent T-47D clones stably overexpressing Tau 3R and 4R isoforms. Tau overexpression did not result in taxane resistance compared with parental cells transfected with vector alone. We then knocked down Tau expression in three cell lines that expressed Tau constitutively (MCF-7 and ZR-75-1 breast cancer cells, and OVCAR-3 ovarian cancer cells). Lentivirus-mediated silencing of Tau expression in MCF-7 and OVCAR-3 cells did not result in increased taxane sensitivity compared with luciferase short hairpin RNA-infected cells and uninfected parental cells. Transient silencing using Tau-specific small interfering RNAs also did not alter taxane sensitivity relative to nontargeting controls in both MCF-7 and ZR-75-1 cells. These results show that neither overexpression nor depletion of Tau modulates cellular sensitivity to taxanes. Although Tau overexpression has been reported to be a predictive marker of taxane resistance, it is not likely to be a direct mechanism of taxane resistance in breast cancer. PMID- 21062915 TI - Cucurbitacin I suppressed stem-like property and enhanced radiation-induced apoptosis in head and neck squamous carcinoma--derived CD44(+)ALDH1(+) cells. AB - Head and neck squamous cell carcinoma (HNSCC) is a prevalent cancer worldwide. Signal transducers and activators of transcription 3 (STAT3) signaling is reported to promote tumor malignancy and recurrence in HNSCC. Cucurbitacins, triterpenoid derivatives, are strong STAT3 inhibitors with anticancer properties. Recent studies have shown aldehyde dehydrogenase 1 (ALDH1) to be a marker of cancer stem cells (CSC) in HNSCC. The aim of this study was to investigate the therapeutic effect of cucurbitacin I in HNSCC-derived CSCs. Using immunohistochemical analysis, we firstly showed that CD44, ALDH1, and phosphorylated STAT3 (p-STAT3) were higher in high-grade HNSCCs, and that triple positivity for CD44/ALDH1/p-STAT3 indicated a worse prognosis for HNSCC patients. Secondly, CD44(+)ALDH1(+) cells isolated from seven HNSCC patients showed greater tumorigenicity, radioresistance, and high expression of stemness (Bmi-1/Oct 4/Nanog) and epithelial-mesenchymal-transitional (Snail/Twist) genes as p-STAT3 level increased. Furthermore, we found that cucurbitacin I (JSI-124) can effectively inhibit the expression of p-STAT3 and capacities for tumorigenicity, sphere formation, and radioresistance in HNSCC-CD44(+)ALDH1(+). Notably, 150 nmol/L cucurbitacin I effectively blocked STAT3 signaling and downstream survivin and Bcl-2 expression, and it induced apoptosis in HNSCC-CD44(+)ALDH1(+). Moreover, microarray data indicated that 100 nmol/L cucurbitacin I facilitated CD44(+)ALDH1(+) cells to differentiate into CD44-ALDH1- and enhanced the radiosensitivity of HNSCC-CD44(+)ALDH1(+). Xenotransplant experiments revealed that cucurbitacin I combined with radiotherapy significantly suppressed tumorigenesis and lung metastasis and further improved the survival rate in HNSCC CD44(+)ALDH1(+)-transplanted immunocompromised mice. Taken together, our data show that cucurbitacin I, STAT3 inhibitor, reduces radioresistant, distant metastatic, and CSC-like properties of HNSCC-CD44(+)ALDH1(+) cells. The potential of cucurbitacin I as a radiosensitizer should be verified in future anti-CSC therapy. PMID- 21062916 TI - Adamantyl-substituted retinoid-related molecules induce apoptosis in human acute myelogenous leukemia cells. AB - The adamantyl-substituted retinoid-related (ARR) compounds 3-Cl-AHPC and AHP3 induce apoptosis in vitro and in vivo in a newly established human acute myelogenous leukemia (AML) cell line, FFMA-AML, and in the established TF(v-SRC) AML cell line. FFMA-AML and TF(v-SRC) cells displayed resistance to apoptosis mediated by the standard retinoids (including trans-retinoic acid, 9-cis-retinoic acid, and the synthetic retinoid TTNPB) but showed sensitivity to apoptosis mediated by 3-Cl-AHPC- and AHP3 in vitro and in vivo as documented by poly(ADP ribose) polymerase (PARP) cleavage and apoptosis terminal deoxyribonucleotidyl transferase-mediated dUTP nick end labeling assay. 3-Cl-AHPC or AHP3 exposure in vitro resulted in decreased expression of the antiapoptotic proteins (cellular inhibitor of apoptosis 1, X-linked inhibitor of apoptosis protein) and phospho Bad and activated the NF-kappaB canonical pathway. A significant prolongation of survival was observed both in nonobese diabetic severe combined immunodeficient mice carrying FFMA-AML cells and treated with either 3-Cl-AHPC or AHP3 and in severe combined immunodeficient mice carrying TF(v-SRC) cells and treated with AHP3. We have previously shown that ARRs bind to the orphan nuclear receptor small heterodimer partner (SHP) and that the expression of SHP is required for ARR-mediated apoptosis. Induced loss of SHP in these AML cells blocked 3-Cl-AHPC- and AHP3-mediated induction of apoptosis. These results support the further development of 3-Cl-AHPC and AHP3 as potential therapeutic agents in the treatment of AML patients. PMID- 21062917 TI - The cellular specificity of leptin-mediated actions in the infarcted heart. PMID- 21062918 TI - Intracellular free zinc during cardiac excitation-contraction cycle: calcium and redox dependencies. AB - AIMS: Zinc exists in biological systems as bound and histochemically reactive free Zn(2+). It is an essential structural constituent of many proteins, including enzymes from cellular signalling pathways, in which it functions as a signalling molecule. In cardiomyocytes at rest, Zn(2+) concentration is in the nanomolar range. Very little is known about precise mechanisms controlling the intracellular distribution of Zn(2+) and its variations during cardiac function. METHODS AND RESULTS: Live-cell detection of intracellular Zn(2+) has become feasible through the recent development of Zn(2+)-sensitive and -selective fluorophores able to distinguish Zn(2+) from Ca(2+). Here, in freshly isolated rat cardiomyocytes, we investigated the rapid changes in Zn(2+) homeostasis using the Zn(2+)-specific fluorescent dye, FluoZin-3, in comparison to Ca(2+)-dependent fluo-3 fluorescence. Zn(2+) sparks and Zn(2+) transients, in quiescent and electrically stimulated cardiomyocytes, respectively, were visualized in a similar manner to known rapid Ca(2+) changes. Both Zn(2+) sparks and Zn(2+) transients required Ca(2+) entry. Inhibiting the sarcoplasmic reticulum Ca(2+) release or increasing the Ca(2+) load in a low-Na(+) solution suppressed or increased Zn(2+) movements, respectively. Mitochondrial inhibitors slightly reduced both Zn(2+) sparks and Zn(2+) transients. Oxidation by H2O2 facilitated and acidic pH inhibited the Ca(2+)-dependent Zn(2+) release. CONCLUSION: It is proposed that Zn(2+) release during the cardiac cycle results mostly from intracellular free Ca(2+) increase, triggering production of reactive oxygen species that induce changes in metal-binding properties of metallothioneins and other redox-active proteins, aside from ionic exchange on these proteins. PMID- 21062919 TI - Electrical remodelling precedes heart failure in an endothelin-1-induced model of cardiomyopathy. AB - AIMS: Binary transgenic (BT) mice with doxycycline (DOX)-suppressible cardiac specific overexpression of endothelin-1 (ET-1) exhibit progressive heart failure (HF), QRS prolongation, and death following DOX withdrawal. However, the molecular basis and reversibility of the electrophysiological abnormalities in this model were not known. Here, we assess the mechanisms underlying ET-1 mediated electrical remodelling, and its role in HF. METHODS AND RESULTS: BT vs. non-BT littermate controls were withdrawn from DOX and serially studied with ultrasound biomicroscopy, octapolar catheters, multielectrode epicardial mapping, histopathology, western blot, immunohistochemistry, and qRT-PCR. Abnormalities in ventricular activation and -dV/dt were detected as early as 4 weeks after transgene activation, when the structure and function of the heart remained unaffected. By 8 weeks of ET-1 overexpression, biventricular systolic and diastolic dysfunction, myocardial fibrosis, and cardiomyocyte hypertrophy were observed. Intracardiac and epicardial electrograms revealed prolonged conduction and ventricular activation, reduced -dV/dt, and abnormal atrioventricular nodal function. Within 4 weeks of ET-1 induction, connexin 40 (Cx40) protein and Cx43 mRNA, protein, and phosphorylation levels were reduced by 36, 64, 93, and 69%, respectively; Na(v)1.5 mRNA and protein levels were reduced by 30 and 50%, respectively, as was Na(+) channel conductance. Importantly, the associated electrophysiological abnormalities at this time point were reversible upon suppression of ET-1 overexpression and completely prevented the development of structural and functional remodelling. CONCLUSION: ET-1-mediated electrical remodelling correlates with reduced Cx40, Cx43, and Na(v)1.5 expression and decreased Na(+) channel conductance and precedes HF. The sequence and reversibility of this phenotype suggest that a primary abnormality in electrical remodelling may contribute to the pathogenesis of HF. PMID- 21062920 TI - Mechanistic insights into arrhythmogenic right ventricular cardiomyopathy caused by desmocollin-2 mutations. AB - AIMS: Recent immunohistochemical studies observed the loss of plakoglobin (PG) from the intercalated disc (ID) as a hallmark of arrhythmogenic right ventricular cardiomyopathy (ARVC), suggesting a final common pathway for this disease. However, the underlying molecular processes are poorly understood. METHODS AND RESULTS: We have identified novel mutations in the desmosomal cadherin desmocollin 2 (DSC2 R203C, L229X, T275M, and G371fsX378). The two missense mutations (DSC2 R203C and T275M) have been functionally characterized, together with a previously reported frameshift variant (DSC2 A897fsX900), to examine their pathogenic potential towards PG's functions at the ID. The three mutant proteins were transiently expressed in various cellular systems and assayed for expression, processing, localization, and binding to other desmosomal components in comparison to wild-type DSC2a protein. The two missense mutations showed defects in proteolytic cleavage, a process which is required for the functional activation of mature cadherins. In both cases, this is thought to cause a reduction of functional DSC2 at the desmosomes in cardiac cells. In contrast, the frameshift variant was incorporated into cardiac desmosomes; however, it showed reduced binding to PG. CONCLUSION: Despite different modes of action, for all three variants, the reduced ability to provide a ligand for PG at the desmosomes was observed. This is in agreement with the reduced intensity of PG at these structures observed in ARVC patients. PMID- 21062921 TI - Nonlinear three-dimensional noise filter with low-dose CT angiography: effect on the detection of small high-contrast objects in a phantom model. AB - PURPOSE: To study the effect of a nonlinear noise filter on the detection of simulated endoleaks in a phantom with 80- and 100-kVp multidetector computed tomographic (CT) angiography. MATERIALS AND METHODS: An aortic aneurysm phantom, including iodinated endoleaks, was constructed. Multidetector CT angiography with use of 80-, 100-, and 120-kVp tube voltages was performed for simulated intermediate-sized and large patients (estimated body weights, 72-85 kg and 118 142 kg, respectively). Images obtained with 80 and 100 kVp were postprocessed by using a nonlinear noise filter. CT images containing 1152 endoleaks and images with no endoleaks were randomized and independently analyzed by three radiologists blinded to the location of the endoleaks. Diagnostic confidence and image quality were rated by using subjective scales. Analysis of variance was used for statistical assessment. RESULTS: In simulated intermediate-sized patients, energy reduction from 120 to 100 kVp and from100 to 80 kVp did not decrease image quality when images with reduced kilovoltage were filtered (P = .2692 and P > .99, respectively). Readers detected more endoleaks on the filtered 100-kVp images than on the nonfiltered images in simulated large patients (83 vs 75 lesions, P = .041). The number of detected endoleaks and the confidence rate were similar at 100 kVp with a filter and at 120 kVp in simulated large patients (P = .339 and P = .211, respectively). CONCLUSION: In a phantom, the nonlinear noise filter can prevent decreased image quality with use of 80- and 100-kVp abdominal multidetector CT angiography at a wide range of simulated body weights and may facilitate a better detection rate of endoleaks in heavy patients. PMID- 21062922 TI - PET/CT characteristics of isolated bone metastases in hepatocellular carcinoma. AB - PURPOSE: To compare the prognostic implications and positron emission tomography (PET)/computed tomography (CT) characteristics of isolated bone metastasis secondary to hepatocellular carcinoma (HCC) with those of HCC metastases to bone and other sites. MATERIALS AND METHODS: This study was approved by the institutional ethics committee, and informed consent was obtained from all patients. Extrahepatic metastases were diagnosed in 257 patients with HCC by using dual-tracer (carbon 11 [(11)C] acetate and fluorine 18 fluorodeoxyglucose [FDG]) PET/CT. Metastatic bone lesions were identified with visual inspection and semiquantitative assessment and confirmed with histopathologic examination and/or supported by findings at other radiologic examinations or serial PET/CT. RESULTS: The frequency of bone metastasis from HCC was 19% (49 of 257 patients; eight patients had histopathologic proof and 41 had imaging proof). Metastasis isolated to bone (group 1, 30 of 257 patients [12%]) was more common than metastasis to bone and other sites (group 2, 19 of 257 patients [7%]). At lesion-based analysis of group 1 (71 index lesions; mean lesion size +/- standard deviation, 3.25 cm +/ 1.88), (11)C acetate PET was more sensitive than FDG PET (93% [66 of 71 lesions] vs 62% [44 of 71 lesions], respectively; P < .05). The combined sensitivity was 97% (69 of 71 lesions) with dual-tracer PET and 72% (51 of 71 lesions) with CT. At patient-based analysis, (11)C acetate PET had an incremental value of 23% (seven of 30 patients) over FDG PET. At lesion-based analysis of group 2, FDG PET was more sensitive than (11)C acetate PET (87% [33 of 38 lesions] vs 50% [19 of 38 lesions], respectively; P < .05). Tracer avidities of metastatic bone lesions were closely correlated with that of their corresponding primary HCC tumors. The median survival time was longer in group 1 than in group 2 (18 months vs 11 months, respectively; P < .05). CONCLUSION: Isolated bone metastasis from HCC may not be as uncommon as previously believed. The detection of these metastases can be significantly enhanced with (11)C acetate PET compared with FDG PET alone. Identification of this group of patients also seems to have prognostic importance. PMID- 21062923 TI - Trigeminal neuralgia due to neurovascular compression: high-spatial-resolution diffusion-tensor imaging reveals microstructural neural changes. AB - PURPOSE: To preoperatively detect, by using diffusion-tensor imaging coregistered with anatomic magnetic resonance (MR) imaging, suspected microstructural tissue changes of the trigeminal nerves in patients with trigeminal neuralgia (TN) resulting from neurovascular compression. MATERIALS AND METHODS: The study was approved by the institutional review board, and written informed consent was obtained from all patients. Twenty patients (mean age, 51.3 years) with TN and evidence of neurovascular contact were examined with use of a 3.0-T MR unit combined with an eight-channel head coil before undergoing surgical decompression. A single-shot diffusion-tensor echo-planar sequence was used along 15 different diffusion directions, with a b value of 1000 sec/mm(2) and a section thickness of 2 mm. For anatomic correlation, 0.6-mm isotropic three-dimensional fast imaging employing steady-state images were acquired for coregistration with the functional diffusion-tensor maps. After region of interest placement, mean fractional anisotropy (FA) and apparent diffusion coefficient (ADC) values were calculated for each nerve by using the paired-sample two-tailed t test (with P < .005 indicating significance) and compared with surgical findings. RESULTS: FA was significantly lower (P = .004) on the trigeminal neuralgia-affected side (mean FA, 0.203) than on the contralateral side (mean FA, 0.239). ADCs were nearly identical between the normal and TN-affected nerve tissues. CONCLUSION: These findings suggest that diffusion-tensor imaging enables the identification and quantification of anisotropic changes between normal nerve tissue and TN affected trigeminal nerves. Coregistration of anatomic three-dimensional fast imaging employing steady-state imaging and diffusion-tensor imaging facilitates excellent delineation of the cisternal segments of the trigeminal nerves. PMID- 21062924 TI - Combined optical and X-ray tomosynthesis breast imaging. AB - PURPOSE: To explore the optical and physiologic properties of normal and lesion bearing breasts by using a combined optical and digital breast tomosynthesis (DBT) imaging system. MATERIALS AND METHODS: Institutional review board approval and patient informed consent were obtained for this HIPAA-compliant study. Combined optical and tomosynthesis imaging analysis was performed in 189 breasts from 125 subjects (mean age, 56 years +/- 13 [standard deviation]), including 138 breasts with negative findings and 51 breasts with lesions. Three-dimensional (3D) maps of total hemoglobin concentration (Hb(T)), oxygen saturation (So(2)), and tissue reduced scattering coefficients were interpreted by using the coregistered DBT images. Paired and unpaired t tests were performed between various tissue types to identify significant differences. RESULTS: The estimated average bulk Hb(T) from 138 normal breasts was 19.2 MUmol/L. The corresponding mean So(2) was 0.73, within the range of values in the literature. A linear correlation (R = 0.57, P < .0001) was found between Hb(T) and the fibroglandular volume fraction derived from the 3D DBT scans. Optical reconstructions of normal breasts revealed structures corresponding to chest-wall muscle, fibroglandular, and adipose tissues in the Hb(T), So(2), and scattering images. In 26 malignant tumors of 0.6-2.5 cm in size, Hb(T) was significantly greater than that in the fibroglandular tissue of the same breast (P = .0062). Solid benign lesions (n = 17) and cysts (n = 8) had significantly lower Hb(T) contrast than did the malignant lesions (P = .025 and P = .0033, respectively). CONCLUSION: The optical and DBT images were structurally consistent. The malignant tumors and benign lesions demonstrated different Hb(T) and scattering contrasts, which can potentially be exploited to reduce the false-positive rate of conventional mammography and unnecessary biopsies. PMID- 21062925 TI - Ischemic stroke: etiologic work-up with multidetector CT of heart and extra- and intracranial arteries. AB - PURPOSE: To assess the potential of a single-session multidetector computed tomography (CT) protocol, as compared with established methods, for the etiologic work-up of acute ischemic stroke. MATERIALS AND METHODS: Patients found to have recently experienced an ischemic stroke were recruited for this prospective study after institutional review board approval was obtained. Each patient was scheduled for two evaluation strategies: (a) a standard approach involving transthoracic echocardiography (TTE) and transesophageal echocardiography (TEE), duplex ultrasonography (US) of the neck vessels, and magnetic resonance (MR) angiography of the neck and brain vessels; and (b) a protocol involving single session multidetector CT of the heart, neck, and brain vessels. The authors sought to determine the major etiologic factors of stroke, including cardiac sources of embolism and atheroma of the aortic arch and the extra- and intracranial vessels, by using both strategies. RESULTS: Multidetector CT, MR imaging, and duplex US were performed in 46 patients, 39 of whom also underwent TEE. The sensitivity and specificity of multidetector CT were 72% (18 of 25 cases) and 95% (20 of 21 cases), respectively, for detection of cardiac sources and 100% (24 of 24 cases) and 91% (20 of 22 cases), respectively, for detection of major arterial atheroma. For the 46 cases of stroke, the final etiologic classifications determined by using the standard combination approach were cardiac sources in 20 (44%) cases, major arterial atheroma in nine (20%), multiple sources in four (9%), and cryptogenic sources in 13 (28%). Multidetector CT facilitated correct etiologic classification for 38 (83%) of the 46 patients. CONCLUSION: Multidetector CT is a promising tool for etiologic assessment of ischemic stroke, although the identification of minor cardiac sources with this examination requires the establishment of robust criteria. PMID- 21062926 TI - Combined analysis of estrogen receptor beta-1 and progesterone receptor expression identifies lung cancer patients with poor outcome. AB - PURPOSE: Steroid hormones and growth factors affect lung cancer, and it is possible they act in concert to influence patient outcome. EXPERIMENTAL DESIGN: Primary lung tumors and normal lung tissue were analyzed for expression and localization of estrogen receptor alpha and beta-1 (ERalpha and ERbeta), aromatase, progesterone receptor (PR), and epidermal growth factor receptor (EGFR). RESULTS: Tumors expressed higher levels of ERbeta compared to matched normal lung, whereas the reverse was true of PR. High cytoplasmic ERbeta expression was identified as an independent negative prognostic predictor of overall survival (OS; HR = 1.67), and low total PR was identified as an independent negative predictor of time to progression (TTP; HR = 1.59). After adjusting for stage, age, sex, and smoking, combined high cytoplasmic ERbeta and low total PR showed enhanced effects on OS (HR = 2.64) and on TTP (HR = 6.02). Further effects on OS were observed when EGFR expression was included (HR = 5.32). Patients with low cytoplasmic ERbeta, low aromatase, low EGFR, and high total PR had shorter OS than patients with the opposite pattern (HR = 6.60). Contribution of these markers to survival showed no significant sex differences in a multivariable model. ERalpha was elevated in tumors but was not predictive of survival, and appears to represent a variant ERalpha protein that is only recognized by a C-terminal antibody. CONCLUSIONS: Hormonal and EGFR pathways together may contribute to lung cancer prognosis. Lung tumors with high ERbeta 1/low PR may define patients with aggressive biology. A validation study is necessary to fully assess the predictive value of these markers. PMID- 21062927 TI - Highly active antitumor therapy (HAATT) for epidermal growth factor receptor mutant lung cancer. AB - In vitro resistance modeling coupled with molecular analysis of autopsy tumor samples from patients with acquired resistance to epidermal growth factor receptor (EGFR) inhibitors in lung cancer reveal novel biological insights into mechanisms of disease progression. These kinds of studies will facilitate the development of rationally targeted therapies in the era of genetically informed cancer medicine. PMID- 21062928 TI - Phase III clinical trial development: a process of chutes and ladders. AB - PURPOSE: The Institute of Medicine report on cooperative groups and the National Cancer Institute (NCI) report from the Operational Efficiency Working Group both recommend changes to the processes for opening a clinical trial. This article provides evidence for the need for such changes by completing the first comprehensive review of all the time and steps required to open a phase III oncology clinical trial and discusses the effect of time to protocol activation on subject accrual. METHODS: The Dilts and Sandler method was used at four cancer centers, two cooperative groups, and the NCI Cancer Therapy Evaluation Program. Accrual data were also collected. RESULTS: Opening a phase III cooperative group therapeutic trial requires 769 steps, 36 approvals, and a median of approximately 2.5 years from formal concept review to study opening. Time to activation at one group ranged from 435 to 1,604 days, and time to open at one cancer center ranged from 21 to 836 days. At centers, group trials are significantly more likely to have zero accruals (38.8%) than nongroup trials (20.6%; P < 0.0001). Of the closed NCI Cancer Therapy Evaluation Program-approved phase III clinical trials from 2000 to 2007, 39.1% resulted in <21 accruals. CONCLUSIONS: The length, variability, and low accrual results demonstrate the need for the NCI clinical trials system to be reengineered. Improvements will be of only limited effectiveness if done in isolation; there is a need to return to the collaborative spirit with all parties creating an efficient and effective system. Recommendations put forth by the Institute of Medicine and Operational Efficiency Working Group reports, if implemented, will aid this renewal. PMID- 21062929 TI - A sense of urgency: Evaluating the link between clinical trial development time and the accrual performance of cancer therapy evaluation program (NCI-CTEP) sponsored studies. AB - PURPOSE: Postactivation barriers to oncology clinical trial accruals are well documented; however, potential barriers prior to trial opening are not. We investigate one such barrier: trial development time. EXPERIMENTAL DESIGN: National Cancer Institute Cancer Therapy Evaluation Program (CTEP)-sponsored trials for all therapeutic, nonpediatric phase I, I/II, II, and III studies activated between 2000 and 2004 were investigated for an 8-year period (n = 419). Successful trials were those achieving 100% of minimum accrual goal. Time to open a study was the calendar time from initial CTEP submission to trial activation. Multivariate logistic regression analysis was used to calculate unadjusted and adjusted odds ratios (OR), controlling for study phase and size of expected accruals. RESULTS: Among the CTEP-approved oncology trials, 37.9% (n = 221) failed to attain the minimum accrual goals, with 70.8% (n = 14) of phase III trials resulting in poor accrual. A total of 16,474 patients (42.5% of accruals) accrued to those studies were unable to achieve the projected minimum accrual goal. Trials requiring less than 12 months of development were significantly more likely to achieve accrual goals (OR, 2.15; 95% confidence interval, 1.29-3.57, P = 0.003) than trials with the median development times of 12 to 18 months. Trials requiring a development time of greater than 24 months were significantly less likely to achieve accrual goals (OR, 0.40; 95% confidence interval, 0.20-0.78; P = 0.011) than trials with the median development time. CONCLUSIONS: A large percentage of oncology clinical trials do not achieve minimum projected accruals. Trial development time appears to be one important predictor of the likelihood of successfully achieving the minimum accrual goals. PMID- 21062930 TI - Eradication of medullary multiple myeloma by CD4+ cytotoxic human T lymphocytes directed at a single minor histocompatibility antigen. AB - PURPOSE: The essential role of CD4(+) T cells as helpers of anticancer immunity is indisputable. Little is known, however, about their capacity to serve as effector cells in cancer treatment. Therefore, we explored the efficacy of immunotherapy with sole CD4(+) cytotoxic human T cells directed at a hematopoietic-restricted minor histocompatibility antigen (mHag). EXPERIMENTAL DESIGN: In macrophage-depleted Rag2(-/-)gammac(-/-) mice, which were also devoid of T, B, and natural killer cells, mHag-specific native T cells or tetanus toxoid (TT)-specific T cells transduced with the mHag-specific T-cell receptor (TCR) were injected to treat full-blown mHag(+) human multiple myeloma tumors. RESULTS: mHag-specific antitumor responses were achieved after injection of native or mHag TCR-transduced T cells. Although the therapy completely eradicated the primary tumors in the bone marrow, it failed to control extramedullary relapses, even after repeated T-cell injections. Detailed analyses ruled out mHag or MHC downregulation as mechanisms of extramedullary tumor escape. Impaired T-cell survival in vivo or defective homing to the tumor site were also ruled out as mechanisms behind extramedullary relapses, because injections of TT-loaded antigen presenting cells could facilitate homing of long-term surviving T cells to s.c. tumor sites. Moreover, intratumoral treatment of extramedullary tumors with 3AB11 was also ineffective. CONCLUSIONS: Taken together, these results for the first time show the feasibility of immunotherapy of primary bone marrow tumors with sole CD4(+) human T cells directed to a tumor-associated mHag. Extramedullary relapses, probably due to microenvironment-dependent inhibitory mechanisms, remain a challenging issue towards effective cellular immunotherapy of hematologic malignancies. PMID- 21062931 TI - Hypoexpression and epigenetic regulation of candidate tumor suppressor gene CADM 2 in human prostate cancer. AB - PURPOSE: Cell adhesion molecules (CADM) comprise a newly identified protein family whose functions include cell polarity maintenance and tumor suppression. CADM-1, CADM-3, and CADM-4 have been shown to act as tumor suppressor genes in multiple cancers including prostate cancer. However, CADM-2 expression has not been determined in prostate cancer. EXPERIMENTAL DESIGN: The CADM-2 gene was cloned and characterized and its expression in human prostatic cell lines and cancer specimens was analyzed by reverse transcription-PCR and an immunohistochemical tissue array, respectively. The effects of adenovirus mediated CADM-2 expression on prostate cancer cells were also investigated. CADM 2 promoter methylation was evaluated by bisulfite sequencing and methylation specific PCR. RESULTS: We report the initial characterization of CADM-2 isoforms: CADM-2a and CADM-2b, each with separate promoters, in human chromosome 3p12.1. Prostate cancer cell lines, LNCaP and DU145, expressed negligible CADM-2a relative to primary prostate tissue and cell lines, RWPE-1 and PPC-1, whereas expression of CADM-2b was maintained. Using immunohistochemistry, tissue array results from clinical specimens showed statistically significant decreased expression in prostate carcinoma compared with normal donor prostate, benign prostatic hyperplasia, prostatic intraepithelial neoplasia, and normal tissue adjacent to tumor (P < 0.001). Adenovirus-mediated CADM-2a expression suppressed DU145 cell proliferation in vitro and colony formation in soft agar. The decrease in CADM-2a mRNA in cancer cell lines correlated with promoter region hypermethylation as determined by bisulfite sequencing and methylation-specific PCR. Accordingly, treatment of cells with the demethylating agent 5-aza-2' deoxycytidine alone or in combination with the histone deacetylase inhibitor trichostatin A resulted in the reactivation of CADM-2a expression. CONCLUSIONS: CADM-2a protein expression is significantly reduced in prostate cancer. Its expression is regulated in part by promoter methylation and implicates CADM-2 as a previously unrecognized tumor suppressor gene in a proportion of human prostate cancers. PMID- 21062932 TI - Optimizing the detection of lung cancer patients harboring anaplastic lymphoma kinase (ALK) gene rearrangements potentially suitable for ALK inhibitor treatment. AB - PURPOSE: Anaplastic lymphoma kinase (ALK) rearrangements, associated with sensitivity to an experimental ALK/MET inhibitor, occur in 3% to 5% of non-small cell lung cancers. Intratumoral fluorescence in situ hybridization (FISH) heterogeneity has been reported. We explored the heterogeneity basis, the requirements for accurately determining ALK FISH positivity, and the effect of enriching the tested population using clinical and molecular factors. EXPERIMENTAL DESIGN: Lung cancer patients were screened by ALK and MET FISH and for EGFR and KRAS mutations. RESULTS: Thirteen ALK-positive cases were identified from 73 screened patients. Gene copy number increases occurred together with classic rearrangements. All positive cases were adenocarcinomas, 12 were EGFR/KRAS wild-type, and 1 had a coexistent EGFR exon 20 mutation. No association with MET amplification occurred. ALK positivity was associated with <10-pack-year smoking status (P = 0.0004). Among adenocarcinomas, without KRAS or EGFR mutations, with <10-pack-year history, 44.8% of cases were ALK positive. ALK FISH positivity was heterogeneous, but mean values in tumor areas from ALK-positive patients (54% of cells; range, 22-87%) were significantly higher than in adjacent normal tissue or tumor/normal areas from ALK-negative patients (mean, 5-7%). Contiguous sliding field analyses showed diffuse heterogeneity without evidence of focal ALK rearrangements. One hundred percent sensitivity and specificity occurred when four or more fields (~60 cells) were counted. CONCLUSIONS: Intratumoral ALK FISH heterogeneity reflects technique, not biology. The clinical activity of ALK/MET inhibitors in ALK-positive patients probably reflects ALK, but not MET, activity. Prescreening by histology, EGFR/KRAS mutations, and smoking status dramatically increases the ALK-positive hit rate compared with unselected series. PMID- 21062933 TI - Reciprocal and complementary role of MET amplification and EGFR T790M mutation in acquired resistance to kinase inhibitors in lung cancer. AB - PURPOSE: In epidermal growth factor receptor (EGFR) tyrosine kinase inhibitor (TKI) therapy for lung cancer patients, acquired resistance develops almost inevitably and this limits the improvement in patient outcomes. EGFR T790M mutation and MET amplification are the two main mechanisms underlying this resistance, but the relationship between these two mechanisms is unclear. In this study, we explored their relationship using in vitro models and autopsy specimens. EXPERIMENTAL DESIGN: Erlotinib-resistant HCC827 (HCC827ER) cells were developed by chronic exposure to erlotinib at increasing concentrations. HCC827EPR cells were also developed by chronic exposure to erlotinib in the presence of PHA-665,752 (a MET TKI). The erlotinib-resistant mechanisms of these cells were analyzed. In addition, 33 autopsy tumor samples from 6 lung adenocarcinoma patients harboring multiple gefitinib-refractory tumors were analyzed. RESULTS: HCC827ER developed MET amplification, and clinically relevant resistance occurred at >=4-fold MET gene copy number gain (CNG). By contrast, HCC827EPR developed T790M without MET CNG. Of six patients harboring gefitinib refractory tumors, three exhibited T790M only, one exhibited MET amplification only, and the other two exhibited T790M and/or MET amplification depending on the lesion sites. In these gefitinib-refractory tumors, T790M developed in 93% (14 of 15) of tumors without MET gene CNGs, in 80% (4 of 5) of tumors with moderate MET gene CNGs (<4-fold), and in only 8% (1 of 13) of tumors with MET amplification (>=4-fold). CONCLUSIONS: These results indicate a reciprocal and complementary relationship between T790M and MET amplification and the necessity of concurrent inhibition of both for further improving patient outcomes. PMID- 21062934 TI - Atu027 prevents pulmonary metastasis in experimental and spontaneous mouse metastasis models. AB - PURPOSE: Atu027, a novel RNA interference therapeutic, has been shown to inhibit lymph node metastasis in orthotopic prostate cancer mouse models. The aim of this study is to elucidate the pharmacologic activity of Atu027 in inhibiting hematogenous metastasis to the target organ lung in four different preclinical mouse models. EXPERIMENTAL DESIGN: Atu027 compared with vehicle or control small interfering RNA lipoplexes was tested in two experimental lung metastasis models (Lewis lung carcinoma, B16V) and spontaneous metastasis mouse models (MDA-MB-435, MDA-MB-231, mammary fat pad). Different dosing schedules (repeated low volume tail vein injections) were applied to obtain insight into effective Atu027 treatment. Primary tumor growth and lung metastasis were measured, and tissues were analyzed by immunohistochemistry and histology. In vitro studies in human umbilical vein endothelial cells were carried out to provide an insight into molecular changes on depletion of PKN3, in support of efficacy results. RESULTS: Intravenous administration of Atu027 prevents pulmonary metastasis. In particular, formation of spontaneous lung metastasis was significantly inhibited in animals with large tumor grafts as well as in mice with resected primary mammary fat pad tumors. In addition, we provide evidence that an increase in VE cadherin protein levels as a downstream result of PKN3 target gene inhibition may change endothelial function, resulting in reduced colonization and micrometastasis formation. CONCLUSION: Atu027 can be considered as a potent drug for preventing lung metastasis formation, which might be suitable for preventing hematogenous metastasis in addition to standard cancer therapy. PMID- 21062935 TI - Exploring antibody recognition of sequence space through random-sequence peptide microarrays. AB - A universal platform for efficiently mapping antibody epitopes would be of great use for many applications, ranging from antibody therapeutic development to vaccine design. Here we tested the feasibility of using a random peptide microarray to map antibody epitopes. Although peptide microarrays are physically constrained to ~10(4) peptides per array, compared with 10(8) permitted in library panning approaches such as phage display, they enable a much more high though put and direct measure of binding. Long (20 mer) random sequence peptides were chosen for this study to look at an unbiased sampling of sequence space. This sampling of sequence space is sparse, as an exact epitope sequence is unlikely to appear. Commercial monoclonal antibodies with known linear epitopes or polyclonal antibodies raised against engineered 20-mer peptides were used to evaluate this array as an epitope mapping platform. Remarkably, peptides with the most sequence similarity to known epitopes were only slightly more likely to be recognized by the antibody than other random peptides. We explored the ability of two methods singly and in combination to predict the actual epitope from the random sequence peptides bound. Though the epitopes were not directly evident, subtle motifs were found among the top binding peptides for each antibody. These motifs did have some predictive ability in searching for the known epitopes among a set of decoy sequences. The second approach using a windowing alignment strategy, was able to score known epitopes of monoclonal antibodies well within the test dataset, but did not perform as well on polyclonals. Random peptide microarrays of even limited diversity may serve as a useful tool to prioritize candidates for epitope mapping or antigen identification. PMID- 21062936 TI - Apelin stimulates glucose uptake but not lipolysis in human adipose tissue ex vivo. AB - Apelin is a peptide present in different cell types and secreted by adipocytes in humans and rodents. Apelin exerts its effects through a G-protein-coupled receptor called APJ. During the past years, a role of apelin/APJ in energy metabolism has emerged. Apelin was shown to stimulate glucose uptake in skeletal muscle through an AMP-activated protein kinase (AMPK)-dependent pathway in mice. So far, no metabolic effects of apelin have been reported on human adipose tissue (AT). Thus, the effect of apelin on AMPK in AT was measured as well as AMPK mediated effects such as inhibition of lipolysis and stimulation of glucose uptake. AMPK and acetyl-CoA carboxylase phosphorylation were measured by western blot to reflect the AMPK activity. Lipolysis and glucose uptake were measured, ex vivo, in response to apelin on isolated adipocytes and explants from AT of the subcutaneous region of healthy subjects (body mass index: 25.6 +/- 0.8 kg/m(2), n = 30 in total). APJ mRNA and protein are present in human AT and isolated adipocytes. Apelin stimulated AMPK phosphorylation at Thr-172 in a dose-dependent manner in human AT, which was associated with increased glucose uptake since C compound (20 MUM), an AMPK inhibitor, completely prevented apelin-induced glucose uptake. However, in isolated adipocytes or AT explants, apelin had no significant effect on basal and isoprenaline-stimulated lipolysis. Thus, these results reveal, for the first time, that apelin is able to act on human AT in order to stimulate AMPK and glucose uptake. PMID- 21062937 TI - Disseminated toxoplasmosis: an atypical presentation in an immunocompetent patient. AB - Acute acquired toxoplasmosis is considered a self-limited mononucleosis-like disease that rarely requires treatment. We report an unusual severe case of disseminated toxoplasmosis complicated with meningitis and pneumonia in a previously 41-year-old healthy Brazilian man. Toxoplasmosis should be included in the differential diagnosis of acute febrile diseases due to the non-specific clinical symptoms and the possibility of severe outcome. PMID- 21062938 TI - Anterior cruciate ligament allograft surgery: underreporting of graft source, graft processing, and donor age. AB - BACKGROUND: There has been much controversy regarding the effects of sterilization techniques and graft history on the structural integrity of allograft ligaments used in reconstruction of the anterior cruciate ligament. The purpose of this review was to comprehensively examine anterior cruciate ligament allograft studies published in the last decade to evaluate the extent to which tissue source, tissue processing techniques, and donor age are reported. METHODS: The authors reviewed 202 articles published from 1999 through November 2009, of which 68 retrospective, prospective, biomechanical, and histology studies evaluating 4689 allografts were included. RESULTS: The majority of studies do not accurately report key elements of graft history including tissue bank, processing or sterilization technique, or donor age. Underreporting was particularly prominent in clinical studies as compared with basic science studies. Limited reporting of allograft processing, allograft source, and donor age raises serious questions regarding the generalizability of published studies of allograft tissue for anterior cruciate ligament reconstruction. Conclusion/ CLINICAL RELEVANCE: Orthopaedic surgeons who use allograft tissue must understand the tissue treatments being used (ie, preservation methods, chemical and sterilization processes) to best inform their patients regarding the risks, benefits, and long term outcomes when such tissues are used. A complete understanding of the implanted tissue, as well as surgeon and recipient demographics, is necessary to ensure appropriate and predictable long-term outcomes. PMID- 21062939 TI - Orthokeratinized odontogenic cyst: a systematic review. AB - OBJECTIVES: The aims of the review were to evaluate the principal clinical and conventional radiographic features of orthokeratinized odontogenic cyst (OOC) by systematic review (SR), and to compare the frequency of OOC between four global groups. METHODS: The databases searched were the PubMed interface of MEDLINE and LILACS. Only those reports of OOCs that occurred in a consecutive series of OOCs in the reporting authors' caseload were considered. RESULTS: 37 reports on 36 case series were included in the SR. OOC affected males twice as frequently and the mandible almost 2.5 times as frequently. Although the mean age at first presentation was 35 years, the largest proportion of cases first presented in the third decade for the Western, East Asian and Latin American global groups. Seven reports included details of at least one clinical finding. 11 reported case series included at least 1 radiological feature. All OOCs were radiolucent, 93% were unilocular and 68% were associated with unerupted teeth. 28% of the reported case series included follow up. 4% of OCC recurred and all of these were in the Western global group. CONCLUSIONS: Although one feature of OOCs is that they are unlikely to recur, some do. Not only is there a lack of long-term follow up of large series with long-term outcomes of OOC, but there is a paucity of clinical and radiological details of OOC at initial presentation. PMID- 21062940 TI - The status of Spain's dental practice following the European Union directive concerning radiological installations: 11 years on (1996-2007). AB - OBJECTIVES: The aim of this study was to assess the influence of European Union legislation on dental radiology practice in Spain and the reduction in doses administered in dental radiological installations 11 years after its introduction. METHODS: A total of 19 079 official reports on dental surgeries from 16 Spanish autonomous regions published between 1996 and 2007 were studied. We analysed the physical characteristics of the X-ray units, anomalies, film processing, exposure times and mean radiation doses administered in clinical situations. RESULTS: The dose applied to obtain a radiograph of an upper second molar had decreased by 37% up until 2007, the mean dose being 2.7 mGy, with 81.1% of installations using a dose of less than 4 mGy, with a reference dose for the 3(rd) quartile of 3.6 mGy. Of note was the incorporation of digital systems (50.1%), which are gradually replacing manual processing systems (45.3%). There were significant differences between the systems: direct digital radiology < indirect digital radiology = Insight = Ektaspeed = Ultraspeed (P < 0.001). In installations with digital systems, 6.3% used more than 4 mGy (20.5% with direct radiology and 3.2% with indirect radiology) and 7.4% a dose of less than 0.5 mGy, with a mean dose of 1.8 mGy and a reference dose for the 3(rd) quartile of 2.3 mGy. CONCLUSION: There has been a gradual improvement in dental radiology practices; however, the incorporation of digital systems has not resulted in all the benefits hoped for, and mistakes are frequent. Besides the physical parameters that have been established, anatomical and clinical image quality criteria should be established to convince dentists of the real benefits of incorporating quality guarantee procedures in their practices. PMID- 21062941 TI - Temporomandibular joint and 3.0 T pseudodynamic magnetic resonance imaging. Part 1: evaluation of condylar and disc dysfunction. AB - OBJECTIVES: This study describes an improved method for examining and diagnosing temporomandibular joint (TMJ) dynamics by 3.0 T pseudodynamic MRI. METHODS: Clinical observation and conventional static MRI of volunteers (one without and eight with TMJ arthrosis) were followed by 3.0 T pseudodynamic MRI in positions ranging from the mouth closed to mouth fully opened. Condylar head (Cd), articular disc anterior border (Da) and articular disc posterior border (Dp) were digitized on sagittal images to determine trajectory and velocity patterns. RESULTS: Patients were divided into three groups based on the presence or absence of dysfunction: Group 1, no dysfunction on the right or left side of the TMJ; Group 2, dysfunction on the right or left side of the TMJ; and Group 3, dysfunction on both the right and left sides of the TMJs. In 75% of patients (12 of 16 joints), pseudodynamic TMJ analysis was useful for determining a functional abnormality. Using a points system based on three trajectory and seven velocity patterns, discs with adhesion and perforation had significantly fewer points than discs with anterior displacement (with and without reduction) and discs with no abnormality (P = 0.019 < 0.05). CONCLUSIONS: Trajectory and velocity patterns based on 3.0 T pseudodynamic MRI identified the affected side and determined the extent of morbidity in the Cd as well as the Da and Dp. The typical abnormal movement pattern of discs with anterior displacement (with and without reduction) and pathological structural changes of the articular disc (such as adhesion and perforation) could be identified. PMID- 21062942 TI - Temporomandibular joint and 3.0 T pseudodynamic magnetic resonance imaging. Part 2: evaluation of articular disc obscurity. AB - OBJECTIVES: This study examined the relationship between temporomandibular joint (TMJ) dysfunctions and obscurity grades of interpreted anterior and posterior borders of the articular disc (Da and Dp, respectively) by 3.0 T pseudodynamic MRI. METHODS: Da and Dp were classified into seven obscurity grades, and the Dp contour was classified into three types. The grades, types and TMJ function were compared by 3.0 T pseudodynamic MRI. RESULTS: Unobscured Da images at condylar positions posterior to the articular eminence were associated with normal TMJ function (P = 0.046 < 0.05). Unobscured Dp images at condylar positions anterior to the articular eminence were associated with normal TMJ function (P = 0.033 < 0.05). In addition, unobscured Dp images following flap insertion were associated with normal TMJ function (P = 0.043 < 0.05). There was no statistical relationship between Dp contour types and TMJ movement, but any change observed in the Dp contour during mouth opening was associated with abnormal TMJ function (P = 0.040 < 0.05). CONCLUSIONS: Grading of Da and Dp obscurity based on how well the areas were defined in the images, identifying the condylar positions in relation to the glenoid fossa and articular eminences, and observing the changes in Dp contour types were useful for diagnosing TMJ abnormalities. PMID- 21062943 TI - Evaluation of the lateral pterygoid muscle using magnetic resonance imaging. AB - OBJECTIVES: The aims of this study were to evaluate the visibility of the lateral pterygoid muscle (LPM) in temporomandibular joint (TMJ) images obtained by MRI, using different projections and to compare image findings with clinical symptoms of patients with and without temporomandibular disorders (TMD). METHODS: In this study, LPM images of 50 participants with and without TMDs were investigated by MRI. The images of the LPM in different projections of 100 TMJs from 35 participants (70 TMJs) with and 15 participants (30 TMJs) without clinical signs and symptoms of TMD were visible and analysed. RESULTS: The oblique sagittal and axial images of the TMJ clearly showed the LPM. Hypertrophy (1.45%), atrophy (2.85%) and contracture (2.85%) were the abnormalities found in the LPM. TMD signs, such as hypermobility (11.4%), hypomobility (12.9%) and disc displacement (20.0%), could be seen in TMJ images. Related clinical symptoms, such as pain (71.4%), articular sounds (30.4%), bruxism (25.7%) and headache (22.9%), were observed. CONCLUSIONS: Patients with TMD can present with alterations in the LPM thickness. Patients without TMD also showed alterations, such as atrophy and contracture, in TMJ images. Recognition of alterations in the LPM will improve our understanding of clinical symptoms and pathophysiology of TMD, and may lead to a more specific diagnosis of these disorders. PMID- 21062945 TI - Dimensional stability in composite cone beam computed tomography. AB - An automated increase in the field of view (FOV) for multipurpose cone beam CT (CBCT) by "stitching" (joining) up to three component volumes to yield a larger composite volume must still ensure dimensional stability, especially if the image is to form the basis for a surgical splint. Dimensional stability, image discrepancies and the influence of movement artefacts between exposures were evaluated. The first consumer installation of the Kodak 9000 three-dimensional (3D) extraoral imaging system with stitching software was used for the evaluation of a human mandible with three endodontic instruments as markers. The distances between several reproducible points were measured directly and the results compared with the values measured on screen. Displacements of the mandible along all axes between exposures as well as angular displacements were conducted to test the capability of the system. The standard deviations (SD) of the results for the vertical distances varied between 0.212 mm and 0.409 mm (approximately 1 2 voxels; range, 0.6-1.3 mm) and may be considered the systematic error. The SD of the results for the horizontal and diagonal distances varied between 0.195 mm and 0.571 mm (approximately 1-3 voxels; range, 0.6-1.7 mm) if the group with overall horizontal angulations of 10 degrees and a central rotation of 20 degrees was omitted. In conclusion, the evaluated stitching software is a useful tool to expand the options of combined CBCT with an initial small FOV by allowing a merger of up to three component volumes to yield a larger FOV of about 80 * 80 * 37 mm. The dimensional stability was acceptable when seen in relation to the induced disturbance. Further evaluation of this composite CBCT/digital imaging and communications in medicine system for subsequent splint fabrication may yield promising results. PMID- 21062944 TI - Diagnostic accuracy of different imaging modalities in detection of proximal caries. AB - OBJECTIVE: The purpose of this study was to assess the in vitro diagnostic ability of visual inspection, film, charge-coupled device (CCD) sensor, photostimulable phosphor (PSP) sensor and cone beam CT in the detection of proximal caries in posterior teeth compared with the histological gold standard. METHODS: Visual inspection, film, CCD, PSP and cone beam CT images were used to detect proximal caries in the mesial and distal surfaces of 138 teeth (276 surfaces). Visual inspection and evaluation of all intraoral digital and conventional radiographs and cone beam CT images were performed twice by three oral radiologists. Weighted kappa coefficients were calculated to assess intra- and interobserver agreement for each image set, and scores were compared with the histological gold standard using receiver operating characteristic (ROC) analysis to evaluate diagnostic ability. RESULTS: Intraobserver kappa coefficients calculated for each observer for each method of detecting caries ranged from 0.739 to 0.928. Strong interobserver agreement ranging from 0.631 to 0.811 was found for all detection methods. The highest Az values for all three observers were obtained with the cone beam CT images; however, differences between detection methods were not statistically significant (P > 0.05). CONCLUSION: Visual inspection, film, CCD, PSP plates and cone beam CT performed similarly in the detection of proximal caries. PMID- 21062946 TI - Dramatic dilatation of the upper airway secondary to a Valsalva manoeuvre in a lateral cephalometric teleradiograph of a child. AB - Radiographs are routinely used by orthodontists for the planning of treatment for their patients and they can, in some cases, play a decisive role in the early diagnosis of some unexpected medical or surgical disorders. This report presents the case of a substantial dilatation of the upper airway in a 10-year-old girl, which was attributed to a forced Valsalva manoeuvre. The diagnosis was confirmed upon repetition of the teleradiograph with the mouth open. PMID- 21062947 TI - Orthodontic cephalometric X-rays overdone: a commentary. PMID- 21062948 TI - Pedophilia: an evaluation of diagnostic and risk prediction methods. AB - One hundred thirty child sexual abusers were diagnosed using each of following four methods: (a) phallometric testing, (b) strict application of Diagnostic and Statistical Manual of Mental Disorders (4th ed., text revision [DSM-IV-TR]) criteria, (c) Rapid Risk Assessment of Sex Offender Recidivism (RRASOR) scores, and (d) "expert" diagnoses rendered by a seasoned clinician. Comparative utility and intermethod consistency of these methods are reported, along with recidivism data indicating predictive validity for risk management. Results suggest that inconsistency exists in diagnosing pedophilia, leading to diminished accuracy in risk assessment. Although the RRASOR and DSM-IV-TR methods were significantly correlated with expert ratings, RRASOR and DSM-IV-TR were unrelated to each other. Deviant arousal was not associated with any of the other methods. Only the expert ratings and RRASOR scores were predictive of sexual recidivism. Logistic regression analyses showed that expert diagnosis did not add to prediction of sexual offence recidivism over and above RRASOR alone. Findings are discussed within a context of encouragement of clinical consistency and evidence-based practice regarding treatment and risk management of those who sexually abuse children. PMID- 21062949 TI - Distributed versus focal cortical stimulation to enhance motor function and motor map plasticity in a rodent model of ischemia. AB - BACKGROUND: Motor rehabilitation after cerebral ischemia can enhance motor performance and induce motor map reorganization. Electrical stimulation of the cortex (CS) during rehabilitative training (CS/RT) augments motor map plasticity and confers gains in motor function beyond those observed with motor rehabilitation alone. However, it is unclear how the distribution of electrical stimulation across the cortex accomplishes these changes. This study examined the behavioral and neurophysiological effects of delivering CS/RT through a distributed versus focal arrangement of electrical contacts. METHODS: Adult male rats were given rehabilitative training on a skilled forelimb reaching task following induction of focal ischemic damage within motor cortex. Intracortical microstimulation was used to derive high-resolution maps of forelimb movement representations within motor cortex contralateral to the trained/impaired paw before and after rehabilitation. RESULTS: All animals that received rehabilitation showed greater increases in motor map area and reaching accuracy than animals that received no training. Animals with the distributed configuration performed significantly greater reaching accuracy than animals in both the CS/RT with focused contact arrangement and rehabilitative training alone (RT) conditions on days 3 to 4 and on day 6 through the remainder of the study (P < .05). However, both CS/RT groups exhibited larger motor maps than the RT condition (E1-CS/RT, 4.71 +/- 0.66 mm(2); E2-CS/RT, 4.64 +/- 0.46 mm(2); RT, 2.99 +/- 0.28 mm(2)). CONCLUSION: The results indicate that although both focal and distributed forms of CS/RT promote motor map reorganization only the distributed form of CS/RT enhances motor performance with rehabilitation. PMID- 21062950 TI - To intend to but not being able to: frequent attenders' experiences of suffering and of their encounter with the health care system. AB - PURPOSE: Patients who frequently consult the health care system are supposed to cause great economic costs, and they also trouble the health care staff, as it seems as if there are no interventions that will help and keep them healthy. The researcher assumes that these patients attend for a reason and that they attend frequently because they, from a holistic point of view, do not get their health care needs fulfilled. This article aims to describe how frequent attenders experience their suffering and their encounter with the health care system. DESIGN AND METHOD: The study has an inductive hermeneutic design. Interviews with persons identified as frequent attenders were analyzed by means of a hermeneutic inquiry with three interpretive steps: naive reading, structural analysis, and critical reflection. FINDINGS: Patients are constantly striving to be and become healthy, to be of use, and to please others. The patients do not attend until suffering is experienced as unbearable. The patients experience, however, that health care staff do not understand their situation. Patients experience feelings of mistrust and rejection, which increases suffering. CONCLUSION: Holistic care could be a means to relieve suffering and, as a consequence, reduce attendance. PMID- 21062951 TI - Spring Forest Qigong and chronic pain: making a difference. AB - PURPOSE: Research completed in Asia on various forms of qigong over time has repeatedly linked the practice to positive health outcomes. To demonstrate that Spring Forest Qigong (SFQ) knowledge is easily accessible, promotes self efficacy, and has measurable health benefits, a pilot study on SFQ and chronic pain was designed. DESIGN: This mixed-methods study oriented 122 subjects to Level 1 SFQ. METHOD: Participants were required to complete four symptom surveys (including the Visual Analog Scale), attend three group meetings (beginning, middle, end of study), practice SFQ for 30 minutes per day for 8 weeks, and keep a practice record. FINDINGS: Pearson correlation coefficients were computed pairwise between the symptom surveys. Pearson's chi-square tests were used to assess the association of these variables between the four survey time points, with statistical significance assessed at alpha =.05. The hypothesis was statistically supported. The active exercise and the meditation aspects of SFQ are effective self-care modalities for persons with perceived chronic physical pain and/or emotional distress. CONCLUSIONS: Subjects (n = 86) demonstrated significant improvement both statistically and anecdotally during the study period. Clinical relevance : Findings indicate that health care providers could promote this promising evidenced-based modality for adults to actualize health promotion practices. PMID- 21062952 TI - Axon topography of layer IV spiny cells to orientation map in the cat primary visual cortex (area 18). AB - Our aim was to reveal the relationship between layer IV horizontal connections and the functional architecture of the cat primary visual cortex because these connections play important roles in the first cortical stage of visual signals integration. We investigated bouton distribution of spiny neurons over an orientation preference map using in vivo optical imaging, unit recordings, and single neuron reconstructions. The radial extent of reconstructed axons (14 star pyramidal and 9 spiny stellate cells) was ~1.5 mm. In the vicinity of the parent somata (<400 MUm), boutons occupied chiefly iso-orientations, however, more distally, 7 cells projected preferentially to non-iso-orientations. Boutons of each cell were partitioned into 1-15 distinct clusters based on the mean-shift algorithm, of which 57 clusters preferred iso-orientations and 43 clusters preferred cross-orientations, each showing sharp orientation preference "tuning." However, unlike layer III/V pyramidal cells preferring chiefly iso-orientations, layer IV cells were engaged with broad orientations because each bouton cluster from the same cell could show different orientation preference. These results indicate that the circuitry of layer IV spiny cells is organized differently from that of iso-orientation dominant layer III/V cells and probably processes visual signals in a different manner from that of the superficial and deeper layers. PMID- 21062953 TI - A novel fluorogenic substrate for the measurement of endothelial lipase activity. AB - Endothelial lipase (EL) is a phospholipase A1 (PLA1) enzyme that hydrolyzes phospholipids at the sn-1 position to produce lysophospholipids and free fatty acids. Measurement of the PLA1 activity of EL is usually accomplished by the use of substrates that are also hydrolyzed by lipases in other subfamilies such as PLA2 enzymes. In order to distinguish PLA1 activity of EL from PLA2 enzymatic activity in cell-based assays, cell supernatants, and other nonhomogeneous systems, a novel fluorogenic substrate with selectivity toward PLA1 hydrolysis was conceived and characterized. This substrate was preferred by PLA1 enzymes, such as EL and hepatic lipase, and was cleaved with much lower efficiency by lipases that exhibit primarily triglyceride lipase activity, such as LPL or a lipase with PLA2 activity. The phospholipase activity detected by the PLA1 substrate could be inhibited with the small molecule esterase inhibitor ebelactone B. Furthermore, the PLA1 substrate was able to detect EL activity in human umbilical vein endothelial cells in a cell-based assay. This substrate is a useful reagent for identifying modulators of PLA1 enzymes, such as EL, and aiding in characterizing their mechanisms of action. PMID- 21062954 TI - Biochemical studies on sphingolipids of Artemia franciscana: novel neutral glycosphingolipids. AB - Neutral glycosphingolipids containing one to six sugars in their oligosaccharide chains have been isolated from cysts of the brine shrimp Artemia franciscana. The structures of these glycolipids were identified by methylation analysis, partial acid hydrolysis, gas-liquid chromatography, combined gas-liquid chromatography mass spectrometry, matrix-assisted laser desorption/ionization time-of-flight mass spectrometry, and proton nuclear magnetic resonance spectroscopy to be Glcbeta1-Cer, Manbeta1-4Glcbeta1-Cer, Fucalpha1-3Manbeta1-4Glcbeta1-Cer, GlcNAcbeta1-3Manbeta1-4Glcbeta1-Cer, GlcNAcalpha1-2Fucalpha1-3Manbeta1-4Glcbeta1 Cer, GalNAcbeta1-4GlcNAcbeta1-3Manbeta1-4Glcbeta1-Cer, GalNAcbeta1-4(Fucalpha1 3)GlcNAcbeta1-3Manbeta1-4Glcbeta1-Cer (CPS), and GalNAcbeta1-4(GlcNAcalpha1 2Fucalpha1-3)GlcNAcbeta1-3Manbeta1-4Glcbeta1-Cer (CHS). Two glycosphingolipids, CPS and CHS, were characterized as novel structures. Because Artemia contains a certain series of glycosphingolipids (-Fucalpha3Manbeta4GlcbetaCer), which differ from the core sugar sequences reported thus far, we tentatively designated the glycosphingolipids characterized as nonarthro-series ones. Furthermore, CHS exhibited a hybrid structure of arthro-series and nonarthro-series sugar chain. Two novel glycosphingolipids were characterized from the brine shrimp Artemia franciscana; one was composed of arthrotetraose and a branching fucose attached to N-acetylglucosamine residue, and the other was composed of CPS with an additional N-acetylglucosamine residue attached to the branching fucose. PMID- 21062955 TI - Lipid metabolism in myelinating glial cells: lessons from human inherited disorders and mouse models. AB - The integrity of central and peripheral nervous system myelin is affected in numerous lipid metabolism disorders. This vulnerability was so far mostly attributed to the extraordinarily high level of lipid synthesis that is required for the formation of myelin, and to the relative autonomy in lipid synthesis of myelinating glial cells because of blood barriers shielding the nervous system from circulating lipids. Recent insights from analysis of inherited lipid disorders, especially those with prevailing lipid depletion and from mouse models with glia-specific disruption of lipid metabolism, shed new light on this issue. The particular lipid composition of myelin, the transport of lipid-associated myelin proteins, and the necessity for timely assembly of the myelin sheath all contribute to the observed vulnerability of myelin to perturbed lipid metabolism. Furthermore, the uptake of external lipids may also play a role in the formation of myelin membranes. In addition to an improved understanding of basic myelin biology, these data provide a foundation for future therapeutic interventions aiming at preserving glial cell integrity in metabolic disorders. PMID- 21062956 TI - Identification of a physiological role for leptin in the regulation of ambulatory activity and wheel running in mice. AB - Mechanisms regulating spontaneous physical activity remain poorly characterized despite evidence of influential genetic and acquired factors. We evaluated ambulatory activity and wheel running in leptin-deficient ob/ob mice and in wild type mice rendered hypoleptinemic by fasting in both the presence and absence of subcutaneous leptin administration. In ob/ob mice, leptin treatment to plasma levels characteristic of wild-type mice acutely increased both ambulatory activity (by 4,000 +/- 200 beam breaks/dark cycle, P < 0.05) and total energy expenditure (TEE; by 0.11 +/- 0.01 kcal/h during the dark cycle, P < 0.05) in a dose-dependent manner and acutely increased wheel running (+350%, P < 0.05). Fasting potently increased ambulatory activity and wheel running in wild-type mice (AA: +25%, P < 0.05; wheel running: +80%, P < 0.05), and the effect of fasting was more pronounced in ob/ob mice (AA: +400%, P < 0.05; wheel running: +1,600%, P < 0.05). However, unlike what occurred in ad libitum-fed ob/ob mice, physiological leptin replacement attenuated or prevented fasting-induced increases of ambulatory activity and wheel running in both wild-type and ob/ob mice. Thus, plasma leptin is a physiological regulator of spontaneous physical activity, but the nature of leptin's effect on activity is dependent on food availability. PMID- 21062957 TI - Regulation of adiponectin production by insulin: interactions with tumor necrosis factor-alpha and interleukin-6. AB - Obesity is often associated with insulin resistance, low-grade systemic inflammation, and reduced plasma adiponectin. Inflammation is also increased in adipose tissue, but it is not clear whether the reductions of adiponectin levels are related to dysregulation of insulin activity and/or increased proinflammatory mediators. In this study, we investigated the interactions of insulin, tumor necrosis factor-alpha (TNF-alpha) and interleukin 6 (IL-6) in the regulation of adiponectin production using in vivo and in vitro approaches. Plasma adiponectin and parameters of insulin resistance and inflammation were assessed in a cohort of lean and obese insulin-resistant subjects. In addition, the effect of insulin was examined in vivo using the hyperinsulinemic-euglycemic clamp, and in adipose tissue (AT) cultures. Compared with lean subjects, the levels of total adiponectin, and especially the high-molecular-weight (HMW) isomer, were abnormally low in obese insulin-resistant subjects. The hyperinsulinemic clamp data confirmed the insulin-resistant state in the obese patients and showed that insulin infusion significantly increased the plasma adiponectin in lean but not obese subjects (P < 0.01). Similarly, insulin increased total adiponectin release from AT explants of lean and not obese subjects. Moreover, expression and secretion of TNF-alpha and IL-6 increased significantly in AT of obese subjects and were negatively associated with expression and secretion of adiponectin. In 3T3-L1 and human adipocyte cultures, insulin strongly enhanced adiponectin expression (2-fold) and secretion (3-fold). TNF-alpha, and not IL-6, strongly opposed the stimulatory effects of insulin. Intriguingly, the inhibitory effect of TNF-alpha was especially directed toward the HMW isomer of adiponectin. In conclusion, these studies show that insulin upregulates adiponectin expression and release, and that TNF-alpha opposes the stimulatory effects of insulin. A combination of insulin resistance and increased TNF-alpha production could explain the decline of adiponectin levels and alterations of isomer composition in plasma of obese insulin-resistant subjects. PMID- 21062958 TI - Potential therapeutic role of resistance training in diabetes: a contribution by the 2009 recipient of the APS New Investigator Award. PMID- 21062959 TI - Role of the adipocyte-specific NF-kappaB activity in the regulation of IP-10 and T cell migration. AB - Infiltration of immune cells into adipose tissue plays a central role in the pathophysiology of obesity-associated low-grade inflammation. The aim of this study was to analyze the role of adipocyte NF-kappaB signaling in the regulation of the chemokine/adipokine interferon-gamma-induced protein 10 kDa (IP-10) and adipocyte-mediated T cell migration. Therefore, the regulation of IP-10 was investigated in adipose tissue of male C57BL/6J mice, primary human and 3T3-L1 preadipocytes/adipocytes. To specifically block the NF-kappaB pathway, 3T3-L1 cells stably overexpressing a transdominant mutant of IkappaBalpha were generated, and the chemical NF-kappaB inhibitor Bay117082 was used. Adipocyte mediated T cell migration was assessed by a migration assay. It could be shown that IP-10 expression was higher in mature adipocytes compared with preadipocytes. Induced IP-10 expression and secretion were completely blocked by an NF-kappaB inhibitor in 3T3-L1 and primary human adipocytes. Stable overexpression of a transdominant mutant of IkappaBalpha in 3T3-L1 adipocytes led to an inhibition of basal and stimulated IP-10 expression and secretion. T cell migration was induced by 3T3-L1 adipocyte-conditioned medium, and both basal and induced T cell migration was strongly inhibited by stable overexpression of a transdominant IkappaBalpha mutant. In addition, with the use of an anti-IP-10 antibody, a significant decrease of adipocyte-induced T cell migration was shown. In conclusion, in this study, we could demonstrate that the NF-kappaB pathway is essential for the regulation of IP-10 in 3T3-L1 and primary human adipocytes. Adipocytes rather than preadipocytes contribute to NF-kappaB-dependent IP-10 expression and secretion. Furthermore, NF-kappaB-dependent factors and especially IP-10 represent novel signals from adipocytes to induce T cell migration. PMID- 21062960 TI - Transaldolase exchange and its effects on measurements of gluconeogenesis in humans. AB - The deuterated water method is used extensively to measure gluconeogenesis in humans. This method assumes negligible exchange of the lower three carbons of fructose 6-phsophate via transaldolase exchange since this exchange will result in enrichment of carbon 5 of glucose in the absence of net gluconeogenesis. The present studies tested this assumption. 2H2O and acetaminophen were ingested and [1-13C]acetate infused in 11 nondiabetic subjects after a 16-h fast. Plasma and urinary glucuronide enrichments were measured using nuclear magnetic resonance spectroscopy before and during a 0.35 mU.kg FFM-1.min-1 insulin infusion. Rates of endogenous glucose production measured with [3-3H]- and [6,6-2H2]glucose did not differ either before (14.0 +/- 0.7 vs. 13.8 +/- 0.7 MUmol.kg-1.min-1) or during the clamp (10.4 +/- 0.9 vs. 10.9 +/- 0.7 MUmol.kg-1.min-1), consistent with equilibration and quantitative removal of tritium during triose isomerase exchange. Plasma [3-13C] glucose-to-[4-13C]glucose and urinary [3-13C] glucuronide-to-[4-13C]glucuronide ratios were <1.0 (P < 0.001) in all subjects both before (0.66 +/- 0.04 and 0.60 +/- 0.04) and during (059 +/- 0.05 and 0.56 +/- 0.06) the insulin infusion, respectively, indicating that ~35-45% of the labeling of the 5th carbon of glucose by deuterium was due to transaldolase exchange rather than gluconeogenesis. When corrected for transaldolase exchange, rates of gluconeogenesis were lower (P < 0.001) and glycogenolysis higher (P < 0.001) than uncorrected rates both before and during the insulin infusion. In conclusion, assuming negligible dilution by glycerol and near-complete triose isomerase equilibration, these data provide strong experimental evidence that transaldolase exchange occurs in humans, resulting in an overestimate of gluconeogenesis and an underestimate of glycogenolysis when measured with the 2H2O method. Use of appropriate 13C tracers provides a means of correcting for transaldolase exchange. PMID- 21062962 TI - One hundred years of pleiotropy: a retrospective. AB - Pleiotropy is defined as the phenomenon in which a single locus affects two or more distinct phenotypic traits. The term was formally introduced into the literature by the German geneticist Ludwig Plate in 1910, 100 years ago. Pleiotropy has had an important influence on the fields of physiological and medical genetics as well as on evolutionary biology. Different approaches to the study of pleiotropy have led to incongruence in the way that it is perceived and discussed among researchers in these fields. Furthermore, our understanding of the term has changed quite a bit since 1910, particularly in light of modern molecular data. This review traces the history of the term "pleiotropy" and reevaluates its current place in the field of genetics. PMID- 21062963 TI - Brachypodium distachyon grain: characterization of endosperm cell walls. AB - The wild grass Brachypodium distachyon has been proposed as an alternative model species for temperate cereals. The present paper reports on the characterization of B. distachyon grain, placing emphasis on endosperm cell walls. Brachypodium distachyon is notable for its high cell wall polysaccharide content that accounts for ~52% (w/w) of the endosperm in comparison with 2-7% (w/w) in other cereals. Starch, the typical storage polysaccharide, is low [<10% (w/w)] in the endosperm where the main polysaccharide is (1-3) (1-4)-beta-glucan [40% (w/w) of the endosperm], which in all likelihood plays a role as a storage compound. In addition to (1-3) (1-4)-beta-glucan, endosperm cells contain cellulose and xylan in significant amounts. Interestingly, the ratio of ferulic acid to arabinoxylan is higher in B. distachyon grain than in other investigated cereals. Feruloylated arabinoxylan is mainly found in the middle lamella and cell junction zones of the storage endosperm, suggesting a potential role in cell-cell adhesion. The present results indicate that B. distachyon grains contain all the cell wall polysaccharides encountered in other cereal grains. Thus, due to its fully sequenced genome, its short life cycle, and the genetic tools available for mutagenesis/transformation, B. distachyon is a good model to investigate cell wall polysaccharide synthesis and function in cereal grains. PMID- 21062964 TI - Effect of mini-implant length and diameter on primary stability under loading with two force levels. AB - Mini-implants are widely utilized as anchorage units in orthodontic treatment. Nevertheless, there are factors that interfere with their clinical performance. The aim of this study was to examine the impact of length and diameter on the primary stability of two different types of orthodontic mini-implants loaded with two force levels. A total of 90 self-drilling mini-implants were inserted in bovine ribs in vitro, 62 of which were used in data analysis. The mini-implants were of two types, Aarhus (n=29) and Lomas (n=33), of two lengths (7 and 9 mm, n=26 and n=28, respectively), and of two diameters (1.5 and 2 mm, Lomas only, n=6 and n=8, respectively). A closed nickel-titanium (NiTi) coil spring was attached to each mini-implant. Half of the preparations were loaded with a low force of 0.5 N and the other half with a force of 2.5 N. Mini-implant deflections during force application were non-invasively registered using a three-dimensional (3D) laser-optical system. The results were analysed with analysis of variance for the effects of implant type, implant length, and force level, and with a t-test for the study of the effect of diameter in two different diameter variants of the same (Lomas) implant. In the low-force group, implant displacements were not statistically significant difference according to the investigated parameters. In the high-force group, the 9 mm long mini-implants displaced significantly less (10.5+/-7.5 MUm) than the 7 mm long (22.3+/-11.3 MUm, P<0.01) and the 2 mm wide significantly less (8.8+/-2.2 MUm) than the 1.5 mm implants (21.9+/-1.5 MUm, P<0.001). The force level at which significance occurred was 1 N. The rotation of the Lomas mini-implants in the form of tipping was significantly higher than that of the Aarhus mini-implants at all force levels. Implant length and diameter become statistically significant influencing parameters on implant stability only when a high force level is applied. PMID- 21062965 TI - Maximum voluntary molar bite force in subjects with normal occlusion. AB - The aims of this investigation were to determine whether stabilization of maximum voluntary bite force (MVBF) occurs between 15 and 18 years of age in subjects with a normal occlusion, and to assess the influence of gender, body mass index (BMI), morphological occlusion, and jaw function measured by the number of occlusal contacts, overjet, overbite, maximal mouth opening, mandibular deflection during opening, sagittal slide between the retruded contact position and the intercuspal position, and number of dental restorations. The sample comprised 60 Caucasian subjects aged 15 (15 males and 15 females) and 18 (14 males and 16 females) years with a neutral occlusion, balanced facial profile, and absence of a previous orthodontic history. Bite force measurements were undertaken using a portable occlusal force gauge on both the left and the right sides of the jaw in the first molar region during maximal clenching. Two independent samples t-tests and multiple regression were used for statistical analysis. MVBFs were age and gender related (P<0.05). Males showed a significant increase in bite force between 15 and 18 years of age (P=0.002), but gender differences were significant only in the 18-year-olds (P=0.003). In subjects with a neutral occlusion, MVBF could best be predicted using multiple regression from age and gender. The regression model accounted for 31.3 percent of the variance in MVBF (P=0.031), with gender contributing 17.9 percent and age 7.9 percent. Morphological occlusion, jaw function, and BMI explained the remaining 5.5 percent of variance. While controlling for all other parameters, the independent contribution of gender to the prediction of MVBF was 16.2 percent, age 6 percent, number of occlusal contacts 3.2 percent, and BMI 1.3 percent. PMID- 21062966 TI - Effects of the functional regulator III on transversal changes: a postero anterior cephalometric and model study. AB - Studies assessing the transversal treatment changes caused by the functional regulator III (FR-3) are limited in number. This clinical study was planned to analyse the transversal effects of the FR-3 appliance therapy. The treatment group consisted of 17 patients (8 males and 9 females) with Class III malocclusion, who were treated with the FR-3 appliance. The control group consisted of 17 subjects (7 males and 10 females) with a normal occlusion. Mean ages of the subjects were 10.73 and 10.66 years in the treatment and control groups, respectively. Postero-anterior radiographs and stone casts were obtained before (T1) and after (T2) treatment/observation. The results of the Student's t test comparing initial values showed that maxillary dentoalveolar and skeletal widths are significantly larger in the control group than those in the treatment group. At the end of the treatment, significant transverse increments occurred only at the dentoalveolar level of the maxilla. The transversal changes in the mandible were not statistically significant. Buccal shields of FR-3 did not stimulate the growth of maxillary apical base but caused an enhanced and supplementary widening of maxillary dental and alveolar structures. PMID- 21062967 TI - 'I just saw it as something that would pull you down, rather than lift you up': resilience in never-smokers with mental illness. AB - Why people smoke despite the health risks is an important public health question. Equally important is why and how some people resist smoking in spite of circumstances that clearly place them at high risk of becoming smokers. This study used in-depth interviews to explore the narratives of 12 people diagnosed with mental illness, who had made conscious decisions not to smoke. This was despite most of them growing up in smoking families or being from population groups at high risk of smoking. A qualitative grounded theory methodology was used to analyse common themes around protective behaviours and attitudes within a model of resilience. Themes included strong negative reactions to smoking as children which have persisted into adulthood, strong lasting associations with smoking, a clear sense of 'self' separate from peers from an early age (internal resilience) and developing a range of coping strategies and external supports not related to smoking (external resilience). Understanding resilience holds potential lessons for health promotion and primary health care professionals supporting the prevention of smoking uptake and supporting smoking cessation by at risk groups. PMID- 21062968 TI - The effects of the stromal cell-derived cyclooxygenase-2 metabolite prostaglandin E2 on the proliferation of colon cancer cells. AB - It is well known that tumor-surrounding stromal tissues support tumor development through secreting soluble factors such as various cytokines, chemokines, and growth factors. It has also been suggested that tumor-associated fibroblast and immune cells have a high expression of cyclooxygenase-2 (COX-2) and produce and secrete several prostaglandins (PGs) to adjacent cancer tissues. From these findings, we assumed that COX-2 inhibition might have an anticancer effect on cancer cells even without COX-2 expression in COX-2-dependent mechanisms through blocking the effect of stroma-derived PGs. Here, because of the complex involvement of various factors in vivo, we investigated this possibility with an in vivo-mimicking model using a Transwell system. To test our hypothesis, we used COX-2-transfected cell lines as stromal cells in our model. When we cocultured cancer cells (LS174T cells without COX-2 expression) with COX-2-high stromal cells in the Transwell membrane system, we observed that the proliferation of cancer cells was promoted and vascular endothelial growth factor synthesis was up regulated significantly. These effects were blocked completely by COX-2 inhibitors and phosphoinositide-3-kinase inhibitors and partially by the PG E(2) receptor 4 antagonist. Even if some cancer cells did not express COX-2, they were found to have expression of PG receptors and PG-related downstream signaling molecules associated with cell viability. Our observation suggests that these cells can be influenced by PGs derived from stromal tissues. These findings also suggest that COX-2 inhibitors can be used to control the interaction between cancer and surrounding stromal tissues and suppress the proliferation of cancer cells regardless of the expression of COX-2 in cancer cells. PMID- 21062969 TI - Follicular non-Hodgkin lymphoma grades 3A and 3B have a similar outcome and appear incurable with anthracycline-based therapy. AB - BACKGROUND: The revised World Health Organization (WHO) classification maintains a histological grading system (grades 1-3) for follicular lymphoma (FL) and subdivides grade 3 into 3A (FL3A) and 3B (FL3B) subtypes. Optimal therapy of FL grade 3 and its potential curability with anthracycline-based chemotherapy remain uncertain. PATIENTS AND METHODS: We carried out a retrospective population-based analysis evaluating the clinical characteristics and outcome of FL3A and FL3B as strictly defined by WHO diagnostic criteria. Using the BC Cancer Agency Lymphoid Cancer Database, 161 patients with FL grade 3 were identified and, following detailed pathology review, composed of 139 with FL3A and 22 with FL3B. RESULTS: Patients with FL3B had a higher overall International Prognostic Index (IPI) score than FL3A patients (P = 0.03), though no significant difference in individual IPI risk factor frequencies was noted. More patients with FL3B received front-line anthracycline-containing chemotherapy (82% versus 36%, P <= 0.001). With median follow-up of 45 months, no difference in disease-specific survival (P = 0.74) or overall survival (OS) (P = 0.87) was found between FL3A and FL3B and no survival curve plateau was observed. Analysis limited to FL3A patients showed no OS advantage with front-line anthracycline use (P = 0.33). CONCLUSION: Using strict diagnostic criteria, there appears to be no difference in outcome between patients with FL3A and FL3B and no evidence of curability with anthracycline-based therapy. PMID- 21062970 TI - Spontaneous mutagenesis in Csb(m/m)Ogg1-(/)- mice is attenuated by dietary resveratrol. AB - Oxidative DNA modifications such as 7,8-dihydro-8-oxoguanine (8-oxoG) are generated endogenously in apparently all living cells. The defect of the repair of 8-oxoG in Csb(m/m)Ogg1-(/)- mice results in elevated basal levels of these lesions and increased frequencies of spontaneous mutations, which initiate tumorigenesis in the liver if cell proliferation is stimulated. Here, we describe that the phytoalexin resveratrol, applied either for 7 days per gavage (100 mg/kg body wt) or for 3-9 months in the diet (0.04% ad libitum), reduces the endogenous oxidative DNA base damage in the livers of the Csb(m/m)Ogg1-(/)- mice by 20-30% (P < 0.01). A small but consistent effect is also observed in the wild-type animals. The spontaneous mutation frequencies determined in the lacI gene of BigBlue(r) Csb(m/m)Ogg1-(/)- mice are concomitantly reduced by resveratrol to similar extents. Mechanistically, the protection is caused by an induction of the antioxidant defense system since (i) hepatocytes isolated from all resveratrol treated animals were less susceptible to the generation of single-strand breaks and to cell killing by H2O2, (ii) messenger RNA levels of superoxide dismutases 1 and 2 (SOD1 and SOD2) heme oxygenase-1 and glutathione peroxidase were significantly upregulated after the short-term treatment and (iii) mutations primarily ascribed to the oxidative base modification 8-oxoG (G:C to T:A transversions) were more strongly suppressed than G:C to A:T transitions ascribed to spontaneous deamination. The results thus demonstrate that spontaneous somatic mutation rates resulting from endogenous oxidative DNA damage can be reduced by application of an exogenous agent. PMID- 21062971 TI - Cholesterol metabolism gene polymorphisms and the risk of biliary tract cancers and stones: a population-based case-control study in Shanghai, China. AB - Biliary tract cancers are rare but fatal malignancies, with increasing incidence in Shanghai, China. Gallstones, the primary risk factor for biliary tract cancer, typically result from oversaturation of cholesterol in bile. We examined the association of five variants in three lipid metabolism-related genes (CETP, ABCG8 and LRPAP1) and biliary tract cancers and stones in a population-based case control study in Shanghai, China. We included 439 biliary tract cancer cases (253 gallbladder, 133 extrahepatic bile duct and 53 ampulla of Vater cancer cases), 429 biliary stone cases and 447 population controls. Carriers of the CG genotype of ABCG8 rs11887534 had higher risk of biliary stones [odds ratio (OR) = 2.3, 95% confidence interval (CI) 0.82-6.5), gallbladder cancer (OR = 4.3, 95% CI 1.7 10.4) and bile duct cancer (OR = 1.94, 95% CI 0.64-5.91), compared with carriers of the GG genotype. Analysis stratified by gender showed both male and female carriers of CG rs11887534 had higher risks of biliary stones and gallbladder cancer, although the association was statistically significant only for women and gallbladder cancer (OR = 6.3, 95% CI 1.86-22.3). Carriers of the ABCG8 haplotype C-C (rs4148217-rs11887534) had a 4.16-fold (95% CI 1.71-10.1) risk of gallbladder cancer compared with those carrying the C-G haplotype. Our findings suggest that ABCG8 rs11887534, identified as a gallstone risk single-nucleotide polymorphism by whole genome scan, is also associated with an increased risk of biliary tract cancer. PMID- 21062972 TI - Exploring the mechanistic bases of heterosis from the perspective of macromolecular complexes. AB - Heterosis is defined as greater biomass, fertility or other traits in heterozygotes, polyploids or hybrids compared to their genetically divergent (often homozygous) parents. Heterosis was noticed by various civilizations and scientifically reported by Darwin himself. Despite the importance of heterosis, its molecular bases are still enigmatic. Several genetic models have been proposed but fail to give mechanistic insights. Here we show how dominant negative interactions might give rise to heterotic responses. We also explore a biochemical model of gene dosage effects in macromolecular complexes in a similar context. With the help of heuristic examples and computer simulations we find that heterotic individuals display higher allelic diversity and smaller average multimer concentrations than nonheterotic ones. As intuitively expected, the existence of heterosis involving multimeric complexes arises when the inbred parents have on average smaller genetic values than the maximum possible. Despite its simplicity, the dosage model accounts for the puzzling phenomenon of "progressive heterosis" in which polyploids with increasing genetic diversity exhibit progressively greater heterosis. PMID- 21062973 TI - Diminished antioxidant activity of high-density lipoprotein-associated proteins in systolic heart failure. AB - BACKGROUND: Diminished serum arylesterase activity, catalyzed by the high-density lipoprotein-associated paraoxonase-1, is associated with heightened systemic oxidative stress and atherosclerosis risk. In the present study, we sought to determine the prognostic role of serum arylesterase activity in subjects with systolic heart failure, particularly in relation to established cardiac biomarkers. METHODS AND RESULTS: We measured serum arylesterase activity in 760 subjects with impaired left ventricular systolic function (left ventricular ejection fraction <50%), and prospectively followed major adverse cardiac events (including death, nonfatal myocardial infarction, and stroke) for 3 years. In our study cohort (mean age, 64+/-11 years; 74% men; median left ventricular ejection fraction, 35%; median creatinine clearance, 96 mg/dL), mean serum arylesterase activity (98+/-25 MUmol/L/min/mL) was lower compared with that in healthy control subjects (mean, 115+/-26 MUmol/L/min/mL, P<0.01) but higher compared with advanced decompensated heart failure subjects (mean, 69+/-22 MUmol/L/min/mL, P<0.01). Within our cohort, there was modest correlation between serum arylesterase activity and high-density lipoprotein cholesterol (r=0.33, P<0.01) as well as B-type natriuretic peptide (r=-0.23, P<0.01). Lower serum arylesterase activity was a strong predictor of poorer outcomes (hazard ratio, 2.94; 95% confidence interval, 1.54, 5.62; P<0.001). After adjusting for traditional risk factors, medication use, B-type natriuretic peptide, and creatinine clearance, lower serum arylesterase still conferred an increased risk of major adverse cardiac events at 3 years (hazard ratio, 2.69; 95% confidence interval, 1.37 to 5.28; P=0.004). CONCLUSIONS: In patients with systolic heart failure, decreased serum arylesterase activity, a measure of diminished antioxidant properties of high-density lipoprotein, predicts higher risk of incident long-term adverse cardiac event independent of established clinical and biochemical risk factors. PMID- 21062974 TI - Intrinsic cooperation between p16INK4a and p21Waf1/Cip1 in the onset of cellular senescence and tumor suppression in vivo. AB - Although the p16(INK4a) and p21Waf1/Cip1 cyclin-dependent kinase (CDK) inhibitors are known to play key roles in cellular senescence in vitro, their roles in senescence remain rather poorly understood in vivo. This situation is partly due to the possibility of compensatory effect(s) between p16INK4a and p21Waf1/Cip1 or to the upregulation of functionally related CDK inhibitors. To directly address the cooperative roles of p16INK4a and p21Waf1/Cip1 in senescence in vivo, we generated a mouse line simply lacking both p16INK4a and p21Waf1/Cip1 genes [double-knockout (DKO)]. Mouse embryonic fibroblasts (MEF) derived from DKO mice displayed no evidence of cellular senescence when cultured serially in vitro. Moreover, DKO MEFs readily escaped Ras-induced senescence and overrode contact inhibition in culture. This was not the case in MEFs lacking either p16INK4a or p21Waf1/Cip1, indicating that p16(INK4a) and p21Waf1/Cip1 play cooperative roles in cellular senescence and contact inhibition in vitro. Notably, we found the DKO mice to be extremely susceptible to 7,12-dimethylbenz(a)anthracene/12-O tetradecanoylphorbol-13-acetate-induced skin carcinogenesis that involves oncogenic mutation of the H-ras gene. Mechanistic investigations suggested that the high incidence of cancer in DKO mice likely reflected a cooperative effect of increased benign skin tumor formation caused by p21Waf1/Cip1 loss, with increased malignant conversion of benign skin tumors caused by p16(INK4a) loss. Our findings establish an intrinsic cooperation between p16INK4a and p21Waf1/Cip1 in the onset of cellular senescence and tumor suppression in vivo. PMID- 21062975 TI - MicroRNA miR-302 inhibits the tumorigenecity of human pluripotent stem cells by coordinate suppression of the CDK2 and CDK4/6 cell cycle pathways. AB - miR-302 is the major microRNA found in human embryonic stem cells and induced pluripotent stem cells, but its function has been unclear. In mice, there is evidence that miR-302 may silence p21Cip1 (CDKN1A) to promote cell proliferation, whereas studies in human reprogrammed pluripotent stem cells suggested that elevated miR-302 expression inhibited cell cycle transit. Here, we clarify this difference, reporting that in human cells, miR-302 simultaneously suppressed both the cyclin E-CDK2 and cyclin D-CDK4/6 pathways to block>70% of the G1-S cell cycle transition. Concurrent silencing of BMI-1, a cancer stem cell marker targeted by miR-302, further promoted tumor suppressor functions of p16Ink4a and p14/p19Arf directed against CDK4/6-mediated cell proliferation. Among all G1 phase checkpoint regulators, human p21Cip1 was found not to be a valid target of miR-302. Overall, our findings indicate that miR-302 inhibits human pluripotent stem cell tumorigenicity by enhancing multiple G1 phase arrest pathways rather than by silencing p21Cip1. PMID- 21062976 TI - Induction of DNA damage-inducible gene GADD45beta contributes to sorafenib induced apoptosis in hepatocellular carcinoma cells. AB - Markers that could accurately predict responses to the general kinase inhibitor sorafenib are needed to better leverage its clinical applications. In this study, we examined a hypothesized role in the drug response for the growth arrest DNA damage-inducible gene 45beta (GADD45beta), which is commonly underexpressed in hepatocellular carcinoma (HCC) where sorafenib may offer an important new therapeutic option. The anticancer activity of sorafenib-induced GADD45beta expression was tested in a panel of HCC cell lines and xenograft models. We found that GADD45beta mRNA and protein expression were induced relatively more prominently in HCC cells that were biologically sensitive to sorafenib treatment. GADD45beta induction was not found after treatment with either the mitogen activated protein kinase-extracellular signal-regulated kinase (ERK) kinase (MEK) inhibitor U0126 or the Raf inhibitor ZM336372, suggesting that GADD45beta induction by sorafenib was independent of Raf/MEK/ERK signaling activity. However, c-Jun NH2-terminal kinase (JNK) kinase activation occurred preferentially in sorafenib-sensitive cells. Small interfering RNA-mediated knockdown of GADD45betaor JNK kinase limited the proapoptotic effects of sorafenib in sorafenib-sensitive cells. We defined the -339/-267 region in the GADD45beta promoter containing activator protein-1 and SP1-binding sites as a crucial region for GADD45beta induction by sorafenib. Together, our findings suggest that GADD45beta induction contributes to sorafenib-induced apoptosis in HCC cells, prompting further studies to validate its potential value in predicting sorafenib efficacy. PMID- 21062977 TI - PET imaging of tumor neovascularization in a transgenic mouse model with a novel 64Cu-DOTA-knottin peptide. AB - Due to the high mortality of lung cancer, there is a critical need to develop diagnostic procedures enabling early detection of the disease while at a curable stage. Targeted molecular imaging builds on the positive attributes of positron emission tomography/computed tomography (PET/CT) to allow for a noninvasive detection and characterization of smaller lung nodules, thus increasing the chances of positive treatment outcome. In this study, we investigate the ability to characterize lung tumors that spontaneously arise in a transgenic mouse model. The tumors are first identified with small animal CT followed by characterization with the use of small animal PET with a novel 64Cu-1,4,7,10-tetra-azacylododecane N,N',N'',N'''-tetraacetic acid (DOTA)-knottin peptide that targets integrins upregulated during angiogenesis on the tumor associated neovasculature. The imaging results obtained with the knottin peptide are compared with standard 18F fluorodeoxyglucose (FDG) PET small animal imaging. Lung nodules as small as 3 mm in diameter were successfully identified in the transgenic mice by small animal CT, and both 64Cu-DOTA-knottin 2.5F and FDG were able to differentiate lung nodules from the surrounding tissues. Uptake and retention of the 64Cu-DOTA knottin 2.5F tracer in the lung tumors combined with a low background in the thorax resulted in a statistically higher tumor to background (normal lung) ratio compared with FDG (6.01+/-0.61 versus 4.36+/-0.68; P<0.05). Ex vivo biodistribution showed 64Cu-DOTA-knottin 2.5F to have a fast renal clearance combined with low nonspecific accumulation in the thorax. Collectively, these results show 64Cu-DOTA-knottin 2.5F to be a promising candidate for clinical translation for earlier detection and improved characterization of lung cancer. PMID- 21062978 TI - The ability of biomarkers to predict systemic progression in men with high-risk prostate cancer treated surgically is dependent on ERG status. AB - The objective of this study was to assess the relationship of the tumor protein levels of TOP2A and MIB-1 and ERG status with cancer-specific outcomes in men with high-risk prostate cancer treated by radical prostatectomy (RP). A 150-pair case-control study was designed from RP patients who developed systemic progression (SP) within 6 years of RP (cases) and men who were free of disease at least 8 years after RP (controls). The cases and controls were matched on conventional prognostic clinical parameters. TOP2A and MIB-1 levels were assessed by immunohistochemical methods, and ERG status was assessed by quantitative reverse transcription-PCR. The prognostic abilities of TOP2A and MIB-1 were significantly better in ERG(-) patients, and TOP2A was superior to MIB-1. In receiver operating characteristic analysis, the TOP2A and MIB-1 scores exhibited AUCs of 0.81 and 0.78 for ERG(-) patients, versus 0.67 and 0.68 for ERG(+) patients, respectively. Clinical parameters attained an AUC of 0.65 in ERG(-) patients and 0.54 in ERG(+) patients. When both markers were incorporated into a model for ERG(-) patients, the AUC increased to 0.83, with TOP2A showing a stronger association with SP than MIB-1. The time to SP was significantly associated with TOP2A; higher 5-year SP rates were observed in patients with higher TOP2A protein levels. In addition, although patient numbers are small, the response to adjuvant androgen deprivation therapy is associated with ERG status, showing more significant treatment effect in ERG(+) patients. PMID- 21062979 TI - Induction of human epithelial stem/progenitor expansion by FOXM1. AB - Stem cells are permanent residents of tissues and thought to be targets of cancer initiation. The frequent, and often early, upregulation of the FOXM1 transcription factor in the majority of human cancers suggests that it may participate in the initiation of human tumorigenesis. However, this hypothesis has not been tested. Herein, we show that targeting the ectopic expression of FOXM1 to the highly clonogenic cells of primary human keratinocytes with stem/progenitor cell properties, but not to differentiating cells, caused clonal expansion in vitro. We show, using a functional three-dimensional organotypic epithelial tissue regeneration system, that ectopic FOXM1 expression perturbed epithelial differentiation generating a hyperproliferative phenotype reminiscent of that seen in human epithelial hyperplasia. Furthermore, transcriptional expression analysis of a panel of 28 epithelial differentiation-specific genes reveals a role for FOXM1 in the suppression of epithelial differentiation. This study provides the first evidence that FOXM1 participates in an early oncogenic pathway that predisposes cells to tumorigenesis by expanding the stem/progenitor compartment and deregulating subsequent keratinocyte terminal differentiation. This finding reveals an important window of susceptibility to oncogenic signals in epithelial stem/progenitor cells prior to differentiation, and may provide a significant benefit to the design of cancer therapeutic interventions that target oncogenesis at its earliest incipient stage. PMID- 21062980 TI - Hepatocyte nuclear factor-4alpha promotes gut neoplasia in mice and protects against the production of reactive oxygen species. AB - Hepatocyte nuclear factor-4alpha (Hnf4alpha) is a transcription factor that controls epithelial cell polarity and morphogenesis. Hnf4alpha conditional deletion during postnatal development has minor effects on intestinal epithelium integrity but promotes activation of the Wnt/beta-catenin pathway without causing tumorigenesis. Here, we show that Hnf4alpha does not act as a tumor-suppressor gene but is crucial in promoting gut tumorigenesis in mice. Polyp multiplicity in ApcMin mice lacking Hnf4alpha is suppressed compared with littermate ApcMin controls. Analysis of microarray gene expression profiles from mice lacking Hnf4alpha in the intestinal epithelium identifies novel functions of this transcription factor in targeting oxidoreductase-related genes involved in the regulation of reactive oxygen species (ROS) levels. This role is supported with the demonstration that HNF4alpha is functionally involved in the protection against spontaneous and 5-fluorouracil chemotherapy-induced production of ROS in colorectal cancer cell lines. Analysis of a colorectal cancer patient cohort establishes that HNF4alpha is significantly upregulated compared with adjacent normal epithelial resections. Several genes involved in ROS neutralization are also induced in correlation with HNF4A expression. Altogether, the findings point to the nuclear receptor HNF4alpha as a potential therapeutic target to eradicate aberrant epithelial cell resistance to ROS production during intestinal tumorigenesis. PMID- 21062981 TI - Global downstream pathway analysis reveals a dependence of oncogenic NF-E2 related factor 2 mutation on the mTOR growth signaling pathway. AB - In multicellular organisms, adaptive responses to oxidative stress are regulated by NF-E2-related factor 2 (NRF2), a master transcription factor of antioxidant genes and phase II detoxifying enzymes. Aberrant activation of NRF2 by either loss-of-function mutations in the Keap1 gene or gain-of-function mutations in the Nrf2 gene occurs in a wide range of human cancers, but details of the biological consequences of NRF2 activation in the cancer cells remain unclear. Here, we report that mutant NRF2 induces epithelial cell proliferation, anchorage independent growth, and tumorigenicity and metastasis in vivo. Genome-wide gene expression profiling revealed that mutant NRF2 affects diverse molecular pathways including the mammalian target of rapamycin (mTOR) pathway. Mutant NRF2 upregulates RagD, a small G-protein activator of the mTOR pathway, which was also overexpressed in primary lung cancer. Consistently, Nrf2-mutated lung cancer cells were sensitive to mTOR pathway inhibitors (rapamycin and NVP-BEZ235) in both in vitro and an in vivo xenograft model. The gene expression signature associated with mutant NRF2 was a marker of poor prognosis in patients with carcinoma of the head and neck region and lung. These results show that oncogenic Nrf2 mutation induces dependence on the mTOR pathway during carcinogenesis. Our findings offer a rationale to target NRF2 as an anticancer strategy, and they suggest NRF2 activation as a novel biomarker for personalized molecular therapies or prognostic assessment. PMID- 21062982 TI - Critical roles of DMP1 in human epidermal growth factor receptor 2/neu-Arf-p53 signaling and breast cancer development. AB - Human epidermal growth factor receptor 2 (HER2) overexpression stimulates cell growth in p53-mutated cells while it inhibits cell proliferation in those with wild-type p53, but the molecular mechanism is unknown. The Dmp1 promoter was activated by HER2/neu through the phosphatidylinositol-3'-kinase-Akt-NF-kappaB pathway, which in turn stimulated Arf transcription. Binding of p65 and p52 subunits of NF-kappaB was shown to the Dmp1 promoter and that of Dmp1 to the Arf promoter on HER2/neu overexpression. Both Dmp1 and p53 were induced in premalignant lesions from mouse mammary tumor virus-neu mice, and mammary tumorigenesis was significantly accelerated in both Dmp1+/- and Dmp1-/- mice. Selective deletion of Dmp1 and/or overexpression of Tbx2/Pokemon was found in >50% of wild-type HER2/neu carcinomas, although the involvement of Arf, Mdm2, or p53 was rare. Tumors from Dmp1+/-, Dmp1-/-, and wild-type neu mice with hemizygous Dmp1 deletion showed significant downregulation of Arf and p21Cip1/WAF1, showing p53 inactivity and more aggressive phenotypes than tumors without Dmp1 deletion. Notably, endogenous hDMP1 mRNA decreased when HER2 was depleted in human breast cancer cells. Our study shows the pivotal roles of Dmp1 in HER2/neu-p53 signaling and breast carcinogenesis. PMID- 21062983 TI - The telomerase inhibitor imetelstat depletes cancer stem cells in breast and pancreatic cancer cell lines. AB - Cancer stem cells (CSC) are rare drug-resistant cancer cell subsets proposed to be responsible for the maintenance and recurrence of cancer and metastasis. Telomerase is constitutively active in both bulk tumor cell and CSC populations but has only limited expression in normal tissues. Thus, inhibition of telomerase has been shown to be a viable approach in controlling cancer growth in nonclinical studies and is currently in phase II clinical trials. In this study, we investigated the effects of imetelstat (GRN163L), a potent telomerase inhibitor, on both the bulk cancer cells and putative CSCs. When breast and pancreatic cancer cell lines were treated with imetelstat in vitro, telomerase activity in the bulk tumor cells and CSC subpopulations were inhibited. Additionally, imetelstat treatment reduced the CSC fractions present in the breast and pancreatic cell lines. In vitro treatment with imetelstat, but not control oligonucleotides, also reduced the proliferation and self-renewal potential of MCF7 mammospheres and resulted in cell death after <4 weeks of treatment. In vitro treatment of PANC1 cells showed reduced tumor engraftment in nude mice, concomitant with a reduction in the CSC levels. Differences between telomerase activity expression levels or telomere length of CSCs and bulk tumor cells in these cell lines did not correlate with the increased sensitivity of CSCs to imetelstat, suggesting a mechanism of action independent of telomere shortening for the effects of imetelstat on the CSC subpopulations. Our results suggest that imetelstat-mediated depletion of CSCs may offer an alternative mechanism by which telomerase inhibition may be exploited for cancer therapy. PMID- 21062984 TI - Surface-immobilized aptamers for cancer cell isolation and microscopic cytology. AB - Exposing rare but highly malignant tumor cells that migrate from the primary tumor mass into adjacent tissue(s) or circulate in the bloodstream is critical for early detection and effective intervention(s). Here, we report on an aptamer based strategy directed against epidermal growth factor receptor (EGFR), the most common oncogene in glioblastoma (GBM), to detect these deadly tumor cells. GBMs are characterized by diffuse infiltration into normal brain regions, and the inability to detect GBM cells renders the disease surgically incurable with a median survival of just 14.2 months. To test the sensitivity and specificity of our platform, anti-EGFR RNA aptamers were immobilized on chemically modified glass surfaces. Cells tested included primary human GBM cells expressing high levels of the wild-type EGFR, as well as genetically engineered murine glioma cells overexpressing the most common EGFR mutant (EGFRvIII lacking exons 2-7) in Ink4a/Arf-deficient astrocytes. We found that surfaces functionalized with anti EGFR aptamers could capture both the human and murine GBM cells with high sensitivity and specificity. Our findings show how novel aptamer substrates could be used to determine whether surgical resection margins are free of tumor cells, or more widely for detecting tumor cells circulating in peripheral blood to improve early detection and/or monitoring residual disease after treatment. PMID- 21062985 TI - Mammalian target of rapamycin-dependent acinar cell neoplasia after inactivation of Apc and Pten in the mouse salivary gland: implications for human acinic cell carcinoma. AB - Cross-talk between the canonical Wnt and mammalian target of rapamycin (mTOR) signaling pathways occurs at multiple levels in the cell and likely contributes to the oncogenic effects of these pathways in human cancer. To gain more insight into the interplay between Wnt and mTOR signaling in salivary gland tumorigenesis, we developed a mouse model in which both pathways are constitutively activated by the conditional inactivation of the Apc and Pten tumor suppressor genes. Loss of either Apc or Pten alone did not cause tumor development. However, deletion of both genes resulted in the formation of salivary gland tumors with 100% penetrance and short latency that showed a remarkable morphologic similarity to human acinic cell carcinoma. Treatment of tumor-bearing mice using the mTOR inhibitor rapamycin led to complete regression of tumors, indicating that tumor growth was dependent on continued mTOR signaling. Importantly, we found that human salivary gland acinic cell carcinomas also express markers of activated mTOR signaling. Together, these results suggest that aberrant activation of mTOR signaling plays a pivotal role in acinar cell neoplasia of the salivary gland. Because rapamycin analogues are approved for treating other types of human malignancies, our findings suggest that rapamycin therapy should be evaluated for treating patients with salivary gland acinic cell carcinoma. PMID- 21062986 TI - Synergistic enhancement of carboplatin efficacy with photodynamic therapy in a three-dimensional model for micrometastatic ovarian cancer. AB - Metastatic ovarian cancer (OvCa) frequently recurs due to chemoresistance, highlighting the need for nonoverlapping combination therapies that mechanistically synergize to eradicate residual disease. Photodynamic therapy (PDT), a photochemistry-based cytotoxic modality, sensitizes ovarian tumors to platinum agents and biologics and has shown clinical promise against ovarian carcinomatosis. We introduce a three-dimensional (3D) model representing adherent ovarian micrometastases and high-throughput quantitative imaging methods to rapidly screen the order-dependent effects of combining benzoporphyrin-derivative (BPD) monoacid A-based PDT with low-dose carboplatin. 3D ovarian micronodules grown on Matrigel were subjected to BPD-PDT either before or after carboplatin treatment. We developed custom fluorescence image analysis routines to quantify residual tumor volume and viability. Carboplatin alone did not eradicate ovarian micrometastases at a dose of 400 mg/m2, leaving surviving cores that were nonsensitive or impermeable to chemotherapy. BPD-PDT (1.25 MUmol/L.J/cm2) created punctate cytotoxic regions within tumors and disrupted micronodular structure. Treatment with BPD-PDT prior to low-dose carboplatin (40 mg/m2) produced a significant synergistic reduction [P<0.0001, analysis of covariance (ANCOVA)] in residual tumor volume [0.26; 95% confidence interval (95% CI), 0.19-0.36] compared with PDT alone (0.76; 95% CI, 0.63-0.92) or carboplatin alone (0.95; 95% CI, 0.83-1.09), relative to controls. This synergism was not observed with the reverse treatment order. Here, we demonstrate for the first time the use of a 3D model for micrometastatic OvCa as a rapid and quantitative reporter to optimize sequence and dosing regimens of clinically relevant combination strategies. This approach combining biological modeling with high-content imaging provides a platform to rapidly screen therapeutic strategies for a broad array of metastatic tumors. PMID- 21062987 TI - High-throughput characterization of 10 new minor histocompatibility antigens by whole genome association scanning. AB - Patients with malignant diseases can be effectively treated with allogeneic hematopoietic stem cell transplantation (allo-SCT). Polymorphic peptides presented in HLA molecules, the so-called minor histocompatibility antigens (MiHA), play a crucial role in antitumor immunity as targets for alloreactive donor T cells. Identification of multiple MiHAs is essential to understand and manipulate the development of clinical responses after allo-SCT. In this study, CD8+ T-cell clones were isolated from leukemia patients who entered complete remission after allo-SCT, and MiHA-specific T-cell clones were efficiently selected for analysis of recognition of a panel of EBV-transformed B cells positive for the HLA restriction elements of the selected T-cell clones. One million single nucleotide polymorphisms (SNP) were determined in the panel cell lines and investigated for matching with the T-cell recognition data by whole genome association scanning (WGAs). Significant association with 12 genomic regions was found, and detailed analysis of genes located within these genomic regions revealed SNP disparities encoding polymorphic peptides in 10 cases. Differential recognition of patient-type, but not donor-type, peptides validated the identification of these MiHAs. Using tetramers, distinct populations of MiHA specific CD8+ T cells were detected, demonstrating that our WGAs strategy allows high-throughput discovery of relevant targets in antitumor immunity after allo SCT. PMID- 21062990 TI - Grooming and growing with microglia. AB - Microglia mediate neuroprotection and neuropathogenesis but have not been directly associated with behavior. As gatekeepers of the brain's immune system, microglia protect the brain from pathogens but also contribute to inflammation, which may negatively affect neurons. A recent study demonstrates a role for Hoxb8 expressing microglia in modulating behavior, a finding that is interesting from both the pathology and developmental biology perspectives. PMID- 21062988 TI - Insulin-like growth factor I suppresses bone morphogenetic protein signaling in prostate cancer cells by activating mTOR signaling. AB - Insulin-like growth factor (IGF) I and bone morphogenetic proteins (BMP) are critical regulators of prostate tumor cell growth. In this report, we offer evidence that a critical support of IGF-I in prostate cancer is mediated by its ability to suppress BMP4-induced apoptosis and Smad-mediated gene expression. Suppression of BMP4 signaling by IGF-I was reversed by chemical inhibitors of phosphoinositide 3-kinase (PI3K), Akt, or mTOR; by enforced expression of wild type PTEN or dominant-negative PI3K; or by small hairpin RNA-mediated silencing of mTORC1/2 subunits Raptor or Rictor. Similarly, IGF-I suppressed BMP4-induced transcription of the Id1, Id2, and Id3 genes that are crucially involved in prostate tumor progression through PI3K-dependent and mTORC1/2-dependent mechanisms. Immunohistochemical analysis of non-malignant and malignant prostate tissues offered in vivo support for our model that IGF-I-mediated activation of mTOR suppresses phosphorylation of the BMP-activated Smad transcription factors. Our results offer the first evidence that IGF-I signaling through mTORC1/2 is a key homeostatic regulator of BMP4 function in prostate epithelial cells, acting at two levels to repress both the proapoptotic and pro-oncogenic signals of BMP activated Smads. We suggest that deregulation of this homeostatic control may be pivotal to the development and progression of prostate cancer, providing important implications and new potential targets for the therapeutic intervention of this malignancy. PMID- 21062991 TI - Control of breathing by "nerve glue". AB - Long regarded as mere structural support for neurons, neuroglial cells are now considered pivotal for brain metabolism, the blood-brain barrier, cerebral hemodynamics, and neuronal function. Multitasking by glia involves numerous signaling and effector pathways that control various processes, including neurotransmitter uptake and release of gliotransmitters, such as glutamate or adenosine 5'-triphosphate (ATP). Acidosis of cerebrospinal fluid causes ATP release from astrocytic glia at the ventral brainstem surface, which excites neighboring brainstem neurons that stimulate neurons in the pre-Botzinger complex (preBotC), which controls inspiratory breathing movements. New insights into glial regulation of complex behavior, and particularly into respiratory circuit function, are evolving from application of genetically engineered optical stimulation and Ca(2+) imaging tools, combined with other molecular and electrophysiological approaches. These advances in technology will enable direct analyses of respiratory-related neuron-glia interactions not only at the ventral brainstem surface but also within the preBotC, which generates a vital brain rhythm. PMID- 21062992 TI - Wnt5a induces simultaneous cortical axon outgrowth and repulsive turning through distinct signaling mechanisms. AB - Wnt5a is thought to propel cortical axons down the corticospinal tract and through the corpus callosum by repulsive mechanisms. We cultured dissociated early postnatal cortical neurons from hamsters and exposed them to a gradient of Wnt5a as a model for studying the mechanism of Wnt5a effects. Turning assays indicated that cortical axons were repelled away from a point source of Wnt5a. Surprisingly, during the 1-hour turning assay, axons exposed to Wnt5a also increased their growth rates by almost 50%. Ryk receptors but not Frizzled (Fz) receptors were required for Wnt5a-promoted axon outgrowth, whereas both Ryk and Fz receptors were required for repulsive growth-cone turning. Both Ryk and Fz receptors mediated calcium (Ca(2+)) signaling, which is required for axon outgrowth and repulsive turning. Treatments with pharmacological inhibitors revealed that distinct Ca(2+) signaling mechanisms were involved in Wnt5a dependent axon outgrowth versus repulsive guidance. Ca(2+) release from intracellular stores through inositol 1,4,5-trisphosphate receptors was required for Wnt5a-induced axon outgrowth but not for repulsive turning. In contrast, Ca(2+) entry through transient receptor potential channels was required for both repulsive growth-cone turning and Wnt5a-increased axon outgrowth. Taken together, these results showed that a guidance cue can induce increased rates of axon outgrowth simultaneously with repulsive guidance and may provide an understanding of how cortical axons may be repelled down the spinal cord in vivo. Moreover, we demonstrate that previously unidentified Wnt signaling pathways differentially mediate these growth-cone behaviors. PMID- 21062994 TI - Visualizing calcium signaling in astrocytes. AB - Astrocytes are nonneuronal cells in the brain (glia) that do not generate electrical impulses but communicate by chemical signaling. This communication can be observed under a microscope with fluorescent calcium indicators that glow more brightly when the concentration of calcium increases inside the cell. Astrocytes release adenosine 5'-triphosphate and other cell signaling molecules that excite membrane receptors on other astrocytes to cause an increase in intracellular calcium in the recipient cell. Many of the substances released by astrocytes also excite neurons, and astrocytes have on their own cell membrane many of the same neurotransmitter receptors used by neurons to communicate across synapses. This allows astrocytes to respond to neural impulse activity, communicate among other astrocytes, and influence neuronal communication by taking up or releasing neurotransmitters from synapses. PMID- 21062993 TI - Akt and autophagy cooperate to promote survival of drug-resistant glioma. AB - Although the phosphatidylinositol 3-kinase to Akt to mammalian target of rapamycin (PI3K-Akt-mTOR) pathway promotes survival signaling, inhibitors of PI3K and mTOR induce minimal cell death in PTEN (phosphatase and tensin homolog deleted from chromosome 10) mutant glioma. Here, we show that the dual PI3K-mTOR inhibitor PI-103 induces autophagy in a form of glioma that is resistant to therapy. Inhibitors of autophagosome maturation cooperated with PI-103 to induce apoptosis through the mitochondrial pathway, indicating that the cellular self digestion process of autophagy acted as a survival signal in this setting. Not all inhibitors of mTOR synergized with inhibitors of autophagy. Rapamycin delivered alone induced autophagy, yet cells survived inhibition of autophagosome maturation because of rapamycin-mediated activation of Akt. In contrast, adenosine 5'-triphosphate-competitive inhibitors of mTOR stimulated autophagy more potently than did rapamycin, with inhibition of mTOR complexes 1 and 2 contributing independently to induction of autophagy. We show that combined inhibition of PI3K and mTOR, which activates autophagy without activating Akt, cooperated with inhibition of autophagy to cause glioma cells to undergo apoptosis. Moreover, the PI3K-mTOR inhibitor NVP-BEZ235, which is in clinical use, synergized with the lysosomotropic inhibitor of autophagy, chloroquine, another agent in clinical use, to induce apoptosis in glioma xenografts in vivo, providing a therapeutic approach potentially translatable to humans. PMID- 21062995 TI - Risperidone-induced inactivation and clozapine-induced reactivation of rat cortical astrocyte 5-hydroxytryptamine7 receptors: evidence for in situ G protein coupled receptor homodimer protomer cross-talk. AB - We have reported previously novel drug-induced inactivation and reactivation of human 5-hydroxytryptamine7 (5-HT7) receptors in a recombinant cell line. To explain these novel observations, a homodimer structure displaying protomer protomer cross-talk was proposed. To determine whether these novel observations and interpretations are due to an artifactual G protein-coupled receptor (GPCR) mechanism unique to the recombinant cell line, we explored the properties of r5 HT7 receptors expressed by cortical astrocytes in primary culture. As in the recombinant cell line, risperidone, 9-OH-risperidone, methiothepin, and bromocriptine were found to potently inactivate r5-HT7 receptors. As in the recombinant cell line, exposure of risperidone-inactivated astrocyte r5-HT7 receptors to competitive antagonists resulted in the reactivation of r5-HT7 receptors. The potencies of the reactivating drugs closely correlated with their affinities for h5-HT7 receptors. These results indicate the novel inactivating and reactivating property of drugs is not due to an artifact of the recombinant cell line expressing h5-HT7 receptors but is an intrinsic property of 5-HT7 receptors in vitro and ex vivo. This evidence suggests that a native (nonmutated) GPCR, in its native membrane environment (cortical astrocyte primary culture), can function as a homodimer with protomer-protomer cross-talk. Homodimers may be a common GPCR structure. The experimental design used in our studies can be used to explore the properties of other GPCRs in their native forms in recombinant cells, primary cultures expressing the endogenous GPCRs, and possibly in vivo. The homodimer structure and protomer-protomer cross-talk offer new avenues of research into receptor dysfunction in disease states and the development of novel drugs. PMID- 21062996 TI - A randomized controlled, phase 2 trial of the viral serpin Serp-1 in patients with acute coronary syndromes undergoing percutaneous coronary intervention. AB - BACKGROUND: Vascular inflammation can lead to plaque instability and acute coronary syndromes (ACS). Viruses produce potent immunomodulating proteins that regulate key inflammatory pathways. A myxoma virus-derived serpin Serp-1 reduces inflammatory cell invasion and plaque growth in vascular injury models. Our objective was to evaluate the safety and efficacy of Serp-1 in patients with ACS undergoing percutaneous coronary intervention. METHODS AND RESULTS: This double blind pilot trial included 48 ACS patients undergoing percutaneous coronary intervention randomly assigned to Serp-1 at doses of 5 MUg/kg (n=19) or 15 MUg/kg (n=17) or to placebo (n=12). Serp-1 was given by intravenous bolus immediately before intervention and 24 and 48 hours later. Patients were assessed for safety (primary objective) and efficacy outcomes, including biomarker analysis. In-stent neointimal hyperplasia was evaluated by intravascular ultrasound at 6 months. Key safety outcomes including coagulation parameters and adverse events did not differ between Serp-1 and placebo groups. A dose-dependent reduction in troponin I levels was observed with Serp-1 at 8, 16, 24, and 54 hours (P<0.05) and in creatine kinase-MB levels at 8, 16, and 24 hours after dose (P<0.05). The composite of death, myocardial infarction, or coronary revascularization occurred in 2 of 12 patients with placebo, 5 of 19 in the low-dose group, and none of 17 patients with the high-dose (P=0.058). Intravascular ultrasound did not detect changes in neointimal hyperplasia among groups. CONCLUSIONS: This is the first study of a viral serpin demonstrating its safety in ACS patients. The significant reduction in myocardial damage biomarkers supports further assessment of Serp-1 in ACS patients undergoing stent deployment. CLINICAL TRIAL REGISTRATION: URL: http://www.clinicaltrials.gov. Unique identifier: NCT00243308. PMID- 21062997 TI - Myocardial perfusion grade after late infarct artery recanalization is associated with global and regional left ventricular function at one year: analysis from the Total Occlusion Study of Canada-2. AB - BACKGROUND: Whether myocardial perfusion grade (MPG) following late recanalization of infarct-related arteries (IRAs) predicts left ventricular (LV) function recovery beyond the acute phase of myocardial infarction (MI) is unknown. METHODS AND RESULTS: The Total Occlusion Study of Canada-2 enrolled stable patients with a persistently occluded IRA beyond 24 hours and up to 28 days post-MI. We studied the relationship between the initial MPG and changes in LV function and volume as well as the change in MPG from immediate post percutaneous coronary intervention (PCI) to 1 year in 139 PCI patients with thrombolysis in myocardial infarction grade 3 epicardial flow post-PCI and with paired values grouped into impaired or good MPG groups (MPG 0/1 or MPG 2/3). MPG 0/1 patients were more likely to have received thrombolytic therapy and to have a left anterior descending IRA. They had lower blood pressure and LV ejection fraction (LVEF) and a higher heart rate and systolic sphericity index at baseline. Changes in the MPG 0/1 and MPG 2/3 groups from baseline to 1 year were LVEF, 3.3+/-9.0% and 4.8+/-8.9% (P=0.42); LV end-systolic volume index (LVESVI), 1.1+/-9.2 and -4.7+/-12.3 mL/m(2) (P=0.25); LV end-diastolic volume index (LVEDVI), 0.08+/-19.1 and -2.4+/-22.2 mL/m(2) (P=0.67); and SDs/chord for infarct zone wall motion index (WMI), 0.38+/-0.70 and 0.84+/-1.11 (P=0.01). By covariate adjusted analysis, post-PCI MPG 0/1 predicted lower WMI (P<0.001), lower LVEF (P<0.001), and higher LVESVI (P<0.01) but not LVEDVI at 1 year. Of the MPG 0/1 patients, 60% were MPG 2 or 3 at 1 year. CONCLUSIONS: Preserved MPG is present in a high proportion of patients following late PCI of occluded IRAs post-MI. Poor MPG post-PCI frequently improves MPG over 1 year. MPG graded after IRA recanalization undertaken days to weeks post MI is associated with LV recovery, indicating that MPG determined in the subacute post-MI period remains a marker of viability. CLINICAL TRIAL REGISTRATION: URL: http://www.clinicaltrials.gov. Unique identifier: NCT00025766. PMID- 21062998 TI - Six-month results of the NEVO Res-Elution I (NEVO RES-I) trial: a randomized, multicenter comparison of the NEVO sirolimus-eluting coronary stent with the TAXUS Liberte paclitaxel-eluting stent in de novo native coronary artery lesions. AB - BACKGROUND: Drug-eluting stents reduce restenosis and reintervention rates but are complicated by stent thrombosis, which may be related to polymer coating. The NEVO sirolimus-eluting coronary stent (NEVO SES) is designed to improve long-term percutaneous coronary intervention safety by combining sirolimus release from reservoirs with bioabsorbable polymer to reduce spatial and temporal polymer exposure. METHODS AND RESULTS: NEVO ResElution-I was a prospective randomized study in 394 patients with coronary artery disease comparing the NEVO SES with the TAXUS Liberte paclitaxel-eluting coronary stent (TAXUS Liberte PES) stent. The primary end point was in-stent angiographic late loss at 6 months. Six months after percutaneous coronary intervention (PCI), the primary end point favored NEVO SES (0.13+/-0.31 mm versus 0.36+/-0.48 mm, P<0.001 for noninferiority and superiority). The study was not powered for clinical end points and showed no significant difference for NEVO SES versus TAXUS Liberte PES: death: 0.5 versus 1.6%, P=0.36; myocardial infarction: 2.0 versus 2.6%, P=0.75; target lesion revascularization: 1.5 versus 3.2%, P=0.33; major adverse cardiac events: 4.0 versus 7.4%, P=0.19. No stent thrombosis was observed with NEVO SES, whereas 2 cases occurred in TAXUS Liberte PES. Intravascular ultrasound showed lower percent volume obstruction for NEVO SES (5.5+/-11% versus 11.5+/-9.7%, P=0.016). CONCLUSIONS: This trial proved the superiority of NEVO SES over TAXUS Liberte PES for the primary angiographic end point of in-stent late loss. No stent thrombosis occurred in the NEVO SES group. CLINICAL TRIAL REGISTRATION: URL: http://www.clinicaltrials.gov. Unique identifier: NCT00606333. PMID- 21062999 TI - Defining the optimal degree of heparin anticoagulation for peripheral vascular interventions: insight from a large, regional, multicenter registry. AB - BACKGROUND: The optimal degree of heparin anticoagulation for peripheral vascular interventions (PVIs) has not been defined. We sought to correlate total heparin dose and peak procedural activated clotting time (ACT) with postprocedural outcomes in patients undergoing PVI. METHODS AND RESULTS: We studied 4743 patients who received heparin during PVIs in a regional, multicenter registry. From those, 1246 had recorded peak procedural ACT with the same point-of-care device. Periprocedural and in-hospital outcomes were compared between patients who received a total heparin dose <60 U/kg (n=2161) and >=60 U/kg (n=2582). Similarly, outcomes were evaluated between groups with a peak procedural ACT <250 seconds (n=855) and >=250 seconds (n=391). Technical and procedural success as well as intraprocedural thrombotic events did not differ between groups. Patients with heparin dose >=60 U/kg had a higher rate of postprocedural hemoglobin drop >=3 g/dL (7.09% versus 5.09%, respectively, P=0.004) and a higher transfusion rate compared with those with heparin dose <60 U/kg (4.92% versus 3.15%, respectively, P=0.002). In multivariate analysis, independent predictors of bleeding requiring transfusion were total heparin dose >=60 U/kg, ACT >=250 seconds, female sex, age >=70 years, prior anemia, prior heart failure, low creatinine clearance, hybrid vascular surgery, rest pain, and below-knee intervention. In propensity-matched, risk-adjusted models and after hierarchical modeling, total heparin dose >=60 U/kg and ACT >=250 seconds remained strong predictors of post-PVI drop in hemoglobin >=3 g/dL or transfusion. CONCLUSIONS: During PVI, higher total heparin dose (>=60 U/kg) and peak ACT >=250 seconds were predictors of postprocedural transfusion. The high technical and procedural success in all groups suggests that use of weight-based heparin dosing with a target ACT <250 seconds in PVI may minimize the bleeding risk without compromising procedural success or increasing thromboembolic complications. PMID- 21063000 TI - Incidence and management of CoreValve dislocation during transcatheter aortic valve implantation. AB - BACKGROUND: Transcatheter aortic valve implantation is a highly specialized technique offering a new therapeutic option to patients at high risk for conventional surgery. Complications associated with this catheter procedure differ from complications after surgical aortic valve replacement. This is to report incidence, management, and impact on morbidity and mortality of CoreValve dislocation during catheter valve implantation. METHODS AND RESULTS: Between June 2007 and September 2009, the self-expandable CoreValve prosthesis (Medtronic Inc, Minneapolis, Minn) was implanted in 212 patients through a transarterial (femoral or subclavian) access. Patients with severe aortic stenosis who were at high risk for conventional surgery were included. We observed dislocation of the prosthesis during CoreValve implantation in 21 patients. In 16 cases, the CoreValve could be implanted in the correct annular position after retrieving it and reloading the catheter. In 4 patients, the completely deployed prosthesis had to be placed in the ascending or abdominal aorta before implanting a second one. One patient underwent open surgery. Overall 30-day mortality was 11.0%, 21.5% in the dislocation group and 9.9% in patients without dislocation (P=0.024). Coronary ischemia, stroke, and renal failure occurred more frequently in patients with dislocation, whereas pacemaker dependency did not differ significantly between the groups. CONCLUSIONS: CoreValve dislocation during transcatheter aortic valve implantation occurred in 10% of the cases and significantly increases perioperative risk for severe complications or death. It requires individual specific management and can be managed either interventionally or, rarely, results in open surgery. PMID- 21063001 TI - The cover: The burning of the Houses of Lords and Commons, 16 October, 1834. PMID- 21063002 TI - A piece of my mind: Suitable for framing. PMID- 21063003 TI - Taking the risk out of risk assessment: experts seek better ways to predict cardiac health. PMID- 21063004 TI - Patients fail to grasp that PCI reduces angina but not myocardial infarction risk. PMID- 21063005 TI - Researchers look to genetic analyses for new options in treating food allergy. PMID- 21063006 TI - Trends in US emergency department visits. PMID- 21063007 TI - Trends in US emergency department visits. PMID- 21063008 TI - Treatment approaches in primary Sjogren syndrome. PMID- 21063009 TI - Screening colonoscopy vs flexible sigmoidoscopy. PMID- 21063010 TI - Screening colonoscopy vs flexible sigmoidoscopy. PMID- 21063011 TI - Automated surveillance to detect postprocedure safety signals of approved cardiovascular devices. AB - CONTEXT: Ensuring the safety of medical devices challenges current surveillance approaches, which rely heavily on voluntary reporting of adverse events. Automated surveillance of clinical registries may provide early warnings in the postmarket evaluation of medical device safety. OBJECTIVE: To determine whether automated safety surveillance of clinical registries using a computerized tool can provide early warnings regarding the safety of new cardiovascular devices. DESIGN, SETTING, AND PATIENTS: Prospective propensity-matched cohort analysis of 7 newly introduced cardiovascular devices, using clinical data captured in the Massachusetts implementation of the National Cardiovascular Data Repository CathPCI Registry for all adult patients undergoing percutaneous coronary intervention from April 2003 through September 2007 in Massachusetts. MAIN OUTCOME MEASURE: Presence of any safety alert, triggered if the cumulative observed risk for a given device exceeded the upper 95% confidence interval (CI) of comparator control device. Predefined sensitivity analyses assessed robustness of alerts when triggered. RESULTS: We evaluated 74,427 consecutive interventional coronary procedures. Three of 21 safety analyses triggered sustained alerts in 2 implantable devices. Patients receiving Taxus Express2 drug-eluting stents experienced a 1.28-fold increased risk of postprocedural myocardial infarction (2.87% vs 2.25%; absolute risk increase, 0.62% [95% CI, 0.25%-0.99%]) and a 1.21 fold increased risk of major adverse cardiac events (4.24% vs 3.50%; absolute increase, 0.74% [95% CI, 0.29%-1.19%]) compared with those receiving alternative drug-eluting stents. Patients receiving Angio-Seal STS vascular closure devices experienced a 1.51-fold increased risk of major vascular complications (1.09% vs 0.72%; absolute increased risk, 0.37% [95% CI, 0.03%-0.71%]) compared with those receiving alternative vascular closure devices. Sensitivity analyses confirmed increased risk following use of the Taxus Express2 stent but not the Angio-Seal STS device. CONCLUSION: Automated prospective surveillance of clinical registries is feasible and can identify low-frequency safety signals for new cardiovascular devices. PMID- 21063012 TI - Association of features of primary health care with coronary heart disease mortality. AB - CONTEXT: The goal of US health care reform is to extend access. In England, with a universal access health system, coronary heart disease (CHD) mortality rates have decreased by more than two-fifths in the last decade, but variations in rates between local populations persist. OBJECTIVE: To identify which features of populations and primary health care explain variations in CHD mortality rates between the 152 primary care trust populations in England. DESIGN, SETTING, AND PARTICIPANTS: A cross-sectional study in England of all 152 primary care trusts (total registered population, 54.3 million in 2008) using a hierarchical regression model with age-standardized CHD mortality rate as the dependent variable, and population characteristics (index of multiple deprivation, smoking, ethnicity, and registers of individuals with diabetes) and service characteristics (level of provision of primary care services, levels of detected hypertension, pay for performance data) as candidate explanatory variables. MAIN OUTCOME MEASURES: Age-standardized CHD mortality rates in 2006, 2007, and 2008. RESULTS: The mean age-standardized CHD mortality rates per 100,000 European Standard Population were 97.9 (95% confidence interval [CI], 94.9-100.9) in 2006, 93.5 (95% CI, 90.4-96.5) in 2007, and 88.4 (95% CI, 85.7-91.1) in 2008. In all 3 years, 4 population characteristics were significantly positively associated with CHD mortality (index of multiple deprivation, smoking, white ethnicity, and registers of individuals with diabetes), and 1 service characteristic (levels of detected hypertension) was significantly negatively associated with CHD mortality (adjusted r(2) = 0.66 in 2006, adjusted r(2) = 0.68 in 2007, and adjusted r(2) = 0.67 in 2008). Other service characteristics did not contribute significantly to the model. CONCLUSION: In England, variations in CHD mortality are predominantly explained by population characteristics; however, greater detection of hypertension is associated with lower CHD mortality. PMID- 21063013 TI - Quality of traditional surveillance for public reporting of nosocomial bloodstream infection rates. AB - CONTEXT: Central line-associated bloodstream infection (BSI) rates, determined by infection preventionists using the Centers for Disease Control and Prevention (CDC) surveillance definitions, are increasingly published to compare the quality of patient care delivered by hospitals. However, such comparisons are valid only if surveillance is performed consistently across institutions. OBJECTIVE: To assess institutional variation in performance of traditional central line associated BSI surveillance. DESIGN, SETTING, AND PARTICIPANTS: We performed a retrospective cohort study of 20 intensive care units among 4 medical centers (2004-2007). Unit-specific central line-associated BSI rates were calculated for 12-month periods. Infection preventionists, blinded to study participation, performed routine prospective surveillance using CDC definitions. A computer algorithm reference standard was applied retrospectively using criteria that adapted the same CDC surveillance definitions. MAIN OUTCOME MEASURES: Correlation of central line-associated BSI rates as determined by infection preventionist vs the computer algorithm reference standard. Variation in performance was assessed by testing for institution-dependent heterogeneity in a linear regression model. RESULTS: Forty-one unit-periods among 20 intensive care units were analyzed, representing 241,518 patient-days and 165,963 central line-days. The median infection preventionist and computer algorithm central line-associated BSI rates were 3.3 (interquartile range [IQR], 2.0-4.5) and 9.0 (IQR, 6.3-11.3) infections per 1000 central line-days, respectively. Overall correlation between computer algorithm and infection preventionist rates was weak (rho = 0.34), and when stratified by medical center, point estimates for institution-specific correlations ranged widely: medical center A: 0.83; 95% confidence interval (CI), 0.05 to 0.98; P = .04; medical center B: 0.76; 95% CI, 0.32 to 0.93; P = .003; medical center C: 0.50, 95% CI, -0.11 to 0.83; P = .10; and medical center D: 0.10; 95% CI -0.53 to 0.66; P = .77. Regression modeling demonstrated significant heterogeneity among medical centers in the relationship between computer algorithm and expected infection preventionist rates (P < .001). The medical center that had the lowest rate by traditional surveillance (2.4 infections per 1000 central line-days) had the highest rate by computer algorithm (12.6 infections per 1000 central line-days). CONCLUSIONS: Institutional variability of infection preventionist rates relative to a computer algorithm reference standard suggests that there is significant variation in the application of standard central line-associated BSI surveillance definitions across medical centers. Variation in central line-associated BSI surveillance practice may complicate interinstitutional comparisons of publicly reported central line-associated BSI rates. PMID- 21063014 TI - Association of adolescent obesity with risk of severe obesity in adulthood. AB - CONTEXT: Although the prevalence of obesity has increased in recent years, individuals who are obese early in life have not been studied over time to determine whether they develop severe obesity in adulthood, thus limiting effective interventions to reduce severe obesity incidence and its potentially life-threatening associated conditions. OBJECTIVE: To determine incidence and risk of severe obesity in adulthood by adolescent weight status. DESIGN, SETTING, AND PARTICIPANTS: A cohort of 8834 individuals aged 12 to 21 years enrolled in 1996 in wave II of the US National Longitudinal Study of Adolescent Health, followed up into adulthood (ages 18-27 years during wave III [2001-2002] and ages 24-33 years during wave IV [2007-2009]). Height and weight were obtained via anthropometry and surveys administered in study participants' homes using standardized procedures. MAIN OUTCOME MEASURES: New cases of adult-onset severe obesity were calculated by sex, race/ethnicity, and adolescent weight status. Sex stratified, discrete time hazard models estimated the net effect of adolescent obesity (aged <20 years; body mass index [BMI] >=95th percentile of the sex specific BMI-for-age growth chart or BMI >=30.0) on risk of severe obesity incidence in adulthood (aged >=20 years; BMI >=40.0), adjusting for race/ethnicity and age and weighted for national representation. RESULTS: In 1996, 79 (1.0%; 95% confidence interval [CI], 0.7%-1.4%) adolescents were severely obese; 60 (70.5%; 95% CI, 57.2%-83.9%) remained severely obese in adulthood. By 2009, 703 (7.9%; 95% CI, 7.4%-8.5%) non-severely obese adolescents had become severely obese in adulthood, with the highest rates for non-Hispanic black women. Obese adolescents were significantly more likely to develop severe obesity in young adulthood than normal-weight or overweight adolescents (hazard ratio, 16.0; 95% CI, 12.4-20.5). CONCLUSION: In this cohort, obesity in adolescence was significantly associated with increased risk of incident severe obesity in adulthood, with variations by sex and race/ethnicity. PMID- 21063015 TI - Optimal cardiovascular prevention strategies for the 21st century. PMID- 21063016 TI - Putting ad hoc PCI on pause. PMID- 21063017 TI - Desktop medicine. PMID- 21063018 TI - Achieving meaningful device surveillance: from reaction to proaction. PMID- 21063019 TI - Patient page: Malaria. PMID- 21063020 TI - Regulation of vascular endothelial growth factor receptor 2 trafficking and angiogenesis by Golgi localized t-SNARE syntaxin 6. AB - Vascular endothelial growth factor receptor 2 (VEGFR2) plays a key role in physiologic and pathologic angiogenesis. Plasma membrane (PM) levels of VEGFR2 are regulated by endocytosis and secretory transport through the Golgi apparatus. To date, the mechanism whereby the VEGFR2 traffics through the Golgi apparatus remains incompletely characterized. We show in human endothelial cells that binding of VEGF to the cell surface localized VEGFR2 stimulates exit of intracellular VEGFR2 from the Golgi apparatus. Brefeldin A treatment reduced the level of surface VEGFR2, confirming that VEGFR2 traffics through the Golgi apparatus en route to the PM. Mechanistically, we show that inhibition of syntaxin 6, a Golgi-localized target membrane-soluble N-ethylmaleimide attachment protein receptor (t-SNARE) protein, interferes with VEGFR2 trafficking to the PM and facilitates lysosomal degradation of the VEGFR2. In cell culture, inhibition of syntaxin 6 also reduced VEGF-induced cell proliferation, cell migration, and vascular tube formation. Furthermore, in a mouse ear model of angiogenesis, an inhibitory form of syntaxin 6 reduced VEGF-induced neovascularization and permeability. Our data demonstrate the importance of syntaxin 6 in the maintenance of cellular VEGFR2 levels, and suggest that the inhibitory form of syntaxin 6 has good potential as an antiangiogenic agent. PMID- 21063021 TI - Complement alternative pathway acts as a positive feedback amplification of neutrophil activation. AB - Complement alternative pathway plays an important, but not clearly understood, role in neutrophil-mediated diseases. We here show that neutrophils themselves activate complement when stimulated by cytokines or coagulation-derived factors. In whole blood, tumor necrosis factor/formyl-methionyl-leucyl-phenylalanine or phorbol myristate acetate resulted in C3 fragments binding on neutrophils and monocytes, but not on T cells. Neutrophils, stimulated by tumor necrosis factor, triggered the alternative pathway on their surface in normal and C2-depleted, but not in factor B-depleted serum and on incubation with purified C3, factors B and D. This occurred independently of neutrophil proteases, oxidants, or apoptosis. Neutrophil-secreted properdin was detected on the cell surface and could focus "in situ" the alternative pathway activation. Importantly, complement, in turn, led to further activation of neutrophils, with enhanced CD11b expression and oxidative burst. Complement-induced neutrophil activation involved mostly C5a and possibly C5b-9 complexes, detected on tumor necrosis factor- and serum-activated neutrophils. In conclusion, neutrophil stimulation by cytokines results in an unusual activation of autologous complement by healthy cells. This triggers a new amplification loop in physiologic innate immunity: Neutrophils activate the alternative complement pathway and release C5 fragments, which further amplify neutrophil proinflammatory responses. This mechanism, possibly required for effective host defense, may be relevant to complement involvement in neutrophil mediated diseases. PMID- 21063022 TI - Neutrophil development and function critically depend on Bruton tyrosine kinase in a mouse model of X-linked agammaglobulinemia. AB - Bruton tyrosine kinase (Btk) is essential for B cell development and function and also appears to be important for myeloid cells. The bone marrow of Btk-deficient mice shows enhanced granulopoiesis compared with that of wild-type mice. In purified granulocyte-monocyte-progenitors (GMP) from Btk-deficient mice, the development of granulocytes is favored at the expense of monocytes. However, Btk deficient neutrophils are impaired in maturation and function. Using bone marrow chimeras, we show that this defect is cell-intrinsic to neutrophils. In GMP and neutrophils, Btk plays a role in GM-CSF- and Toll-like receptor-induced differentiation. Molecular analyses revealed that expression of the lineage determining transcription factors C/EBPalpha, C/EBPbeta, and PU.1, depends on Btk. In addition, expression of several granule proteins, including myeloperoxidase, neutrophilic granule protein, gelatinase and neutrophil elastase, is Btk-dependent. In the Arthus reaction, an acute inflammatory response, neutrophil migration into tissues, edema formation, and hemorrhage are significantly reduced in Btk-deficient animals. Together, our findings implicate Btk as an important regulator of neutrophilic granulocyte maturation and function in vivo. PMID- 21063023 TI - The tetraspanin CD9 regulates migration, adhesion, and homing of human cord blood CD34+ hematopoietic stem and progenitor cells. AB - The stromal cell-derived factor-1 (SDF-1)/chemokine C-X-C receptor 4 (CXCR4) axis plays a critical role in homing and engraftment of hematopoietic stem/progenitor cells (HSCs) during bone marrow transplantation. To investigate the transcriptional regulation provided by this axis, we performed the first differential transcriptome profiling of human cord blood CD34(+) cells in response to short-term exposure to SDF-1 and identified a panel of genes with putative homing functions. We demonstrated that CD9, a member of the tetraspanin family of proteins, was expressed in CD34(+)CD38(-/lo) and CD34(+)CD38(+) cells. CD9 levels were enhanced by SDF-1, which simultaneously down-regulated CXCR4 membrane expression. Using specific inhibitors and activators, we demonstrated that CD9 expression was modulated via CXCR4, G-protein, protein kinase C, phospholipase C, extracellular signal-regulated kinase, and Janus kinase 2 signals. Pretreatment of CD34(+) cells with the anti-CD9 monoclonal antibody ALB6 significantly inhibited SDF-1-mediated transendothelial migration and calcium mobilization, whereas adhesion to fibronectin and endothelial cells was enhanced. Pretreatment of CD34(+) cells with ALB6 significantly impaired their homing to bone marrow and spleen of sublethally irradiated NOD/SCID (nonobese diabetic/severe combined immune-deficient) mice. Sorted CD34(+)CD9(-) cells displayed lower bone marrow homing capacity compared with that of total CD34(+) cells. CD9 expression on homed CD34(+) cells was significantly up-regulated in vivo. Our results indicate that CD9 might possess specific functions in HSC homing. PMID- 21063024 TI - Immunosuppressive CD14+HLA-DR(low)/- monocytes in B-cell non-Hodgkin lymphoma. AB - Immunosuppression is a known risk factor for B-cell non-Hodgkin lymphoma (NHL), yet mechanisms of tumor-associated immunosuppression remain to be fully characterized. We examined the immunophenotype of 40 NHL patients and 27 age matched healthy volunteers to better understand systemic immune suppression. NHL peripheral blood mononuclear cells had significantly decreased interferon-gamma production and proliferation. This suppression was not the result of regulatory T cells, interleukin-6 or interleukin-10, as these factors were not different between NHL and healthy volunteers (controls). We were able to restore T-cell proliferation by removing NHL monocytes, suggesting that these monocytes are suppressive. This suppression was mediated in part through arginine metabolism as exogenous arginine supplementation partially overcame monocytes' suppression of T cell proliferation in vitro and NHL patients had elevated arginase I in their plasma. NHL monocytes had impaired STAT1 phosphorylation and interferon-alpha production to CpG stimulation and a dendritic cell differentiation deficiency. Further studies demonstrated that monocytes from NHL patients had decreased HLA DR and Tumor necrosis factor-alpha receptor II (CD120b) expression compared with controls (CD14(+)HLA-DR(low/-)CD120b(low)). Patients with increased ratios of CD14(+)HLA-DR(low/-) monocytes had more aggressive disease and suppressed immune functions. In summary, we report that CD14(+)HLA-DR(low/-) monocytes are a major and multifactorial contributor to systemic immunosuppression in NHL. PMID- 21063025 TI - Significant differences in B-cell subpopulations characterize patients with chronic graft-versus-host disease-associated dysgammaglobulinemia. AB - Manifestations of chronic graft-versus-host disease (cGVHD) can resemble those seen in immunodeficiency states and autoimmune disorders. Reports by us and others suggest an involvement of B cells in the pathogenesis of cGVHD. We investigated B-lymphocyte subpopulations in cGVHD cohorts defined by serum immunoglobulin G (IgG) levels to characterize novel biomarkers for impairment of humoral immunity after allogeneic hematopoietic stem cell transplantation. Seventy-six patients were enrolled a median of 46 months after hematopoietic stem cell transplantation. The hypogammaglobulinemia group had significantly diminished CD19(+) B cells (165 vs 454 vs 417 * 106L) with elevated CD19(+)CD21(low) immature (16.5%, 7.7%, and 9.1%) and CD19(+)CD21(int high)CD38(high)IgM(high) transitional (10.5% vs 4.2% vs 6.3%) B-cell proportions compared with the normogammaglobulinemia and hypergammaglobulinemia groups. CD19(+)CD10(-)CD27(-)CD21(high) naive B cells were highly elevated in all patients with cGVHD. CD19(+)CD27(+)IgD(+) non-class-switched (4 vs 12 vs 11 * 106/L) and class-switched (7 vs 35 vs 42 * 106/L) memory B cells were significantly lower in the hypogammaglobulinemia group compared with the others. Besides significantly higher B-cell activation factor/B-cell ratios, significantly more cGVHD patients with hypergammaglobulinemia had autoantibodies compared with the hypogammaglobulinemia subgroup (68% vs 24%, P = .024). In conclusion, B-cell subpopulations can serve as novel cellular biomarkers for immunodeficiency and autoimmunity indicating different pathogenetic mechanisms of cGVHD and encouraging future prospective longitudinal studies. PMID- 21063026 TI - Autoimmune lymphoproliferative syndrome-like disease with somatic KRAS mutation. AB - Autoimmune lymphoproliferative syndrome (ALPS) is classically defined as a disease with defective FAS-mediated apoptosis (type I-III). Germline NRAS mutation was recently identified in type IV ALPS. We report 2 cases with ALPS like disease with somatic KRAS mutation. Both cases were characterized by prominent autoimmune cytopenia and lymphoadenopathy/splenomegaly. These patients did not satisfy the diagnostic criteria for ALPS or juvenile myelomonocytic leukemia and are probably defined as a new disease entity of RAS-associated ALPS like disease (RALD). PMID- 21063027 TI - Targeting neuropilin-1 in human leukemia and lymphoma. AB - Targeted drug delivery offers an opportunity for the development of safer and more effective therapies for the treatment of cancer. In this study, we sought to identify short, cell-internalizing peptide ligands that could serve as directive agents for specific drug delivery in hematologic malignancies. By screening of human leukemia cells with a combinatorial phage display peptide library, we isolated a peptide motif, sequence Phe-Phe/Tyr-Any-Leu-Arg-Ser (F(F)/(Y)XLRS), which bound to different leukemia cell lines and to patient-derived bone marrow samples. The motif was internalized through a receptor-mediated pathway, and we next identified the corresponding receptor as the transmembrane glycoprotein neuropilin-1 (NRP-1). Moreover, we observed a potent anti-leukemia cell effect when the targeting motif was synthesized in tandem to the pro-apoptotic sequence (D)(KLAKLAK)2. Finally, our results confirmed increased expression of NRP-1 in representative human leukemia and lymphoma cell lines and in a panel of bone marrow specimens obtained from patients with acute lymphoblastic leukemia or acute myelogenous leukemia compared with normal bone marrow. These results indicate that NRP-1 could potentially be used as a target for ligand-directed therapy in human leukemias and lymphomas and that the prototype CGFYWLRSC-GG (D)(KLAKLAK)2 is a promising drug candidate in this setting. PMID- 21063028 TI - PD-L1 blockade effectively restores strong graft-versus-leukemia effects without graft-versus-host disease after delayed adoptive transfer of T-cell receptor gene engineered allogeneic CD8+ T cells. AB - Adoptive transfer (AT) of T cells forced to express tumor-reactive T-cell receptor (TCR) genes is an attractive strategy to direct autologous T-cell immunity against tumor-associated antigens. However, clinical effectiveness has been hampered by limited in vivo persistence. We investigated whether the use of major histocompatibility complex-mismatched T cells would prolong the in vivo persistence of tumor-reactive TCR gene expressing T cells by continuous antigen driven proliferation via the endogenous potentially alloreactive receptor. Donor derived CD8(+) T cells engineered to express a TCR against a leukemia-associated antigen mediated strong graft-versus-leukemia (GVL) effects with reduced graft versus-host disease (GVHD) severity when given early after transplantation. AT later after transplantation resulted in a complete loss of GVL. Loss of function was associated with reduced expansion of TCR-transduced T cells as assessed by CDR3 spectratyping analysis and PD-1 up-regulation on T cells in leukemia-bearing recipients. PD-L1 blockade in allogeneic transplant recipients largely restored the GVL efficacy without triggering GVHD, whereas no significant antileukemia effects of PD-L1 blockade were observed in syngeneic controls. These data suggest a clinical approach in which the AT of gene-modified allogeneic T cells early after transplantation can provide a potent GVL effect without GVHD, whereas later AT is effective only with concurrent PD-L1 blockade. PMID- 21063029 TI - Cannabinoid receptor 2 and its agonists mediate hematopoiesis and hematopoietic stem and progenitor cell mobilization. AB - Endocannabinoids are arachidonic acid derivatives and part of a novel bioactive lipid signaling system, along with their G-coupled cannabinoid receptors (CB1 and CB2) and the enzymes involved in their biosynthesis and degradation. However, their roles in hematopoiesis and hematopoietic stem and progenitor cell (HSPC) functions are not well characterized. Here, we show that bone marrow stromal cells express endocannabinoids (anandamide and 2-arachidonylglycerol), whereas CB2 receptors are expressed in human and murine HSPCs. On ligand stimulation with CB2 agonists, CB2 receptors induced chemotaxis, migration, and enhanced colony formation of bone marrow cells, which were mediated via ERK, PI3-kinase, and Galphai-Rac1 pathways. In vivo, the CB2 agonist AM1241 induced mobilization of murine HSPCs with short- and long-term repopulating abilities. In addition, granulocyte colony-stimulating factor -induced mobilization of HSPCs was significantly decreased by specific CB2 antagonists and was impaired in Cnr2(-/-) cannabinoid type 2 receptor knockout mice. Taken together, these results demonstrate that the endocannabinoid system is involved in hematopoiesis and that CB2/CB2 agonist axis mediates repopulation of hematopoiesis and mobilization of HSPCs. Thus, CB2 agonists may be therapeutically applied in clinical conditions, such as bone marrow transplantation. PMID- 21063030 TI - Molecular evidence for differences in endometrium in severe versus mild endometriosis. AB - Women with stage III/IV versus stage I/II endometriosis have lower implantation and pregnancy rates in natural and assisted reproduction cycles. To elucidate potential molecular mechanisms underlying these clinical observations, herein we investigated the transcriptome of eutopic endometrium across the menstrual cycle in the setting of severe versus mild endometriosis. Proliferative (PE), early secretory (ESE), and mid-secretory (MSE) endometrial tissues were obtained from 63 participants with endometriosis (19 mild and 44 severe). Purified RNA was subjected to microarray analysis using the Gene 1.0 ST Affymetrix platform. Data were analyzed with GeneSpring and Ingenuity Pathway Analysis and subsequently validated. Comparison of differentially regulated genes, analyzed by cycle phase, revealed dysregulation of progesterone and/or cyclic adenosine monophosphate (cAMP)-regulated genes and genes related to thyroid hormone action and metabolism. Also, members of the epidermal growth factor receptor (EGFR) signaling pathway were observed, with the greatest upregulation of EGFR in severe versus mild disease during the early secretory phase. The extracellular matrix proteoglycan versican (VCAN), which regulates cell proliferation and apoptosis, was the most highly expressed gene in severe versus mild disease. Upregulation of microRNA 21 (MIR21) and DICER1 transcripts suggests roles for microRNAs (miRNAs) in the pathogenesis of severe versus mild endometriosis, potentially through regulation of gene silencing and epigenetic mechanisms. These observed differences in transcriptomic signatures and signaling pathways may result in poorly programmed endometrium during the cycle, contributing to lower implantation and pregnancy rates in women with severe versus mild endometriosis. PMID- 21063033 TI - Standards of practice: questions for school nursing. PMID- 21063036 TI - Training needs of healthcare providers related to Centers for Disease Control and Prevention core competencies for fetal alcohol spectrum disorders. AB - BACKGROUND: Fetal alcohol spectrum disorders (FASDs) are birth defects directly linked to consumption of alcohol during pregnancy and hence completely preventable. Many health and allied health professionals are in prime positions for primary prevention of FASDs through work with women of childbearing age and secondary prevention through work with affected individuals whose lives can be greatly improved via tailored intervention. OBJECTIVES: To develop educational guidelines for FASD prevention. METHODS: Interviews were conducted with 26 individuals representing eight health or allied health professions. Participants were asked about professional groups with which they had sufficient experience to describe FASD-related competencies and educational needs for the given group(s). For each group, participants were asked for their perceptions of group members' FASD awareness, knowledge, and skills application as related to the seven core competencies for FASD practice developed by the Centers for Disease Control and Prevention (CDC). RESULTS: Findings revealed that competence, especially when viewed separately in terms of knowledge versus capacity for application of information, in the area of FASDs is unevenly distributed among and throughout healthcare provider groups. CONCLUSION: Based on this information, recommendations are offered for optimal health and allied health education efforts to prevent and treat FASDs, framed along FASD core competencies recommended by the CDC. PMID- 21063037 TI - Metabolic syndrome. PMID- 21063035 TI - Role of central nervous system insulin resistance in fetal alcohol spectrum disorders. AB - Fetal alcohol spectrum disorder (FASD) is the most common preventable cause of mental retardation in the USA. Ethanol impairs neuronal survival and function by two major mechanisms: 1) it inhibits insulin signaling required for viability, metabolism, synapse formation, and acetylcholine production; and 2) it functions as a neurotoxicant, causing oxidative stress, DNA damage and mitochondrial dysfunction. Ethanol inhibition of insulin signaling is mediated at the insulin receptor (IR) level and caused by both impaired receptor binding and increased activation of phosphatases that reverse IR tyrosine kinase activity. As a result, insulin activation of PI3K-Akt, which mediates neuronal survival, motility, energy metabolism, and plasticity, is impaired. The neurotoxicant effects of ethanol promote DNA damage, which could contribute to mitochondrial dysfunction and oxidative stress. Therefore, chronic in utero ethanol exposure produces a dual state of CNS insulin resistance and oxidative stress, which we postulate plays a major role in ethanol neurobehavioral teratogenesis. We propose that many of the prominent adverse effects of chronic prenatal exposure to ethanol on CNS development and function may be prevented or reduced by treatment with peroxisome proliferated activated receptor (PPAR) agonists which enhance insulin sensitivity by increasing expression and function of insulin-responsive genes, and reducing cellular oxidative stress. PMID- 21063038 TI - Adiponectin levels and its role in insulin resistance among adult women with metabolic syndrome. AB - AIM: To identify the proportion of low adiponectin level and to evaluate the role of low adiponectin level, age, body mass index, and waist circumference on the occurrence of metabolic syndrome in women with insulin resistance. METHODS: The study was done by a cross-sectional survey on adult women aged 30-60 years. The study was conducted at Fatmawati Hospital-Jakarta from January to March 2008 with a total sample of 33. The sample was selected consecutively based on the presence of metabolic syndrome according to the IDF 2005 criteria. The examination of plasma adiponectin level was done by ELISA method. Insulin resistance was defined if HOMA IR > or = 2 (calculated by fasting insulin (microU/mL) x Fasting blood sugar (mmol/L) divided by 22.5). Association of low adiponectin level with insulin resistance was evaluated by calculating the Odds Ratio. RESULTS: Seventeen of 33 subjects with metabolic syndrome have insulin resistance, in which 7 of them (41.18%) show low adiponectin level. While in 16 subjects without insulin resistance, only one subject (6.25%) has low adiponectin level. From 8 subjects with low adiponectin level, 7 of them (87.5%) have insulin resistance. In other words, low adiponectin level is associated with increased risk of insulin resistance (Odds Ratio 10.5, P = 0.040 (CI 95% : 1.12-98.91). CONCLUSION: Low adiponectin concentration increases the risk of developing insulin resistance much more than normal adiponectin level. PMID- 21063039 TI - Relationship of angiotensin converting enzyme gene polymorphism and hypertension in Yogyakarta, Indonesia. AB - AIM: To evaluate the association between ACE gene polymorphism I/D and hypertension in Yogyakarta population. METHODS: This study is a cross-sectional. Sample was taken by random sampling method from hypertensive, prehypertensve and normotensive subjects; from that were obtained 125 subjects, 97 subjects and 108 subjects, consecutively. ACE gene polymorphism I/D was examined by PCR. Genotype was classified as II, ID, or DD based on positive or negative insertion/delation allele. RESULTS: This study shows significant differences of three groups (ages, body mass index (BMI), and family history of hypertension) and total cholesterol level in blood, which tends to have greater value in the hypertension group. Frequency of genotype II, ID, DD are 85 (68%), 39 (31.2%), 1 (0.8%) in hypertension, 66 (61.1%), 38 (35.2%), 4 (3.7%) in normo-tension and 56 (57.7%), 37 (38.1%), 4 (4.1%) in pre-hypertension subject, consecutively. Chi-square analysis shows statistically significant association between ID+DD vs. II genotype and hypertension. Multiple logistic regression analysis shows four variables that significantly influence to hypertension, namely ages, family history of hypertension, BMI, and ACE gene polymorphism. CONCLUSION: ACE ID+DD genotype has significant relationship with hypertension in Melati population, Sleman, Yogyakarta, Indonesia. PMID- 21063040 TI - Prevalence of metabolic syndrome using NCEP/ATP III criteria in Jakarta, Indonesia: the Jakarta primary non-communicable disease risk factors surveillance 2006. AB - AIM: To obtain the prevalence of MetS in Jakarta, as a capital city of Indonesia. METHODS: Data were obtained from surveillance of primary non-communicable disease in five regions in Jakarta, Indonesia, conducted in 2006. Targeting for 1,800 samples, we performed a purposive and simple random sampling of subjects within the age range of 25-64 years old in selected sampling areas, and stratified random sampling by adjusting to age and sex within those selected sampling areas. We use The WHO Step Wise in collecting data. We also collected blood sample for total cholesterol, LDL cholesterol, HDL cholesterol and triglyceride level. The ATP III modified Asian criteria require the presence of 3 or more of the following: 1. Abdominal obesity (waist circumference >= 90 cm in men and >= 80 cm in women; 2. A high triglyceride level (>= 150 mg/dL); 3. A low HDL-cholesterol level < 40 mg/dL for men and < 50 mg/dL for women); 4. High blood pressure (systolic >= 130 mmHg or diastolic >= 80 mmHg; and 5. A high fasting plasma glucose concentration (>= 110 mg/dL). RESULTS: Among 1,591 subjects, there are 641 men (40.3%) and 950 women (59.7%). The crude prevalence of MetS using the ATP III modified Asian criteria is 28.4% with prevalences in men and women are 25.4 and 30.4% respectively. The prevalences of MetS in NGT, prediabetes, and diabetes group are 16.4, 35.1, and 73.4% respectively. The prevalences of MetS and central obesity in prediabetes group and diabetes group are higher significantly than those in normal glucose tolerance group (p<0.01). The most common component of MetS in men is hypertension (84.7%), followed by hypertriglyceridemia (83.4%), central obesity (75.5%), hyperglycemia (50.9%) and low HDL-cholesterol (43.6%). While in women, the most common component is central obesity (84.1%), followed by hypertension (84.1%), hypertriglyceridemia (66.1%), low HDL-cholesterol (57.8%), and hyperglycemia (50.2%). CONCLUSION: The prevalence of MetS in this study is 28.4%. The most component found in men is hypertension while in women is central obesity. PMID- 21063041 TI - Insulin resistance profile among siblings of type 2 diabetes mellitus (preliminary study). AB - AIM: to obtain prevalence of insulin resistance among siblings of subjects with type 2 DM and their metabolic abnormality profiles as measured by their BMI, waist circumference (WC), blood pressure, glucose intolerance, concentration of triglyceride, HDL cholesterol, and uric acid. METHODS: a preliminary, cross sectional study conducted among 30 siblings from seven type 2 DM subjects under medical treatment in Dr. Cipto Mangunkusumo Hospital and other places where the subjects lived. Those subjects underwent interviews, physical examination including weight, height, abdominal circumference, blood pressure and laboratory examinations including glucose tolerance test, fasting insulin, triglycerides, HDL cholesterol and uric acid level. Data processed to obtain body mass index (BMI), homeostasis model assessment of insulin resistance (HOMA-IR) and HOMA-IR cut-off, which is determined based on 75 percentile. The criteria of hypertension, dyslipidemia, central obesity and hyperglycemia are based on Asian modification NCEP/ATP III criteria for metabolic syndrome. Hyperuricemia is diagnosed based on uric acid level >7mg/dl in men and >6 mg/dl in women. RESULTS: the prevalence of insulin resistance among siblings of type 2 DM in this study is 26.67% with the proportion in each family varies from 0% to 75%. The most metabolic component found in this study is central obesity (56.7%), followed by hypertension (46.7%), decreased HDL cholesterol level (26.6%), hyper triglyceridemia (26.6%) and hyperglycemia (20%). As many as three-quarters of subjects with insulin resistance have BMI >25 kg/m2. Among subjects with insulin resistance, all have central obesity, half of them have hypertension, decreased HDL cholesterol level and hyper-triglyceridemia. While hyperglycemia is found in 37.5% subjects. CONCLUSION: the prevalence of insulin resistance among siblings of type 2 DM in this study was 26.67% with the proportion in each family varies between 0-75%. Central obesity is the most metabolic component commonly found. PMID- 21063042 TI - The appropriate waist circumference cut-off for Iranian population. AB - AIM: To estimate the prevalence of obesity particularly abdominal obesity among adults aged between 19 to 65 years in five major cities in Iran. METHODS: In this cross-sectional study, the anthropometric measurements including weight, height, body mass index, waist and hip circumferences were performed in 5724 healthy adults, representative sample of Iranian population, in the urban areas of five great cities of Iran. The prevalence of obesity was calculated in each district. ROC curves were used to evaluate an optimal WC cutoff for predicting obesity. RESULTS: Considering BMI categories, 38.5% were overweight and 19.7% were obese. Abdominal obesity by WC criteria was reported in 45.1% and 19.6% of women and men, respectively. The waist circumference cut-off points corresponding to BMI values of >= 30 kg/m2 were 99.5 cm for men and 94.25 cm for women. CONCLUSION: The present study provides alarming evidences for health professionals and policy makers about the high prevalence of generalized and abdominal obesity in Iran. PMID- 21063043 TI - Prevalence and predictors of undiagnosed diabetes mellitus in Indonesia. AB - AIM: To find the prevalence and prediction factors of undiagnosed diabetes mellitus in an Indonesian adult population. By recognizing the prediction factors, we can make epidemiological modeling and scoring system of undiagnosed diabetes mellitus in Indonesia which can be used as a screening tool in primary health care and health care with minimal diagnostic facility. METHODS: Cross sectional design was conducted on subjects from National Health Survey, Ministry of Health Republic of Indonesia 2007. Research population was upper than 18th years old. Diabetes mellitus was diagnosed by oral glucose tolerance test based on WHO 1999 standard which has been adapted by Indonesian Society for Endocrinologist. Subjects were categorized undiagnosed if they were newly diagnosed from the survey. RESULTS: From 24417 subjects who undergo oral glucose tolerance test, we choose 20249 subjects who have complete data on important variables. After eliminating subjects bellow 18 years old, we have 18956 subjects included in the study. Prevalence of undiagnosed diabetes mellitus is 4.1% from total 5.6% of diabetic population in Indonesia. Subjects are included in the analysis is undiagnosed diabetes mellitus subjects (778 subjects) and subjects with normal blood glucose or non-diabetes (16011 subjects). From bivariate analysis, variables age, sex, social economic status, education level, obesity, central obesity, hypertension, physical inactivity, and smoking habit have significant association with undiagnosed diabetes mellitus (p < 0.05). From multivariate analysis, we found prediction factors of undiagnosed diabetes mellitus are age, obesity, central obesity, hypertension, and smoking habit. CONCLUSION: Prevalence of undiagnosed diabetes mellitus is 4.1%. Prediction factors of undiagnosed diabetes mellitus in Indonesia are age, obesity, central obesity, hypertension, and smoking habit. PMID- 21063044 TI - Cut-off of anthropometry measurement and nutritional status among elderly outpatient in Indonesia: multi-centre study. AB - AIM: To obtain the cut-off value of anthropometric measurements and nutritional status of elderly in Indonesia. METHODS: A multicentre-cross sectional study was performed at 9 hospitals in Indonesia. The data collected comprises of samples characteristics, anthropometric measurements (weight, height, trisep, bisep, subscapular, suprailiac, and circumference of the hip, waist, arm, calf, and thigh), albumin value, MNA score and ADL Index of Barthel. RESULTS: A total of 702 subjects were collected. The average value of serum albumin is 4.28 g/dl, with 98% subjects had normal serum albumin (> 3.5 g/dl). The mean MNA score and BMI was 23.07 and 22.54 respectively. Most of subjects (56.70%) had risk of malnutrition based on MNA score, and 45.01% had normal nutritional status based on body mass index. Average value of several anthropometric measures (weight, stature, and body mass index; sub-scapular and supra-iliac skinfolds; thigh, calf, mid-arm, and waist circumferences) in various age groups in both groups of women and men were obtained. Cut-off values of various anthropometric indicators were also analyzed in this study with MNA as a gold standard. CONCLUSION: This study showed age related anthropometric measurement differences in both men and women aged 60 years and older. PMID- 21063045 TI - Recurrent aphthous stomatitis caused by food allergy. AB - Recurrent Aphthous Stomatitis (RAS) is one of the most common oral lesions which occur either in single or multiple forms in oral mucosa. The mouth is subjected to a wide spectrum of antigenic agents, including foodstuff, and allergic reactions to such antigens may manifest in a number of diverse ways. Food allergy, however, has not been widely investigated as the cause of RAS. The main complaint of RAS typically is pain, and the main therapy is still corticosteroids, besides avoiding allergenic foodstuff. In RAS, there is often a genetic basis. More than 42 percent of patients with RAS have first-degree relatives with RAS. The likelihood of RAS is 90 percent when both parents are affected, but only 20 percent when neither parent has RAS, and it is also likely to be more severe and to start at an earlier age in patients with a positive family history. The primary goals of therapy of RAS are relief of pain, reduction of ulcer duration, and restoration of normal oral function. The secondary goals include reduction in frequency and severity of recurrences and maintenance of remission. Diagnostic elimination diets are frequently utilized both in diagnosis and management of RAS caused by food allergy. Patients with RAS may have increased levels of CD8+ T-lymphocytes and/or decreased CD4+ T-lymphocytes. There may be a reduced percentage of "virgin" T-cells and an increased of "memory" T lymphocytes. Patients with active RAS have an increased proportion of gd T-cells compared with healthy control subjects and RAS patients with inactive disease. The gd T-cells may play a role in ADCC and it is believed that gd T-cells play a role in immunological damages. Preventive treatment is a consideration for patients with RAS caused by food allergy who report regular exacerbations of their condition. It focuses on dietary modifications, the earliest stage, the prodromal stage, and attempts to intercept ulcer development again by the use of topical immunosuppressant and particularly corticosteroids. PMID- 21063046 TI - Emphysematous pyelonephritis. PMID- 21063047 TI - Clinical applications of stem cell therapy for regenerating the heart. AB - An immediate reperfusion therapy after acute myocardial infarction (AMI) is a prerequisite to prevent further cardiac damage and minimize ventricular remodelling. Although a rigorous and sophisticated set of therapeutic procedure has been applied in the disease management, mortality rate has yet unchanged during the last twenty years. This fact necessitates an alternative or adjuvant therapy that is critically safe and capable of repairing the injured vascular as well as regenerating the infarcted myocardium without omitting the ethical considerations. Stem cell therapy could be the answer. It has gained major basic and clinical research interest, ever since its discovered potential to repair the injured vascular in 1997. Multiple cell types across lineages have been shown to be able to transdifferentiate into mature functioning cardiomyocytes either in vitro through similar phenotypical and genotypical characteristics or in vivo by regenerating the infarcted myocardium and improve contractile function. Although the exact repairing mechanisms are still in a major debate, numerous clinical trials have demonstrated favorable effects toward the use of autologous stem cells in AMI patients with considerably low side effects. Despite the relatively novel discovery, stem cell therapy offers a promising prospect to confer a better protection, prevent later complications, and perhaps reduce the mortality among patients with ischemic heart disease. This ultimate outcome would likely be achieved through a stringent and coordinated of either basic and clinical research. PMID- 21063048 TI - Current malaria management: guideline 2009. AB - Malaria is still a health problem in the world, particularly in Indonesia with high morbidity and mortality rate. Increased mortality rate due to malaria has been reported and it may occur because of the raising in anti-malarial resistance. Chloroquine-resistant P. vivax and P. falciparum have been reported in almost all over the country. Various dose administrations of anti-malarial treatment, instead of the standard dose and single dose treatment is probably one of possible causes. Another problem in Indonesia includes the shortage in diagnostic facilities as well as various kinds of treatment available. In 2009, Ministry of Health, Republic of Indonesia has declared the program of Malaria Elimination 2009 to overcome the problems. The policy includes diagnosis and treatment of malaria. It is expected that diagnosis should be established based on the gold standard by confirmation of blood smears. Moreover, first line treatment of malaria shall include the Artemisinin Combination Therapy (ACT). Artemisinin is selected as it has some advantages and it should be combined to prevent resistance. Principles of severe malaria management are preventing and minimizing the risk of death. Adequate treatment includes supportive and causal (anti-malarial) treatment as well as treating complication. Parenteral artemisinin is given for severe malaria and continued with oral combination of artemisinin treatment once the patient can take oral therapy. PMID- 21063049 TI - The fabrication of metal silicide nanodot arrays using localized ion implantation. AB - We propose a process for fabricating nanodot arrays with a pitch size of less than 25 nm. The process consists of localized ion implantation in a metal thin film on a Si wafer using a focused ion beam (FIB), followed by chemical etching. This process utilizes the etching resistivity changes of the ion beam irradiated region that result from metal silicide formation by ion implantation. To control the nanodot diameter, a threshold ion dose model is proposed using the Gaussian distribution of the ion beam intensities. The process is verified by fabricating nanodots with various diameters. The mechanism of etching resistivity is investigated via x-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES). PMID- 21063050 TI - Scanning tunneling spectroscopy of lead sulfide quantum wells fabricated by atomic layer deposition. AB - We report the use of scanning tunneling spectroscopy (STS) to investigate one dimensional quantum confinement effects in lead sulfide (PbS) thin films. Specifically, quantum confinement effects on the band gap of PbS quantum wells were explored by controlling the PbS film thickness and potential barrier height. PbS quantum well structures with a thickness range of 1-20 nm were fabricated by atomic layer deposition (ALD). Two barrier materials were selected based on barrier height: aluminum oxide as a high barrier material and zinc oxide as a low barrier material. Band gap measurements were carried out by STS, and an effective mass theory was developed to compare the experimental results. Our results show that the band gap of PbS thin films increased as the film thickness decreased, and the barrier height increased from 0.45 to 2.19 eV. PMID- 21063051 TI - Temperature effects on the atomic arrangement and conductance of atomic-size gold nanowires generated by mechanical stretching. AB - We have studied the changes induced by thermal effects in the structural and transport response of Au nanowires generated by mechanical elongation. We have used time-resolved atomic resolution transmission electron microscopy imaging and quantum conductance measurement using a mechanically controllable break junction. Our results showed remarkable differences in the NW evolution for experiments realized at 150 and 300 K, which modifies drastically the conductance response during elongation. Molecular dynamics and electronic transport calculations were used to consistently correlate the observed structural and conductance behavior. These results emphasize that it is essential to take into account the precise atomic arrangement of nanocontacts generated by mechanical stretching to understand electrical transport properties. Also, our study shows that much care must be taken when comparing results obtained in different experimental conditions, mainly different temperatures. PMID- 21063052 TI - Tribocorrosion behaviour of nanostructured titanium substrates processed by high pressure torsion. AB - Aseptic loosening induced by wear particles from artificial bearing materials is one of the main causes of malfunctioning in total hip replacements. With the increase in young and active patients, complications in revision surgeries and immense health care costs, there is considerable interest in wear-resistant materials that can endure longer in the harsh and corrosive body environment. Here, the tribological behaviour of nanostructured titanium substrates processed by high-pressure torsion (HPT) is investigated and compared with the coarse grained samples. The high resolution transmission electron microscopy reveals that a nanostructured sample has a grain size of 5-10 nm compared to that of ~ 10 um and ~ 50 um for untreated and annealed substrates, respectively. Dry and wet wear tests were performed using a linear reciprocating ball-on-flat tribometer. Nanostructured samples show the best dry wear resistance and the lowest wear rate in the electrolyte. There was significantly lower plastic deformation and no change in preferred orientation of nanostructured samples attributable to the wear process. Electrochemical impedance spectroscopy (EIS) shows lower corrosion resistance for nanostructured samples. However, under the action of both wear and corrosion the nanostructured samples show superior performance and that makes them an attractive candidate for applications in which wear and corrosion act simultaneously. PMID- 21063053 TI - Formation of core-shell and hollow nanospheres through the nanoscale melt solidification effect in the Sm-Fe(Ta)-N system. AB - Sm-Fe-Ta-N-O nanospheres were synthesized by pulsed-laser deposition from a Sm(13.8)Fe(82.2)Ta(4.0) target in a nitrogen atmosphere. Three structurally and compositionally distinct types were identified: amorphous, core-shell and hollow nanospheres. Amorphous spheres were compositionally homogeneous and completely oxidized. The core-shell spheres were composed of an iron-rich crystalline core with up to 10 at.% interstitially incorporated nitrogen, surrounded by an amorphous and oxidized shell. The hollow spheres were characterized by voids filled with N(2) gas. It was found that the formation of either amorphous or complex nanospheres is defined by an initial Fe/Sm ratio within the molten droplet. The formation of hollow spheres is believed to be related to the general affinity of liquid metals for gas intake. During rapid solidification the dissolved gas in the melt is trapped within the surrounding solid rim, preventing the outwards diffusion of gas. As long as the amount of gas atoms in the melt is kept below its solubility limits it can be completely interstitially incorporated into the solid, thus forming crystalline Fe(N)-rich cores. If the melt contains more than an equilibrium amount of nitrogen it is possible that the gas recombines to form N(2) molecules, which are condensed inside the spheres. PMID- 21063054 TI - Highly ordered porous alumina with tailor-made pore structures fabricated by pulse anodization. AB - A new anodization method for the preparation of nanoporous anodic aluminum oxide (AAO) with pattern-addressed pore structure was developed. The approach is based on pulse anodization of aluminum employing a series of potential waves that consist of two or more different pulses with designated periods and amplitudes, and provides unique tailoring capability of the internal pore structure of anodic alumina. Pores of the resulting AAOs exhibit a high degree of directional coherency along the pore axes without branching, and thus are suitable for fabricating novel nanowires or nanotubes, whose diameter modulation patterns are predefined by the internal pore geometry of AAO. It is found from microscopic analysis on pulse anodized AAOs that the effective electric field strength at the pore base is a key controlling parameter, governing not only the size of pores, but also the detailed geometry of the barrier oxide layer. PMID- 21063055 TI - Enhanced photoconduction of free-standing ZnO nanowire films by L-lysine treatment. AB - Flexible paper-like ZnO nanowire films are fabricated and the effect of L-lysine passivation of the nanowire surfaces on improving the UV photoresponse is studied. We prepare three types of nanowires with different defect contents, and find that the L-lysine treatment can suppress the oxygen-vacancy-related photoluminescence as well as enhance the UV photoconduction. The nanowires with fewer defects gain larger enhancement of UV photoconduction after L-lysine treatment. Reproducible UV photoresponse of the devices in humid air is obtained due to L-lysine surface passivation, ruling out the influence of water molecules in degrading the UV photocurrent. PMID- 21063056 TI - A DFT study of halogen atoms adsorbed on graphene layers. AB - In this work, ab initio density functional theory calculations were performed in order to study the structural and electronic properties of halogens (X = fluorine, chlorine, bromine or iodine) that were deposited on both sides of graphene single layers (X-graphene). The adsorption of these atoms on only one side of the layer with hydrogen atoms adsorbed on the other was also considered (H,X-graphene). The results indicate that the F-C bond in the F-graphene system causes an sp(2) to sp(3) transition of the carbon orbitals, and similar effects seem to occur in the H,X-graphene systems. For the other cases, two configurations are found: bonded (B) and non-bonded (NB). For the B configuration, the structural arrangement of the atoms was similar to F-graphene and H-graphene (graphane), although the electronic structures present some differences. In the NB configuration, the interaction between the adsorbed atoms and the graphene layer seems to be essentially of the van der Waals type. In these cases, the original shape of the graphene layer presents only small deviations from the pristine form and the adsorbed atoms reach equilibrium far from the sheet. The F-graphene structure has a direct bandgap of approximately 3.16 eV at the Gamma point, which is a value that is close to the value of 3.50 eV that was found for graphane. The Cl-graphene (B configuration), H,F-graphene and H,Cl-graphene systems have smaller bandgap values. All of the other systems present metallic behaviours. Energy calculations indicate the possible stability of these X-graphene layers, although some considerations about the possibility of spontaneous formation have to be taken into account. PMID- 21063057 TI - (Non-targeted) radioactive/fluorescent nanoparticles and their potential in combined pre- and intraoperative imaging during sentinel lymph node resection. AB - One clinical precedent for the use of nanosized imaging agents is the localization of the tumor draining sentinel lymph nodes. In this application, radiocolloids such as (99m)Tc-NanoColl are currently used to plan the surgical procedure and to provide acoustic guidance during the intervention. Additional injections of dyes are common to provide optical surgical guidance. Bimodal imaging agents, which are both radioactive and fluorescent, have the potential to be used for both surgical planning and intraoperative fluorescence guidance towards the sentinel lymph nodes. This review provides an overview of the radioactive, fluorescent, and size properties of (non-targeted) bimodal nanoparticles, and their (potential) value in sentinel lymph node detection. PMID- 21063058 TI - Characterization of ω-secalin genes from rye, triticale, and a wheat 1BL/1RS translocation line. AB - Sixty-two DNA sequences for the coding regions of omega-secalin (omega-secalin) genes have been characterized from rye (Secale cereale L.), hexaploid and octoploid triticale (* Triticosecale Wittmack), and wheat (Triticum aestivum L.) 1BL/1RS translocation line. Only 19 out of the 62 omega-secalin gene sequences were full-length open reading frames (ORFs), which can be expressed into functional proteins. The other 43 DNA sequences were pseudogenes, as their ORFs were interrupted by one or a few stop codons or frameshift mutations. The 19 omega-secalin genes have a typical primary structure, which is different from wheat gliadins. There was no cysteine residue in omega-secalin proteins, and the potential celiac disease (CD) toxic epitope (PQQP) was identified to appear frequently in the repetitive domains. The omega-secalin genes from various cereal species shared high homology in their gene sequences. The omega-secalin gene family has involved fewer variations after the integration of the rye R chromosome or whole genome into the wheat or triticale genome. The higher Ka/Ks ratio (i.e. non-synonymous to synonymous substitutions per site) in omega-secalin pseudogenes than in omega-secalin ORFs indicate that the pseudogenes may be subject to a reduced selection pressure. Based on the conserved sequences of omega-secalin genes, it will be possible to manipulate the expression of this gene family in rye, triticale, or wheat 1BL/1RS translocation lines, to reduce its negative effects on grain quality. PMID- 21063059 TI - Aegilops-rye amphiploids and substitution rye used for introgression of genetic material into rye (Secale cereale L.). AB - The valuable genes of Aegilops biuncialis, Ae. ovata, Ae. kotschyi, and Ae. variabilis were transferred to rye, by crossing Aegilops-rye amphiploids with tetraploid and diploid substitution rye. The C-banded karyotype of the BC1 and BC2 generations of amphiploids with 4x substitution rye and BC1 with 2x substitution rye showed great variation in chromosome number and composition. In the BC1 generation of amphiploids with 4x and 2x substitution rye, seed set success rate and germination rate varied depending on origin. However, plant sterility in all cross combinations of amphiploids with 4x and 2x substitution rye resulted in their elimination from further experiments in the BC3 and BC2 generations, respectively. In backcrosses of 4x substitution rye with amphiploids Ae. variabilis × rye 4x, fertile 4x rye plants containing Aegilops chromatin were produced in the BC2 generation. PMID- 21063060 TI - QTL mapping of 1000-kernel weight, kernel length, and kernel width in bread wheat (Triticum aestivum L.). AB - Kernel size and morphology influence the market value and milling yield of bread wheat (Triticum aestivum L.). The objective of this study was to identify quantitative trait loci (QTLs) controlling kernel traits in hexaploid wheat. We recorded 1000-kernel weight, kernel length, and kernel width for 185 recombinant inbred lines from the cross Rye Selection 111 × Chinese Spring grown in 2 agro-climatic regions in India for many years. Composite interval mapping (CIM) was employed for QTL detection using a linkage map with 169 simple sequence repeat (SSR) markers. For 1000-kernel weight, 10 QTLs were identified on wheat chromosomes 1A, 1D, 2B, 2D, 4B, 5B, and 6B, whereas 6 QTLs for kernel length were detected on 1A, 2B, 2D, 5A, 5B and 5D. Chromosomes 1D, 2B, 2D, 4B, 5B and 5D had 9 QTLs for kernel width. Chromosomal regions with QTLs detected consistently for multiple year-location combinations were identified for each trait. Pleiotropic QTLs were found on chromosomes 2B, 2D, 4B, and 5B. The identified genomic regions controlling wheat kernel size and shape can be targeted during further studies for their genetic dissection. PMID- 21063061 TI - Storage products and transcriptional analysis of the endosperm of cultivated wheat and two wild wheat species. AB - The starch and protein in wheat (Triticum aestivum L.) endosperm provide 20 percent of the calories eaten by humans and were heavily selected for during domestication. We examined the main storage products and gene expression patterns that may embody compositional differences between two wild species Aegilops crassa and Aegilops tauschii and cultivated bread wheat. The storage product profiles differed significantly with T. aestivum accumulating twice as much carbon as the wild species, while the latter had 1.5 to 2-fold more total nitrogen per seed. Transcriptional analyses of endosperms of similar fresh weight were compared using a cDNA macroarray. Aegilops tauschii, and especially Ae. crassa had stronger hybridizations with storage protein sequences, but while there were differences in transcripts for starch biosynthetic genes, they were less dramatic. Of these, we cloned the Starch Branching Enzymes (SBE) IIa promoter region and the genomic clone of the Brittle-1 (Bt1) ADPglucose transporter. While Ae. crassa SBEIIa sequence was more divergent than that of Ae. tauschii's compared to bread wheat, there were no sequence polymorphisms that would explain the observed expression differences in Bt1 between these species. Furthermore, while there were nucleotide differences between Bt1 in Ae. crassa and bread wheat, they were synonymous at the amino acid level. Some of transcriptional differences identified here, however, deserve further examination as part of a strategy to manipulate wheat starch and protein composition. PMID- 21063062 TI - Variability of ribosomal DNA sites in Festuca pratensis, Lolium perenne, and their intergeneric hybrids, revealed by FISH and GISH. AB - This study focuses on the variability of chromosomal location and number of ribosomal DNA (rDNA) sites in some diploid and autotetraploid Festuca pratensis and Lolium perenne cultivars, as well as on identification of rDNA-bearing chromosomes in their triploid and tetraploid F. pratensis × L. perenne hybrids. The rDNA loci were mapped using fluorescence in situ hybridization (FISH) with 5S and 25S rDNA probes, and the origin of parental genomes was verified by genomic in situ hybridization (GISH) with L. perenne genomic DNA as a probe, and F. pratensis genomic DNA as a block. FISH detected variation in the number and chromosomal location of both 5S and 45S rDNA sites. In F. pratensis mostly additional signals of 5S rDNA loci occurred, as compared with standard F. pratensis karyotypes. Losses of 45S rDNA loci were more frequent in L. perenne cultivars and intergeneric hybrids. Comparison of the F. pratensis and L. perenne genomes approved a higher number of rDNA sites as well as variation in chromosomal rDNA location in L. perenne. A greater instability of F. pratensis genome-like and L. perenne-genome-like chromosomes in tetraploid hybrids was revealed, indicating gains and losses of rDNA loci, respectively. Our data indicate that the rDNA loci physically mapped on chromosomes 2 and 3 in F. pratensis and on chromosome 3 in L. perenne are useful markers for these chromosomes in intergeneric Festuca × Lolium hybrids. PMID- 21063063 TI - Presence of env-like sequences in Quercus suber retrotransposons. AB - The main difference between LTR retrotransposons and retroviruses is the presence of the envelope (env) gene in the latter, downstream of the pol gene. The env gene is involved in their infectious capacity. Here we report the presence of env like sequences in the genome of Quercus suber (cork oak), one of the most economically important Portuguese species. These gene sequences were isolated through DNA amplification between RNaseH conserved motifs and 3' LTR, based on the structure of copia retrotransposons. Phylogenetic analysis revealed that almost all the clones isolated are clustered with Cyclops-2, a Ty3-gypsy element identified in Pisum sativum, except one clustered with gypsy and copia retroelements found in different species. This suggests the existence of a potential ancestral sequence of the env gene, prior to the separation of Ty3 gypsy and Ty1-copia retrotransposons. Additionally, the isolated env-like sequences showed 26-39% of homology with env-like sequences characterized in viruses. The origin of env-like sequences in retrotransposons from host plant taxa is discussed. PMID- 21063064 TI - Complete sequences of maternally inherited mitochondrial genomes in mussels Unio pictorum (Bivalvia, Unionidae). AB - Mitochondrial genomes are frequently used to infer phylogenetic relationships. Some taxa are, however, poorly represented. To facilitate better understanding of the potential of mitochondrial genome data in freshwater mussels, we present here, for the first time, the mitochondrial sequences of 4 complete F-type mitochondrial genomes from the European freshwater bivalve Unio pictorum (Unionidae). These genomes are very compact (15,761 bp) but have a typical gene complement for bilaterian mitochondrial genomes and a very similar organization to other unionid genomes available in databases. Very low nucleotide diversity within the species suggests a small effective population size of Polish U. pictorum, a phenomenon of potential importance for environmental management policies. PMID- 21063065 TI - Genes associated with long-chain omega-3 fatty acids in bovine skeletal muscle. AB - Long-chain omega-3 fatty acids (n-3 FAs) influence meat tenderness, juiciness, and flavor, and are beneficial to human health. The percentage of long-chain n-3 FAs in total FAs is termed the omega-3 index (O3I). It is thus of great interest to favor rising this index in bovine skeletal muscle, to obtain healthier, tastier, and more nutritive meat. This study was aimed to detect transcriptomic variations related to O3I in muscles in 15-month-old males of 4 Spanish cattle breeds raised under the same conditions. Through the analysis of extreme O3I phenotypes, 3 genes of interest (AANAT, UCP2 and AHA1) were identified. AANAT and UCP2 were strongly up-regulated, while AHA1 was repressed in animals with a high O3I. Moreover, gene expression differed between GDF8-null animal muscles (tested for nt821del11 and Q204X mutations) and the wild-type muscles for genes GDH1, IGF2R, FADS1, ASPH, and AIM1, all showing down-regulation in Asturiana de los Valles calves with muscle hypertrophy (GDF8-null). This shows that in GDF8-null animals other pathways are used for FA synthesis. PMID- 21063066 TI - Bayesian inference of genetic parameters for test-day milk yield, milk quality traits, and somatic cell score in Burlina cows. AB - The aim of the study was to infer (co)variance components for daily milk yield, fat and protein contents, and somatic cell score (SCS) in Burlina cattle (a local breed in northeast Italy). Data consisted of 13,576 monthly test-day records of 666 cows (parities 1 to 8) collected in 10 herds between 1999 and 2009. Repeatability animal models were implemented using Bayesian methods. Flat priors were assumed for systematic effects of herd test date, days in milk, and parity, as well as for permanent environmental, genetic, and residual effects. On average, Burlina cows produced 17.0 kg of milk per day, with 3.66 and 3.33 percent of fat and protein, respectively, and 358,000 cells per mL of milk. Marginal posterior medians (highest posterior density of 95%) of heritability were 0.18 (0.09-0.28), 0.28 (0.21-0.36), 0.35 (0.25-0.49), and 0.05 (0.01-0.11) for milk yield, fat content, protein content, and SCS, respectively. Marginal posterior medians of genetic correlations between the traits were low and a 95 percent Bayesian confidence region included zero, with the exception of the genetic correlation between fat and protein contents. Despite the low number of animals in the population, results suggest that genetic variance for production and quality traits exists in Burlina cattle. PMID- 21063067 TI - FISH mapping in cattle (Bos taurus L.) is not yet out of fashion. AB - Physical mapping of genes by fluorescence in situ hybridization (FISH) seems to be out of fashion in species whose assembled genome sequences are available. However, in this work we evidence the existence of errors in gene location in the Btau_4.0 assembly. We show that DFNA5 and CHCHD6 genes are located on BTA4 and BTA22, respectively, instead of BTA10 and BTA3, as displayed by Btau_4.0. This report emphasizes the need to verify the data on physical localization of genes in the cattle genome (at least by taking into account comparative data reported in available papers) and the need to improve the cattle genome assembly. Our results indicate that FISH mapping in cattle is still useful. PMID- 21063068 TI - Profiling of regulatory microRNA transcriptomes in various biological processes: a review. AB - A class of small, non-coding ribonucleic acids, termed microRNA (miRNA), has recently emerged as a new key player in the cellular control of gene expression. By either blocking translation or inducing target mRNA degradation, miRNA not only participates in regular biological processes within cells and tissues but is also involved in pathological processes. Many human malignancies have been linked to specific miRNA expression patterns, raising hopes for new approaches to therapy. While such human disease-related mechanisms have been widely discussed and frequently reviewed, miRNA's general significance in animals has been less in editorial focus, despite its obvious role in basic physiological processes, e.g. neurosensory maturation, development of fertility, and hibernation. Using selected examples, this review highlights our current knowledge of miRNA's potential and its promise as a new tool for gene regulation. PMID- 21063069 TI - Family-based association analysis of the MAPT gene in Parkinson disease. AB - The MAPT gene has been shown to be associated with several neurodegenerative disorders, including forms of parkinsonism and Parkinson disease (PD), but the results reveal population differences. We investigated the association of 10 single-nucleotide polymorphisms (SNPs) in the region of MAPT on chromosome 17q21 with PD and age at onset, by using 443 discordant sib pairs in PD from a public dataset (Mayo-Perlegen LEAPS Collaboration). Association with PD was assessed by the FBAT using generalized estimating equations (FBAT-GEE), while the association with age at onset as a quantitative trait was evaluated using the FBAT-logrank statistic. Five SNPs were significantly associated with PD (P < 0.05) in an additive model, and 9 SNPs were associated with PD (P < 0.05) in dominant and recessive models. Interestingly, 8 PD-associated SNPs were also associated with age at onset of PD (P < 0.05) in dominant and recessive models. The SNP most significantly associated with PD and age at onset was rs17649641 (P = 0.015 and 0.021, respectively). Two-SNP haplotypes inferred from rs17563965 and rs17649641 also showed association with PD (P = 0.018) and age at onset (P = 0.026). These results provide further support for the role of MAPT in development of PD. PMID- 21063070 TI - Cardiac ion channel gene mutations in Greek long QT syndrome patients. AB - The long QT syndrome (LQTS) is an inherited cardiac arrhythmia that may lead to sudden death in the absence of structural heart disease. Mutations in the cardiac potassium and sodium channel genes can be found in approximately 70 percent of patients with a highly probable clinical diagnosis. In this study, we aimed to genotype and explore the yield of genetic testing of LQTS patients from Greece, for whom there are no collective published data available. We clinically evaluated and genetically screened 17 unrelated patients for mutations in the KCNQ1, KCNH2, SCN5A, KCNE1, and KCNE2 cardiac ion channel genes. Genetic testing was positive in 6 out of 8 patients with a highly probable clinical diagnosis of LQTS and negative for all the other patients. Two patients carried KCNQ1 mutations (c.580G>C, c.1022C>T), while 4 patients carried KCNH2 mutations (c.202T>C, c.1714G>A, c.3103delC, c.3136C>T). To the best of our knowledge, the last mentioned mutation (c.3136C>T) is novel. Moreover, 27 single-nucleotide polymorphisms (SNPs) were detected, 5 of which are novel. Our preliminary data indicate a low genetic diversity of the Greek LQTS genetic pool, and are in accordance with international data that genetic testing of the major LQTS genes is efficient in genotyping the majority of patients with a strong clinical diagnosis. Therefore, the transition of an LQTS genetic screening program from research to the diagnostic setting within our ethnic background is feasible. PMID- 21063071 TI - Genetic polymorphisms of the DNA repair gene MPG may be associated with susceptibility to rheumatoid arthritis. AB - Rheumatoid arthritis (RA) is a chronic autoimmune disease and can lead to deformities and severe disabilities, due to irreversible damage of tendons, joints, and bones. A previous study indicated that a DNA repair system was involved in the development of RA. In this study, we investigated the association of four N-methylpurine-DNA glycosylase (MPG) gene polymorphisms (rs3176364, rs710079, rs2858056, and rs2541632) with susceptibility to RA in 384 Taiwanese individuals (192 RA patients and 192 control subjects). Our data show a statistically significant difference in genotype frequency distributions at rs710079 and rs2858056 SNPs between RA patients and control groups (P = 0.040 and 0.029, respectively). Our data also indicated that individuals with the GG genotype at rs2858056 SNP may have a higher risk of developing RA. In addition, compared with the haplotype frequencies between case and control groups, individuals with the GCGC haplotype appeared to be at a greater risk of RA progression (P = 0.003, OR = 1.75; 95% CI = 1.20-1.55). Our results suggest that rs710079 and rs2858056 polymorphisms and the GCGC haplotype in the MPG gene are associated with the risk of RA progression, and thus may be used as molecular markers of RA if they are confirmed by further research. PMID- 21063072 TI - An infant with cartilage-hair hypoplasia due to a novel homozygous mutation in the promoter region of the RMRP gene associated with chondrodysplasia and severe immunodeficiency. AB - Cartilage-hair hypoplasia (CHH) is a rare autosomal-recessive disorder characterized by short-limbed dwarfism, sparse hair, and immune deficiency. It is caused by mutations in the RMRP gene, which encodes the RNA component of the mitochondrial RNA-processing ribonuclease (RNase MRP). Several mutations have been identified in its promoter region or transcribed sequence. However, homozygous mutations in the promoter region have been only reported in a patient with primary immunodeficiency without other features of CHH. We report on a Thai girl who first presented with chronic diarrhea, recurrent pneumonia, and severe failure to thrive, without apparently disproportionate dwarfism. The diagnosis of CHH was made after the severe wasting was corrected, and disproportionate growth became noticeable. The patient had the typical features of CHH, including sparse hair and metaphyseal abnormalities. The immunologic profiles were consistent with combined immune deficiency. Mutation analysis identified a novel homozygous mutation, g.-19_-25 dupACTACTC, in the promoter region of the RMRP gene. Identification of the mutation enabled us to provide a prenatal diagnosis in the subsequent pregnancy. This patient is the first CHH case with the characteristic features due to the homozygous mutation in the promoter region of the RMRP gene. The finding of severe immunodeficiency supports that promoter mutations markedly disrupt mRNA cleavage function, which causes cell-cycle impairment. PMID- 21063073 TI - Prenatal anatomical imaging in fetuses with congenital diaphragmatic hernia. AB - The role of prenatal ultrasound and magnetic resonance imaging in the diagnosis and management of congenital diaphragmatic hernia (CDH) is reviewed. Topics include morphologic imaging and vascular assessment of the developing lung, the value of imaging parameters as prognostic predictors in CDH and the role of imaging following percutaneous fetoscopic endoluminal tracheal occlusion. PMID- 21063074 TI - Organ-specific innate immune responses in a mouse model of invasive candidiasis. AB - In a fatal mouse model of invasive candidiasis (IC), fungal burden changes with variable dynamics in the kidney, brain, spleen, and liver and declines in all organs except for the kidney, which inexorably loses function. Since leukocytes are required to control Candida, we hypothesized that differential leukocyte infiltration determines organ-specific outcome of the infection. We defined leukocyte accumulation in the blood, kidney, brain, spleen, and liver after infection using fluorescent-activated cell sorting (FACS) and immunohistochemistry. Accumulation of Ly6c(int)CD11b(+) neutrophils predominated in all organs except the brain, where CD45(int)CD11b(+)CD11c(-) microglia were the major leukocytes detected, surrounding foci of invading Candida. Significantly more neutrophils accumulated in the spleen and liver than in the kidney during the first 24 h after infection, when neutrophil presence is critical for Candida control. Conversely, at later time points only the kidney continued to accumulate neutrophils, associated with immunopathology and organ failure. The distribution of neutrophils was completely different in each organ, with large abscesses exclusively forming in the kidney. Candida filamentation, an essential virulence factor, was seen in the kidney but not in the spleen or liver. IC induced Ly6c(hi)CD11b(+) inflammatory monocyte and NK1.1(+) cell expansion in the blood and all organs tested, and MHCII(+)F4/80(+)CD11c(-) macrophage accumulation, mainly in the spleen and liver. This study is the first detailed analysis of leukocyte subsets accumulating in different target organs during IC. The results delineate immune responses to the same pathogen that are highly idiosyncratic for each organ tested. The work provides novel insights into the balance between effective host defense and immunopathology in IC. PMID- 21063075 TI - Intrapleural r-tPA in association with low-molecular heparin may cause massive hemothorax resulting in hypovolemia. AB - The use of intrapleural instillation of recombinant tissue plasminogen activator (r-tPA) in the treatment of pleural infection may increase pleural fluid drainage associated with a clinical and imaging improvement, leading to a faster resolution. The use of r-tPA is generally well tolerated. Here we report 2 cases of massive pleural hemorrhage resulting in life-threatening hypovolemia, in 2 patients treated with intrapleural r-tPA for a pleural infection, who were simultaneously receiving systemic anticoagulation (1 therapeutic, the second prophylactic) with low-molecular weight heparin. It appears that the decision of treating pleural infection with r-tPA in patients receiving therapeutic or prophylactic systemic anticoagulation must be well balanced and in case of association of these compounds, close monitoring is necessary. PMID- 21063076 TI - An experience of oseltamivir phosphate (tamifluTM) in a pediatric patient with chronic idiopathic thrombocytopenic purpura: a case report. AB - We report a pediatric patient with chronic idiopathic thrombocytopenic purpura who suffered from an influenza A (H1N1) virus infection. A 13-year-old girl presented with fever, coughing, and generalized petechiae. The influenza A antigen was positive in her pharyngeal aspirate. She was successfully treated with neuraminidase inhibitor oseltamivir phosphate. Her platelet counts progressively increased with oseltamivir phosphate without another blood product or agent. We suggest that oseltamivir phosphate can be used in patients with immune thrombocytopenic purpura who present with influenza virus A infection. We also suggest that oseltamivir phosphate can be effective in patients with immune thrombocytopenic purpura. Large study groups are needed to confirm the relationship between idiopathic thrombocytopenic purpura and neurominidase inhibitors. PMID- 21063077 TI - Analysis of thioester-containing proteins during the innate immune response of Drosophila melanogaster. AB - Thioester-containing proteins (TEPs) are conserved proteins among insects that are thought to be involved in innate immunity. In Drosophila, the Tep family is composed of 6 genes named Tep1-Tep6. In this study, we investigated the phylogeny, expression pattern and roles of these genes in the host defense of Drosophila. Protostomian Tep genes are clustered in 3 distinct branches, 1 of which is specific to mosquitoes. Most D. melanogaster Tep genes are expressed in hemocytes, can be induced in the fat body, and are expressed in specific regions of the hypodermis. This expression pattern is consistent with a role in innate immunity. However, we find that TEP1, TEP2, and TEP4 are not strictly required in the body cavity to fight several bacterial and fungal infections. One possibility is that Drosophila TEPs act redundantly or that their absence can be compensated by other components of the immune response. TEPs may thus provide a subtle selective advantage during evolution. Alternatively, they may be required in host defense against specific as yet unidentified natural pathogens of Drosophila. PMID- 21063078 TI - Duplication 5q and deletion 9p due to a t(5;9)(q34;p23) in 2 cousins with features of Hunter-McAlpine syndrome and hypothyroidism. AB - We report on 2 similarly affected cousins with a compound imbalance resulting from a familial t(5;9)(q34;p23) and entailing both an ~17-Mb 5q terminal duplication and an ~12-Mb 9p terminal deletion as determined by G-banding, subtelomere FISH, and aCGH. The proband's karyotype was 46,XX,der(9)t(5;9)(q34;p23)mat.ish der(9)t(5;9)(q34;p23)(9pter-,5qter+).arr 5q34q35(163,328,000-180,629,000)*3, 9p24p23(194,000-12,664,000)*1. Her cousin had the same unbalanced karyotype inherited from his father. The clinical phenotype mainly consists of a distinct craniofacial dysmorphism featuring microcephaly, flat facies, down slanting palpebral fissures, small flat nose, long philtrum, and small mouth with thin upper lip. Additional remarkable findings were craniosynostosis of several sutures, craniolacunia and preaxial polydactyly in the proband and hypothyroidism in both subjects. The observed clinical constellation generally fits the phenotypic spectrum of the 5q distal duplication syndrome (known also as Hunter-McAlpine syndrome), except for the thyroid insufficiency which can likely be ascribed to the concurrent 9p deletion, as at least 4 other 9pter monosomic patients without chromosome 5 involvement had this hormonal disorder. The present observation further confirms the etiology of the HMS phenotype from gain of the 5q35->qter region, expands the clinical pictures of partial trisomy 5q and monosomy 9p, and provides a comprehensive list of 160 patients with 5q distal duplication. PMID- 21063079 TI - High-resolution mapping of interstitial telomeric repeats in Syrian hamster metaphase chromosomes. AB - Karyotype analysis of the Syrian hamster (Mesocricetus auratus) was performed after DAPI-banding of metaphase chromosomes obtained from cultivated skin fibroblasts of a newborn animal. Fluorescence in situ hybridization with telomeric FITC-conjugated peptide nucleic acid probe was applied to map interstitial blocks of (TTAGGG)(n) repeats. Strong fluorescence in situ hybridization signals corresponded to interstitial telomeric repeats in pericentromeric chromatin bands of chromosomes 2, 4, 14, 20, and X. High resolution DAPI-banding allowed specifying the arrangement of bands in the pericentromeric regions of these chromosomes. PMID- 21063080 TI - Contrasting rDNA evolution in lima bean (Phaseolus lunatus L.) and common bean (P. vulgaris L., Fabaceae). AB - Phaseolus vulgaris has two 5S rDNA sites in chromosomes 6 and 10 and from two up to nine 45S rDNA sites depending on the accession. The presence of three 45S rDNA sites, in chromosomes 6, 9 and 10, is considered the ancestral state for the species. For P. lunatus, only one 5S and one 45S rDNA sites in distinct chromosomes were known. In order to investigate the homeologies among these rDNA bearing chromosomes and the stability of the rDNA sites in P. lunatus, rDNA and P. vulgaris chromosome-specific probes were hybridized in situ to P. lunatus. The chromosomes bearing the 5S and the 45S rDNA of P. lunatus are homeologous to chromosomes 10 and 6 of P. vulgaris, respectively. In contrast to the common bean, no variation in the number of rDNA loci was detected, except for a duplication of the 5S rDNA in the same chromosome in a small group of cultivars. These results suggest that the 5S rDNA site in chromosome 10 and the 45S rDNA site in chromosome 6 represent the ancestral loci in the genus. The 5S rDNA site in chromosome 10 of P. vulgaris is located in the long arm, while in P. lunatus it is present in the short arm, suggesting the occurrence of a transposition or a pericentric inversion after separation of both lineages. PMID- 21063081 TI - The primary role of fibrinogen-related proteins in invertebrates is defense, not coagulation. AB - In vertebrates, the conversion of fibrinogen into fibrin is an essential process that underlies the establishment of the supporting protein framework required for coagulation. In invertebrates, fibrinogen-domain-containing proteins play a role in the defense response generated against pathogens; however, they do not function in coagulation, suggesting that this role has been recently acquired. Molecules containing fibrinogen motifs have been identified in numerous invertebrate organisms, and most of these molecules known to date have been linked to defense. Moreover, recent genome projects of invertebrate animals have revealed surprisingly high numbers of fibrinogen-like loci in their genomes, suggesting important and perhaps diverse functions of fibrinogen-like proteins in invertebrates. The ancestral role of molecules containing fibrinogen-related domains (FReDs) with immunity is the focus of this review, with emphasis on specific FReDs called fibrinogen-related proteins (FREPs) identified from the schistosome-transmitting mollusc Biomphalaria glabrata. Herein, we outline the range of invertebrate organisms FREPs can be found in, and detail the roles these molecules play in defense and protection against infection. PMID- 21063082 TI - Hemostasis in invertebrates and vertebrates: an evolutionary excursion. PMID- 21063083 TI - Eleventh International Congress on Obesity: news and prospects. AB - This article describes the 11th International Congress on Obesity which took place in Stockholm in July 2010. The delegates discussed recommended diagnostics and treatments in obesitology. A large number of presentations focused on nutrition and dietary management. The 11th International Congress on Obesity had a high professional standard. Active participants as well as almost 50 producers who exhibited drugs and medical equipment from the areas of nutrition, obesity, metabolism, and metabolic surgery contributed to this. PMID- 21063084 TI - Metabolic syndrome and insulin resistance in obese prepubertal children in Lebanon: a primary health concern. AB - BACKGROUND/AIMS: Ethnic-based associations between obesity and the metabolic syndrome (MS) have been suggested. The prevalence of MS in obese children was found to range between 13.9 and 48.8%, depending on the country of origin. This study was conducted to investigate the relationship between obesity and various components of MS in prepubertal children in Lebanon. METHODS: Eighty-seven obese children (Tanner stage 1), 25 overweight and 28 normal-weight controls were recruited from private and public schools. Anthropometric, biochemical and blood pressure measurements were performed. RESULTS: According to the modified Adult Treatment Panel III definition, the MS was identified in 26.4 and 4% of obese and overweight children, respectively, with a higher prevalence among girls than boys. The most common abnormalities among subjects with MS were elevated waist circumference (WC) (100.0%), high triglyceride (91.7%) and low high-density lipoprotein cholesterol (66.7%) levels. Insulin resistance was identified in 70% of obese children and 75% of those having the MS. Body mass index, WC and homeostasis model assessment of insulin resistance were significant determinants of the MS in this age group. CONCLUSION: MS was found in 26.4% of obese Lebanese children, thus underscoring the importance of early screening of obesity and its associated metabolic abnormalities and of developing successful multicomponent interventions addressing pediatric obesity and MS. PMID- 21063085 TI - Blood arachidonic acid and HDL cholesterol influence the phagocytic abilities of human monocytes/macrophages. AB - AIMS: Many immunomodulators may intensify the process of phagocytosis in monocytes. Among them are the fatty acids contained in cellular membrane phospholipids. But in the available literature there are no reports on how blood fatty acids and lipoproteins can modulate the phagocytic abilities of cells. Therefore, the aim of this study was the evaluation of the phagocytic activity of monocytes isolated from the blood of healthy human subjects with defined fatty acids and lipoprotein content. METHODS: Cells obtained from 24 donors were used for measuring phagocytic activity and for the quantification of serum fatty acids, total cholesterol, TG, HDL, and LDL, respectively. Phagocytosis was determined using a PHAGOTEST kit, fatty acids using a GC chromatograph, and lipids using test kits. RESULTS/CONCLUSIONS: The analysis shows an influence of serum HDL concentrations on the process of phagocytosis in the isolated cells and suggests that the concentration of arachidonic acid in blood is a factor that determines the phagocytic ability of monocytes. Moreover, the concentration of conjugated linoleic acid trans-10 cis-12 has considerable influence on phagocytosis. PMID- 21063086 TI - The chromosomes of terraranan frogs. Insights into vertebrate cytogenetics. PMID- 21063087 TI - Influence of dexamethasone on atrial ion currents and their early ionic tachycardia-induced electrical remodeling in rabbits. AB - BACKGROUND: Certain evidence points to a role of inflammation in AF pathophysiology. Thus, antiinflammatory treatment of AF is discussed. Effects of a dexamethasone treatment (7 days) on atrial ion currents (I(Ca,L), I(to), I(sus)) and their tachycardia-induced remodeling were studied in a rabbit model. METHODS: 6 groups of 4 animals each were built. Rapid atrial pacing (600 min) was performed for 24 and 120 hours with/ without dexamethasone treatment. Ion currents were measured using whole cell patch clamp method. RESULTS: Rapid atrial pacing reduced (I(Ca,L), I(to) was decreased after 24 hours but almost returned to control values after 120 hours. When dexamethasone-treated animals also underwent atrial tachypacing, pacing-induced reduction of I(Ca,L) was still observed after 24 hours and was even augmented after 120 hours compared to untreated but tachypaced animals. I(to) was not influenced by dexamethasone alone. In dexamethasone-treated animals, reduction of I(to) was not observed after 24 hours but occurred after 120 hours of atrial tachypacing. I(sus) was neither influenced by rapid atrial pacing nor by dexamethasone. Biophysical properties of all currents were affected neither by rapid atrial pacing nor by dexamethasone. CONCLUSION: Dexamethasone influenced tachycardia-induced alterations of atrial I(to). Our experiments give evidence that - amongst other anti-inflammatory action - impact of dexamethasone on ion currents and their tachycardia-induced alterations might also play a role in treatment/prevention of AF with steroids. PMID- 21063088 TI - Modulation of human ether a gogo related channels by CASQ2 contributes to etiology of catecholaminergic polymorphic ventricular tachycardia (CPVT). AB - RATIONALE: The plateau phase of the ventricular action potential is the result of balanced Ca(2+) influx and K(+) efflux. The action potential is terminated by repolarizing K(+) currents. Under beta-adrenergic stimulation, both the Ca(2+) influx and the delayed rectifier K(+) currents I(K) are stimulated to adjust the cardiac action potential duration to the enhanced heart rate and to ascertain adequate increase in net Ca(2+) influx. Intracellularly, a Calsequestrin2 (CASQ2) ryanodine receptor complex serves as the most effective Ca(2+) reservoir/release system to aid the control of intracellular Ca(2+) levels. Currently, it is unclear if disease-associated CASQ2 gene variants alter intracellular free Ca(2+) concentrations and if cardiac ion channels are affected by it. OBJECTIVE: The goal of this study is to test if CASQ2 determines intracellular free Ca(2+) concentrations and to identify cardiac ion channels that are affected by it. Further, we aim to study disease-associated CASQ2 gene variants in this context. METHODS AND RESULTS: Here, we study the effects of the CASQ2 mutations R33Q, F189L, and D307H, located in highly conserved regions, on the functions of cardiac potassium channels in Xenopus oocytes using two electrode voltage clamp. As a result, CASQ2 wild type and CASQ2-mutants modulated hERG functions differently. Free Ca(2+) measurements and molecular dynamics simulations imply alterations in Ca(2+) buffer capacity paralled by changes in the dynamic behavior of the CASQ2-mutants compared to CASQ2 wild type. CONCLUSIONS: These in vitro and in silico data suggest a regulatory role of CASQ2 on cytosolic Ca(2+) and hERG channels which may contribute to the etiology of CPVT. PMID- 21063089 TI - The novel mechanism of oxymatrine affecting HERG currents at different temperatures. AB - BACKGROUND/AIMS: Human ether-a-go-go-related gene (hERG) has an important role in the repolarization of the cardiac action potential. Our studies were to investigate the effects of oxymatrine (one of the natural constituents extracted from Chinese herb Sophora flavescens Ait) on hERG-encoded K(+) channels at different temperatures and its underlying mechanism. METHODS: The effects of oxymatrine were examined on hERG channels stably expressed in HEK293 cells using a whole-cell patch clamp technique. RESULTS: At the temperature 30 degrees C, oxymatrine inhibited hERG current in a concentration-dependent manner and the IC(50) was ~665 MUM. However at the temperature of 20 degrees C, low concentration oxymatrine C<=100 MUM increased hERG current density. However, high concentration oxymatrine C>100 MUM inhibited the hERG current density significantly. Oxymatrine only affected the activation kinetic of hERG channels at all temperatures and had a high binding affinity for open state of hERG channels except the 300 MUM-20 degrees C group which had a high binding affinity for inactive state of hERG channels. CONCLUSION: Oxymatrine is a low potency blocker of hERG K+ channels at 30 degrees C, low concentration oxymatrine affect the hERG activation gating with accelerating hERG tail current at 20 degrees C, oxymatrine is a potential hERG activator at low temperatures. PMID- 21063090 TI - Tissue vitamin A insufficiency results in adverse ventricular remodeling after experimental myocardial infarction. AB - BACKGROUND/AIMS: The role of tissue vitamin-A insufficiency on post-infarction ventricular remodeling is unknown. We tested the hypothesis that cardiac vitamin A insufficiency on post-infarction is associated with adverse myocardial remodeling. METHODS: After infarction, rats were allocated into two groups: C (controls, n=25); VA (dietary vitamin A restriction, n= 26). After 3 months, the animals were submitted to echocardiogram, morphometric and biochemical analysis. RESULTS: Rats fed the vitamin-A-deficient diet had lower heart and liver retinol concentration and normal plasma retinol. There were no differences in infarct size between the groups. VA showed higher diastolic left ventricular area normalised by body weight (C= 1.81 +/- 0.4 cm2/kg, VA= 2.15 +/- 0.3 cm2/kg; p=0.03), left ventricular diameter (C= 9.4 +/- 1.4 mm, VA= 10.5 +/- 1.2 mm; p=0.04), but similar systolic ventricular fractional area change (C= 33.0 +/- 10.0 %, VA= 32.1 +/- 8.7 %; p=0.82). VA showed decreased isovolumetric relaxation time normalised by heart rate (C= 68.8 +/- 11.4 ms, VA= 56.3 +/- 16.8 ms; p=0.04). VA showed higher interstitial collagen fraction (C= 2.8 +/- 0.9 %, VA= 3.7 +/- 1.1 %; p=0.05). There were no differences in myosin heavy chain expression, metalloproteinase 2 and 9 activation, or IFN-gamma and TNF-alpha cardiac levels. CONCLUSION: Local tissue vitamin A insufficiency intensified ventricular remodeling after MI, worsening diastolic dysfunction. PMID- 21063091 TI - Phosphodiesterase 5A inhibition decreases NHE-1 activity without altering steady state pH(i): role of phosphatases. AB - BACKGROUND/AIMS: This study aimed to identify the signaling pathway for the proposed link between phosphodiesterase-5A (PDE5A) inhibition and decreased cardiac Na(+)/H(+) exchanger (NHE-1) activity. METHODS: NHE-1 activity was assessed in rat isolated papillary muscles by the Na(+)-dependent initial pH(i) recovery from a sustained acidosis (ammonium prepulse). ERK1/2, p90RSK and NHE-1 phosphorylation state during acidosis was determined. RESULTS: PDE5A inhibition (1 MUmol/L sildenafil, SIL) did not modify basal pH(i) but significantly blunted pH(i) recovery after sustained acidosis. Although preventing ERK1/2- p90RSK signaling pathway (10 MUmol/L U0126) mimicked SIL effect, SIL did not blunt the acidosis-mediated increase in kinases activation. SIL+U0126 did not show additive effect on NHE-1 activity. Then, we hypothesized that SIL could be activating phophasatases (PP1 and/or PP2A) to directly dephosphorylate NHE-1 despite preserved ERK1/2-p90RSK activation. Non-specific phosphatases inhibition (1 MUmol/L okadaic acid) canceled SIL effect on pH(i) recovery from acidosis. Same result was observed by inhibiting PP2A either with a lower dose of okadaic acid (1 nmol/L) or, more specifically, with 100 MUmol/L endothall. Consistently, NHE-1 phosphorylation at Ser703 increased after acidosis, SIL prevented this effect and PP2A inhibition (endothall) reverted SIL effect. CONCLUSION: We suggest that PDE5A inhibitors decrease NHE-1 phosphorylation and activity through a mechanism that involves PP2A activation. PMID- 21063092 TI - Regulation of Na+/H+ exchanger isoform 1 (NHE1) by calmodulin-binding sites: role of angiotensin II. AB - We examined the effect of Angiotensin II (Ang II) on the interaction between the Ca(2+)/CaM complex and hNHE1. Considering that calmodulin binds to NHE1 at two sites (A and B), amino acids at both sites were modified and two mutants were constructed: SA(1K3R/4E) and SB(1K3R/4E). Wild type and mutants were transfected into PS120 cells and their activity was examined by H(+) flux (J(H+)). The basal J(H+) of wild type was 4.71 +/- 0.57 (mM/min), and it was similar in both mutants. However, the mutations partially impaired the binding of CaM to hNHE1. Ang II (10(-12) and 10(-9) M) increased the J(H+) in wild type and SB. Ang II (10(-6) M) increased this parameter only in SA. Ang II (10(-9) M) maintained the expression of calmodulin in wild type or mutants, and Ang II (10(-6) M) decreased it in wild type or SA, but not in SB. Dimethyl-Bapta-AM (10(-7) M), a calcium chelator, suppressed the effect of Ang II (10(-9) M) in wild type. With Ang II (10(-6) M), Bapta failed to affect wild type or SA, but it increased the J(H+) in SB. W13 or calmidazolium chloride (10(-5) M), two distinct calmodulin inhibitors, decreased the effect of Ang II (10(-9) M) in wild type or SB. With Ang II (10(-6) M), W13 or calmidazolium chloride decreased the J(H+) in wild type or SA and increased it in SB. Thus, with Ang II (10(-12) and 10(-9) M), site A seems to be responsible for the stimulation of hNHE1 and with Ang II (10(-6) M), site B is important to maintain its basal activity. PMID- 21063093 TI - Effect of bacterial lipopolysaccharide on Na(+)/H(+) exchanger activity in dendritic cells. AB - The function of dendritic cells (DCs), antigen-presenting cells linking innate and adaptive immunity, is stimulated by bacterial lipopolysaccharides (LPS), which trigger the formation of reactive oxygen species (ROS). In macrophages, ROS formation is paralleled by activation of the Na(+)/H(+) exchanger, a carrier involved in the regulation of cytosolic pH and cell volume. The present study explored whether LPS influence Na(+)/H(+) exchanger activity in DCs. The DCs were isolated from murine bone marrow, cell volume was estimated from forward scatter in FACS analysis, ROS production from 2',7'-dichlorodihydrofluorescein diacetate (DCFDA) fluorescence, apoptosis from annexin V binding, cytosolic pH (pH(i)) from 2',7'-bis-(2-carboxyethyl)-5-(and-6)-carboxyfluorescein (BCECF) fluorescence and Na(+)/H(+) exchanger activity from the Na(+) dependent realkalinization following an ammonium pulse. Exposure of DCs to LPS (1 MUg/ml) led to a transient increase of Na(+)/H(+) exchanger activity. Moreover, LPS increased forward scatter and ROS formation and decreased apoptosis. The NHE1 inhibitor cariporide (10 MUM) virtually abrogated Na(+)/H(+) exchanger activity, inhibited LPS-induced cell swelling, blunted LPS-induced ROS formation and reversed the antiapoptotic effect of LPS. Na(+)/H(+) exchanger activity was stimulated by oxidative stress and LPS induced stimulation of NHE activity was abolished in presence of ROS chelators (Tempol, Tiron and Vitamin C). In conclusion, LPS treatment transiently upregulates the Na(+)/H(+) exchanger in DCs, an effect required for the effects of LPS on DC survival, cell volume and ROS formation. PMID- 21063094 TI - Role of CFTR and ClC-5 in modulating vacuolar H+-ATPase activity in kidney proximal tubule. AB - BACKGROUND/AIMS: It has been widely accepted that chloride ions moving along chloride channels act to dissipate the electrical gradient established by the electrogenic transport of H(+) ions performed by H(+)-ATPase into subcellular vesicles. Largely known in intracellular compartments, this mechanism is also important at the plasma membrane of cells from various tissues, including kidney. The present work was performed to study the modulation of plasma membrane H(+) ATPase by chloride channels, in particular, CFTR and ClC-5 in kidney proximal tubule. METHODS AND RESULTS: Using in vivo stationary microperfusion, it was observed that ATPase-mediated HCO(3)(-) reabsorption was significantly reduced in the presence of the Cl(-) channels inhibitor NPPB. This effect was confirmed in vitro by measuring the cell pH recovery rates after a NH(4)Cl pulse in immortalized rat renal proximal tubule cells, IRPTC. In these cells, even after abolishing the membrane potential with valinomycin, ATPase activity was seen to be still dependent on Cl(-). siRNA-mediated CFTR channels and ClC-5 chloride proton exchanger knockdown significantly reduced H(+)-ATPase activity and V ATPase B2 subunit expression. CONCLUSION: These results indicate a role of chloride in modulating plasma membrane H(+)-ATPase activity in proximal tubule and suggest that both CFTR and ClC-5 modulate ATPase activity. PMID- 21063095 TI - The hypertonic environment differentially regulates wild-type CFTR and TNR-CFTR chloride channels. AB - This study tested the hypotheses that the hypertonic environment of the renal medulla regulates the expression of cystic fibrosis transmembrane conductance regulator protein (CFTR) and its natural splice variant, TNR-CFTR. To accomplish this, Madin-Darby canine kidney (MDCK) stable cell lines expressing TNR-CFTR or CFTR were used. The cells were treated with hypertonic medium made with either NaCl or urea or sucrose (480 mOsm/kg or 560 mOsm/kg) to mimic the tonicity of the renal medulla environment. Western blot data showed that CFTR and TNR-CFTR total cell protein is increased by hypertonic medium, but using the surface biotinylation technique, only CFTR was found to be increased in cell plasma membrane. Confocal microscopy showed TNR-CFTR localization primarily at the endoplasmic reticulum and plasma membrane. In conclusion, CFTR and TNR-CFTR have different patterns of distribution in MDCK cells and they are modulated by a hypertonic environment, suggesting their physiological importance in renal medulla. PMID- 21063096 TI - 60kDa lysophospholipase, a new Sgk1 molecular partner involved in the regulation of ENaC. AB - The serum- and glucocorticoid-regulated kinase (Sgk1) is essential for hormonal regulation of ENaC-mediated sodium transport and is involved in the transduction of growth-factor-dependent cell survival and proliferation. The identification of molecular partners for Sgk1 is crucial for the understanding of its mechanisms of action. We performed a yeast two-hybrid screening based on a human kidney cDNA library to identify molecular partners of Sgk1. As a result the screening revealed a specific interaction between Sgk1 and a 60 kDa Lysophospholipase (LysoLP). LysoLP is a poorly characterized enzyme that, based on sequence analysis, might possess lysophospholipase and asparaginase activities. We demonstrate that LysoLP has indeed a lysophospholipase activity and affects metabolic functions related to cell proliferation and regulation of membrane channels. Moreover we demonstrate in the Xenopus oocyte expression system that LysoLP downregulates basal and Sgk1-dependent ENaC activity. In conclusion LysoLP may represent a new player in the regulation of ENaC and Sgk1-dependent signaling. PMID- 21063097 TI - Non-selective cation channel-mediated Ca2+-entry and activation of Ca2+/calmodulin-dependent kinase II contribute to G2/M cell cycle arrest and survival of irradiated leukemia cells. AB - Genotoxic stress induces cell cycle arrest and DNA repair which may enable tumor cells to survive radiation therapy. Here, we defined the role of Ca(2+) signaling in the cell cycle control and survival of chronic myeloid leukemia (CML) cells subjected to ionizing radiation (IR). To this end, K562 erythroid leukemia cells were irradiated (0-10 Gy). Tumor survival was analyzed by clonogenic survival assay and cell cycle progression via flow cytometry. Plasma membrane cation conductance was assessed by patch-clamp whole-cell recording and the cytosolic free Ca(2+) concentration ([Ca(2+)](i)) was measured by fura-2 Ca(2+) imaging. Nuclear activity of Ca(2+)/calmodulin-dependent kinase II (CaMKII) was defined by Western blotting. In addition, the effect of IR (5 Gy) on the cation conductance of primary CML cells was determined. The results indicated that IR (10 Gy) induced a G(2)/M cell cycle arrest of K562 cells within 24 h post-irradiation (p.i.) and decreased the clonogenic survival to 0.5 % of that of the control cells. In K562 cells, G(2)/M cell cycle arrest was preceded by activation of TRPV5/6-like nonselective cation channels in the plasma membrane 1-5 h p.i., resulting in an elevated Ca(2+) entry as evident from fura-2 Ca(2+) imaging. Similarly, IR stimulated a Ca(2+)-permeable nonselective cation conductance in primary CML cells within 2-4 h p.i.. Ca(2+) entry, into K562 cells was paralleled by an IR-induced activation of nuclear CaMKII. The IR-stimulated accumulation in G(2) phase was delayed upon buffering [Ca(2+)](i) with the Ca(2+) chelator BAPTA AM or inhibiting CaMKII with KN93 (1 nM). In addition, KN93 decreased the clonogenic survival of irradiated cells but not of control cells. In conclusion, the data suggest that IR-stimulated cation channel activation, Ca(2+) entry and CaMKII activity participate in control of cell cycle progression and survival of irradiated CML cells. PMID- 21063098 TI - Periplocin inhibits growth of lung cancer in vitro and in vivo by blocking AKT/ERK signaling pathways. AB - Periplocin is one of cardenolides isolated from cortex periplocae which is used for treatment of rheumatoid arthritis and reinforcement of bones and tendons in traditional medicine. Here, we investigated the anti-tumor activity of periplocin against lung cancer cells bothin vitro and in vivo, and explored its anti-cancer mechanism. Periplocin inhibited the growth of lung cancer cells and induced their apoptosis in time- and dose-dependent manners by cell cycle arrest in G0/G1 phase. Periplocin exhibited anti-tumor activity both in human (A549) and mouse (LL/2) lung cancer xenograft models. Immunohistochemical analysis revealed that intratumoral angiogenesis was significantly suppressed. Furthermore, anti-cancer activity mediated by periplocin was associated with decreased level of phosphorylated AKT and ERK both in vitro and in vivo, which were important for cell growth and survival. Moreover, periplocin induced apoptosis by downregulating Bcl-2 and upregulating Bax, leading to activation of caspase-3 and caspase-9. These findings suggested that periplocin could inhibit the growth of lung cancer both in vitro and in vivo, which could be attributed to the inhibition of proliferation and the induction of apoptosis signaling pathway, such as AKT and ERK. These observations provide further evidence on the anti tumor effect of periplocin, and it may be of importance to further explore its potential role as a therapeutic agent for cancer. PMID- 21063099 TI - Troglitazone regulates anaplerosis via a pull/push affect on glutamate dehydrogenase mediated glutamate deamination in kidney-derived epithelial cells; implications for the Warburg effect. AB - Mitochondrial Krebs cycle keto acid pool depends upon input from pyruvate and glutamate to maintain homeostasis. We studied the affect of glucose-derived pyruvate removal on compensatory input from glutamine-derived glutamate by accelerated glutamate metabolism via glutamate dehydrogenase (GDH). In glutamine minus glucose media (Gln-Glc), NH(4)(+) production increased 41% without an increase in glutamine uptake consistent with accelerated glutamate metabolism via GDH. Alanine production dropped 40% consistent with a shift of glutamate from alanine aminotransferase (ALT) to GDH. Troglitazone (TRO) added to the Gln-Glc media further enhanced glutamate metabolism via GDH at the expense of glutamate metabolism via ALT since alanine production dropped an additional 70%. TRO reduced cell glutamate content 30% while increasing lactate production 5-fold consistent with blocking of cytosolic pyruvate formed from mitochondrial malate from reentering the cycle and maintaining keto acid pool homeostasis. Consequently mitochondrial keto acid pool deficit pulls glutamate via GDH into the cycle. Additionally TRO reduced cytosolic pH which effectively pushes glutamate via GDH, rather than merely shifting glutamate from ALT to GDH. Providing intramitochondrial pyruvate in the form of methyl pyruvate reduced glutamate metabolism via GDH and elevated glutamate metabolism via ALT to control levels restoring acid-base balance. Our findings are consistent with TRO regulation of anaplerosis dependent upon dual pull (cycle keto-acid deficit)/push (cytosolic acidosis) mechanisms. PMID- 21063100 TI - The transcription factors NFAT and CREB have different susceptibilities to the reduced Ca2+ responses caused by the knock down of inositol trisphosphate receptor in HEK 293A cells. AB - BACKGROUND/AIMS: The inositol 1,4,5-trisphosphate receptor (IP(3)R), a ligand gated Ca(2+) channel, plays an important role in the control of intracellular Ca(2+). Three isoforms of IP(3)R have been identified and most cell types express different proportions of these isoforms. The purpose of this study was to investigate how IP(3)R signalling is involved in the activation of the Ca(2+) sensitive transcription factors NFAT and CREB. METHODS: Each IP(3)R isoform expressed in HEK 293A cells was knocked down using selective siRNA. Free intracellular Ca(2+) was monitored spectrofluometrically. NFAT and CREB activities were measured with luciferase reporter constructs. RESULTS: IP(3)R-2 knocked down HEK 293A cells showed a deficient CCh-induced Ca(2+) response that could be rescued by co-stimulation with VIP, a cAMP increasing agonist. NFAT transcriptional activity, but not CREB transcriptional activity, was significantly reduced in IP(3)R-2-knocked down HEK 293A cells. Overexpression of IP(3)R-1 could fully compensate for IP(3)R-2 knock down to mobilize Ca(2+) and to activate NFAT. CONCLUSION: Our results show that the knock down of IP(3)R-2 significantly reduced the intracellular Ca(2+) response of HEK 293 cells. This reduced Ca(2+) response did not affect the activation of CREB but significantly decreased the activation of NFAT, suggesting that the Ca(2+) signals required for the activation of NFAT are stronger than those required for the activation of CREB. PMID- 21063101 TI - Stimulation of electrogenic glucose transport by glycogen synthase kinase 3. AB - Glycogen synthase kinase 3 GSK3beta participates in a wide variety of functions including regulation of glucose metabolism. It is ubiquitously expressed including epithelial tissues. However, whether GSK3beta participates in the regulation of epithelial transport is not known. The present study thus explored whether GSK3beta influences the Na(+)-coupled transport of glucose. To this end, SGLT1 was expressed in Xenopus oocytes with or without GSK3beta and glucose induced current (I(g)) determined by dual electrode voltage clamp. In Xenopus oocytes expressing SGLT1 but not in water-injected oocytes glucose induced an inwardly directed I(g), which was significantly enhanced by coexpression of GSK3beta. According to chemiluminescence and confocal microscopy, GSK3beta increased the SGLT1 protein abundance in the oocyte cell membrane. To explore whether GSK3beta sensitivity of SGLT1 participates in the regulation of electrogenic intestinal glucose transport, Ussing chamber experiments were performed in intestinal segments from gene-targeted knockin mice with mutated and thus PKB/SGK-resistant GSK3alpha,beta (gsk3(KI)), in which the serine of the PKB/SGK phosphorylation site was replaced by alanine, and from wild type mice (gsk3(WT)). The glucose-induced current was significantly larger in gsk3(KI) than in gsk3(WT) mice. The present observations reveal a novel function of GSK3, i.e. the stimulation of Na(+)-coupled glucose transport. PMID- 21063102 TI - Rosuvastatin promotes osteoblast differentiation and regulates SLCO1A1 transporter gene expression in MC3T3-E1 cells. AB - Rosuvastatin (RSV) is a synthetic statin with favourable pharmacologic properties including minimal metabolism, hepatic selectivity and enhanced inhibition of HMG CoA reductase. An induction of osteoblast differentiation has been reported in vitro with lipophilic statins but not with RSV, which, like pravastatin, is relatively hydrophilic compared with other statins. To mediate its action, an active transport mechanism via solute carrier (SLC) transporters from the SLC16, SLC21/SLCO and SLC22 gene family - specifically Slc16a1, Slco1a1, Slco2b1 and Slc22a8 - may be present to allow effective entry in osteoblastic cells. In this study, we demonstrate that RSV induced osteoblast differentiation, as measured by increased BMP-2 gene expression and secretion, and ALP activity in MC3T3-E1 osteoblast cells, without significantly affecting cell proliferation within the concentration range of 0.001-10 MUM. Low concentrations of RSV (0.001-0.01 MUM) were protective against cell death whereas higher concentrations (10-100 MUM) showed cytotoxicity. Moreover, MC3T3-E1 osteoblasts expressed high levels of Slco1a1 and Slc16a1 mRNA and low levels of Slco2b1 and Slc22a8 mRNA, when compared with kidney and liver tissues from mice. Slco1a1 gene expression increased 12-fold during osteoblast differentiation and was further regulated after RSV treatment. In conclusion, as for other statins, RSV promotes osteoblast differentiation, and also, demonstrated for the first time, regulates the expression of Slco1a1, which may constitute the transport system for RSV across the cell membrane in mature osteoblasts. PMID- 21063103 TI - Opposite effect of Hsp90alpha and Hsp90beta on eNOS ability to produce nitric oxide or superoxide anion in human embryonic kidney cells. AB - Heat shock protein 90 subfamily is composed by two cytosolic isoforms known as Hsp90alpha and Hsp90beta. Endothelial nitric oxide synthase (eNOS) is regulated by Hsp90, however the specific role of each Hsp90 isoform on NO production has not been established. This study was designed to evaluate the effect of Hsp90alpha and Hsp90beta over-expression on eNOS/NO pathway. Rat Hsp90alpha and Hsp90beta were cloned into pcDNA3.1(+) and transfected in human embryonic kidney cells (HEK-293). Hsp90alpha and Hsp90beta transfection was corroborated by Western blot analysis and their effect on NO production (NO(2)/NO(3)), eNOS protein and its phosphorylation at Ser1177 and Thr495, as well as Akt/PKB Ser473 phosphorylation was determined. The interaction of Hsp90alpha and Hsp90beta with eNOS and the dimer/monomer ratio of Hsp90, as well as O(2)(-) generation were also assessed. After transfection, Hsp90alpha and Hsp90beta levels were significantly increased in HEK-293 cells. The Hsp90alpha over-expression induced a significant increase in NO(2)/NO(3) levels, an effect that was associated with increased phosphorylation of eNOS Ser 1177 and Akt/PKB Ser473, as well as with a greater Hsp90alpha dimerization. Noteworthy, pcHsp90beta transfection reduced significantly NO(2)/NO(3) and increased O(2)(-) generation. These effects were associated with a reduction of eNOS dimeric conformation, increased eNOS Thr495 phosphorylation, reduced Akt/PKB phosphorylation, and by a greater amount of monomeric Hsp90beta conformation. These data show for first time that Hsp90alpha and Hsp90beta differentially modulate NO and O(2)(-) generation by eNOS through promoting changes in eNOS conformation and phosphorylation state. PMID- 21063104 TI - Differential effects of NO inhibition in renal epithelial and endothelial cells in mono-culture vs. co-culture conditions. AB - Nitric oxide (NO) plays a critical role in the regulation of renal hemodynamics and tubular function after post-ischemic damage or sepsis. Diminished NO bioavailability contributes to endothelial dysfunction and may be caused by reduced NO synthesis due to substrate or co-factor deficiency. The aim of this study was to investigate the effects of NOS inhibition and NO depletion in a renal endo-epithelial bilayer model compared to monolayers of proximal tubular epithelial (HK-2) cells and endothelial cells of venous origin (EA.hy 926) with respect to cellular integrity, apoptosis and cytokine release. Two different NOS inhibitors have been used: an arginine-based-inhibitor, L-N(G)monomethyl-arginine (L-NMMA) and a cofactor-based-inhibitor, H4-amino-biopterin (4-ABH(4)) showing iNOS selectivity. We found significantly higher basal NO production by epithelial than by endothelial monolayers, which was significantly reduced by both NOS inhibitors with a stronger effect demonstrated by 4-ABH(4). Furthermore we detected significant basal iNOS protein expression in unstimulated HK-2 cells. NOS inhibition by 4-ABH(4) was associated with increased LDH release, apoptosis and reduced IL-6 production in epithelial but not in endothelial monolayers. These effects on epithelial cells were abolished under co-culture conditions. In contrast, endothelial cells showed higher IL-6 and IL-8 release under co-culture conditions than in monolayers, with IL-8 production being largely suppressed by L NMMA but not by 4-ABH(4). In conclusion, inhibition of basal NO production in epithelial monolayers shows detrimental effects on cell integrity and viability. Under co-culture conditions interrelation between epithelial and endothelial cells appears to counteract these potentially harmful effects of epithelial NOS inhibition. PMID- 21063105 TI - Endothelial progenitor cells derived from CD34+ cells form cooperative vascular networks. AB - Studies were conducted to investigate whether endothelial progenitor cells (EPCs) derived from CD34(+) cells could differentiate to endothelial- and smooth muscle like cells, respectively. Differentiation was induced by either basic fibroblast growth factor (bFGF) or platelet-derived growth factor-BB (PDGF-BB). Further, the contribution of these cells to cooperative blood vessel formation was examined. Immunofluorescence microscopy and Western blot analysis were used to assess vascular smooth muscle (SMC) or endothelial (EC) cell differentiation. SMC (alpha SM actin and calponin) or EC (CD31 and von Willebrand factor, vWF) markers showed significant expression. In contrast, expression of the stem/progenitor cell marker CD133 gradually decreased. To quantify the percentage of positive cells of smooth muscle cell marker and endothelial cell marker, flow cytometric analysis was performed, and the results demonstrated that up to 83.76% of PDGF-BB treated cells were positive for alpha-SMA marker and up to 89.27% of bFGF-treated cells were positive for vWF marker. To assess functional properties of the endothelial- and smooth muscle-like cells and to demonstrate an association of the two cell types in vascular structures, we evaluated the capacity of these cells to form angiogenesis-like networks on Matrigel. Both endothelial-like cells plated in isolation and co-cultured with smooth muscle-like cells showed formation of vascular networks on Matrigel while smooth muscle-like cells alone did not. Co culture of fluorescently labeled endothelial- (PKH26, red fluorochrome) and smooth muscle-like cells (PKH67, green fluorochrome) revealed that these cells formed cooperative networks of tubular structures on Matrigel. Collectively, our findings suggest that EPCs can differentiate into both smooth muscle- and endothelial-like cells and contribute to the development of cooperative vascular networks. Notably, this differentiation could also provide a stable foundation for maintenance of the newly formed vascular networks. PMID- 21063106 TI - Platelet-activating factor receptor mediates oxidized low density lipoprotein induced migration of bone marrow-derived mesenchymal stem cells. AB - BACKGROUND: Oxidized low density lipoprotein (oxLDL) is involved in the development of vascular diseases. Platelet-activating factor (PAF; 1-O-alkyl-2 acetyl-sn-glyero-3-phosphorylcholine) is a key component of oxLDL. METHODS: In the present study, we evaluate whether oxLDL can regulate migration of human bone marrow derived stem cells (hBMSCs) and characterize the role of PAF in the oxLDL induced migration. RESULTS AND CONCLUSIONS: oxLDL stimulated chemotaxis of hBMSCs in vitro. Treatment of the cells with BN52021, a specific antagonist of PAF receptor (PAF-R), completely blocked the cell migration induced by PAF, but not platelet-derived growth factor (PDGF-BB). Using PAF-R-specific small interfering RNA, it was demonstrated that silencing of endogenous PAF-R expression significantly attenuated cell migration induced by PAF, but not PDGF-BB, suggesting the specific involvement of PAF-R in the oxLDL-induced cell migration. In addition, PAF-induced migration of hBMSCs was abrogated by pretreating cells with mitogen-activated protein kinase (MAPK) inhibitors, including the MEK inhibitor U0126, the p38 MAPK inhibitor SB202190, and the JNK inhibitor SP600125. Moreover, adenoviral overexpression of a dominant negative mutant of p38 MAPK blocked PAF-stimulated migration. Taken together, these results suggest that PAF plays a pivotal role in the oxLDL-induced recruitment of hBMSCs through mechanisms involving PAF-R-dependent activation of MAPKs. PMID- 21063107 TI - Phosphoinositide-dependent kinase PDK1 in the regulation of Ca2+ entry into mast cells. AB - The function of mast cells is modified by the phosphoinositol-3 (PI3)-kinase pathway. The kinase signals partially through the phosphoinositide-dependent kinase PDK1, which on the one hand activates the serum- and glucocorticoid- inducible kinase SGK1 and on the other hand activates protein kinase PKCdelta. SGK1 participates in the stimulation of Ca(2+) entry and degranulation, PKCdelta inhibits degranulation. The present experiments explored the role of PDK1 in mast cell function. As mice completely lacking PDK1 are not viable, experiments have been performed in mast cells isolated from bone marrow (BMMCs) of PDK1 hypomorphic mice (pdk1(hm)) and their wild-type littermates (pdk1(wt)). Antigen stimulation via the FceRI receptor was followed by Ca(2+) entry leading to increase of cytosolic Ca(2+) activity in pdk1(wt) BMMCs, an effect significantly blunted in pdk1(hm) BMMCs. In contrast, Ca(2+) release from intracellular stores was not different between BMMCs of the two genotypes. The currents through Ca(2+) activated K(+) channels following antigen exposure were again significantly larger in pdk1(wt) than in pdk1(hm) cells. The Ca(2+) ionophore ionomycin (1 MUM) increased the K(+) channel conductance to similar values in both genotypes. beta hexosaminidase and histamine release were similar in pdk1(wt) BMMCs and pdk1(hm) BMMCs. PKCdelta inhibitor rottlerin increased beta-hexosaminidase release in pdk1(wt) BMMCs but not in pdk1(hm) BMMCs. Phosphorylation of PKCdelta and of the SGK1 target NDRG1, was stimulated by the antigen in pdk1(wt) but not in pdk1(hm) cells. The observations reveal a role for PDK1 in the regulation of Ca(2+) entry into and degranulation of murine mast cells. PMID- 21063108 TI - Modulation of ghrelin O-acyltransferase expression in pancreatic islets. AB - BACKGROUND: Ghrelin, the only identified circulating orexigenic signal, is unique in structure in which a specific acyl-modification of its third serine occurs. This acylation is necessary for ghrelin to bind to its receptor and to exert its biologic activity, which is catalyzed by ghrelin O-acyltransferase (GOAT). Although ghrelin is mainly secreted from gastric X/A like endocrine cells, it is also expressed in pancreatic islet cells and regulates insulin secretion. In this study, we examined the expression and regulation of GOAT in pancreas. METHODS: GOAT mRNA and immunoreactivity were examined in pancreatic islets and INS-1 cells by RT-PCR and immunofluorescent staining or Western blotting. RESULTS: Insulin inhibits the expression of GOAT mRNA and GOAT promoter activity in a dose and time-dependent manner. The mammalian target of rapamycin (mTOR) is activated by insulin. Blocking mTOR signaling by either rapamycin or overexpression of its negative regulator tuberous sclerosis complex 1 (TSC1) or TSC2 attenuates the inhibitory effect of insulin on the transcription and translation of GOAT. CONCLUSION: Our study suggests that GOAT is present in pancreatic islet cells and that insulin inhibits the expression of GOAT via the mediation of mTOR signaling. PMID- 21063109 TI - Palmitate-induced inhibition of insulin gene expression in rat islet beta-cells involves the ceramide transport protein. AB - Endogenous ceramide plays an important role in the palmitate (Palm) impairment of proinsulin gene expression in pancreatic islet beta-cells. Changes in the liposoluble ceramide levels not only depend on metabolic enzymes but also on its transport to subcellular sites in response to Palm stimuli. In this study, we show that suppression of ceramide transport protein (CERT) mRNA with small interfering RNA contributed to intracellular ceramide accumulation in response to chronic Palm exposure and impairment of proinsulin gene expression, similar to the effect of inhibiting ceramide scavenging enzyme sphingomyelin synthase (SMS). High dose Palm treatment increased protein kinase D (PKD)-induced phosphorylation of CERT and its dysfunction. Intracellular accumulation of ceramide was associated with reduction of PDX-1 nuclear localization and MafA protein levels and stimulation of CCAAT/enhancer binding protein beta (C/EBP beta) expression. These conditions also corresponded with a reduction of PDX-1 and MafA and an increase of C/EBP beta binding to the insulin promoter. Furthermore, down regulation of C/EBP beta could block ceramide impairment of proinsulin gene expression. The results reveal that Palm-mediated dysfunction of ceramide transport may contribute to intracellular ceramide accumulation and result in dysfunction of pancreatic beta cells by affecting binding of transcription factors to the insulin promoter. PMID- 21063110 TI - Syzygium cumini extract decrease adenosine deaminase, 5'nucleotidase activities and oxidative damage in platelets of diabetic patients. AB - Diabetes mellitus, a chronic metabolic disorder, has assumed epidemic proportions and its long-term complications can have devastating consequences. The oxidative stress in diabetes was greatly increased due to prolonged exposure to hyperglycemia and impairment of oxidant/antioxidant equilibrium. Syzygium cumini is being widely used to treat diabetes by the traditional practitioners over many centuries. Adenosine deaminase (ADA) and 5'-Nucleotidase (5'NT) are enzymes of purine nucleoside metabolism that play an important role in the regulation of adenosine (Ado) levels. In this study, we investigated the effect of Syzygium cumini aqueous leaves extract (ASc) on ADA and 5'NT activities and on parameters of oxidative stress under in vitro conditions, using platelets of patients with Type 2 diabetes mellitus. Platelet-Rich Plasma (PRP) was assayed by ADA, 5'NT, Catalase (CAT), Superoxide Dismutase (SOD) activities and Thiobarbituric acid reactive substances (TBARS) levels. We observed that ADA, 5'NT activities and TBARS levels were significantly higher when compared to the control group, and ASc (100 and 200 MUg/mL) prevented these effects. Our study demonstrates that ASc was able to remove oxidant species generated in diabetic conditions and modulates in the Ado levels. Then, ASc may promote a compensatory response in platelet function, improving the susceptibility-induced by the diabetes mellitus. PMID- 21063111 TI - Early phase of allergic airway inflammation in diabetic rats: role of insulin on the signaling pathways and mediators. AB - BACKGROUND: Allergic lung inflammation is impaired in diabetic rats and is restored by insulin treatment. In the present study we investigated the effect of insulin on the signaling pathways triggered by allergic inflammation in the lung and the release of selected mediators. METHODS: Diabetic male Wistar rats (alloxan, 42 mg/kg, i.v., 10 days) and matching controls were sensitized by s.c. injections of ovalbumin (OA) in aluminium hydroxide, 14 days before OA (1 mg/0.4 ml) or saline intratracheal challenge. A group of diabetic rats were treated with neutral protamine Hagedorn insulin (NPH, 4 IU, s.c.), 2 h before the OA challenge. Six hours after the challenge, bronchoalveolar lavage (BAL) was performed for mediator release and lung tissue was homogenized for Western blotting analysis of signaling pathways. RESULTS: Relative to non-diabetic rats, the diabetic rats exhibited a significant reduction in OA-induced phosphorylation of the extracellular signal-regulated kinase (ERK, 59%), p38 (53%), protein kinase B (Akt, 46%), protein kinase C (PKC)-alpha (63%) and PKC-delta (38%) in lung homogenates following the antigen challenge. Activation of the NF-kappaB p65 subunit and phosphorylation of IkappaBalpha were almost suppressed in diabetic rats. Reduced expression of inducible nitric oxide synthase (iNOS, 32%) and cyclooxygenase-2 (COX-2, 46%) in the lung homogenates was also observed. The BAL concentration of prostaglandin (PG)-E(2), nitric oxide (NO) and interleukin (IL) 6 was reduced in diabetic rats (74%, 44% and 65%, respectively), whereas the cytokine-induced neutrophil chemoattractant (CINC)-2 concentration was not different from the control animals. Treatment of diabetic rats with insulin completely or partially restored all of these parameters. This protocol of insulin treatment only partially reduced the blood glucose levels. CONCLUSION: The data presented show that insulin regulates MAPK, PI3K, PKC and NF-kappaB pathways, the expression of the inducible enzymes iNOS and COX-2, and the levels of NO, PGE(2) and IL-6 in the early phase of allergic lung inflammation in diabetic rats. It is suggested that insulin is required for optimal transduction of the intracellular signals that follow allergic stimulation. PMID- 21063112 TI - Acid sphingomyelinase deficiency attenuates bleomycin-induced lung inflammation and fibrosis in mice. AB - BACKGROUND/AIMS: The sphingomyelin/ceramide signaling pathway is an important component of many cellular processes implicated in the pathogenesis of lung disease. Acid sphingomyelinase (ASM) is a key mediator of this pathway, but its specific role in pulmonary fibrosis has not been previously investigated. Here we used the bleomycin model of pulmonary fibrosis to investigate fibrotic responses in normal and ASM knockout (ASM(-/-)) mice, and in NIH3T3 fibroblasts with and without ASM siRNA treatment. METHODS: Mice and cells with and without ASM activity were treated with bleomycin, and the effects on lung inflammation, formation of collagen producing myofibroblasts, and apoptosis were assessed. RESULTS: The development of bleomycin-induced inflammation and fibrosis in wildtype mice correlated with the rapid activation of ASM, and was markedly attenuated in the absence of ASM activity. Along with the elevated ASM activity, there also was an elevation of acid ceramidase (AC) activity, which was sustained for up to 14 days post-bleomycin treatment. Studies in NIH3T3 fibroblasts confirmed these findings, and revealed a direct effect of ASM/AC activation on the formation of myofibroblasts. Cell studies also showed that a downstream effect of bleomycin treatment was the production of sphingosine-1-phosphate. CONCLUSIONS: These data demonstrate that the sphingomyelin/ceramide signaling pathway is involved in the pathogenesis of bleomycin-induced pulmonary fibrosis, and suggest that inhibition of ASM may potentially slow the fibrotic process in the lung. PMID- 21063113 TI - FTY720-induced suicidal erythrocyte death. AB - FTY720 is a potent anti-inflammatory drug known to trigger suicidal death or apoptosis of a variety of nucleated cells. Erythrocytes may similarly undergo suicidal erythrocyte death or eryptosis. Hallmarks of eryptosis include cell membrane scrambling and cell shrinkage, which are triggered by increase in cytosolic Ca(2+) concentration and ceramide. The present study explored whether FTY720 stimulates eryptosis. Cell membrane scrambling was determined from annexin V-binding, cell shrinkage from forward scatter in FACS analysis, cytosolic Ca(2+) concentration from Fluo3 fluorescence, ceramide formation from fluorescence labeled antibody binding and hemolysis from the hemoglobin concentration in the supernatant. Within 48 hours exposure to FTY720 (10 MUM) significantly increased annexin V-binding, decreased forward scatter and increased cytosolic Ca(2+) concentration but did not significantly modify ceramide formation. The effects of FTY720 were significantly blunted in the nominal absence of extracelluar Ca(2+). In conclusion, at toxic concentrations, FTY720 stimulates suicidal cell death, an effect at least partially due to stimulation of Ca(2+) entry. PMID- 21063114 TI - Schistosoma mansoni soluble egg antigens trigger erythrocyte cell death. AB - Estimated to affect nearly 300 million people worldwide, schistosomiasis is caused by parasitic flatworms of the genus Schistosoma. The major pathological consequences of chronic schistosomiasis are associated with soluble egg antigens (SEA) secreted from schistosome egg deposits in liver and other organs. The vigorous immune responses induced by egg antigens result in granuloma formation and other pathophysiological symptoms such as hepatosplenomegaly and anemia. Risk of anemia correlates with infection intensity and the level of host hemoglobin is inversely proportional to egg count. Schistosomiasis-associated anemia could be multifactorial, but the potential link and molecular underpinnings are unclear. Here, we evaluate whether S. mansoni SEA affects survival of mouse erythrocytes. Erythrocytes incubated with different concentrations of SEA were tested for various markers of erythrocyte cell death. Erythrocytes exposed to SEA exhibit elevated intracellular Ca(2+) levels as measured by Fluo-3 AM fluorescence in flow cytometry, and they also display concentration-dependent, Ca(2+)-dependent, and heat-sensitive increases in phosphatidyl serine exposure. Further, SEA exposed erythrocytes show increased fluorescence using the in situ apoptosis marker CaspACE FITC, indicating the involvement of caspase-mediated cell deformation. Taken together, these results offer several lines of experimental evidence for SEA-induced erythrocyte cell death and may provide new insights into factors contributing to schistosomiasis-associated anemia. PMID- 21063115 TI - Advanced oxidation protein products induce inflammatory response and insulin resistance in cultured adipocytes via induction of endoplasmic reticulum stress. AB - Accumulation of advanced oxidation protein products (AOPPs) is prevalent in metabolic syndrome and type 2 diabetes. Adipocyte dysfunction has been recognized as a link between these conditions. To examine the effect of AOPPs on adipocyte perturbation, 3T3-L1 adipocytes were treated with increased levels of AOPPs as seen in these conditions. Exposure of adipocytes to AOPPs induced overexpression of tumor necrosis factor alpha and interleukin-6. This inflammatory response was completely blocked by nuclear factor-kappaB inhibitor SN50. AOPPs challenge also impaired insulin signaling, which was partly prevented by SN50. Treatment with AOPPs triggered endoplasmic reticulum (ER) stress, revealed by phosphorylation of PKR-like eukaryotic initiation factor 2alpha kinase, eukaryotic translational initiation factor 2alpha, inositol-requiring enzyme 1 and c-jun N-terminal kinase, and by overexpression of glucose regulated protein 78. AOPPs-induced ER stress was mediated by reactive oxygen species (ROS) generated by activation of NADPH oxidase since it was prevented by NADPH oxidase inhibitors or ROS scavenger. Treating the cells with inhibitors of NADPH oxidase or ER stress could completely abolish AOPPs-induced overexpression of adipocytokines and insulin resistance, suggesting that AOPPs induced adipocyte perturbation probably through induction of ROS-dependent ER stress. Our data identified AOPPs as a class of important mediator of adipocyte perturbation. Accumulation of AOPPs might be involved in adipocyte dysfunction as seen in metabolic syndrome and type 2 diabetes. PMID- 21063116 TI - Molecular analysis of aquaporin genes 1 to 4 in patients with Meniere's disease. AB - BACKGROUND: Meniere's Disease (MD) is an episodic cochleovestibular dysfunction of unknown etiology, still lacking a specific test and therapy. The proposed theories on the pathophysiology include genetic factors and factors relating to inner ear homeostasis. Various aquaporins (AQP), water channels, expressed in the inner ear and the vestibular organ, are involved in homeostasis. Mutations in AQP genes could result in disturbed inner ear homeostasis and endolymphatic hydrops, and therefore be involved in the pathogenesis of MD. AIM: To search for mutations in AQP1 to 4 in patients suffering from MD. METHODS: In patients with definite MD, DNA was extracted from whole blood. The coding sequences of AQP1 to 4 were amplified by PCR reaction and sequenced. RESULTS: One sequence alteration, homozygous c.105G->C (conservative change without alteration of amino acid) in AQP3 was detected in 11 out of 34 patients but not in 100 control chromosomes. CONCLUSION: By itself the detected alteration is unlikely to play a role in the pathogenesis of MD. However, together with an additional modifying gene an effect can not be excluded. Additional regions (introns, splice-sites) and other genes involved in inner ear homeostasis need to be analyzed to identify a possible molecular alteration in MD. PMID- 21063117 TI - Superimposition of an incoherent magnetic field eliminated the inhibition of hormone secretion induced by a 50-Hz magnetic field in human villous trophoblasts in vitro. AB - The effects of exposure to a sinusoidal 50-Hz magnetic field (MF) and an incoherent (noise) MF on hormone secretion in human first trimester villous trophoblasts were investigated. Trophoblasts were isolated from more than 30 first trimester human chorionic villi of 8-10 weeks gestation. They were cultured and exposed to MFs for different durations. The concentrations of human chorionic gonadotropin (hCG) and progesterone in culture medium were measured by electrochemiluminescence immunoassay. The results showed that exposure of the villous trophoblasts to a 50-Hz MF at 0.4 mT (milli Tesla) for 72 hrs could significantly inhibit the secretion of hCG and progesterone, whereas exposure to an incoherent MF (frequency range between 30 to 90 Hz) with the same conditions did not significantly affect the secretion. However, when the incoherent MF was superimposed on the 50-Hz MF and the cells were exposed to both fields simultaneously, no significant change in hormone secretion was observed. Based on these results, we concluded that 50-Hz MF exposure for 72 hrs could inhibit the hormone secretion of trophoblasts, and an incoherent MF of equal intensity could completely eliminate the effects induced by the 50-Hz MF. PMID- 21063118 TI - L-arginine, asymmetric dimethylarginine and rhythm outcome after electrical cardioversion for atrial fibrillation. AB - OBJECTIVES: It was our aim to study the levels of L-arginine, the substrate for nitric oxide and asymmetric dimethylarginine (ADMA), an endogenous inhibitor of nitric oxide synthase, and their relation to the maintenance of sinus rhythm after electrical cardioversion for atrial fibrillation (AF), as well as the effects of angiotensin receptor blockade on these variables. METHODS: In a double blind, placebo-controlled study, patients with persistent AF were randomised to receive candesartan 8 mg once daily or placebo for 3-6 weeks before and candesartan 16 mg once daily or placebo for 6 months after cardioversion. As part of this study, plasma levels of L-arginine and ADMA were measured at baseline and at the end of the study. RESULTS: Baseline levels of L-arginine, ADMA and the L arginine/ADMA ratio were not predictive of rhythm outcome, and their levels were not influenced by treatment with candesartan. However, the L-arginine/ADMA ratio increased in patients who remained in sinus rhythm (n = 37) for 6 months when compared with patients with AF recurrence (n = 61; p = 0.008). CONCLUSION: Neither L-arginine nor ADMA or their ratio were predictive of rhythm outcome after cardioversion, and they were not influenced by treatment with candesartan. However, the L-arginine/ADMA ratio increased in patients still in sinus rhythm 6 months after cardioversion. PMID- 21063120 TI - Bile changes after liver surgery: experimental and clinical lessons for future applications. AB - INTRODUCTION: The aim of this review is to summarize the available evidence for changes in bile composition following liver surgery and assess their use in predicting post-operative complications. MATERIALS AND METHODS: A literature search was undertaken for all studies focusing on bile composition, bile volume and analysis. Articles were selected from MEDLINE, Embase and the Cochrane Central Register of Controlled Trials databases up to May 2009. RESULTS: Low values of pre-operative bilirubin diglucuronide predict reduced post-operative liver function and the occurrence of jaundice. Low concentrations of hepatocyte growth factor and interleukin-6 in bile following surgery are associated with the subsequent development of liver failure and are probably surrogate markers for situations where the resultant hepatic regeneration is inadequate. CONCLUSIONS: Analysis of the composition and quality of bile is probably underused as a tool for the pre-operative screening and early post-operative monitoring of patients at high risk of developing liver failure following major hepatobiliary procedures. PMID- 21063121 TI - Prostate cancer masquerading as a rectal tumor: a tricky diagnosis. PMID- 21063122 TI - Huge mucinous cystic adenocarcinoma of the pancreas. PMID- 21063123 TI - Evaluation of sclerotherapy with a new sclerosing agent and stapled hemorrhoidopexy for prolapsing internal hemorrhoids: retrospective comparison with hemorrhoidectomy. AB - BACKGROUND: We retrospectively compared the results of sclerotherapy with a new sclerosing agent (aluminum potassium sulphate/tannic acid) and hemorrhoidopexy using an improved type of circular stapler with hemorrhoidectomy. METHODS: Between January 2006 and September 2008, we performed hemorrhoidectomy in 416 patients, sclerotherapy in 784 patients and hemorrhoidopexy in 118 patients with prolapsing internal hemorrhoids. RESULTS: The median volume of the agent injected into a hemorrhoid was 7 ml (interquartile range = 4). The operation duration was significantly shorter (p < 0.01) in sclerotherapy, 13 min (interquartile range = 7), than in hemorrhoidectomy, 43 min (interquartile range = 15), and hemorrhoidopexy, 31 min (interquartile range = 16). Postoperative pain, needing pain killer injection, occurred in 59 patients (14%) in hemorrhoidectomy, 14 patients (1.8%) in sclerotherapy and 1 patient (0.8%) in hemorrhoidopexy (p < 0.01). The disappearance rates of prolapse were 100% (416/416 patients) in hemorrhoidectomy, 96% (753/784 patients) in sclerotherapy and 98.3% (116/118 patients) in hemorrhoidopexy. CONCLUSIONS: Hemorrhoidectomy, widely applied for hemorrhoids, needs hospitalization, being accompanied by pain. Sclerotherapy could be performed on outpatient bases without any severe pain or complication. Hemorrhoidopexy is a useful alternative treatment with less pain. Less invasive treatments would be useful when performed paying attention to avoid complications. PMID- 21063124 TI - Validation and clinical use of the Japanese classification of colorectal carcinomatosis: benefit of surgical cytoreduction even without hyperthermic intraperitoneal chemotherapy. AB - BACKGROUND: This study aimed to validate an easy to use practical classification of peritoneal metastasis arising from colorectal cancer. PATIENTS AND METHODS: Data from 2,134 consecutive patients who underwent resection for colorectal cancer at a single institution were reviewed. Peritoneal metastasis was classified depending on extent into three groups (P1-P3). The macroscopic radical resection rates and survival of patients with colorectal cancer complicated with peritoneal metastasis were analyzed. RESULTS: Of the 2,134 patients, 116 (5.4%) had peritoneal metastasis. Among them, 20 (17.2%) underwent macroscopic radical resection. Tumor location on the right side was associated with more extensive peritoneal metastasis (p = 0.010). Male gender (p = 0.0027), liver metastasis (p = 0.0021), and P3 peritoneal metastasis were independent risk factors for noncurative resection. The Cox proportional hazards model showed that gender (p = 0.031), operation period (p = 0.031), and macroscopic radical resection for colorectal cancer and peritoneal metastasis (p = 0.031) were independent prognostic factors. CONCLUSIONS: Being female with left colon cancer complicated with P1 or P2 peritoneal metastasis is a good indicator for macroscopic radical resection if liver metastasis is absent. The present classification helped to determine surgical indication for patients with colorectal cancer complicated with synchronous peritoneal metastasis in routine clinical practice. PMID- 21063125 TI - Predictors of timing and patterns of recurrence after curative resection for gastric cancer. AB - AIMS: The aim of the study was to characterize recurrence patterns and identify predictors of recurrence after curative resection. METHODS: Of 2,786 patients that underwent curative resection for gastric cancer from 2001 to 2006 at the Korean National Cancer Center, 439 (15.8%) experienced recurrence. Patterns of recurrence, clinicopathological characteristics, and therapeutic modalities were compared between 251 patients who had recurrence within 1 year (the early recurrence group) and 188 patients who had recurrence after more than a year (the late recurrence group). Multivariate analysis was performed to identify independent factors associated with the timing of recurrence. RESULTS: In the early recurrence group, the most common pattern was hematogenous metastasis, and in the late recurrence group, the most common pattern was locoregional and peritoneal recurrence. The early recurrence group was characterized by a larger tumor, frequent lymph node (LN) metastasis, and venous, and perineural invasion. Multivariate analysis showed that LN metastasis and venous invasion were independent predictors of early recurrence. Median survival after recurrence was significantly shorter in the early recurrence group (p < 0.001). CONCLUSION: Hematogeneous metastasis was more common in the early recurrence group and LN metastasis and venous invasion were independent predictors of the timing of recurrence. PMID- 21063126 TI - Liver transplantation for congenital biliary dilatation: a single-center experience. AB - BACKGROUND: Congenital biliary dilatation is a rare disease. Although the possibility of refractory cholangitis and/or the frequency of malignant tumors legitimize hepatobiliary surgery, repeated cholangitis and biliary obstruction result in secondary liver cirrhosis even after polysurgery. There are no definitive guidelines on liver transplantation for congenital biliary dilatation. PATIENTS: A total of 1,101 liver transplantation recipients were enrolled in this study. Eleven patients with congenital biliary dilatation including 5 patients with Caroli's disease were retrospectively analyzed in detail. RESULTS: Nine of 11 patients underwent initial operations before liver transplantation while 2 patients with Caroli's disease received liver transplantation as initial surgery, with good outcomes. All patients had intractable symptoms caused by liver cirrhosis, and growth delay was considerable in patients aged <20 years. Histopathological analysis of the native liver revealed hepatic fibrosis (>=F2). One patient with ABO incompatibility died. One patient with Caroli's disease accompanied with intrahepatic carcinoma survives 11.8 years after liver transplantation without any recurrences. CONCLUSIONS: Patients with congenital biliary dilatation with refractory symptoms and complications secondary to liver failure are appropriate candidates for liver transplantation. We suggest that liver transplantation is an effective therapeutic option for patients with congenital biliary dilatation with due consideration to many accompanying factors, such as clinical course, growth delay, image findings and histopathological analysis. PMID- 21063127 TI - Proliferative retinopathy is associated with impaired increase in BDNF and RANTES expression levels after preterm birth. AB - BACKGROUND: Extremely preterm delivery is, amongst other complications, associated with retinopathy of prematurity (ROP). Untreated, ROP can progress to visual impairment and blindness due to an overgrowth of new vessels in the retina and vitreous cavity. OBJECTIVE: The aim of this study was to identify cytokine markers within the first weeks of life that could be used to predict the risk for development of ROP later in life. METHODS: Serum levels of 27 different cytokines in infants born at gestational weeks 23-30 were analyzed using a multiplex immunoassay method and compared between infants who did not develop ROP and infants who later developed proliferative ROP. In addition, mRNA levels of brain derived neurotrophic factor (BDNF) in retinas from mice exposed to hyperoxia were analyzed using quantitative real-time PCR. RESULTS: At birth, serum levels of IL 5 were higher in infants with no ROP compared to infants with proliferative ROP. 10-14 days after birth, serum levels of BDNF and RANTES were lower in infants who later developed proliferative ROP compared to infants who did not develop ROP. Furthermore, mRNA expression levels of BDNF in retinas from mice exposed to hyperoxia were significantly lower at postnatal day 15 compared to retinas from mice in room air. CONCLUSIONS: These results indicate that BDNF and RANTES may be important factors in the selective vulnerability of ROP development in preterm infants. PMID- 21063128 TI - Heparin-binding epidermal growth factor-like growth factor downregulates expression of activator protein-1 transcription factor after intestinal ischemia reperfusion injury. AB - The pathogenesis of necrotizing enterocolitis (NEC) is unknown. Ischemia and reperfusion (I/R) injury have been considered to be major contributing factors. More recent reports have noted that apoptosis is a significant and perhaps the principal contributor to cell death after I/R injury. Recent studies have revealed that activator protein 1 (AP-1) family proteins including c-Fos and c Jun potentially induce either the proliferation or apoptosis of the cells in the brain, heart, kidney, and liver. c-Fos and c-Jun expression has also been reported to be upregulated in postischemic intestinal epithelial cells (IECs). Heparin-binding epidermal growth factor (EGF)-like growth factor (HB-EGF) is a potent cytoprotective factor in various pathologic conditions and plays a pivotal role in mediating the earliest cellular responses to injury. This study aims to examine whether HB-EGF, a proven intestinal cytoprotective molecule, exerts its protective effects through modulation of AP-1 transcription factor after intestinal I/R injury. Thirty rats were randomly divided into the following 5 groups: (1) normal control group; (2) ischemia group; (3) I/R group; (4) ischemia group with HB-EGF (400 MUg/kg), and (5) I/R group with HG-EGF (400 MUg/kg). c-Fos and c-Jun messenger RNAs and protein levels were determined by real-time quantitative polymerase chain reaction (PCR) and Western analyses, respectively. Statistical analysis was performed using ANOVA with Dunn's test. The messenger RNA levels of the c-Fos and c-Jun increased after intestinal ischemia or the intestinal reperfusion phase. HB-EGF pretreatment significantly decreased c-Fos and c-Jun messenger RNAs. The expression of protein levels of c-Fos and c-Jun were correlation with the expression of messenger RNA level. HB-EGF intestinal cytoprotection is mediated, in part, by downregulation of the expression of AP-1 transcription factor after intestinal I/R injury. PMID- 21063129 TI - Severe hemorrhage after low-molecular-weight heparin treatment in a preterm neonate. AB - Thromboembolic events in preterm neonates are increasingly being diagnosed due to the increasing use of umbilical catheters and central venous catheters. Whether thromboembolic events should be treated routinely with low-molecular-weight heparin (LMWH) is controversial and the optimal management is still not clear due to the lack of randomized controlled trials. Most importantly, knowledge about the safety of treatment with LMWH in neonates with thromboembolic events is very limited. We present a case of severe hemorrhage in a preterm neonate after LMWH treatment and summarize the scarce data reported in the literature. PMID- 21063130 TI - Differential effects of ziprasidone and haloperidol on immobilization-stress induced CRF mRNA expression in the hypothalamic paraventricular nucleus of rats. AB - OBJECTIVES: Corticotropin-releasing factor (CRF) plays a prominent role in mediating the effect of stressors on the hypothalamic-pituitary-adrenal axis. In this study, we examined the effects of chronic administration of second generation antipsychotic drug ziprasidone on CRF mRNA expression in the hypothalamic paraventricular nucleus (PVN) of rats with or without immobilization stress. METHODS: The rats were subjected to immobilization stress 2 h/day for 3 weeks. The effect of ziprasidone (2.5 mg/kg, 21 days) on CRF mRNA expression was determined using in situ hybridization of tissue sections from the rat hypothalamic PVN. Haloperidol (1.0 mg/kg, 21 days) was used for comparison. RESULTS: Haloperidol increased the expression of CRF mRNA in the PVN under basal conditions, whereas ziprasidone had no effect. Chronic immobilization stress increased CRF expression. The chronic administration of ziprasidone prevented the increase in CRF mRNA expression caused by immobilization stress. CONCLUSIONS: These results suggest that ziprasidone may have a regulatory effect on the stress induced CRF mRNA expression and a role in the treatment of depressive mood symptom. PMID- 21063131 TI - Efficacy, safety and dose-response of pramipexole in Japanese patients with primary restless legs syndrome: randomized trial. AB - AIMS: To assess the safety and efficacy of pramipexole in Japanese patients with restless legs syndrome (RLS) and to investigate factors predictive of early treatment response. METHODS: Patients with primary RLS and the International Restless Legs Syndrome Study Group rating scale (IRLS) total score of >15 were randomized to receive pramipexole 0.25, 0.5 or 0.75 mg/day for 6 weeks. RESULTS: A total of 154 patients were recruited. Following treatment, the mean adjusted change in IRLS score in the 0.25, 0.5 and 0.75 mg/day groups was -12.3, -12.5 and -11.8, respectively. The proportion of IRLS responders at week 2, when all patients were receiving pramipexole at a dose of 0.25 mg/day, was 34.0-37.7%. At 6 weeks, when the patients were on 0.25, 0.5 or 0.75 mg/day, IRLS responders defined as those having a >=50% reduction in IRLS score accounted for 60.4, 58.5 and 49.1%, respectively. Older age above the median value (>=55 years) and low IRLS score at baseline (<21.5 points) were significantly associated with early response to low-dose pramipexole therapy. The type and frequency of adverse events were consistent with the known safety profile for dopamine agonists in RLS. CONCLUSIONS: Pramipexole at 0.25-0.75 mg/day is efficacious, safe and well tolerated in Japanese patients with primary RLS. PMID- 21063132 TI - Is alpha wave neurofeedback effective with randomized clinical trials in depression? A pilot study. AB - Frontal asymmetric activation has been proposed to be the underlying mechanism for depression. Some case studies have reported that the enhancement of a relative right frontal alpha activity by an asymmetry neurofeedback training leads to improvement in depressive symptoms. In the present study, we examined whether a neurofeedback training designed to increase the relative activity of the right frontal alpha band would have an impact on symptoms of depressive subjects suffering from emotional, behavioral, and cognitive problems. Our results indicated that the asymmetry neurofeedback training increased the relative right frontal alpha power, and it remained effective even after the end of the total training sessions. In contrast to the training group, the placebo control group did not show a difference. The neurofeedback training had profound effects on emotion and cognition. First, we replicated earlier findings that enhancing the left frontal activity led to alleviation of depressive symptoms. Moreover, cognitive tests revealed that the asymmetry training improved performance of executive function tests, whereas the placebo treatment did not show improvement. We preliminarily concluded that the asymmetry training is important for controlling and regulating emotion, and it may facilitate the left frontal lobe function. PMID- 21063133 TI - Dopamine D4 receptor exon III polymorphism, adverse life events and personality traits in a nonclinical German adult sample. AB - Personality and temperament embrace a wide area of both psychological and behavioral processes which are also based on disposition. A functional polymorphism in exon III of the dopamine D4 receptor gene (DRD4) has been a highly suspect genetic marker for personality in spite of ambiguous results. The present study aimed to further elucidate the relationship between DRD4, negative life events and personality in a representative nonclinical sample. Hundred sixty seven Germans completed the NEO Five-Factor Inventory, the Tridimensional Personality Questionnaire and the California Adult Q-Sort. A factor analysis revealed 3 factors: emotional stability, social orientation and impulsivity. DNA from buccal cells was genotyped for the DRD4 variable-number tandem-repeat exon III polymorphism with respect to presence versus absence of the DRD4 7-repeat allele. Adverse life events were assessed by means of the Adverse Life Events Scale. Men carrying the DRD4 7-repeat allele were more impulsive than those without. Male 7-repeat carriers were more emotionally instable than others, but only when they experienced a large amount of negative life events. No genotype personality relationships were found for women. The results indicate gender specific influences of the DRD4 gene on human behavior and invite researchers to further investigate gene-environment correlations on personality traits. PMID- 21063135 TI - Factors influencing permanent teeth eruption. Part one--general factors. AB - Variation in the normal eruption of teeth is a common finding, but significant deviation from established norms should alert the clinician to take some diagnostic procedures in order to evaluate patient health and development. Disturbance in tooth eruption time could be a symptom of general condition or indication of altered physiology and craniofacial development. The aim of this review is to analyze general factors that could influence permanent teeth eruption. The articles from 1965 to 2009 in English related to topic were identified. 84 articles were selected for data collection. Although permanent teeth eruption is under significant genetic control, various general factors such as gender, socioeconomic status, craniofacial morphology, body composition can influence this process. Most significant disturbance in teeth emergence is caused by systemic diseases and syndromes. PMID- 21063134 TI - Treatment efficacy/safety and prognostic factors in patients with advanced biliary tract cancer receiving gemcitabine monotherapy: an analysis of 100 cases. AB - AIM: The purpose of this study was to elucidate the treatment efficacy and safety of gemcitabine monotherapy, and to identify prognostic factors in patients with advanced biliary tract cancer receiving this therapy. METHOD: The data of 100 patients with advanced biliary tract cancer who were treated with gemcitabine as first-line chemotherapy were reviewed retrospectively. RESULTS: One patient showed complete response (1.0%) and 6 patients showed partial response (6.0%), yielding an overall response rate of 7.0%. The main grade 3/4 toxicities were neutropenia and leukopenia. The median survival, 1-year survival rate and progression-free survival were 7.3 months, 21.6% and 3.1 months, respectively. Multivariate analysis identified a performance status of 0-1, serum C-reactive protein level of <3.0 mg/dl, serum carcinoembryonic antigen level of <10 ng/ml and serum albumin level of >= 3.5 g/dl as factors independently associated with a favorable prognosis. CONCLUSIONS: Gemcitabine monotherapy showed modest efficacy with manageable toxicity in patients with biliary tract cancer. These results could be useful as reference data for optimizing treatment strategies and planning future clinical trials in patients with advanced biliary tract cancer. PMID- 21063136 TI - Effect of conditioner on microtensile bond strength of self-adhesive resin cements to dentin. AB - OBJECTIVE: Evaluate, in vitro, the microtensile bond strength (uTBS) of RelyX ARC conventional resin cement and RelyX Unicem and Maxcem self-adhesive resin cements to dentin, and the influence of polyacrylic acid pretreatment on the uTBS. MATERIAL AND METHODS: Flat dentin surfaces were obtained in 15 third molars which were randomly divided into 5 groups: Group 1 - RelyX ARC (control); Group 2 - RelyX Unicem; Group 3 - Maxcem Elite; Group 4 - 22.5% polyacrylic acid and RelyX Unicem; Group 5 - 22.5% polyacrylic acid and Maxcem Elite. A block of composite resin was built over the resin cements. The samples were sectioned to obtain beams, and 20 specimens for each group were submitted to uTBS on a universal testing machine. Failure modes were analyzed by scanning electron microscopy. RESULTS: According to ANOVA and Tukey test, the highest uTBS mean (MPa) was obtained with RelyX ARC (21.38), which did not differ statistically from Maxcem Elite with polyacrylic acid pretreatment (19.22) and RelyX Unicem with polyacrylic acid pretreatment (17.75) (p>0.05). The latter two groups did not differ statistically from RelyX Unicem (16.98) (p>0.05). The lowest mean was obtained for Maxcem Elite (6.43), which differed statistically from the other groups (p<0.05). All failures were adhesive for Maxcem Elite without polyacrylic acid pretreatment, and mixed failures were predominant in the other groups. CONCLUSIONS: RelyX ARC achieved higher uTBS to dentin in comparison to the self adhesive resin cements. Polyacrylic acid pretreatment was effective in improving the uTBS of Maxcem Elite, but did not influence the uTBS for RelyX Unicem. PMID- 21063137 TI - Passive tactile sensibility of teeth and osseointegrated dental implants in the maxilla. AB - PURPOSE: The purpose of this study was to compare passive tactile sensibility of natural teeth and osseointegrated dental implants in the maxilla. MATERIAL AND METHODS: Twenty-nine patients (17 males and 12 females) were included in the study. Natural teeth were subdivided into two groups: non endodontically treated teeth (NETT) and endodontically treated teeth (ETT). A computer-controlled custom made pressure sensitive device was modified for intraoral use. Pushing forces were applied parallel to the vertical axis of teeth and implants. The patient held a signal button which he/she activated as soon as touch was sensed. At this moment the computer registered passive tactile threshold - measured in Newtons. The mean values of passive tactile sensibility for natural teeth and dental implants were calculated. Comparison of the mean values was performed by the means of t-test. RESULTS: Passive tactile threshold for osseointegrated dental implants was 2.50 N (SD=1.39), and for teeth - 0.72 N (SD=0.49), for non endodontically treated teeth it was 0.66 N (SD=0.43) and for endodontically treated teeth - 0.96 N (SD=0.87). The differences in mean values were statistically significant (p<0.001) except for mean values of NETT vs. ETT. CONCLUSION: This study shows that patients with osseointegrated implants subjectively feel "touch" sensation when greater force is applied compared with natural teeth. PMID- 21063138 TI - A five years clinical evaluation of sealed occlusal surfaces of molars. AB - BACKGROUND: Air-abrasion can be used for enamel preparation before fissure sealing as well as acid etching which is the evidence-based method for enamel preparation before sealing. AIM: The aim of this study is to evaluate the status of sealed occlusal surfaces of the first permanent molars after one, two, and five years and to compare consequence of using the two different methods for enamel preparation before sealing. MATERIALS AND METHODS: At the baseline of the study 88 children of the age from 6 to 9 with caries-free permanent molars randomly received 185 sealants after using the air-abrasion system KCP 2000 PLUS (ADT Inc.) and 121 sealants using the acid etching method with Vococid (VoCo). Fissurit F (VoCo) was used for sealing. The status of occlusal surfaces of molars was scored as caries- free or decayed/restored by visual clinical assessment evaluation after one, two, and five years. RESULTS: The sealants retention rate was 97.2%, 91.9%, 61.2% in the air-abrasion group and 96.3%, 92.6%, 62.4% in the acid etching group after one, two, and five years, respectively. The results showed 98.2%, 98.0%, 89.8% caries free occlusal surfaces of molars prepared with air-abrasion method and 100%, 100%, 94.6% caries free occlusal surfaces of molars prepared with acid etching method after one, two, and five years respectively. CONCLUSION: The differences between the two methods: air-abrasion and acid etching in terms of sealant retention rate and caries development appeared to be statistically insignificant (p>0.05). PMID- 21063139 TI - Anatomical variation of mental foramen. A case report. AB - We have reported a case of triple mental foramina at the right side of the premolar region, which was discovered during reposition and ostheosynthesis of a mandible fracture. A review of the literature, was performed which disclosed no previous clinical cases reported but an incidence of 1.2% of triple foramina after investigating dry skulls or radiographics. The discussion stresses the importance of adequate preoperative radiological examination in the clinical situation especially when closed surgery is planned. PMID- 21063140 TI - The novel VEGF receptor antagonist, VGA1155, reduces edema, decreases infarct and improves neurological function after stroke in rats. AB - Vascular endothelial growth factor (VEGF) is a major mediator of angiogenesis and also a strong vascular permeability factor. Blockade of VEGF may have a potential to treat cerebral edema after brain injury. We evaluated the effect of VGA1155 (5 [N-Methyl-N-(4-octadecyloxyphenyl)acetyl]amino-2- methylthiobenzoic acid), a novel binding antagonist of VEGF, on cerebral edema after transient focal cerebral ischemia. Focal cerebral ischemia was induced with the suture occlusion method for 2 h. In the treatment group, a single dose of VGA1155 (1 ~ 50 mg/kg i.p.) was administrated 30 min before the induction of focal ischemia, and the vehicle group received phosphate buffer only. The brain water content, Evans blue extravasation, infarct volumes and neurological score were determined. Physiological parameters were not influenced by the administration of VGA1155. The brain water content at 24 h after cerebral ischemia was significantly reduced by intraperitoneal administration of VGA1155 and the dose of 10 mg/kg showed the maximum effect on brain water content (81.8 +/- 0.5% in non treated group vs. 80.2 +/- 0.6% in treated group). With this dose, VGA1155 also reduced vascular permeability from 2.2 +/- 0.8 ug/g to 1.2 +/- 0.5 ug/g studied at 6 h after the ischemia by intravenous injection of Evans blue. VGA1155 administration significantly reduced infarct volume and improved neurological scores at 1 week after ischemic injury. The data suggested that VGA1155 has antiedematous effect in acute phase after transient focal cerebral ischemia and improves neurological and histological outcomes 1 week after ischemic injury. PMID- 21063141 TI - Score of inattention subscale of ADHD rating scale-IV is significantly higher for AD/HD than PDD. AB - Attention-deficit/hyperactivity disorder (AD/HD) and pervasive developmental disorder (PDD) must be differentiated because the respective treatments are different. However, they are difficult to distinguish because they often show similar symptoms. At our hospital, we have the rearer of a patient answer both the ADHD Rating Scale-IV (ADHD-RS) and the Autism Spectrum Screening Questionnaire (ASSQ), and use the results as an aid for the diagnosis of AD/HD or PDD. These results were compared with reference to PDD and AD/HD for an examination of the features of the two disorders. The subjects of our study were 45 children with AD/HD and 77 children with PDD. ADHD-RS score was significantly higher for AD/HD than PDD, but the total ASSQ score was significantly higher for PDD than AD/HD. Furthermore, for the inattention subscale of ADHD-RS, both total score and number of high-score items were significantly higher for AD/HD than PDD. As for the ASSQ score for PDD, it was significantly higher than for AD/HD in the domains of repetitive behavior, social interaction, and communication problems. In addition, PDD features many high-score items in the social interaction domain. We thought that these results about both ADHD-RS and ASSQ were useful for the differentiation of AD/HD or PDD. PMID- 21063142 TI - Limited hydration may reduce intraoperative blood loss in retropubic radical prostatectomy. AB - Retropubic radical prostatectomy (RRP) may involve major intraoperative blood loss. This study focuses on how limited intraoperative hydration, especially in the early part of the surgery, affects the total blood loss. Fifteen prostate cancer patients were enrolled in this study in which the RRPs were performed by a single surgeon with limited (no more than 1500 ml as a rule) intraoperative hydration in the first 2 hours of the surgery when ligation of intrapelvic lymph node, dorsal vein complex (DVC), neurovascular bundle (NVB) and cut of urethra are assumed to be finished, and were compared with the control group in which no intervention of hydration was undertaken. Intervention group (n=15) had significantly less intraoperative blood loss (p<0.05) compared with control group even though blood pressure at the first 2 hours was not significantly different. Limited hydration did not cause apparent adverse events resulted from dehydration. In conclusion, limited hydration especially in the first half of operation may reduce intraoperative blood loss without any side effects of dehydration. This study could help to establish detailed guidelines for hydration methods for less blood loss during RRP. PMID- 21063143 TI - Efficacy of combining flexible and rigid ureteroscopy for transurethral lithotripsy. AB - Transurethral lithotripsy (TUL) is a common procedure in urology. However, controversy persists about how to deal with stones pushed up into kidney from the ureter during the procedure of TUL. This study investigated the efficacy of combining flexible ureteroscopy and rigid ureteroscopy for pushed-up stones into kidney during TUL. Fotry-one patients underwent TUL by a single surgeon from July 2007 to May 2009. Eight cases resulted in pushed-up stones during operation or involved existing kidney stones. We used a Zero-tip or Litho Catch Basket catheter and a flexible ureteroscope to carry these stones in kidney down into the ureter where the rigid ureteroscope could then reach and handle the stone for lithotripsy or being taken away. A Lithoclast system was used for lithotripsy. Five cases involved stones pushed up during surgery and 3 cases involved stones already in the kidney in detail. We pulled the stones down into the ureter in all cases and successfully completed lithotripsy or removed the stone, thus avoiding the performance of additional extracorporeal shock wave lithotripsy (ESWL). In conclusions, combined use of flexible ureteroscopy and rigid ureteroscopy for upper urinary tract stones pushed up into the kidney during TUL or renal stones could be useful for avoiding additional ESWL. PMID- 21063144 TI - Effect of perceptual learning on motor skills of hands: a functional magnetic resonance imaging study. AB - Our aim was to clarify the mechanism by which perceptual learning improves motor skills of hands. We included 18 healthy volunteers (age 21.3 +/- 0.3 years, mean +/- standard deviation) in the study with a crossover design. The subjects were randomly classified into 3 groups, and they performed a 2-ball quick rotation task with a hand. The role of perceptual learning in improving the ability to discern the length of a wooden stick held between the left thumb and index finger was studied between the first and second sessions of the task in group A, and between the second and third sessions in groups B and C with a period of rest interval between the first and second sessions. Functional magnetic resonance imaging (fMRI) was performed for each group during the perceptual learning session. The effect of intervention, in the form of perceptual learning, on the task performance was significantly greater than that of non-intervention in all subjects (p = 0.022). Among all the activated brain areas, the bilateral prefrontal cortices, right premotor area, right supplementary motor area, right primary sensory area, right primary motor area, right inferior parietal lobe, right thalamus, and left cerebellar posterior lobe showed positive correlations between the respective contrasts from the single-subject analysis and the behavioral data before and after the interventions (p < 0.001). This result indicates a pivotal role of the frontoparietal or frontocerebellar circuits in sensorimotor integration; a specific approach that activates these circuits should be developed for clinical rehabilitation of patients. PMID- 21063145 TI - Vitamin K2-derived compounds induce growth inhibition in radioresistant cancer cells. AB - A strategy to overcome radioresistance in cancer treatment has been expected. To evaluate the strategy, appropriate experimental models are needed. Radioresistant tumour models were originally established from human colon cancer cells, and we evaluated their molecular basis. Next, the growth inhibitory effects of newly synthesized vitamin K2 (VK2)-related compounds were tested. Here, we showed that these novel compounds have growth inhibitory effects not only on cancer cells of various origins, but also on radioresistant cells, through the generation of reactive oxygen species (ROS). Human colon, lung, and breast cancer cell lines were used for testing the growth inhibitory activities of several chemical compounds. Radioresistant tumour models were established by fractionated radiation exposure. Irradiated cells were selected by a single cell cloning method, and their sensitivity to ionizing radiation was evaluated by a colony forming assay. The VK2 derivatives (named MQ-1, MQ-2, and MQ-3) were chemically synthesized. To evaluate the generation of ROS, flow cytometer analyses were performed. A radioresistant tumour model was established from the HCT116 human colon cancer cell line. The radioresistant cells from HCT116 also showed resistance to cisplatin. In the radioresistant cells, NF-kappaB was highly activated. MQ-1, MQ-2, and MQ-3 showed greater growth inhibitory activities than VK2 not only in various cancer cells but also in radioresistant cells through the generation of ROS. In conclusion, a radioresistant tumour model was originally established from colon cancer cell lines through NF-kappaB activation, and it could be a useful tool for evaluating anti-tumour agents. Newly synthesized VK2 derivatives (MQ-1, MQ-2 and MQ-3) seemed to be potential anti-tumour agents in various cancers and radioresistant cancers. The efficacy of those compounds was related to the generation of ROS. These findings together might pave the way for the treatment of radioresistant or recurrent cancers. PMID- 21063146 TI - Cortical layer V neurons in the auditory and visual cortices of normal, reeler, and yotari mice. AB - Both in the Reelin-deficient reeler and Dab1-deficient yotari mice, layer V corticospinal tract neurons in the sensory-motor cortex are radially spread instead of being confined to a single cortical layer. In the present study, we examined distribution pattern of cortical layer V neurons in the visual and auditory cortices of reeler and yotari mice with the injection of HRP into the superior and inferior colliculi of the adult animals, respectively. After the injection of HRP into the superior colliculus of the normal mouse, retrogradely labeled cells were distributed in layer V of the visual cortex, while the similar injection of HRP in the reeler and yotari mice produced radial dispersion of retrograde labeling through all of the depths of the visual cortex of these mutant mice. Next, we injected HRP into the inferior colliculus of the normal, reeler and yotari mice. Retrogradely labeled neurons were distributed in layer V of the normal auditory cortex, whereas they were again radially scattered in the auditory cortex of the reeler and yotari mice. Taken together with the previous and present findings, layer V cortical efferent neurons are radially scattered in the sensory-motor, visual and auditory cortices of the reeler and yotari mice. PMID- 21063147 TI - Analysis of neutralizing antibodies against hepatitis C virus in patients who were treated with pegylated-interferon plus ribavirin. AB - The role of neutralizing antibodies (NAb) in determining responses to antiviral therapy has not been defined well. By using hepatitis C virus (HCV) cell culture system with the J6/JFH1 strain of HCV genotype 2a, we analyzed NAb responses in patients with chronic hepatitis C who received pegylated-interferon plus ribavirin (PEG-IFN/RBV) antiviral therapy. A total of 65 patients chronically infected with HCV genotype 1b were enrolled in this study. Of all the 65 patients, 34 (52%) patients achieved early virological response (EVR), with the remaining 31 patients (48%) being Non-EVR. Twenty-seven patients (42%) achieved sustained virological response (SVR), with the remaining 38 patients (58%) being Non-SVR. Thus, NAb titers were significantly higher in sera of patients who achieved EVR and SVR than those of Non-EVR and Non-SVR, respectively. Rather unexpectedly, NAb titers did not significantly decrease when measured even one year after disappearance of HCV RNA. On the other hand, when change ratios of NAb titers before and after disappearance of HCV RNA were compared between patients with different treatment outcomes, we noticed that the change ratio of NAb titers of patients who achieved an EVR was significantly lower than that of Non-SVR. In conclusion, our present results suggest that NAb titers were significantly associated with clinical responses to PEG-IFN/RBV therapy. PMID- 21063148 TI - Results of 'two above- one below approach' with intermediate screws at the fracture site in the surgical treatment of thoracolumbar burst fractures. AB - The aim of this retrospective clinical study was to evaluate and compare the results of the technique so called 'two above one below approach' with intermediate screws at the fracture site with long-segment posterior fusion in the surgical treatment of thoracolumbar burst fractures. For this purpose neurologically intact 27 patients having isolated one level thoracolumbar burst fracture underwent posterior instrumentation and fusion in our clinic via 'two above-one below approach' with intermediate screws at the fracture site. A control group consisting of 15 patients having one level thoracolumbar burst fracture treated with long segment posterior spinal fusion in our institute was formed. At the preoperative, postoperative and final follow up period, anterior body height loss, local kyphosis and sagittal index values of both groups were noted. At the final follow up Visual Analogue Pain Scale and Oswestry disability scores were noted. Retrospective data from both groups underwent statistical analysis. In both groups anterior body height loss, local kyphosis and sagittal index measurements improved at the final follow-up, but there was no significance between two groups in terms of radiological and clinical follow-up data. The loss of correction in local kyphosis of short-segment group in the interval between postoperative and follow-up period was also significant. No implant failure was noted. As a conclusion 'two above one below approach' with intermediate screws at the fracture site is associated with loss of correction radiographically, but favorable clinical outcomes in the presence of any implant failure can be achieved in the treatment of thoracolumbar burst fractures. PMID- 21063149 TI - De novo ring chromosome 6 in a child with multiple congenital anomalies. AB - Ring chromosome 6, especially if it is de novo, is a rare occurrence. The phenotype of patients with ring chromosome 6 can be highly variable ranging from almost normal to severe malformations and mental retardation. The size and structure of the ring chromosome as well as the level of mosaicism are important factors in determining the clinical phenotype. Here we report an eight month-old child, a product of a non consanguineous marriage, who presented with developmental retardation, hypertelorism, microcephaly, flat occiput, broad nasal bridge, large ears, micrognathia, wide spaced nipples, protruding umbilicus, short stubby fingers, clinodactyly, single palmar crease, short neck with no obvious webbing, and congenital heart defect. Conventional karyotyping and Whole Chromosome Paint of the peripheral leukocytes showed 46,XY,r(6)(p25q27) karyotype with plausible breakpoints at p25 and q27 end. Conventional karyotyping of both parents showed normal karyotype. To the best of our knowledge, this is the first report of a Malay individual with ring chromosome 6, and this report adds to the collective knowledge of this rare chromosome abnormality. PMID- 21063150 TI - Knockout of endothelin-1 in vascular endothelial cells protects against insulin resistance induced by high-salt diet in mice. AB - The increased plasma Endothelin-1 (ET-1) level has been associated with development of insulin resistance in obese and hypertensive patients. However, the underlying mechanism remains elusive. Here we investigate the potential role of endothelial cell-derived ET-1 in mediating insulin resistance induced by high salt diet. To address this issue, we used vascular endothelial cell-specific ET-1 knockout (VEETKO) mice and its littermates fed with a high-salt diet containing 8% NaCl for 3 weeks, and evaluated the metabolic parameters. High-salt diet increased systolic blood pressure similarly in both genotypes. We observed impairment of glucose tolerance in control mice despite comparable increase of serum insulin concentration with VEETKO mice. We further found that VEETKO mice showed preservation of circulating adiponectin level - an adipokine with insulin sensitizing property - and prevention of the upregulation of the pro-inflammatory adipokine TNF-alpha, which lead towards better insulin sensitivity. These results provide evidence that blockade of endothelin signaling may be proven beneficial in preventing high-salt induced insulin resistance. PMID- 21063151 TI - Prevention of mothers' mental illness deterioration: can their attitudes toward self-care and childcare prevent mental deterioration? AB - BACKGROUND: Women with severe mental illness experience many kinds of problems during childcare and have a high risk of relapse. Previous studies have not revealed methods for preventing deterioration of mothers' illness. In this study, we retrospectively investigated mothers with severe mental illness, and we attempted to identify characteristics of mothers whose condition did not deteriorate and who did not require hospitalization during childcare. METHODS: Data were collected from a self-administered questionnaire filled out by female outpatients who had experienced childcare and were diagnosed with schizophrenia, schizoaffective disorder, bipolar affective disorder or depression with psychotic symptoms. The questionnaire asked about attitudes toward childcare during the first three years following the first childbirth. It was composed of six sections on A) living situation, B) psychiatric medication, C) sleep, D) subjective symptoms of deterioration, E) resting time, and F) advice for other mothers with mental illness. The subjects were split into two groups: those that were admitted to a hospital within three years following the first childbirth (hospital group, n=16) and those that were not hospitalized (non-hospital group, n=19). RESULTS: The two groups showed no significant differences in their responses to the questions in sections A-E of the questionnaire. In section F, the non-hospital group wrote significantly more comments than the hospital group. The non-hospital group described concrete ways for taking care of their mental health, while the hospital group did not. DISCUSSION: Our results suggest that whether or not mothers need admission during childcare depends on their assertiveness and ability to communicate. PMID- 21063152 TI - Evaluation of the validity of the Autism Spectrum Quotient (AQ) in differentiating high-functioning autistic spectrum disorder from schizophrenia. AB - The aim of this study is to examine the validity of the Autism Spectrum Quotient (AQ) to differentiate high-functioning autistic spectrum disorder (ASD) from schizophrenia (SCH). The AQ was developed by Baron-Cohen et al. to measure autistic traits. In addition to the original AQ items, we created self administered questions about psychotic symptoms (S-scale). We administered the modified AQ to 51 ASD patients and 46 SCH patients, and we compared these two groups in terms of total AQ score, AQ subscale scores and S-scale score. We applied receiver operating characteristic (ROC) curves to examine the discriminating power of the AQ. The mean total AQ score of the ASD group (32.6; SD=6.8; range: 8-48) was significantly higher than that of the SCH group (21.8; SD=7.4; range: 10-39) (p<0.001). All AQ subscale scores of the ASD group were significantly higher than those of the SCH group. By using a cut-off score of 29 for the AQ total score, we were able to correctly classify 80% of the subjects. At this cut-off, the positive and negative predictive values were 0.83 and 0.78, respectively. Inclusion of additional questions of the S-scale did not increase the power of differentiation. These results indicate that the usefulness of the AQ in differentiating high-functioning ASD from SCH is limited. PMID- 21063153 TI - Crystal structure of a PFU-PUL domain pair of Saccharomyces cerevisiae Doa1/Ufd3. AB - Doa1/Ufd3 is involved in ubiquitin (Ub)-dependent cellular processes in Saccharomyces cerevisiae, and consists of WD40, PFU, and PUL domains. Previous studies showed that the PFU and PUL domains interact with Ub and Hse1, and Cdc48, respectively. However, their detailed functional interactions with Doa1 remained elusive. We report the crystal structure of the PFU-PUL domain pair of yeast Doa1 at 1.9 A resolution. The conserved surface of the PFU domain may be involved in binding to Ub and Hse1. Unexpectedly, the PUL domain consists of an Armadillo (ARM)-like repeat structure. The positively charged concave surface of the PUL domain may bind to the negatively charged C-terminal region of Cdc48. A structural comparison of Doa1 with Ufd2 revealed that they share a similar ARM like repeat, supporting a model in which Doa1 and Ufd2 compete for Cdc48 binding and may dictate the fate of ubiquitinated proteins in the proteasome pathway. PMID- 21063154 TI - A case of malignant melanoma of the oral cavity alive with liver metastasis for a long period with administration of a biologic response modifier, OK432. AB - A patient with malignant melanoma of the oral cavity who lived for a long period despite developing liver metastasis is presented. An 81-year-old female was referred to our hospital because of a pigmented tumor of the lower gingiva. Under the clinical diagnosis of malignant melanoma, she underwent bilateral functional neck dissection and marginal mandiblectomy. Histological diagnosis of the operation material was malignant melanoma with regional lymph node metastasis. In spite of loco-regional control, liver metastasis developed at 7 months after the surgery. She then underwent combination chemotherapies with dimethyl triazeno imidazole carboxamide (DTIC), nimustine hydrochloride (ACNU) and vincristin (DAV therapy), or cisplatin, DTIC, ACNU and tamoxifen (DAC-tam), but no marked response was obtained. Considering the advanced age of the patient, immunotherapy with a biological response modifier, OK432, alone was started. After administration of OK432, the metastatic tumor gradually decreased, and she is alive without any clinical symptoms of tumor at 46 months after the detection of liver metastasis, although it is still present on ultrasonic and CT examinations. PMID- 21063155 TI - Effects of mass and momentum of inertia alternation on individual muscle forces during swing phase of transtibial amputee gait. AB - A computer simulation was carried out to investigate the forces of lower extremity muscles in the swing phase of a transtibial amputee gait. With each muscle as an ideal force generator, the lower extremity was simulated as a two degrees of freedom linkage with the hip and knee as its joints. Kinematic data of hip and knee joints were recorded by a motion analysis system. Through a static optimization approach, the forces exerted by muscles were determined so that recorded hip and knee joint angles were produced. Simulation results showed that when the mass of prosthetic foot is increased, muscle forces increase, too. This result is in accord with experimental and theoretical studies that reported an increase in leg mass lead to higher electromyography activity of muscles, and energetic of walking. However, since prosthetic foot moment of inertia is smaller than that of thigh and prosthetic shank, its alternation does not have noticeable effect on muscle forces. PMID- 21063156 TI - Serum matrix metalloproteinase-3 as predictor of joint destruction in rheumatoid arthritis, treated with non-biological disease modifying anti-rheumatic drugs. AB - BACKGROUND: Rheumatoid factor (RF), anti-citrullinated peptide antibody (ACPA), C reactive protein (CRP), and erythrocyte sedimentation rate (ESR) have been studied extensively as prognostic markers of rheumatoid arthritis (RA). However, despite the fact that matrix metalloproteinase-3 (MMP-3) is linked to RA activity, few studies have evaluated MMP-3 as prognostic marker. OBJECTIVE: To evaluate the performance of MMP-3 as predictor of joint destruction in RA treated with non-biological disease modifying anti-rheumatic drugs. METHODS: In a retrospective study of 58 early to moderate stage RA patients who consulted the Department of Clinical Pathology and Immunology, Kobe University Hospital between May 2002 and April 2009, we evaluated the performance of MMP-3 and other biomarkers as predictors of joint destruction, by comparing them between radiographically progressive and non-progressive group. RESULTS: Serum levels of RF at entry and ACPA, but not MMP-3 at entry, were significantly higher for the progressive group. Ratios of patients with MMP-3 levels higher than healthy control were not significantly different for the two groups. However, cutoff values determined through receiver operating characteristic analysis showed that the ratio of patients with elevated RF was significantly higher in the progressive group (p=0.001), while MMP-3 (p=0.092), ACPA (p=0.052), CRP (p=0.056), and ESR (p=0.069) tended to be more elevated in the progressive group. Multiple logistic regression analysis using the cutoff value identified MMP-3 positive and RF positive, but not ACPA, CRP or ESR, as significant factors for radiographic progression (OR 16.79 [95% CI: 1.34-414.19]). CONCLUSION: MMP-3 can be a useful marker for prediction of joint destruction. PMID- 21063157 TI - [Clinical experience of treatment of liver metastasis of renal cell carcinoma treated with SMANCS/Lipiodol therapy]. AB - The treatment for metastatic renal cell carcinoma (RCC) has changed dramatically after the beginning of molecular-targeted therapies. However,the treatment for liver metastasis is still difficult in patients with metastatic RCC. We treated liver metastases (8 target lesions) of RCC with stylene-maleic acid neocarzinostatin (SMANCS)/Lipiodol therapy. At the treatment procedure,a catheter was inserted at the femoral artery (Seldinger's method),a microcatheter was selectively inserted into the branch of hepatic artery which fed the liver metastasis,and then SMANCS/Lipodol was infused. We treated 1,2 and 1 patient 4,2, and 1 time,respectively. One lesion treated with SMANCS/Lipodol was further treated by radiofrequency ablation 13 days later. Of 6 metastatic lesions which could be followed up for more than 6 months after the treatment,one had partial response for 4 months and 4 had stable disease for more than 6 months. Four of the 6 lesions shrunk after SMANCS/Lipiodol treatment. Two of 4 patients survived more than 18 months after the first SMANCS/Lipiodol therapy. In all 9 SMANCS/Lipiodol treatments,grade 1 liver dysfunction (44.4%),ascites (11.1%) and fatigue (11.1%) occurred after the treatments. These adverse events were all improved by conservative treatments. SMANCS/Lipiodol therapy can be a treatment option as local treatment for liver metastasis of RCC. PMID- 21063158 TI - [Significance of a simple assay of urine telomerase activity for the detection of bladder cancer]. AB - We developed a simple urine telomerase activity assay by a modification of the TeloTAGGG telomerase polymerase chain reaction (PCR) enzyme-linked immunosorbent assay kit. We conjugated digoxygenin (DIG) with the CX primer of omitting hybridization of PCR products and DIG-labeled probe. The sensitivity and specificity of bladder cancer diagnosis on 100 patients with bladder cancer and 25 healthy volunteers using the simple urine telomerase activity measurement were 81% and 92%, respectively. The positive rate for bladder cancer by the present assay system was affected by neither tumor grade nor invasiveness. The diagnostic potential of the present method is superior to the conventional urine telomerase assay kit and urine nuclear matrix protein-22 (NMP22). The present method is simple, and can be used for mass screening of bladder cancer. PMID- 21063159 TI - [Antimicrobial prophylaxis in radical prostatectomy : single dose versus 1-day treatment]. AB - An adequate protocol for antimicrobial prophylaxis (AMP) in radical prostatectomy (RP) has not been established. We retrospectively compared the occurrence of perioperative infection following RP between two different AMP protocols. This study included 340 cases with prostate cancer who underwent RP at our institution between January 2005 and December 2008. The 1-day group consisting of 93 cases received a second generation cephem, cefotiam, intravenously during and after the operation on the operative day. The single dose group consisting of 247 cases received cefotiam during the operation only. The incidence of surgical site infection (SSI) and remote infection (RI) was retrospectively investigated. There was no significant difference in the rate of SSI and RI occurrence between the 1 day group (2.2, 0%) and single dose group (3.6, 0.4%) (p = 0.52). The single dose protocol of AMP seems sufficient for prevention of perioperative infection in RP. PMID- 21063160 TI - [Experience of laparoscopic surgery of pheochromocytoma found on induction for hemodialysis]. AB - A 64-year-old female patient had been receiving hemodialysis since June 2008. A left adrenal mass, 2.5 cm in diameter, was incidentally found by screening computed tomography at the initiation of hemodialysis. Plasma epinephrine and norepinephrine were increased to 1.21 ng/ml and 4.71 ng/ml, respectively. In the scintiscan using 123I-metaiodobenzylguanidine (MIBG), accumulation of the radionuclide in the left adrenal tumor region was confirmed. Laparoscopic left adrenalectomy was performed without peri-operative complications under the diagnosis of left pheochromocytoma. The elevated catecholamines and the blood pressure were restored after surgery. PMID- 21063161 TI - [The initial division of left renal vein in left renal cancer with intracaval tumor thrombus: a case report]. AB - A 30-year-old female was admitted to our hospital with a 3-month history of general fatigue and one month history of left flank mass. Computed tomography revealed a huge left renal tumor (20 * 13 * 10 cm) with intracaval tumor thrombus. The tumor thrombus extended into the right atrium. The left renal vein (lt-RV) was expanded 3.5 cm in diameter by the tumor thrombus. The tumor was surrounded by a tortuous dilated capsular vein. The strategic issue was how to ligate the left renal artery (lt-RA) behind the expanded lt-RV. We first divided the lt-RV occluded by the tumor thrombus using a Linear Cutter(r) and then divided the lt-RA before the dissection of the tumor to avoid excessive bleeding. Even transarterial embolization of lt-RA were to be performed,the tumor was too large to dissect without division of lt-RV and lt RA. After the left kidney was removed,the lower half of the tumor thrombus was excised,clamping the inferior vena cava,three right renal arteries,two right renal veins,and the lumber vein. Finally,we removed the upper half of the tumor thrombus extending to the right atrium through atriotomy and cavotomy under an extracorporeal cardiovascular bypass. Operation time was 9 h 22 m,and total blood loss was 1670 ml. Convalescence was uneventful except for abdominal lymphocele. PMID- 21063162 TI - [A case of infiltrating transitional cell carcinoma of kidney difficult to distinguish from xanthogranulomatous pyelonephritis]. AB - The patient, a 67-year-old man, initially visited another institution with asymptomatic macroscopic hematuria as the major complaint. Since imaging revealed a tumor occupying the left kidney, he was referred to our hospital. Computed tomography (CT) was suggestive of a tumor involving the renal pelvis that had infiltrated the renal parenchyma. The patient was scheduled for surgery, which was postponed when pre-operative CT indicated a reduction in the tumor size, leading to a subsequent diagnosis of xanthogranulomatous pyelonephritis. Surgery was eventually conducted to address complaints such as persistent hematuria. The pathological diagnosis was infiltrating transitional cell carcinoma of the kidney. Urinary cytodiagnoses were class II-III throughout the observation period. PMID- 21063163 TI - [An unusual case of cystic nephroma protruding into the renal pelvis]. AB - Cystic nephroma is a relatively rare and benign renal lesion of uncertain etiology. Approximately 200 cases have been described, but only a few cases of cystic nephroma with prominent renal pelvis involvement have been reported. Here, we report an unusual case of cystic nephroma that protruded into the renal pelvis. A 45-year-old woman hospitalized because of a right renal mass detected on clinical examination. The diagnosis of a malignant or benign lesion was not clear. A right nephroureterectomy was performed. The characteristics of the resected specimen were consistent with those of cystic nephroma. Macroscopic examination revealed that the giant lesion originated from the upper renal pelvis and extended into the renal pelvis. The patient is currently free from disease at eight months after the surgery. In general, this tumor arises from the renal parenchyma. To our knowledge, this is the fifth case report on cystic nephroma with prominent renal pelvis involvement. PMID- 21063164 TI - [The giant schwannoma in the pelvic cavity: a case report]. AB - A 62-year-old man presented with a giant tumor in the pelvic cavity that was incidentally revealed by abdominal ultrasonography. Abdominal magnetic resonance imaging showed the heterogenous tumor in the pelvis with cystic components. The tumor was 10.8 * 10.5 * 11.7 cm in diameter and adhered to the sacral wall. The tumor was extirpated following diagnosis as a benign neurogenic tumor by needle biopsy. The pelvic cavity was occupied by the tumor rigidly adhered to the sacrum. The histopathological diagnosis of the specimen was benign schwannoma, type Antoni A. PMID- 21063165 TI - [Two cases of pellagra associated with chemotherapy of docetaxel, estramustine, dexamethasone]. AB - An 81-year-old male with hormone refractory prostate cancer, received chemotherapy of Docetaxel, Estramustine and dexamethasone as an outpatient. After 4 courses of chemotherapy, he was admitted to our hospital in December 2007 because of general fatigue, appetite loss and erythema of the back of hands and face. He was diagnosed with pellagra. Nicotinic acid was administered and the symptoms disappeared. An 80-year-old male with hormone refractory prostate cancer, received chemotherapy of Docetaxel, Estramustine and dexamethasone without admission. After 8 courses of the chemotherapy, appetite loss appeared. In January 2008, medical examinations revealed nails peeling off, facial erythema and erosion of the back of his hands. He was diagnosed with pellagra. Nicotinic acid was administered and the symptoms disappeared. Pellagra, a nicotinic acid deficiency disease, is rarely observed clinically nowadays. However, it may occur in the patients, undergoing chemotherapy without admission. PMID- 21063166 TI - [A case of primary malignant lymphoma of the prostate presenting as urinary retention]. AB - We report a case of primary malignant lymphoma of the prostate. An 84-year-old man was referred to our hospital with a chief complaint of urinary retention. Magnetic resonance imaging showed a large mass below the bladder and in front of the rectum. Histological and immunocytochemical studies of transperineal biopsy of the prostate showed diffuse large B-cell non-Hogkin's lymphoma. Radiological assessment of the disease confirmed stage IV according to the Ann Arbor classification. Although the tumor was markedly reduced in size after four cycles of combination chemotherapy with cyclophosphamide, adriamycin, vincristine, and prednisolone, he died with brain metastasis 4 months after the diagnosis. PMID- 21063167 TI - [A case of extramedullary plasmacytoma of testis converted to multiple myeloma]. AB - A 55-year-old male was referred to our hospital with the chief complaint of painless right scrotal swelling. Serum tumor marker levels were all within the normal range. A right radical orchiectomy was performed and the histopathological diagnosis was plasmacytoma. Chest and abdominal computed tomographic scan and bone scintigraphy did not show metastasis. Serum and urine protein electrophoresis and bone marrow biopsy were negative. Diagnosis was primary testicular plasmacytoma (extramedullary plasmacytoma). Eleven months after the operation, multiple bone lesions appeared and our diagnosis was conversion to multiple myeloma. PMID- 21063168 TI - [A case of calciphylaxis in chronic renal failure]. AB - Calciphylaxis is characterized by progressive vascular calcification, soft tissue necrosis, and ischemic necrosis of the skin. The condition is usually associated with end-stage renal disease and has a poor prognosis. We present a 76-year-old man on hemodialysis who developed small, painful purpura on the thigh. The purpura rapidly spread to his back and hip and became ulcerated. Histological examination of a skin biopsy revealed arterial calcification in the subcutaneous adipose tissue. We therefore diagnosed calciphylaxis and administered intravenous antibiotics and debrided the necrotic soft tissue. However, the lesions did not heal and the patient died from sepsis related to cellulitis. PMID- 21063169 TI - Chimeric receptors of the Arabidopsis thaliana pattern recognition receptors EFR and FLS2. AB - FLS2 and EFR are pattern recognition receptors in Arabidopsis thaliana perceiving the bacterial proteins flagellin and Elongation factor Tu (EF-Tu). Both receptors belong to the > 200 membered protein family of Leucine-Rich Repeat Receptor Kinases (LRR-RKs) in Arabidopsis. FLS2 and EFR are engaged in the activation of a common intracellular signal output and they belong to the same subfamily of LRR RKs, sharing structural features like the intracellular kinase domain and the ectodomain organized in LRRs. On the amino acid sequence level, however, they are only < 50 % identical even in their kinase domains. In our recently published paper1 we demonstrated that it is possible to create chimeric receptors of EFR and FLS2 which are fully functional in ligand binding and receptor activation. Chimeric receptors consisting of the complete EFR ectodomain and the FLS2 kinase domain proved to be sensitive to elf18, the minimal peptide required for EF-Tu recognition, similar to the native EFR. In chimeric receptors where parts of the FLS2 ectodomain were swapped into the EFR LRR-domain, the receptor function was strongly affected even in cases with only small fragments exchanged. In this addendum we want to address problems and limits but also possibilities and chances of studying receptor functions using a chimeric approach. PMID- 21063171 TI - Public involvement in the ethics of research publications? PMID- 21063172 TI - Density of ocular components of the bovine eye. AB - PURPOSE: Density is essential for acoustic characterization of tissues and provides a basic input for ultrasound backscatter and absorption models. Despite the existence of extensive compilations of acoustic properties, neither unified data on ocular density nor comparisons of the densities between all ocular components can be found. This study was undertaken to determine the mass density of all the ocular components of the bovine eye. METHODS: Liquid components were measured through mass/volume ratio, whereas solid tissues were measured with two different densitometry techniques based on Archimedes Principle. The first method determines the density by measuring dry and wet weight of the tissues. The second method consists of immersing the tissues in sucrose solutions of varying densities and observing their buoyancy. RESULTS: Although the mean densities for all tissues were found to be within 0.02 g/cm by both methods, only the sucrose solution method offered a consistent relative order for all measured ocular components, as well as a considerably smaller standard deviation (a maximum standard deviation of 0.004 g/cm for cornea). The lens was found to be the densest component, followed by the sclera, cornea, choroid, retina, aqueous, and vitreous humors. CONCLUSIONS: The consistent results of the sucrose solution tests suggest that the ocular mass density is a physical property that is more dependent on the compositional and structural characteristics of the tissue and than on population variability. PMID- 21063175 TI - Impact of atazanavir-based HAART regimen on the carotid intima-media thickness of HIV-infected persons: a comparative prospective cohort. AB - OBJECTIVE: With the advent of highly active antiretroviral therapy regimens, it is crucial to consider their long-term benefits to risk ratios among HIV-infected persons. The impact of protease inhibitors on the cardiovascular risk is controversial. DESIGN: This observational cohort was designed to investigate the cardiovascular impact of boosted atazanavir (ATV/r), a protease inhibitor that does not provide major dyslipidemia or insulin resistance. SETTING: This study was carried out at the University Hospital of Brest (France). PATIENTS: Among the 229 HIV-infected persons of the cohort, 33 cases treated by ATV/r-containing regimen since less than 6 months were compared to 99 age-matched and sex-matched ATV/r naive controls. INTERVENTION: None. MAIN OUTCOME MEASURE: The main outcome measure was carotid intima-media thickness (cIMT) at the baseline, 6, 12, and 18 months. RESULTS: Although the cIMT was not different at inclusion (0.633 +/- 0.05 vs. 0.666 +/- 0.09, P = 0.07), the cIMT course significantly decreased (P = 0.018) in cases at 18 months. The differences remained significant even after adjustment on the variables that differed between cases and controls (P < 0.1) at inclusion (high-density lipoprotein cholesterol, cardiovascular family history) and the cumulated and current exposure to the nucleosidic reverse transcriptase inhibitor, nonnucleosidic reverse transcriptase inhibitor, and protease inhibitor class. CONCLUSION: Despite similar HIV and cardiovascular characteristics at baseline, cIMT decreased after 6 months of follow-up among the patients exposed to ATV/r, even after adjustment for the exposure to the three antiretroviral classes. Considering the shortcomings of this study, especially the absence of randomization and the heterogeneity of the control group, the benefit of ATV/r treatment in patients with high cardiovascular should be confirmed by randomized trials. PMID- 21063176 TI - HIV vaccines: sin boldly! PMID- 21063177 TI - Evidence is still required for treatment as prevention for riskier routes of HIV transmission. PMID- 21063179 TI - The European Society for Emergency Medicine: progress in Stockholm [corrected]. PMID- 21063180 TI - The use of the left-molar approach for direct laryngoscopy combined with a gum elastic bougie. PMID- 21063182 TI - Economic burden of dermatitis in US workers [corrected]. AB - OBJECTIVE: To estimate the economic burden associated with dermatitis in those aged 16 to 65 years and working in seven industry sectors (DW). METHODS: The 2004 Medical Expenditure Panel Survey and the cost-of-illness method, which aggregates health care costs and productivity losses, were used. RESULTS: The economic burden of DW was ~$1.2 billion, representing 10.5% of the cost of all skin diseases and disorders (SDD). In all private industry (ie, the sum of the seven sectors examined), cost per employed person was ~$11 for DW and $109 for SDD. In the all-services sector, one of the seven examined, cost per employed person was ~$15 for DW and $113 for SDD. CONCLUSIONS: This study provides the first published estimate of the economic burden of DW, based on a representative sample of the US population. PMID- 21063183 TI - Presenteeism: critical issues. AB - OBJECTIVE: The objective of this commentary is to discuss the issues surrounding the concept of presenteeism, including the measurement of lost work time per individual, the conversion of the scores attained by the instruments into expressions of productivity loss, and the translation of productivity losses into economic outcomes. METHODS: Literature searches using PubMed and MEDLINE were conducted using "presenteeism" and "productivity" as keywords and combined with other studies known to the authors. Publications on presenteeism instruments and their applications were included. RESULTS: Substantive questions remain about the measurement of presenteeism, its conversion into lost productivity, and the translation of presenteeism into financial equivalents. CONCLUSIONS: Many aspects of presenteeism still warrant caution, especially when using presenteeism measurements to quantify economic outcomes. Focusing on productivity at the population level, rather than the individual level, may be more appropriate. PMID- 21063184 TI - Developing a short measure of organizational justice: a multisample health professionals study. AB - OBJECTIVES: To develop and test the validity of a short version of the original questionnaire measuring organizational justice. METHODS: The study samples comprised working physicians (N = 2792) and registered nurses (n = 2137) from the Finnish Health Professionals study. Structural equation modelling was applied to test structural validity, using the justice scales. Furthermore, criterion validity was explored with well-being (sleeping problems) and health indicators (psychological distress/self-rated health). RESULTS: The short version of the organizational justice questionnaire (eight items) provides satisfactory psychometric properties (internal consistency, a good model fit of the data). All scales were associated with an increased risk of sleeping problems and psychological distress, indicating satisfactory criterion validity. CONCLUSION: This short version of the organizational justice questionnaire provides a useful tool for epidemiological studies focused on health-adverse effects of work environment. PMID- 21063185 TI - Exposure assessment among US workers employed in semiconductor wafer fabrication. AB - OBJECTIVE: To classify 100,081 semiconductor workers employed during 1983-2002, and some as early as 1968, regarding potential for chemical exposures in cleanrooms during silicon wafer fabrication. METHODS: This study involved site visits to 10 cities with fabrication facilities, evaluation of 12,300 personal air samples for >60 chemicals, and examination of >37,000 departments and >8600 job codes to develop exposure groupings. RESULTS: Each worker was classified into one of five exposure groups on the basis of job-department combinations: 1) fabrication process equipment operators or process equipment service technicians working in cleanrooms (n = 28,583); 2) professionals such as supervisors working in fabrication areas (n = 8642); 3) professionals and office workers in nonfabrication areas (n = 53,512); 4) back-end workers (n = 5256); or 5) other nonfabrication workers (n = 4088). More than 98% of the personal air samples were below current occupational exposure limits. CONCLUSIONS: Although specific chemical exposures at the level of the individual could not be quantified, semiconductor workers were classified into broad exposure groups for assessment of cancer mortality in an epidemiologic study. PMID- 21063186 TI - Cancer mortality among US workers employed in semiconductor wafer fabrication. AB - OBJECTIVE: To evaluate potential cancer risks in the US semiconductor wafer fabrication industry. METHODS: A cohort of 100,081 semiconductor workers employed between 1968 and 2002 was studied. Standardized mortality ratios and relative risks (RRs) were estimated. RESULTS: Standardized mortality ratios were similar and significantly low among fabrication and nonfabrication workers for all causes (0.54 and 0.54) and all cancers (0.74 and 0.72). Internal comparisons also showed similar overall cancer risks among fabrication workers (RR = 0.98), including process equipment operators and process equipment service technicians (OP/EST) employed in cleanrooms (RR = 0.97), compared with nonfabrication workers. Nonsignificantly elevated RRs were observed for a few cancer sites among OP/EST workers, but the numbers of deaths were small and there were no trends of increasing risk with duration of employment. CONCLUSIONS: Work in the US semiconductor industry, including semiconductor wafer fabrication in cleanrooms, was not associated with increased cancer mortality overall or mortality from any specific form of cancer. However, due to the young average age of this cohort and its associated relatively low numbers of deaths, regular mortality updates of this semiconductor worker cohort are warranted. PMID- 21063187 TI - An update of cancer incidence in the Agricultural Health Study. AB - OBJECTIVE: Our objective is to reevaluate cancer incidence among Agricultural Health Study participants. METHODS: Standardized incidence ratios (SIRs) and relative standardized ratios were calculated. RESULTS: A significant excess of prostate cancer was seen for private and commercial applicators (SIR = 1.19, 95% CI 1.14, 1.25 and SIR = 1.28, 95% CI = 1.00, 1.61, respectively). Excesses were observed for lip cancer (SIR = 1.97, 95% CI = 1.02, 3.44) and multiple myeloma (SIR = 1.42, 95% CI = 1.00, 1.95) among private applicators from North Carolina and for marginal zone lymphoma among Iowa spouses (SIR = 2.34, 95% CI = 1.21, 4.09). CONCLUSIONS: Although lower rates of smoking and increased physical activity probably contribute to the lower overall cancer incidence, agricultural exposures including pesticides, viruses, bacteria, sunlight, and other chemicals may increase risks for specific cancer sites. PMID- 21063188 TI - Critical windows of fetal lead exposure: adverse impacts on length of gestation and risk of premature delivery. AB - OBJECTIVE: Research on the role of environmental lead exposure in the complex etiology of premature birth has yielded inconsistent results. We assessed the trimester-specific effect of prenatal lead exposure on gestational age and risk of premature delivery. METHODS: We used linear and logistic regression to identify critical windows of susceptibility to lead exposure on gestational length. RESULTS: In single-trimester models, decreases in gestational length were most strongly associated with first and second trimester blood lead. In adjusted logistic regression models, a one-standard deviation increase in second trimester blood lead was associated with an odds ratio of prematurity of 1.75 (95% CI: 1.02, 3.02). CONCLUSIONS: Maternal whole blood lead levels measured during first and second trimesters yielded the most prominent inverse association with length of gestation and increased the risk of prematurity. PMID- 21063189 TI - Workplace weight loss program; comparing live and internet methods. AB - OBJECTIVE: To determine the effectiveness of the 12-week workplace intervention (WIP) on energy intake, weight, physical activity (PA) and cardiovascular disease (CVD) risk and the effect of delivery method on outcomes. METHODS: A prospective clinical trial of a 12-week WIP comparing In-person and Internet-based delivery. All subjects received identical intervention with dietitian visits at baseline and weeks 6, 12 and 26. Subjects included overweight/obese academic health science center employees. Changes in weight, PA and CVD-risk were primary outcomes. RESULTS: There was no significant treatment effect repeated-measure ANOVA. Within subjects, significant main effects indicating improvement were noted at week-12 in weight, WC, body-fat, HRQOL and energy intake and at week-26 in weight, WC, body-fat, HRQOL, energy intake and systolic and diastolic BP. CONCLUSIONS: Improvements in some outcomes following a 12-week WIP were independent of delivery method. PMID- 21063190 TI - Evaluation of methods to determine excessive decline of forced expiratory volume in one second in workers exposed to diacetyl-containing flavorings. AB - OBJECTIVE: To evaluate methods for determining excessive short-term decline in forced expiratory volume in one second (FEV1) in diacetyl-exposed workers. METHODS: We evaluated five methods of determining excessive longitudinal FEV1 decline in diacetyl-exposed workers and workers from a comparative cohort: American Thoracic Society (ATS), ACOEM an 8% limit, and a relative and absolute longitudinal limit on the basis of spirometry data variability. Relative risk and incidence of excess decline were evaluated. RESULTS: Incidence of excessive FEV1 decline was 1% in the comparative cohort using ATS and ACOEM criteria, 4.1% using relative limit of longitudinal decline, 4.4% with absolute longitudinal limit of decline, and 5.6% by using the 8% limit. Relative risk of abnormal FEV1 decline in diacetyl-exposed workers was elevated in all evaluated methods. CONCLUSION: Alternative methods for respiratory surveillance in diacetyl-exposed workers may be preferable to ATS or ACOEM. PMID- 21063191 TI - Antioxidants and pulmonary function among police officers. AB - OBJECTIVE: To examine associations of dietary antioxidant intake and pulmonary function. METHODS: Antioxidant data (vitamins A, C, D, E, magnesium, and omega-3 fatty acids) were abstracted from food frequency questionnaires. Pulmonary function was measured using American Thoracic Society criteria. We used analysis of variance to investigate associations. RESULTS: Among 79 police officers (57% male), forced vital capacity was positively and significantly associated with vitamin A after adjustment for age, gender, height, race, smoking status, and pack-years of smoking, and with magnesium after adjustment for those risk factors plus total calories, all supplement use, and abdominal height. Among current/former smokers only, mean levels of all pulmonary function measures were significantly associated with vitamin E; smoking status significantly modified these relationships. CONCLUSIONS: Increased intake of vitamin A, vitamin E (among current/former smokers only), and magnesium was associated with better pulmonary function. PMID- 21063192 TI - Risk of cardiovascular disease and diabetes in a working population with sedentary occupations. AB - OBJECTIVE: To assess the prevalence of risk factors and risk for cardiovascular disease and Type 2 diabetes in employees with sedentary occupations enrolled in a workplace health-promotion program. METHODS: Participants (n = 762) were recruited from ten Melbourne workplaces, participating in a physical activity program. Demographic, behavioral, biomedical, and physical measurements were collected. RESULTS: The majority of employees were not meeting recommended guidelines for physical activity (62%), fruit intake (70%), vegetable intake (86%), body mass index (58%), or waist circumference (53%). Most had intermediate (53%) or high (7%) risk of developing Type 2 diabetes. CONCLUSIONS: The majority of Australian adults in sedentary occupations were not meeting guidelines for a number of chronic disease risk factors and a substantial proportion were unaware of their increased risk. This study supports the potential of chronic disease risk factor detection and intervention programs in the workplace. PMID- 21063193 TI - How can managers visibly promote safety and health protection? PMID- 21063195 TI - Increased recurrences using intensity-modulated radiation therapy in the postoperative setting. AB - PURPOSE: To determine the pattern of failures following intensity modulated radiation therapy for head and neck cancer. MATERIAL AND METHODS: A retrospective single institution study. Between May 2001 and June 2008, 176 patients with head and neck cancer were treated with intensity modulated radiation therapy at Fox Chase Cancer Center. Ninety-five (54%) were squamous cell carcinoma treated with curative intent. Tumor and nodal stage, tobacco history, definitive versus postoperative therapy (PORT), addition of chemotherapy and RT duration were analyzed for association with patterns of failure. In patients treated with definitive radiation, high-risk PTV (PTV1) was prescribed to 70 Gy and low-risk PTV (PTV2) to 56 Gy. In the PORT setting, PTV1 was prescribed to 60 to 66 Gy and PTV2 to 54 Gy. Patterns of failure were assessed. Local failure (LF) was defined as the persistence of disease or recurrence within PTV1, marginal failure as recurrence at the region of high-dose falloff, and regional failure as nodal recurrence within PTV2. RESULTS: Median follow-up was 20 months (range: 1-117). Median age was 60 years (range: 28-88), with 80% smokers and 81% stage III or IV. PORT was given to 29% and 71% were treated definitively, with concurrent Cisplatin used in the majority. Three-year local and locoregional (LR) failure rates were 9% and 16%, respectively. Failures occurred in 14 patients: 8 local, 3 regional, 1 LR, and 2 distant. Five of the 8 LF and all 3 marginal failures were observed in PORT cohort. On univariate analysis, the only predictor of LF was the use of PORT (P = 0.06). LR control was 66% for PORT versus 87%, 97% for definitive RT and chemoRT. CONCLUSIONS: Local, regional failures were more common following PORT related to an increased risk of marginal failures. PMID- 21063196 TI - Microscopic examination of grossly unremarkable pediatric dura. PMID- 21063197 TI - "Unintentional" self-plagiarism. PMID- 21063198 TI - Nuclear DNA typing from ancient teeth. AB - Because of the adverse effects that diagenesis exert on ancient skeletal remains, DNA from these samples is often compromised to the point where genetic typing can be challenging. Nevertheless, robust and reliable methods are currently available to allow successful genotyping of ancient specimens. Here we report nuclear DNA based methods and typing strategies used to analyze 2 human skeletons from a medieval burial. Reliable DNA nuclear profiles were obtained from teeth, whereas mitochondrial DNA analyses in bones were inconclusive. A complete nuclear mini short tandem repeat profile was obtained from a well-preserved premolar, but only a partial one from the femur. Increasing the sensitivity of the polymerase chain reaction system allowed a full profile from the latter, but the presence of artifacts reinforced the idea that the interpretation of this kind of analysis must be performed with caution. The results presented here also indicate that DNA from dental pieces can be better preserved than from bones, even in the case of well-preserved long bones with thick cortical tissue such as the femurs, and have a better chance of successful genetic typing, probably because of the high degree of protection conferred to the DNA by the enamel. PMID- 21063199 TI - Major vascular neck injury from a handgun cartridge casing. AB - We present a case from the Johannesburg General Hospital of a zone II low velocity penetrating neck injury with involvement of the ipsilateral subclavian artery. When the "bullet" was retrieved, it was noted not to be an actual bullet but rather a cartridge casing. We speculate that this injury represents one of several possible misfiring scenarios from a homemade firearm. Injuries from homemade firearms are infrequent in the literature, and only one other similar case of a cartridge casing causing a penetrating injury was found. A brief review of homemade handheld firearms is offered. PMID- 21063200 TI - Spontaneous acute subdural hematoma complicating arachnoid cyst. AB - The overwhelming majority of cases of acute subdural hematoma in the forensic setting occur as a result of head trauma. We report a case of sudden unexpected death in a middle-aged woman with a history of arachnoid cyst who had sudden spontaneous onset of severe headache that was rapidly followed by collapse and death. A postmortem multiple-slice computed tomographic scan showed a large acute subdural hematoma associated with hemorrhage into an arachnoid cyst. Subdural hemorrhage is an uncommon but well-described complication of an arachnoid cyst. PMID- 21063201 TI - Inhibiting aberrant Stat3 function with molecular therapeutics: a progress report. AB - Aberrantly activated signal transducer and activator of transcription 3 (Stat3) protein plays a master regulatory role in the progression and survival of human cancers through the upregulation of target protooncogenes. Numerous human cancers, including breast, ovarian, prostate, leukemia, lymphoma, multiple myeloma, and brain cancers have been shown to harbor constitutively active Stat3 protein resulting in the expression of protooncogenes. The transcriptionally active Stat3-Stat3 protein homodimer has been extensively targeted as a means to suppress the aberrant Stat3 function in human cancer. This review will outline the recent progress made toward identifying drug-like compounds capable of effectively inhibiting aberrant Stat3 signaling through the disruption of Stat3 protein-protein interactions. PMID- 21063202 TI - 1,25-Dihydroxyvitamin D3-induced aortic calcifications in experimental uremia: up regulation of osteoblast markers, calcium-transporting proteins and osterix. AB - BACKGROUND AND OBJECTIVE: Whether treatment with vitamin D receptor activators contributes to cardiovascular disease in patients with chronic kidney disease is a matter of debate. We studied mechanisms involved in vitamin D-related vascular calcifications in vivo and in vitro. METHODS: Aortic calcifications were induced in subtotally nephrectomized (SNX) rats by treatment with a high dose (0.25 MUg/kg per day) of 1,25-dihydroxyvitamin D3 (calcitriol) given for 6 weeks. Likewise, primary rat vascular smooth muscle cells (VSMCs) were incubated with calcitriol at concentrations ranging from 10 to 10 mol/l. Immunohistochemistry revealed that the aortic expression of osteopontin, osteocalcin and bone sialoprotein was significantly increased in calcitriol-treated SNX rats compared to untreated SNX controls. In addition, aortic expression of the transient receptor potential vanilloid calcium channel 6 (TRPV6) and calbindin D9k was significantly up-regulated by treatment with calcitriol. Furthermore, calcitriol significantly increased expression of the osteogenic transcription factor osterix. In-vitro studies showed similar results, confirming that these effects could be attributed to treatment with calcitriol. CONCLUSIONS: High-dose calcitriol treatment induces an osteoblastic phenotype in VSMC both in SNX rats and in vitro, associated with up-regulation of proteins regulating mineralization and calcium transport, and of the osteogenic transcription factor osterix. PMID- 21063203 TI - Calcium channel blocker and Rho-associated kinase activity in patients with hypertension. AB - BACKGROUND: Rho-associated kinases (ROCKs) play an important role in Ca sensitization and vascular resistance. Activation of ROCKs is associated with hypertension. The purpose of this study was to evaluate the effect of the calcium channel blocker amlodipine on ROCKs activity in patients with hypertension. METHODS: We evaluated ROCK activity in peripheral leukocytes by Western blot analysis in 651 patients with hypertension treated with antihypertensive agents, 28 untreated hypertensive patients and 28 healthy individuals, and the effects of treatment with amlodipine or losartan for 12 weeks on ROCK activity in 28 untreated hypertensive patients who were randomly divided into an amlodipine group (n = 14) and a losartan group (n = 14). ROCK activity was defined as the ratio of phospho myosin-binding subunit (MBS) on myosin light-chain phosphatase to total MBS. RESULTS: Leukocyte ROCK activity was greater in untreated patients with essential hypertension than in the healthy individuals (0.84 +/- 0.24 vs. 0.61 +/- 0.18, P = 0.03). In 651 patients with hypertension treated with antihypertensive agents, ROCK activity was significantly lower in the calcium channel blocker-treated group than in the groups treated with renin-angiotensin system inhibitors, diuretics, and beta-blockers (0.70 +/- 0.24 vs. 0.85 +/- 0.29, 0.83 +/- 0.24, and 0.86 +/- 0.31, P < 0.05, respectively). ROCK activity after 4 and 12 weeks of treatment was significantly decreased in the amlodipine group (0 weeks: 0.85 +/- 0.25, 4 weeks: 0.66 +/- 0.16, 12 weeks: 0.64 +/- 0.15, P < 0.05, respectively) but not in the losartan group, whereas the antihypertensive effects were similar in the two groups. CONCLUSION: These findings suggest that calcium channel blocker amlodipine inhibits ROCK activity in patients with hypertension. PMID- 21063204 TI - Age-independent association of pulse pressure with cerebral white matter lesions in asymptomatic elderly individuals. AB - OBJECTIVE: Brachial pulse pressure (PP) is a marker of arterial stiffness in the elderly and a useful tool for measuring vascular aging. The presence of cerebral white matter lesions (WMLs) is a radiological marker of sub-clinical ischemic brain damage. WMLs may be age-related degenerative change, but few studies have addressed the relationship between vascular aging and WMLs. In this study, we assessed whether brachial PP is associated with the presence of WMLs in the elderly. METHODS: Six hundred and ninety-two asymptomatic elderly individuals (>55 years) who visited for routine health check-up were included. Brachial blood pressure (BP) was measured and brain MRI used to determine the presence of advanced WMLs. RESULTS: Ninety-six (13.9%) were found to have advanced WMLs. In the highest PP quartile (>=54 mmHg), 55 (32.9%) had advanced WMLs, and this was significantly higher than in other quartiles. After controlling for age, hypertension, systolic BP, diastolic BP, aspirin use, C-reactive protein, and other vascular risk factors, the highest quartile of PP was significantly associated with advanced WMLs compared with the lowest quartile [adjusted odds ratio (OR) 2.55; 95% confidence interval (CI) 1.03-6.30]. CONCLUSIONS: The authors report for the first time that increased brachial PP is an age independently associated with WMLs in asymptomatic elderly individuals. This result suggests that PP as a presumed marker of vascular aging may predict the presence of sub-clinical cerebrovascular diseases. PMID- 21063205 TI - Creatine kinase activity and blood pressure in a normal population: the Tromso study. AB - OBJECTIVES: In the vast majority of cases the cause for hypertension is not known. On the basis of observations from black and multiethnic populations, it has been hypothesized that a genetically high tissue creatine kinase activity may be an independent factor responsible for primary hypertension. If the relation between creatine kinase and blood pressure is causal, it is reasonable to believe that it will be independent of ethnicity and present in different populations. In this cross-sectional study, we examined whether creatine kinase was associated with blood pressure in a large Caucasian normal population. METHODS AND RESULTS: Data on creatine kinase and blood pressure were analyzed in a random sample of 12 776 men and women (65% of those eligible), aged 30-87 years from a normal population in the municipality of Tromso, Norway. We used linear regression to model the association between creatine kinase and blood pressure. Creatine kinase was independently associated with blood pressure. A one unit increase in log CK was associated with a 3.3 (95% CI 1.4-5.2) mmHg increase in systolic blood pressure and a 1.3 (95% CI 0.3-2.3) mmHg increase in diastolic blood pressure, after adjustment for age, sex, body mass index, s-glucose, s-creatinine, physical activity and alcohol consumption. The creatine kinase effect on blood pressure was independent of antihypertensive medication, and no difference in creatine kinase level was found between those with controlled and uncontrolled hypertension (geometric mean 101 vs. 104 IU/l, P = 0.1). CONCLUSION: Creatine kinase was associated with blood pressure in this population. PMID- 21063206 TI - Influence of widening electrode separation on current steering performance. AB - OBJECTIVES: Current steering between adjacent electrodes makes it possible to create more spectral channels than the number of electrodes in an electrode array. With current steering on nonadjacent electrodes, called "spanning," it could be possible to bridge a defective electrode contact or potentially reduce the number of electrode contacts for the same level of access to the auditory nerve. This study investigates the effectiveness of spanning in terms of the number of intermediate pitches, loudness effects, and linearity of the current weighting coefficient (alpha) with respect to the perceived pitch. DESIGN: Twelve postlingually deafened users of the HiRes90K cochlear implant with HiFocus1j electrode were randomly selected to participate in this study. Electrode contacts were selected at two locations in the cochlea, as determined on multislice computed tomography: 180 degrees (basal) and 360 degrees (apical) from the round window. For both cochlear locations, three psychophysical experiments were performed using simultaneous stimulation of electrode contacts. An adaptive staircase-based procedure was used. The number of intermediate pitches was assessed with a three-alternative forced choice (3AFC) pitch discrimination task, and the extent of current adjustment required when varying the current weighting coefficient (alpha) was determined with loudness balancing (2AFC). Finally, the pitch of a spanned channel was matched with the pitch of an intermediate physical electrode in a 2AFC procedure to assess the place of the spanned channel on the electrode array. RESULTS: Spanning required significantly more current compensation to maintain equal loudness than current steering between adjacent electrode contacts. A significant decrease of discriminable intermediate pitches occurred with spanning in comparison with current steering between adjacent electrode contacts. No significant difference was found between the pitch-matched current steering coefficient and the theoretical coefficient corresponding a priori with the intermediate physical electrode. No significant difference was found between the data from the apical and the basal sections of the electrode array. CONCLUSIONS: Spanning over wider electrode distance is feasible. With increasing electrode spanning distance, more current compensation is needed to maintain equal loudness, and a gradual deterioration in the just noticeable difference for pitch is observed. However, the pitch progression is linear. For a spanned signal with equal proportions of current delivered to both electrodes, pitch is equivalent to that produced by an intermediate physical electrode. PMID- 21063207 TI - Diffusion tensor imaging reveals white matter microstructure correlations with auditory processing ability. AB - OBJECTIVE: Correlation of white matter microstructure with various cognitive processing tasks and with overall intelligence has been previously demonstrated. We investigate the correlation of white matter microstructure with various higher order auditory processing tasks, including interpretation of speech-in-noise, recognition of low-pass frequency filtered words, and interpretation of time compressed sentences at two different values of compression. These tests are typically used to diagnose auditory processing disorder (APD) in children. Our hypothesis is that correlations between white matter microstructure in tracts connecting the temporal, frontal, and parietal lobes, as well as callosal pathways, will be seen. Previous functional imaging studies have shown correlations between activation in temporal, frontal, and parietal regions from higher-order auditory processing tasks. In addition, we hypothesize that the regions displaying correlations will vary according to the task because each task uses a different set of skills. DESIGN: Diffusion tensor imaging (DTI) data were acquired from a cohort of 17 normal-hearing children aged 9 to 11 yrs. Fractional anisotropy (FA), a measure of white matter fiber tract integrity and organization, was computed and correlated on a voxelwise basis with performance on the auditory processing tasks, controlling for age, sex, and full-scale IQ. RESULTS: Divergent correlations of white matter FA depending on the particular auditory processing task were found. Positive correlations were found between FA and speech-in-noise in white matter adjoining prefrontal areas and between FA and filtered words in the corpus callosum. Regions exhibiting correlations with time compressed sentences varied depending on the degree of compression: the greater degree of compression (with the greatest difficulty) resulted in correlations in white matter adjoining prefrontal (dorsal and ventral), whereas the smaller degree of compression (with less difficulty) resulted in correlations in white matter adjoining audiovisual association areas and the posterior cingulate. Only the time-compressed sentences with the lowest degree of compression resulted in positive correlations in the centrum semiovale; all the other tasks resulted in negative correlations. CONCLUSIONS: The dependence of performance on higher-order auditory processing tasks on brain anatomical connectivity was seen in normal hearing children aged 9 to 11 yrs. Results support a previously hypothesized dual stream (dorsal and ventral) model of auditory processing, and that higher-order processing tasks rely less on the dorsal stream related to articulatory networks and more on the ventral stream related to semantic comprehension. Results also show that the regions correlating with auditory processing vary according to the specific task, indicating that the neurological bases for the various tests used to diagnose APD in children may be partially independent. PMID- 21063208 TI - Antibodies against deamidated gliadin peptides in early-stage celiac disease. AB - BACKGROUND AND GOALS: The widely used serum endomysial (EmA) and transglutaminase 2 (TG2-ab) antibodies predict forthcoming villous damage and celiac disease when the small-bowel mucosa structure is still normal. However, these autoantibodies may remain negative in this early stage of the disease. We hypothesized that the antibodies against deamidated gliadin peptides (DGP-AGA) might appear before the other antibodies and would thus be useful in the diagnosis and follow-up of patients with early-stage celiac disease. STUDY: Serum DGP-AGA, TG2-ab, and EmA were measured at baseline and after 1 year on a gluten-free diet in 42 adults proven to have early-stage celiac disease despite normal small-bowel mucosal morphology (Marsh I-II), and in 20 celiac subjects evincing villous atrophy (Marsh III). Thirty-nine subjects with no signs of celiac disease served as nonceliac controls. RESULTS: Sensitivity to detect early-stage celiac disease was 79% for DGP-AGA, 64% for TG2-ab, and 81% for EmA. Specificities were 95%, 100%, and 100%, respectively. The corresponding efficiencies of the tests were 89% for DGP-AGA, 81% for TG2-ab, and 91% for EmA. All 3 antibodies were significantly decreased on a gluten-free diet. CONCLUSIONS: This study showed that the sensitivity of DGP-AGA was superior to TG2-ab and comparable to EmA in celiac patients having early-stage celiac disease with normal villous morphology. On the basis of these results, DGP-AGA would seem to offer a promising new method for case-finding and follow-up in this entity. PMID- 21063209 TI - Scientific publications in endoscopic ultrasonography: changing trends in the third millennium. AB - The literature about endoscopic ultrasonography (EUS) is still very prolific although it was introduced in the early 1980s. We aimed to review last decade's scientific production and to compare it with our earlier data about the period from 1980 to 2000. EUS publications of the period January 2001 to January 2010 were retrieved. Reviews, prospective, and retrospective studies, randomized controlled trials, meta-analyses, surveys, guidelines, and case-series were assessed. Data were collected on the subject-matter, type of publication, number of patients included, publishing journal, most recent impact factor, year of publication, and country accredited for publication. A total of 1763 relevant papers were published in more than 250 journals. The main areas of research were pancreatic disorders, tumors of the gastrointestinal wall, the extrahepatic biliary tree, submucosal lesions, lung cancer, and mediastinal masses. It is interesting to note that the therapeutic applications of EUS accounted for a new field of investigation. The majority of articles comprised retrospective trials and reviews, followed by prospective studies and case series. However, a considerable number of randomized controlled trials and meta-analyses was retrieved, which were absent in the earlier survey. United States, Europe, and Japan still possessed a pivotal role on EUS research, but an increasing number of publications has also emerged from other countries. The available literature on EUS keeps expanding, encompassing not only its well-established diagnostic role, but also novel indications and therapeutic interventions. EUS has evolved into a valuable implement of modern clinical practice, with a critical effect on patients' management. A trend toward well-structured studies is evident. PMID- 21063211 TI - Mesalamine for refractory celiac disease: an old medicine for a new disease. PMID- 21063210 TI - Confocal endomicroscopic examination of malignant biliary strictures and histologic correlation with lymphatics. AB - BACKGROUND AND AIMS: Current methods to diagnose malignant biliary strictures are of low sensitivity. Confocal endomicroscopy is a new approach that may improve the diagnosis of indeterminate biliary strictures. The purpose of this study was to evaluate indeterminate biliary strictures using probe-based confocal laser endomicroscopy and to understand the histologic basis for the confocal images. METHODS: Fourteen patients with indeterminate biliary strictures underwent endoscopic retrograde cholangiopancreatography with examination of their common bile duct with fluorescein-aided probe-based confocal laser endomicroscopy. Standard brushings and biopsies were performed. In parallel, rat bile ducts were examined either with conventional staining and light microscopy or with multiphoton microscopy. RESULTS: Earlier published criteria were used to evaluate possible malignancy in the confocal images obtained in the 14 patients. None of the individual criteria were found to be specific enough for malignancy, but a normal-appearing reticular pattern without other putative markers of malignancy was observed in all normal patients. Multiphoton reconstructions of intact rat bile ducts revealed that the reticular pattern seen in normal tissue was in the same focal plane but was smaller than blood vessels. Special stains identified the smaller structures in this network as lymphatics. CONCLUSIONS: Our limited series suggests that a negative confocal imaging study of the biliary tree can be used to rule out carcinoma, but there are frequent false positives using individual earlier published criteria. An abnormal reticular network, which may reflect changes in lymphatics, was never seen in benign strictures. Better correlation with known histologic structures may lead to improved accuracy of diagnoses. PMID- 21063212 TI - Screening for hepatocellular carcinoma after HBsAg clearance age before cirrhosis?: age before cirrhosis? PMID- 21063213 TI - A 1.8-Mbp fragment on chromosome 1 affects sympathetic response to stress: evaluation in reciprocal congenic strains between stroke-prone spontaneously hypertensive rat and Wistar-Kyoto rat. AB - BACKGROUND: In the previous studies, we indicated that a gene (or genes) responsible for exaggerated sympathetic response to stress was located in a chromosome 1 QTL for blood pressure (BP) in stroke-prone spontaneously hypertensive rat (SHRSP). In this study, we narrowed down the candidate region to a 1.8-Mbp fragment between D1Rat171 and D1Wox33, and established reciprocal congenic strains for this region. METHODS: Reciprocal congenic strains were established by introgressing the chromosomal segment from SHRSP/Izm into WKY/Izm (Wpch1.21) and vice versa (SPwch1.72). The urinary norepinephrine excretion (u NE) was quantified with high-performance liquid chromatography in the urine collected under 6 h of cold stress (4 degrees C). ECG was recorded using the telemetry under 3 h of restraint stress, and the relative sympathetic activity was evaluated as the low frequency/high frequency ratio by the power spectral analysis. BP under the stresses was evaluated by the telemetry. RESULTS: The increases in the u-NE during the cold stress and in the low frequency/high frequency ratio under the restraint stress were significantly greater in Wpch1.21 when compared with Wistar-Kyoto (WKY) rat. The increases in BP both under the cold and the restraint stresses were significantly greater in Wpch1.21 than in WKY. In the reciprocal congenic strain, SPwch1.72, the effects of the transferred fragment on the sympathetic stress responses were confirmed as lower u-NE and low frequency/high frequency in this strain than in SHRSP. Further, the BP responses both to the cold and the restraint stresses were significantly greater in SHRSP than in SPwch1.72. CONCLUSION: These results indicated that a small fragment on chromosome 1 harbored a gene (or genes) influencing the sympathetic response to different stresses. PMID- 21063214 TI - Transgenic expression of human matrix metalloproteinase-9 augments monocrotaline induced pulmonary arterial hypertension in mice. AB - OBJECTIVES: Pulmonary arterial hypertension (PAH) is characterized by intimal lesions, right ventricular hypertrophy, and adventitial thickening of pulmonary arteries with progressive pulmonary hypertension. This investigation was aimed to examine the effects of transgenic expression of human matrix metalloproteinase-9 (MMP-9) in the pathogenesis of PAH. METHODS: PAH was induced using serial subcutaneous administration of monocrotaline (MCT). Right ventricular pressure was measured through the right jugular vein using a 1.4F Millar Mikro-tip catheter-transducer. Zymography, western blotting, and quantitative reverse transcription PCR (qRT-PCR) were carried out for MMP-9. Immunohistochemistry was performed for alpha-smooth muscle actin (alpha-SMA) and Mac-3 antigen. RESULTS: Measurement of right ventricular pressure demonstrated 2.5-fold and 3.7-fold elevation after the administration of MCT in wild-type and MMP-9 transgenic mice, respectively. Zymography, western blotting, and qRT-PCR depicted increased activity and expression of MMP-9 after treatment with MCT, which were augmented in transgenic mice. There was marked pulmonary inflammation with extensive infiltration of mononuclear cells, which was more intense in MMP-9 transgenic mice. SMA and Mac-3 staining demonstrated hypertrophy of pulmonary arteries with occlusion of precapillary vessels and extensive infiltration of macrophages, respectively. All these changes were aggravated in MCT-treated MMP-9 transgenic mice when compared to normal littermates. CONCLUSION: Our study demonstrated that the MCT-induced PAH in mouse is a reproducible and potentially valuable animal model for the human disease. Our results further demonstrated that MMP-9 plays a significant role in the pathogenesis of PAH and effective blocking of MMP-9 could provide an option in the therapeutic intervention of human PAH. PMID- 21063215 TI - Intra-abdominal migration of a lag screw in gamma nailing: report of a case. AB - An 83-year-old female patient was referred to the emergency room with progressive pain on mobilization. Three weeks before presentation, she had been treated with a gamma nail for an unstable right intertrochanteric fracture. Pelvic x-ray showed an intra-abdominally migrated lag screw. No evidence of intra-abdominal lesions was visible on computed tomographic scan. The lag screw as well as the intramedullary nail was extracted and in a second operation, a total hip arthroplasty was implanted. Unfortunately, the total hip implant dislocated and needed reduction. The three consecutive operations seriously weakened the soft tissue envelope. A plaster cast and brace, immobilizing the right hip and pelvis, were provided to prevent recurrences of dislocation. After 6 weeks of immobilization, the patient did well. No late complication occurred. A review of the literature with an emphasis on pathophysiology of intra-abdominal or retroperitoneal migration of lag screws in gamma nailing is presented. PMID- 21063216 TI - One-stage treatment and reconstruction of Gustilo Type III open tibial shaft fractures with a vascularized fibular osteoseptocutaneous flap graft. AB - OBJECTIVES: This study evaluated the usefulness of a single-stage, free-fibular vascularized osteoseptocutaneous flap transfer for Type III open tibial shaft fractures with segmental bone loss for the reconstruction of combined bone and soft tissue defects. DESIGN: Nonrandomized retrospective study. SETTING: University Level I trauma center. PATIENTS/PARTICIPANTS: All Gustilo Type III open tibial shaft fractures with segmental bone loss that were treated at one institution between 2000 and 2007 were identified from a trauma registry. The study group consisted of 28 patients with Type III open tibial fractures: 27 were Gustilo-Anderson Type IIIB and one was Grade IIIC. The cause of tibial injury included eight industrial accidents, seven motor vehicle accidents, five crushing injuries caused by heavy objects, five falls from a height, and three motorcycle crashes. The lengths of the preoperative segmental tibial bone loss ranged from 9 to 17 cm and the size of the associated soft tissue defects ranged from 8 * 6 cm to 15 * 7 cm. INTERVENTION: The free fibular vascularized osteoseptocutaneous flap was used to graft and reconstruct combined bone and soft tissue defects. The radical wound debridement, soft tissue and bone revision, fracture stabilization, and early soft tissue coverage were achieved by this technique in a one-stage procedure. The average duration from injury to one-stage reconstruction was 15.8 hours (range, 5.3 hours to 6.5 days). MAIN OUTCOME MEASUREMENT: Radiographic and functional evaluation of the lower extremity. RESULTS: All free fibular osteoseptocutaneous flaps survived completely. The average time to overall union for the entire group was 32 weeks after surgery (range, 26-41 weeks). None of the patients in this series had a nonunion. Acceptable radiographic alignment, defined as 5 degrees of angulation in any plane, was obtained in 22 patients (78.6%). Malunion affected six (21.4%) fractures. According to the lower extremity functional assessment, excellent and good results were achieved for 82.1% (23 of 28), fair results were seen in 14.3 % (four of 28), and a poor result occurred in one case (3.5%). CONCLUSION: The free fibular vascularized osteoseptocutaneous flap grafting is an effective alternative in management of Type III open tibial fractures using a one-stage procedure. The grafted fibula offers good fracture stabilization plus a vascularized bone graft, and the fibular flap can also provide a large piece of mobile skin to cover the soft tissue defect in Type III open tibial fractures. The free osteoseptocutaneous flap also serves as a visible monitor of the adequacy of the circulation of the grafted fibula. PMID- 21063217 TI - Magnetic resonance imaging in traumatic posterior hip dislocation. AB - OBJECTIVES: The objective was to report the ability of a magnetic resonance image to document the integrity of the obturator externus tendon after posterior hip dislocation as a potential predictor for preserved femoral head vascularity. DESIGN: Retrospective radiographic and clinical pilot study. SETTING: Level I trauma center. PATIENTS/PARTICIPANTS: Nineteen consecutive patients with traumatic posterior hip dislocation. OUTCOME EVALUATION: Intraoperative correlation of soft tissue damage and radiographic assessment of avascular necrosis of the femoral head 3 years postinjury. METHODS: Magnetic resonance imaging performed in the acute phase of posterior hip dislocation. Correlation with intraoperative findings during surgical hip dislocation and with avascular necrosis 3 years postinjury. RESULTS: The obturator externus tendon was intact in all cases with preserved femoral head vascularity. After a 3-year follow up, there were no cases of avascular necrosis. CONCLUSION: In this small series of patients, posterior hip dislocation did not imply a tear of the obturator externus muscle. An intact obturator externus tendon suggests preservation of the deep branch of the medial femoral circumflex artery to the femoral head. PMID- 21063218 TI - Interprosthetic femoral fractures. AB - OBJECTIVE: Interprosthetic femoral fractures, ones occurring between ipsilateral total hip and total knee arthroplasties, are an increasingly common and challenging problem for orthopaedic surgeons. The purpose of this study was to report specific fracture locations and treatment outcomes associated with a protocol of femoral plate fixation that spanned the interprosthetic zone applied with modern soft tissue preserving reduction techniques without adjuvant bone grafts. DESIGN: Retrospective cohort study. SETTING: One Level I and one Level II trauma center. PATIENTS/PARTICIPANTS: A consecutive cohort of 25 patients with 26 interprosthetic femur fractures surgically treated by one of four orthopaedic traumatologists were retrospectively reviewed. There were nine fractures of the femoral shaft (Orthopaedic Trauma Association [OTA] 32) about hip arthroplasty prostheses and 17 supracondylar fractures (OTA 33) about total knee prostheses. Five patients with six fractures were excluded as a result of lack of follow up (n = 4) or deviation from the treatment protocol (n = 2). The remaining 20 fractures were all low-energy closed injuries in elderly patients (average age 80 years; range, 56-98 years; 14 females and six males). INTERVENTION: A common surgical treatment protocol included plate fixation that spanned the entire interprosthetic zone (overlapping the stem proximally and knee component distally) and the use of biologic tissue-preserving plating techniques without use of supplemental bone grafts of any kind. MAIN OUTCOME MEASURES: Fracture healing, time to full weightbearing, malunion, nonunion, and the presence of any hardware failure. RESULTS: Supracondylar interprosthetic fracture patterns (OTA 33A) were two times more common than proximal diaphyseal fractures (OTA 32) (Vancouver B), 65% versus 35%. All 20 fractures healed after the index procedure. The average time to weightbearing as tolerated was 13 weeks (range, 6-22 weeks). There were three malunions (one 10 degrees valgus, one 9 degrees extension, and one 10 degrees flexion), two cases of painful implants (one required removal), and one loose long-stem revision hip prosthesis (required total femur replacement). All other implants remained well-fixed. All complications occurred in patients with supracondylar fracture patterns. There were no additional associated peri-implant fractures. CONCLUSIONS: Interprosthetic femoral fractures tend to occur more frequently in the supracondylar region about total knee arthroplasty components than in the diaphysis about hip stems. Modern biologic plating techniques that span the entire interprosthetic zone to eliminate additional stress risers show reliable union rates without the use of adjuvant bone graft while maintaining limb alignment and implant survivorship. PMID- 21063219 TI - Internal fixation of symphyseal disruption resulting from childbirth. AB - OBJECTIVES: To investigate the clinical and radiographic results after operative treatment of complete symphyseal disruption resulting from childbirth and to evaluate residual pain and implant failure in relation to the timing of surgery. DESIGN: Retrospective study. SETTING: Tertiary pelvis and acetabulum care unit at a general hospital. PATIENTS: Ten consecutive women with complete symphysis disruption associated with childbirth were included from a database of 603 patients with pelvic fracture. No patients with this diagnosis were excluded. All patients were followed until clinical healing of the symphysis or union of the fusion. INTERVENTION: Open reduction and internal fixation in acute (less than 2 weeks from childbirth, four patients) and after failed nonoperative treatment in subacute cases (2 weeks to 6 months after childbirth, three patients). Fusion of the symphysis with iliac crest bone graft and plate fixation after failed nonoperative treatment in chronic cases (greater than 6 months, three patients). MAIN OUTCOME MEASUREMENT: Analyzed variables included the Lindahl score, maintenance of postoperative reduction, implant failure, malunion, and necessity of reoperation. RESULTS: Mean age of the patients was 32 years (range, 24-37 years). Mean follow up was 29 months (range, 5-139 months). The mean postoperative Lindahl score was 68 +/- 14.6 points (range, 38-80 points). There were three excellent, four good, two fair, and one poor result. Fair or poor results occurred in one subacute and two chronic cases. There were two revision surgeries. One patient underwent implant removal resulting from dyspareunia 3.1 years postoperatively. One subacute patient had conversion to symphyseal fusion after implant failure as a result of a fall 11 years after index surgery. Major complications occurred in two and minor complications in three patients. Radiographic loosening of implants was observed in all subacute cases. All fusions healed and symptoms improved at last follow up. CONCLUSIONS: Operative management significantly improved the functional outcomes of all three subgroups and can be an acceptable treatment option for labor-induced complete symphysis pubis disruption. PMID- 21063220 TI - A new glucose-6-phosphate dehydrogenase deficiency variant, G6PD Mizushima, showing increases in serum ferritin and cytosol leucine aminopeptidase levels. AB - We made a diagnosis of glucose-6-phosphate dehydrogenase (G6PD) deficiency with a new mutation of 848A->G (exon 8) in a 16-year-old male patient presenting with severe hemolysis. He was administered a diclofenac sodium suppository (50 mg) at the time of first visit to our hospital because of pyrexia. In the acute phase, pyrexia, severe general fatigue, lumbar back pain, hemoglobinuria, and jaundice developed. Laboratory blood examinations showed hemolysis, and remarkable increases in serum ferritin and cytosol leucine aminopeptidase levels. Serum interleukin-6 and interferon-gamma levels were also increased. No liver injury was found. He had neonatal jaundice persisting over 3 weeks. He did not have a history of chronic hemolysis or hyperbilirubinemia. Increases in serum ferritin or cytosol leucine aminopeptidase levels in G6PD-deficient patients were not reported earlier. In this case, it is presumed that infection and administration of anti-inflammatory agents induce the hemolytic episode and that hypercytokinemia deteriorates the disease condition. PMID- 21063221 TI - A phase 1 study of nifurtimox in patients with relapsed/refractory neuroblastoma. AB - The primary aim of this phase 1 study was to determine the maximum tolerated dose (MTD) and evaluate the safety of nifurtimox alone and in combination with cyclophosphamide and topotecan in multiple relapsed/refractory neuroblastoma pediatric patients. The secondary aim was to evaluate the pharmacokinetics of nifurtimox and the treatment response. To these ends, we performed a phase 1 dose escalation trial of daily oral nifurtimox with toxicity monitoring to determine the MTD, followed by 3 cycles of nifurtimox in combination with cyclophosphamide and topotecan. Samples were collected to determine the pharmacokinetic parameters maximum concentration, time at which maximum concentration is reached, and area under the curve between 0 and 8 hours. Treatment response was evaluated by radiographic and radionuclide (I-metaiodobenzylguanidine) imaging, measurement of urinary catecholamines, and clearance of bone marrow disease. We determined the MTD of nifurtimox to be 30 mg/kg/d. The non-dose-limiting toxicities were mainly nausea and neuropathy. The dose-limiting toxicities of 2 patients at 40 mg/kg/d were a grade 3 pulmonary hemorrhage and a grade 3 neuropathy (reversible). Overall, nifurtimox was well tolerated by pediatric patients at a dose of 30 mg/kg/d, and tumor responses were seen both as a single agent and in combination with chemotherapy. A Phase 2 study to determine the antitumor efficacy of nifurtimox is currently underway. PMID- 21063222 TI - Recurrence of paroxysmal cold hemoglobinuria in a boy after physical cooling for fever. AB - Hemolysis and hemoglobinuria after direct exposure to cold has rarely been reported in paroxysmal cold hemoglobinuria (PCH). The authors describe a 2.5-year old boy with PCH (Donath-Landsteiner autoimmune hemolytic anemia), in whom 16 days after presentation, the hemoglobinuria and hemolysis recurred, when he was subjected to physical cooling, as a means to control fever associated with hospital-acquired croup. The hemolysis resolved with warmth, and administration of dexamethasone. PCH should be suspected in children with hemolytic anemia and positive direct antiglobulin test for complement. Avoidance of cold in the recovery period is imperative to prevent recurrences, whereas a short course of corticosteroids may be of benefit in suppressing the antibody production. PMID- 21063223 TI - Serum sickness and severe acute renal failure after rabbit antithymocyte globulin treatment in aplastic anemia: a case report. AB - Serum sickness is an immune-complex-mediated illness that frequently occurs in patients after polyclonal antibody therapy (thymoglobulin). Although serum sickness has been described secondary to thymoglobulin therapy in adults, there are no reports in children on thymoglobulin-induced acute renal failure. We report a case of serum sickness in a 10-year-old girl who was treated for severe aplastic anemia using rabbit antithymocyte globulin (ATG). Eleven days after being started on antithymocyte globulin treatment, she developed fever, gross hematuria, arthralgia, rash, and acute renal failure. Laboratory results showed decreased complement levels, hypergammaglobulinemia, serum creatinine of 4.8 mg/dL (0.6 mg/dL at baseline), and blood urea nitrogen of 79 mg/dL (28 mg/dL at baseline). Peritoneal dialysis was required for 14 days. The patient's symptoms resolved after 13 days on treatment with a short course of high-dose steroids for 3 days, followed by a prednisolone taper. Early recognition and accurate diagnosis is the key for managing thymoglobulin-induced serum sickness, as treatment is highly effective at achieving good outcomes. PMID- 21063224 TI - Fatigue, sleep-wake disturbances, and quality of life in adolescents receiving chemotherapy. AB - BACKGROUND: Adolescents with cancer experience distressing physical and psychosocial symptoms, especially during treatment. Fatigue and sleep disturbances commonly affect adolescents' quality of life, but little is known about how adolescents experience these symptoms during an early month of chemotherapy. This study measured fatigue, sleep disturbances, and quality of life in 20 adolescents over 1 month while they were receiving chemotherapy. METHODS: Multidimensional fatigue and quality of life were measured weekly with modules from the PedsQL Measurement Model, and sleep disturbances were measured with the General Sleep Disturbance Scale. RESULTS: Adolescents experienced increased severity of fatigue and sleep disturbances during the week after treatment. Common sleep-wake problems included daytime sleepiness, decreased alertness, and poor sleep quality. Fatigue and sleep-wake disturbances were related symptoms, and both symptoms were associated with various domains of quality of life. CONCLUSIONS: Fatigue and sleep-wake disturbances are significant problems for adolescents receiving chemotherapy and negatively affect the quality of life. Clinicians should routinely screen adolescent patients for fatigue and sleep disturbances and intervene to minimize their impact using pharmacologic and nonpharmacologic strategies. PMID- 21063225 TI - How do black-serving hospitals perform on patient safety indicators? Implications for national public reporting and pay-for-performance. AB - BACKGROUND: There is increasing policy interest in public reporting and tying financial incentives to metrics of patient safety. How black-serving hospitals fare on these measures will have important implications for disparities in care. OBJECTIVES: To determine how black-serving hospitals perform on patient safety indicators (PSIs). RESEARCH DESIGN: We used national Medicare data to calculate the performance of hospitals on 11 medical and surgical PSIs. We designated US hospitals in the top decile of proportion of hospitalized patients who are black as "black-serving." We calculated overall and race-specific rates and examined the relationship between being a black-serving hospital and PSI rates. SUBJECTS: Medicare fee-for-service enrollees discharged from 4488 acute-care US hospitals. RESULTS: Black-serving hospitals performed worse than other hospitals on 6 of 11 PSIs. For example, black-serving hospitals had nearly twice the rate of postoperative pulmonary embolism or deep venous thrombosis (19.4 vs. 11.5 per 1000 discharges, P < 0.001). Adjusting for hospital characteristics had moderate effects. In race-specific analyses, we found that both white and black patients generally had higher rates of potential safety events in black-serving hospitals than they did in non-black-serving hospitals. CONCLUSIONS: Hospitals that disproportionately care for black patients have higher rates of potential safety events among both black and white patients than other hospitals. Current efforts to penalize hospitals with high PSI rates will have a greater effect on hospitals that disproportionately care for black patients. PMID- 21063226 TI - English language proficiency and mental health service use among Latino and Asian Americans with mental disorders. AB - OBJECTIVE: The impact of language proficiency as a potential contributor to ethnic disparities in mental health care has received less attention than other factors. Data from the National Latino and Asian American Study were examined to assess the impact of limited English proficiency (LEP) on access to and quality of mental health care for community-dwelling Latino and Asian Americans with mental disorders. METHODS: English-proficient (EP) and LEP individuals with mental disorders were compared on lifetime use of healthcare services for a mental disorder, duration of untreated disorders, receipt of minimally adequate care, and barriers to treatment (eg, lack of identification of need for treatment, language barriers, and embarrassment or discomfort related to treatment). RESULTS: Compared with EP individuals, LEP individuals with mental disorders were significantly less likely to identify a need for mental health services, experience longer duration of untreated disorders, and use fewer healthcare services for mental disorders, particularly specialty mental health care. Receipt of minimally adequate care did not differ significantly by language proficiency. Embarrassment and discomfort were not more common among LEP individuals. Perceived need for treatment predicted lifetime mental healthcare use, whereas embarrassment and discomfort did not. CONCLUSIONS: Among Latino and Asian Americans with mental disorders, LEP contributes to disparities in access to care and longer duration of untreated disorders. Potential disparities in quality of care were difficult to detect in the context of low overall rates of mental healthcare use and quality of care among both LEP and EP individuals. PMID- 21063227 TI - Clinical work intensity among physician specialties: how might we assess it? What do we find? AB - BACKGROUND: The level of work intensity associated with patient encounters has implications for quality of care, patient safety, practice management, and reimbursement. The utility of available instruments for clinical work intensity assessment is unknown. OBJECTIVE: We assessed, in the clinical setting, the performance of existing measures of work intensity that are valid for nonclinical contexts. RESEARCH DESIGN: A cross-sectional, multimeasure design involving work intensity assessments for the last patient encounter and for an entire half-day clinic session. SUBJECTS: A convenience sample of 14 providers from the following 4 specialties: family medicine, general internal medicine, neurology, and surgery. MEASURES: Perceived clinical work intensity was measured by the following 3 instruments: National Aeronautic and Space Administration-Task Load Index, Subjective Workload Assessment Technique, and Multiple Resources Questionnaire; stress was measured by the Dundee Stress State Questionnaire. Convergent validity was assessed by correlation among the instruments. RESULTS: For the last patient encounter, there was a moderate to high correlation between the work intensity instruments' scores (Pearson's r ranged from 0.41 to 0.73) and low to moderate correlation with the distress subscale of the Dundee Stress State Questionnaire (Pearson's r ranged from -0.11 to 0.46), reflecting their stress dimension. Provider personality was associated with reported levels of work intensity and stress. Similar results were obtained when the entire clinic session was the unit of reference. CONCLUSION: Existing measures of work intensity and stress appear to be valid for use in the clinical setting to generate evidence on perceived intensity and stress experienced by providers in the performance of medical services. PMID- 21063228 TI - The economic burden of late entry into medical care for patients with HIV infection. AB - CONTEXT: A large proportion of people with human immunodeficiency virus (HIV) infection enter care late in the HIV disease course. Late entry can increase expenditures for care. OBJECTIVE: To estimate direct medical care expenditures for HIV patients as a function of disease status at initial presentation to care. Late entry is defined as initial CD4 test result <= 200 cells/mm3, intermediate entry as initial CD4 counts >200, and <= 500 cells/mm3; and early entry as initial CD4 count >500. PATIENTS: The study included 8348 patients who received HIV primary care and who were newly enrolled between 2000 and 2006 at one of 10 HIV clinics participating in the HIV Research Network. DESIGN: We reviewed medical record data from 2000 to 2007. We estimated costs per outpatient visit and inpatient day, and monthly medication costs (antiretroviral and opportunistic illness prophylaxis). We multiplied unit costs by utilization measures to estimate expenditures for inpatient days, outpatient visits, HIV medications, and laboratory tests. We analyzed the association between cumulative expenditures and initial CD4 count, stratified by years in care. RESULTS: Late entrants comprised 43.1% of new patients. The number of years receiving care after enrollment did not differ significantly across initial CD4 groups. Mean cumulative treatment expenditures ranged from $27,275 to $61,615 higher for late than early presenters. After 7 to 8 years in care, the difference was still substantial. CONCLUSIONS: Patients who enter medical care late in their HIV disease have substantially higher direct medical treatment expenditures than those who enter at earlier stages. Successful efforts to link patients with medical care earlier in the disease course may yield cost savings. PMID- 21063229 TI - Do hospitals measure up to the national culturally and linguistically appropriate services standards? AB - BACKGROUND: Federal regulations require that health care organizations provide language services to patients with limited English proficiency. The National Standards for Culturally and Linguistically Appropriate Services in Health Care (CLAS standards) provide guidance on how to fulfill these regulations. It is not known how US hospitals have incorporated them into practice. OBJECTIVES: To assess how US hospitals are meeting federal regulations requiring provision of language services using CLAS as a measure of compliance. RESEARCH DESIGN: Cross sectional survey. SUBJECTS: Hospital interpreter services managers (or equivalent position). MEASURES: Degree of meeting each of the 4 language-related CLAS standards. RESULTS: Many hospitals are not meeting federal regulations. The majority reported providing language assistance in a timely manner in their first, but not their third, most commonly requested language. Although hospitals reported that they informed patients of their right to receive language services, many did so only in English. A majority of hospitals reported the use of family members or untrained staff as interpreters. Few reported providing vital documents in non-English languages. Overall, 13% of hospitals met all 4 of the language-related CLAS standards, whereas 19% met none. CONCLUSIONS: Our study documents that many hospitals are not providing language services in a manner consistent with federal law. Enforcement of these regulations is inconsistent, and thus does not motivate hospitals to comply. Compliance will likely come with new guidelines, currently being written, by many of the regulatory organizations. Our study reinforces the importance of these efforts and helps target interventions to improve the delivery and safety of care to limited English proficient patients. PMID- 21063230 TI - Trends in mortality and medical spending in patients hospitalized for community acquired pneumonia: 1993-2005. AB - BACKGROUND: Community-acquired pneumonia (CAP) is the most common infectious cause of death in the United States. To understand the effect of efforts to improve quality and efficiency of care in CAP, we examined the trends in mortality and costs among hospitalized CAP patients. METHODS: Using the National Inpatient Sample between 1993 and 2005, we studied 569,524 CAP admissions. The primary outcome was mortality at discharge. We used logistic regression to evaluate the mortality trend, adjusting for age, gender, and comorbidities. To account for the effect of early discharge practices, we also compared daily mortality rates and performed a Cox proportional hazards model. We used a generalized linear model to analyze trends in hospitalization costs, which were derived using cost-to-charge ratios. RESULTS: Over time, length of stay declined, while more patients were discharged to other facilities. The frequency of many comorbidities increased. Age/gender-adjusted mortality decreased from 8.9% to 4.1% (P < 0.001). In multivariable analysis, the mortality risk declined through 2005 (odds ratio, 0.50; 95% confidence interval, 0.48-0.53), compared with the reference year 1993. The daily mortality rates demonstrated that most of the mortality reduction occurred early during hospitalization. After adjusting for early discharge practices, the risk of mortality still declined through 2005 (hazard ratio, 0.74; 95% confidence interval, 0.70-0.78). Median hospitalization costs exhibited a moderate reduction over time, mostly because of reduced length of stay. CONCLUSIONS: Mortality among patients hospitalized for CAP has declined. Lower in-hospital mortality at a reduced cost suggests that pneumonia is a case of improved productivity in health care. PMID- 21063231 TI - Measuring racial disparities in the quality of ambulatory diabetes care. AB - BACKGROUND: Improving the health of minority patients who have diabetes depends in part on improving quality and reducing disparities in ambulatory care. It has been difficult to measure these components at the level of actionable units. OBJECTIVE: To measure ambulatory care quality and racial disparities in diabetes care across groups of physicians who care for populations of ambulatory diabetes patients. RESEARCH DESIGN: Prospective cohort analysis using administrative data. SUBJECTS: Using fee-for-service Medicare claims data from 2003 to 2005, we link patients to their principal ambulatory care physician. The patients are then linked to the hospital where their physicians work or have their patients admitted, creating physician-hospital networks. MEASURES: Proportion of recommended diabetes testing received by black and nonblack diabetes patients. RESULTS: Blacks received 70% of recommended care compared with nonblacks who received 76.9% (P < 0.001). However, for black and nonblack patients, variation in the quality of care exceeds the racial gap in treatment. The network-specific performance rates for blacks and nonblacks were highly correlated (r = 0.67, P < 0.001), but 47% of blacks, versus 31% of nonblacks, received care from the third of networks with lowest quality. Physician-hospital networks with higher overall quality, or patients with higher socioeconomic status, were no less likely to exhibit black-white disparities. CONCLUSIONS: It is possible to measure, benchmark, and monitor the quality of minority care at the level of networks responsible for ambulatory care. Consequently, it should be easier to provide patients with information on network performance and to design policies that improve the quality of minority-serving providers. PMID- 21063232 TI - Effects of technetium-99 methylenediphosphonate on cytokine-induced activation of retro-ocular fibroblasts from patients with Graves' ophthalmopathy. AB - OBJECTIVE: To explore the effects of technetium-99 methylenediphosphonate (99Tc MDP) on cell proliferation, hyaluronic acid (HA) synthesis and the expressions of human leucocyte antigen-DR (HLA-DR), intercellular adhesion molecule-1 (ICAM-1) on cultured retro-ocular fibroblasts (RFs) from patients with Graves' ophthalmopathy. METHODS: After two to seven passages, cultured RFs were incubated for 72 h with interferon-gamma (100 U/ml), interleukin-1 (100 U/ml) or tumour necrosis factor-alpha (100 U/ml) in the presence of 99Tc-MDP. Flow cytometry was used to investigate the expression of HLA-DR and ICAM-1. RF proliferation was assessed by 3H-thymidine incorporation assay. HA synthesis was measured by radioimmunoassay. RESULTS: At base conditions, the percentage of positive cells of HLA-DR and ICAM-1 on RFs was 6.70+/-3.06% and 5.29+/-3.02%, respectively, and the synthesis of HA was 337.8+/-42.7 ng/ml. Compared with basal values, 72-h incubation with cytokine significantly enhanced the expression of HLA-DR and ICAM 1, and HA synthesis. 99Tc-MDP (1 ng/ml) had little effect on cytokine-induced HLA DR and ICAM-1 expression, and HA synthesis. When the concentration ranged from 10 to 100 ng/ml, 99Tc-MDP inhibited cytokine-induced RF activation in a dose dependent manner. 99Tc-MDP also inhibited the proliferation of RFs in a dose dependent manner. It was also found that 99Tc-MDP had the same effect on cytokine induced RFs and skin fibroblasts from patients with normal individual conditions. CONCLUSIONS: 99Tc-MDP could inhibit cytokine-induced activation of RFs derived from patients with Graves' ophthalmopathy. PMID- 21063233 TI - The role of metamizol induction for the detection of perfusion reversibility on thallium-201 myocardial perfusion scintigraphy. AB - OBJECTIVE: Metamizol, probably with its vascular smooth muscle relaxant effect, enhances rest myocardial perfusion with the use of technetium-99m methoxyisobutylisonitrile. We aimed to investigate whether metamizol induction is also able to increase the detectability of the ischemic/jeopardized myocardium during thallium-201 myocardial perfusion scintigraphy (MPS). METHODS: Twenty patients who had partially reversible/irreversible perfusion defects on their routine stress-redistribution-reinjection thallium-201 MPS were enrolled and metamizol-induced thallium-201 MPS (111 MBq thallium-201 was injected 45 min after 1 g oral metamizol) was acquired (10 min, 1 and 3 h later). Routine MPS and metamizol-induced MPS images were interpreted on the model of 17 segments using a visual uptake score (VUS; 0=normal, 1=mild, 2=moderate, 3=significant decreases, 4=no uptake). Thallium-201 uptake ratios (mean counts in the region of the perfusion defect/mean counts in the region of the normal-perfused wall) were calculated for each MPS. Blood pressure was monitored at 15-min intervals. MPS were compared with coronary angiography results. RESULTS: Visual uptake score and thallium-201 uptake ratio results indicated that in the first and third hour metamizol-induced thallium-201 uptake was significantly higher (P<0.001) than the redistribution/reinjection studies in 26 ischemic myocardial walls. Fourteen myocardial walls showed no thallium-201 uptake on either MPS and were considered as myocardial infarction. Statistically significant but asymptomatic decreases in blood pressure were observed. Coronary angiography results were in concordance with metamizol-induced MPS. CONCLUSION: Metamizol increases the detectability of ischemic/viable myocardium during MPS with thallium-201 and could be used with MPS. PMID- 21063234 TI - Long-term outcome of lobar ablation versus completion thyroidectomy in differentiated thyroid cancer. AB - BACKGROUND: Professional guidelines, both in Europe and North America, recommend completion thyroidectomy (CT) after lobe resection, except in very low-risk differentiated thyroid cancer patients (tumor less than 1 cm; unifocal micropapillary carcinoma). Radioiodine lobar ablation (RAILA), which avoids complications associated with re-surgery, is an alternative that has been recently explored in a few international centers. However, this approach is being criticized as there are no published data available on its long-term outcomes with respect to recurrence rate, disease-free survival, and mortality compared with standard of care. This study was designed to compare the long-term outcome of RAILA with that of remnant ablation after CT. METHODS: Prospectively collected data were analyzed retrospectively from the case records of patients treated in our thyroid clinic in the last 25 years. The records of all patients of RAILA (364) and CT (372) were critically studied. Successful ablation rate, cumulative dose needed for complete ablation, recurrence rate, and recurrence-free survival were estimated for each group. Comparison between the two groups was made using the SPSS 11.5 statistical program. RESULTS: Radioiodine ablation rate at first dose of RAILA and remnant ablation after CT were 73 and 93.5%, respectively (P=0.03). However, after the second dose of I-131, the former group achieved successful ablation in 92% of patients. After a median follow-up period of 5 years (range 1-23 years), seven patients developed recurrence in the CT group (1.88%) and 14 in the RAILA group (3.8%); this was not statistically significant (P=0.168). The Kaplan-Meier disease-free survival curves between the two groups were statistically not significant (P=0.08). No cause-specific mortality in either group has been observed till date. CONCLUSION: Radioiodine lobar ablation is a safe, simple, effective, and less expensive alternative to CT in patients with differentiated thyroid cancer with comparable long-term outcome in terms of recurrence rate and disease-free survival. PMID- 21063235 TI - PharmGKB summary: very important pharmacogene information for PTGS2. PMID- 21063236 TI - Dependency of phenprocoumon dosage on polymorphisms in the VKORC1, CYP2C9, and CYP4F2 genes. AB - BACKGROUND: Genome-wide association studies (GWAS) on warfarin and acenocoumarol showed that interindividual dosage variation is mainly associated with single nucleotide polymorphisms (SNPs) in VKORC1 and to a lesser extent in CYP2C9 and CYP4F2. For phenprocoumon dosage, the genes encoding CYP3A4 and ApoE might play a role. OBJECTIVE: To assess the association between common genetic variants within VKORC1, CYP2C9, CYP4F2, CYP3A4, and ApoE and phenprocoumon maintenance dosage, and to identify novel signals using GWAS. METHODS: We selected all participants from the Rotterdam study who were treated with phenprocoumon. For each SNP, we tested the association between the above-mentioned genotypes and age, sex, body mass index, and target INR adjusted-phenprocoumon maintenance dosage. RESULTS: Within our study population (N=244), VKORC1, CYP2C9, CYP4F2 genotypes together explained 46% of phenprocoumon maintenance dosage variation. Each additional VKORC1 variant allele reduced phenprocoumon maintenance dosage by 4.8 mg/week (P<0.0001) and each additional CYP2C9 variant allele by 2.2 mg/week (P=0.002). Each additional variant allele of CYP4F2 increased phenprocoumon dosage by 1.5 mg/week (P=0.022). Variant alleles of CYP3A41*B and ApoE showed no association with phenprocoumon dosage. Genome-wide significant SNPs were all related to VKORC1 activity. Best associated were two SNPs in complete linkage disequilibrium with each other and with SNPs within VKORC1: rs10871454 [Syntaxin 4A (STX4A)] and rs11150604 (ZNF646), each with a P value of 2.1*10-22. Each reduced phenprocoumon maintenance dosage weekly by 4.9 mg per variant allele. CONCLUSION: Similar to earlier findings with warfarin and acenocoumarol, phenprocoumon maintenance dosage depended on polymorphisms in the VKORC1 gene. CYP2C9 and CYP4F2 were of modest relevance. PMID- 21063237 TI - Effect of ADRA2A and BDNF gene-gene interaction on the continuous performance test phenotype. AB - Complex phenotypes such as performance on the continuous performance test (CPT) are likely to exhibit epistasis. Genetic polymorphisms of noradrenergic system and brain-derived neurotrophic factor (BDNF), which participates in the differentiation and survival of noradrenergic neurons, have been reported to be associated with the performance on CPT. We evaluated the effect of the adrenergic alpha-2A receptor (ADRA2A) and BDNF gene-gene interaction on performance on the CPT in a Korean population with attention-deficit/hyperactivity disorder. In all, 122 participants with attention-deficit/hyperactivity disorder (8.6+/-2.3 years, 104 boys and 18 girls) completed the CPT. The DraI polymorphism of ADRA2A (rs583668) and rs11030101 polymorphism of BDNF were genotyped. Significant interaction effect was found of ADRA2A rs553668 and BDNF rs11030101 on response time variability (P=0.011) of the CPT. Our study provides preliminary evidence for the effect of the BDNF and ADRA2A gene-gene interaction on performance on the CPT in attention-deficit/hyperactivity disorder. PMID- 21063238 TI - Heparin use in a rat hemorrhagic shock model induces biologic activity in mesenteric lymph separate from shock. AB - Experimental data have shown that mesenteric lymph from rats subjected to trauma hemorrhagic shock (THS) but not trauma-sham shock induces neutrophil activation, cytotoxicity, decreased red blood cell (RBC) deformability, and bone marrow colony growth suppression. These data have led to the hypothesis that gut factors produced from THS enter the systemic circulation via the mesenteric lymphatics and contribute to the progression of multiple organ failure after THS. Ongoing studies designed to identify bioactive lymph agents implicated factors associated with the heparin use in the THS procedure. We investigated if heparin itself was responsible for reported toxicity to human umbilical vein endothelial cells (HUVECs). Human umbilical vein endothelial cell toxicity was not induced by lymph when alternate anticoagulants (citrate and EDTA) were used in THS. Human umbilical vein endothelial cell toxicity was induced by lymph after heparin but not saline or citrate injection into trauma-sham shock and naive animals and was dose dependent. Activities of both heparin-releasable lipases (lipoprotein and hepatic) were detected in the plasma and lymph from THS and naive animals receiving heparin but not citrate or saline. Lymph-induced HUVEC toxicity correlated with lymph lipase activities. Finally, incubation of HUVECs with purified lipoprotein lipase added to naive lymph-induced toxicity in vitro. These data show that heparin, not THS, is responsible for the reported lymph-mediated HUVEC toxicity through its release of lipases into the lymph. These findings can provide alternative explanations for several of the THS effects reported in the literature using heparin models, thus necessitating a review of previous work in this field. PMID- 21063240 TI - Cellular mechanism underlying burn serum-generated bidirectional regulation of excitation-contraction coupling in isolated rat cardiomyocytes. AB - Myocardial depressant factors have long been recognized to be present in burn serum (BS) and contribute to burn-generated cardiac contractile dysfunction. However, much of the cellular and molecular mechanism for its role in the development of the cardiac deficiency remains unknown. In this study, we investigated the effect of BS on myocardial contractility and Ca handling in single rat cardiomyocytes. The results revealed that BS (5% by volume) bidirectionally regulated cardiac excitation-contraction (EC) coupling. The action potential-elicited Ca transient and cell shortening were increased by 28.0% +/- 9.7% and 34.7% +/- 12.5% within 20 min after BS stimulation (the upregulation phase), but decreased by 20.5% +/- 6.8% and 32.3% +/- 5.1% at 60 min after BS stimulation (the downregulation phase). There was a 32.0% +/- 5.8% reduction in sarcoplasmic reticulum (SR) Ca content at the downregulation phase, whereas no alteration was detected at the upregulation phase. The incidences of spontaneous Ca sparks and Ca waves were significantly increased after BS stimulation, no matter at the upregulation or downregulation phase. The hyperactive Ca sparks and Ca waves could be completely abolished by antioxidative treatment (vitamin A, 0.2 mM; and vitamin E, 1 mM) and partially reversed by NOS inhibitor L-NAME (100 MUM), but not by blocking Ca influx with nifedipine (1 MUM). With the normalization of Ca sparks, BS-induced alterations of action potential-elicited Ca transient and contractility were prevented by antioxidative therapy. Taken together, we propose that BS-associated bidirectional regulation of EC coupling is attributed largely to oxidative stress-induced hyperactivity of ryanodine receptors, increasing EC coupling through enhancing intracellular Ca release initially, but subsequently decreasing EC coupling by partially depleting SR Ca content through enhancement of Ca spark-mediated SR leak. PMID- 21063239 TI - Prolonged chemokine expression and excessive neutrophil infiltration in the lungs of burn-injured mice exposed to ethanol and pulmonary infection. AB - Pulmonary infections are a major cause of mortality in the critically ill burn patient. Alcohol consumption before burn increases the risk of pulmonary infection. Previously, we have shown an elevated mortality and lung pathology in mice given ethanol before burn and intratracheal infection relative to controls. Here we examine the cellular composition at 24 and 48 h in the circulation and the alveoli of infected mice given alcohol and burn. At 24 h after injury, blood neutrophils obtained from mice exposed to ethanol before burn and infection were 2-fold above those of the experimental controls (P < 0.05). By 48 h, the number of circulating neutrophils decreased and was comparable to levels found in untreated animals. Moreover, at 24 h, bronchoalveolar lavage cells obtained from all treatment groups had similar frequencies and contained 80% neutrophils regardless of treatment. In contrast, the following day, neutrophils were elevated 2-fold only in the alveoli of infected burn animals and 5-fold when ethanol preceded the injury (P < 0.05). These data were confirmed by immunofluorescence microscopy using a neutrophil-specific marker (P < 0.05). Levels of neutrophil chemoattractants, KC and macrophage inflammatory protein 2, and the cytokine, IL-1beta, were 2-fold greater in the lungs of infected mice given burn, regardless of ethanol exposure, relative to infected sham injured animals (P < 0.05). Like the number of neutrophils, by the second day after injury, KC and macrophage inflammatory protein 2 remained 5-fold higher in the animals given ethanol, burn, and infection, when compared with other groups (P < 0.05). A similar pattern was seen for pulmonary levels of IL-1beta (P < 0.05). Additionally, a reduction in neutrophil apoptosis was observed at the 24-h time point in infected mice exposed to ethanol and burn (P < 0.05). Targeting proinflammatory mediators in mice exposed to ethanol before burn and infection may help alleviate prolonged neutrophil accumulation in the lungs. PMID- 21063241 TI - A physiological model for autonomic heart rate regulation in human endotoxemia. AB - The systemic inflammatory response syndrome often accompanies critical illnesses and can be an important cause of morbidity and mortality. Marked abnormalities in cardiovascular function accompany acute illnesses manifested as sustained tachyarrhythmias, which are but one component of systemic dysregulation. The realization that cardiac pacemaker activity is under control of the autonomic nervous system has promoted the analysis of heart rate (HR) variation for assessing autonomic activities. In acute illnesses, autonomic imbalance manifesting in part as parasympathetic attenuation is associated with increased morbidity in patients who manifest systemic inflammatory response syndrome phenotype. Driven by the premise that biological phenotypes emerge as the outcome of the coordinated action of network elements across the host, a multiscale model of human endotoxemia, as a prototype model of systemic inflammation in humans, is developed that quantifies critical aspects of the complex relationship between inflammation and autonomic HR regulation. In the present study, changes in HR response to acute injury, phenotypically expressed as tachycardia, are simulated as a result of autonomic imbalance that reflects sympathetic activity excess and parasympathetic attenuation. The proposed model assesses both the anti inflammatory and cardiovascular effects of antecedent stresses upon the systemic inflammatory manifestations of human endotoxemia as well as a series of nonlinear inflammatory relevant scenarios. Such a modeling approach provides a comprehensive conceptual framework linking inflammation and physiological complexity via a multiscale model that may advance the translational potential of systems modeling in clinical research. PMID- 21063242 TI - Deficiency or inhibition of CD73 protects in mild kidney ischemia-reperfusion injury. AB - BACKGROUND: Adenosine agonists are protective in numerous models of ischemia reperfusion injury (IRI). Pericellular adenosine is generated by the hydrolysis of extracellular adenosine triphosphate and adenosine diphosphate by the ectonucleotidase CD39 and the subsequent hydrolysis of adenosine monophosphate (AMP) by the ectonucleotidase CD73. CD39 activity is protective in kidney IRI, whereas the role of CD73 remains unclear. METHODS: Wild-type (WT), CD73-deficient (CD73KO), CD39-transgenic (CD39tg), and hybrid CD39tg.CD73KO mice underwent right nephrectomy and unilateral renal ischemia (18-min ischemia by microvascular pedicle clamp). Renal function (serum creatinine [SCr], micromolar per liter) and histologic renal injury (score 0-9) were assessed after 24-hr reperfusion. Treatments included a CD73 inhibitor and soluble CD73. RESULTS: Compared with WT mice (n=33, SCr 81.0, score 4.1), (1) CD73KO mice were protected (n=17, SCr 48.9, score 2.0, P<0.05), (2) CD39tg mice were protected (n=11, SCr 45.6, score 1.3, P<0.05), (3) WT mice treated with CD73 inhibitor were protected (n=9, SCr 43.3, score 1.2, P<0.05), (4) CD73KO mice reconstituted with soluble CD73 lost their protection (n=10, SCr 63.8, score 3.1, P=ns), (5) WT mice treated with soluble CD73 were not protected (n=7, SCr 78.0, score 4.1), and (6) CD39tg.CD73KO mice were protected (n=8, SCr 55.5, score 0.7, P<0.05). CONCLUSIONS: Deficiency or inhibition of CD73 protects in kidney IRI, and CD39-mediated protection does not seem to be dependent on adenosine generation. These findings suggest that AMP may play a direct protective role in kidney IRI, which could be used in therapeutic development and organ preservation. Investigating the mechanisms by which AMP mediates protection may lead to new targets for research in kidney IRI. PMID- 21063243 TI - Human monoclonal antibody reactivity with human leukocyte antigen class I epitopes defined by pairs of mismatched eplets and self-eplets. AB - AIM: Humoral sensitization affects transplant outcome, and it is now apparent that human leukocyte antigen (HLA) antibodies are specific for epitopes rather than antigens. Such epitopes can be structurally defined by HLAMatchmaker, an algorithm that considers eplets as critical elements of epitopes recognized by alloantibodies. This study addressed the question how mismatched HLA antigens induce specific antibodies in context with eplet differences with the antibody producer. METHODS: HLA class I-specific human monoclonal antibodies derived from women sensitized during pregnancy were tested in Luminex assays with single allele panels. Their epitope specificity was determined from reactivity patterns and eplet differences between immunizing antigen and the antibody producer. RESULTS: This study focuses on the reactivity patterns of 10 monoclonal antibodies specific for epitopes defined by a mismatched eplet paired with a self eplet shared between immunizing HLA antigens and HLA antigens of the antibody producer. The eplets in these pairs are between 7 and 16 A apart, a sufficient distance for contact by two separate complementarity-determining regions of antibody. CONCLUSIONS: These findings demonstrate that immunizing antigens have mismatched eplets that can form antibody-reactive epitopes with self configurations on the molecular surface. They seem to suggest that HLA antibodies can be produced by autoreactive B cells that have undergone receptor editing to accommodate the recognition of nonself-eplets, the driving force of the humoral alloresponse. This concept enhances our understanding of structural epitope immunogenicity and the interpretation of antibody reactivity patterns with HLA panels. PMID- 21063244 TI - Screening of deceased organ donors: no easy answers. AB - Transmission of infection to recipients of solid organs is uncommon but well documented. Improved technologies for the diagnosis of infectious diseases suggest possible changes to paradigms used in the screening of organ donors to prevent disease transmission with transplantation. Available microbiologic assays, including molecular tests, are generally designed for use as diagnostic tools in individuals believed to have a specific infection based on clinical or epidemiological criteria. By contrast, these assays often lack the performance characteristics required for screening of deceased organ donors. This challenge is apparent with the analysis of assays for human T-cell lymphotropic virus-I and -II in low-risk populations. Changing epidemiologic patterns associated with the spread of novel pathogens or altered patterns of immigration will necessitate flexibility in the "list" of potential pathogens. Individual benefits from transplantation generally outweigh the risk of transmission of infection. However, this favorable experience will not obviate the need to continuously improve screening practices. PMID- 21063245 TI - Prolonged prophylaxis with valganciclovir is cost effective in reducing posttransplant cytomegalovirus disease within the United States. AB - BACKGROUND: Cytomegalovirus (CMV) disease in transplant patients is known to have a substantial clinical and economic burden, and its prevention is expected to have long-term benefits. Evidence from the Improved Protection Against CMV in Transplant trial proved that prolonged prophylaxis of 200 days with valganciclovir compared with 100 days significantly reduces the incidence of CMV in high-risk kidney transplant seropositive donors/seronegative recipients. The aim of this study was to develop a cost-effectiveness model to evaluate prolonged prophylaxis of 200 days with valganciclovir and its long-term economic impact. METHODS: An economic model was designed to simulate long-term costs and outcomes of prolonged prophylaxis with valganciclovir (200 vs. 100 days) in a cohort of 10,000 high-risk renal transplant patients over 5 and 10 years. The first year of the model was based on the results of the Improved Protection Against CMV in Transplant trial and the extension to the long-term periods (5 and 10 years); and quality of life data were based on evidence retrieved through a systematic literature search. This analysis was conducted from the US healthcare payer perspective. RESULTS: For the 5-year time horizon, the incremental cost effectiveness ratio of US $14,859/quality-adjusted life year (QALY) suggests that 200-day valganciclovir prophylaxis is cost effective over the 100-day regimen considering a threshold of US $50,000/QALY. The 10-year analysis revealed the 200 day prophylaxis as cost saving with a 2380 QALY gain and simultaneously lower cost. CONCLUSION: Prolonged prophylaxis with valganciclovir reduces the incidence of events associated with CMV infection in high-risk kidney transplant recipients and is a cost-effective strategy in CMV disease management. PMID- 21063246 TI - Emergency management of burns. PMID- 21063247 TI - Cubital tunnel syndrome. PMID- 21063248 TI - The clinical anatomy of examination of the ankle. PMID- 21063249 TI - An approach to assessing the chest radiograph. PMID- 21063250 TI - So you want to be ... a radiologist. PMID- 21063251 TI - The pathological investigation of sudden cardiac death. PMID- 21063252 TI - Paracetamol: the forgotten drug. PMID- 21063253 TI - The role of plain films in imaging major trauma. AB - This article reviews the role of imaging in the management of trauma patients. First the trauma series is reviewed, principally the chest, pelvis and cervical spine radiographs along with an approach to their interpretation. The role of computed tomography in trauma imaging is then discussed. PMID- 21063254 TI - Current applications of interventional radiology. AB - Interventional radiology uses a range of radiological techniques to precisely and accurately diagnose and treat pathologies. This article discusses patient preparation and selection and highlights its strengths and weaknesses as well as introducing its use in each of the systems of the body. PMID- 21063255 TI - Echocardiography in acute medicine: a clinical review. AB - As a result of developments in portable and hand-held devices, transthoracic echocardiography can now be brought to the patient. There is the opportunity for acute physicians to exploit the benefits of echo in the assessment and management of acutely unwell patients in a variety of settings. PMID- 21063256 TI - Carotid artery disease and stroke during coronary artery bypass surgery. AB - Stroke is a devastating complication during coronary artery bypass grafting. Screening may identify patients at highest risk. Surgical timing, sequence strategies and carotid stenting remain unresolved. Selective use of techniques could prevent adverse neurological sequelae while achieving complete myocardial revascularization. PMID- 21063257 TI - Alcohol and the central nervous system. AB - Alcohol is a widely consumed beverage that has significant effects on most organs of the body. The nervous system is a particular target for the damaging consequences of alcohol, caused either directly by the toxic effect of alcohol or by problems related to alcoholism. This article discusses the central nervous system effects of alcohol. PMID- 21063258 TI - Attention deficit hyperactivity disorder. AB - Attention deficit hyperactivity disorder is a highly heritable medical condition which mainly affects school-aged children although it is increasingly being recognized in adults. The exact cause remains unknown, but the condition responds well to evidence-based interventions. PMID- 21063259 TI - Sydney Ringer: physician, physiologist and pharmacologist. PMID- 21063260 TI - Integrating feedback into medical education. AB - Maximizing the potential of feedback requires being receptive to suggestions for change, adapting feedback according to different learning styles, and making the most of new developments. This article provides a foundation in the theory of modern medical education for those receiving or giving feedback at any level. PMID- 21063261 TI - Delayed diagnosis of blunt traumatic diaphragmatic hernia. PMID- 21063262 TI - Extensive pneumocephalus after nose blowing: an unusual cause of severe headache. PMID- 21063263 TI - Pitfalls in the diagnosis of ulnar neuropathy: remember the deep palmar branch. PMID- 21063264 TI - Lethal obstructive jaundice: a diagnosis not to be missed. PMID- 21063267 TI - Via the lungs or the veins? PMID- 21063268 TI - The apoptotic effect of 1's-1'-acetoxychavicol acetate from Alpinia conchigera on human cancer cells. AB - 1'-(S)-1'-Acetoxychavicol acetate (ACA) isolated from the Malaysian ethno medicinal plant Alpinia conchigera Griff. was investigated for its potential as an anticancer drug. In this communication, we describe the cytotoxic and apoptotic properties of ACA on five human tumour cell lines. Data from MTT cell viability assays indicated that ACA induced both time- and dose-dependent cytotoxicity on all tumour cell lines tested and had no adverse cytotoxic effects on normal cells. Total mortality of the entire tumour cell population was achieved within 30 hrs when treated with ACA at 40.0 uM concentration. Flow cytometric analysis for annexin-V and PI dual staining demonstrated that cell death occurred via apoptosis, followed by secondary necrosis. The apoptotic effects of ACA were confirmed via the DNA fragmentation assay, in which consistent laddering of genomic DNA was observed for all tumour cell lines after a 24 hrs post-treatment period at the IC(50) concentration of ACA. A cell cycle analysis using PI staining also demonstrated that ACA induced cell cycle arrest at the G(0)/G(1) phase, corresponding to oral tumour cell lines. In conclusion, ACA exhibits enormous potential for future development as a chemotherapeutic drug against various malignancies. PMID- 21063269 TI - Chemopreventive effects of Peucedanum praeruptorum DUNN and its major constituents on SGC7901 gastric cancer cells. AB - In this study, the effects of Peucedanum praeruptorum DUNN methanolic extract (PPME) and its major constituents on SGC7901 human gastric cancer cells were evaluated. Two pyranocoumarins, namely, (+/-) praeruptorin A (PA) and (+/-) praeruptorin B (PB), were isolated from PPME. A high performance liquid chromatographic (HPLC) method was developed to determine the contents of PA and PB in PPME. The anti-proliferative and cytotoxic actions of PPME were observed using the 3-(4,5-dimethyl-thiazol-2-yl)-2,5-diphenyltetrazolium bromide (MTT) and release of lactate dehydrogenase (LDH) assays. At 300 MUg/mL, PPME inhibited cell growth by 51.2% (P < 0.01), probably linked to the high concentration of PA and PB. Both PA and PB exhibited antiproliferative and cytotoxic activities on the SGC7901 cells. Furthermore, the active principle compound, PA, also enhanced the actions of doxorubincin (DOX) on SGC7901 cells. Cell growth decreased higher with the combined treatment of PA and DOX than that with the chemotherapy agent applied alone, suggesting that PA could reduce the dose of DOX for the desired effects. PMID- 21063270 TI - Antifouling activity of simple synthetic diterpenoids against larvae of the barnacle Balanus albicostatus Pilsbry. AB - Five new pimarane diterpenoids 1-5 were synthesized using ent-8(14)-pimarene 15R,16-diol as starting material. The structures were elucidated by means of extensive NMR and MS analysis. The antifouling activity against larval settlement of the barnacle Balanus albicostatus were evaluated using capsaicin as a positive control. Compounds 1-3 and 5 showed more potent antifouling activity than capsaicin. Compound 5, which exhibited almost the same antifouling activity as starting material, showed better stability than starting material. These compounds all showed antifouling activity in a non-toxic way against larval settlement of the barnacle B. albicostatus. Analysis of structure-activity relationships (SAR) demonstrated that the substituents on the C-15 and C-16 position of pimarane diterpenoid were responsible for the antifouling activity. PMID- 21063271 TI - Synthesis of non-cytotoxic poly(ester-amine) dendrimers as potential solubility enhancers for drugs: methotrexate as a case study. AB - This study describes the synthesis of two new families of dendrimers based on the esterification of N-alkylated 3-amine-1-propanol with two different cores, adipic acid (1st and 2nd generations) and ethylenediamine (generation 1.5), both with carboxylic acid end groups, offering a wide variety of further modifications at the periphery. According to the cytotoxic evaluation of the dendrimers and their possible degradation products within cell lines, these materials could be considered as innocuous. In preliminary studies, the synthesized dendrimers proved to be potential enhancers of solubility of highly hydrophobic drugs, like methotrexate, widely used in chemotherapy. PMID- 21063272 TI - Antioxidant vitamins and their use in preventing cardiovascular disease. AB - Atherosclerosis remains one of the leading causes of death in Western populations. Subsequent to the discovery that oxidative stress plays a pivotal role in the development and progression of atherosclerosis, vitamins C and E, along with other antioxidants, were studied as potential therapies for the disease. However, while in vitro and in vivo studies showed promising antiatherogenic effects for vitamins C and E, clinical trials in which patients were given high doses of vitamin E or C showed no benefit and even possible harm. This review will attempt to summarize the known mechanistic data regarding the biochemical effects of vitamins C and E and their relevance to atherosclerosis, and offer an explanation for the failure of clinical trials to show that supplementation with these vitamins provides any benefit when given indiscriminately. We provide one example of how pharmacogenomics may be used to identify a sub-population which may indeed benefit from antioxidant supplementation. PMID- 21063273 TI - Racial/Ethnic disparities and geographic differences in lung cancer incidence --- 38 States and the District of Columbia, 1998-2006. AB - Lung cancer is the second most commonly diagnosed cancer in both males and females and the leading cause of cancer-related death in the United States. Lung cancer affects some races more than others; blacks have higher incidence and mortality rates than do whites. This report presents the first analysis of lung cancer incidence among racial/ethnic groups by U.S. census region. CDC analyzed data collected by CDC's National Program of Cancer Registries (NPCR) and the National Cancer Institute's Surveillance, Epidemiology, and End Results (SEER) Program for the period 1998-2006. These combined data reflect new lung cancer cases representing approximately 80% of the U.S. population. During this study period, annual incidence per 100,000 population was highest among blacks (76.1), followed by whites (69.7), American Indians/Alaska Natives (AI/ANs) (48.4), and Asian/Pacific Islanders (A/PIs) (38.4). Hispanics had lower lung cancer incidence (37.3) than non-Hispanics (71.9). Incidence varied greatly with age, peaking among persons aged 70-79 years (426.7). The region with the highest incidence was the South (76.0); the lowest was the West (58.8). Among whites, the highest lung cancer incidence was in the South (76.3); the highest incidence among blacks (88.9), AI/ANs (64.2), and Hispanics (40.6) were in the Midwest, and the highest incidence among A/PIs was in the West (42.5). These findings identify the racial/ethnic populations and geographic regions that would most benefit from enhanced efforts in primary prevention, specifically by reducing tobacco use and exposure to environmental carcinogens. PMID- 21063274 TI - Increasing prevalence of parent-reported attention-deficit/hyperactivity disorder among children --- United States, 2003 and 2007. AB - Attention-deficit/hyperactivity disorder (ADHD) is a neurobehavioral disorder that typically begins in childhood and often persists into adulthood. ADHD is characterized by developmentally inappropriate levels of inattention and hyperactivity resulting in functional impairment in academic, family, and social settings. ADHD is the most commonly diagnosed neurobehavioral disorder of childhood, with previous reports documenting increasing trends in prevalence during the past decade and increases in ADHD medication use. National estimates of the number of children reported by their parents to have ever been diagnosed with ADHD and the percentage of children with ADHD currently taking ADHD medications were published in 2005 using data from the 2003 National Survey of Children's Health (NSCH). This report describes results from the second administration of NSCH in 2007, which indicated that the percentage of children aged 4-17 years with a parent-reported ADHD diagnosis (ever) increased from 7.8% to 9.5% during 2003-2007, representing a 21.8% increase in 4 years. The findings in this report help to further characterize the substantial impact of ADHD on families. PMID- 21063275 TI - Neonatal intensive-care unit admission of infants with very low birth weight --- 19 States, 2006. AB - Neonatal mortality is disproportionately common among infants with very low birth weight (VLBW) (<1,500 g [3.3 lbs]). In 2006, the mortality rate among infants with VLBW was 240.4 per 1,000 live births. Because neonatal intensive care has been shown to reduce mortality among infants with VLBW, current standards call for neonatal intensive-care for all infants with VLBW; however, the proportion of infants with VLBW who are admitted to a neonatal intensive care unit (NICU) is not known, nor are the predictors for NICU admission. To estimate the prevalence of admission to NICUs among infants with VLBW and assess factors predicting admission, CDC analyzed birth data from 2006 for 19 states. This report summarizes the results of that analysis, which found that overall, 77.3% of infants with VLBW were admitted to NICUs (range: 63.7% in California to 93.4% in North Dakota). Among infants with VLBW born to Hispanic mothers, 71.8% were admitted to NICUs, compared with 79.5% of those with non-Hispanic black mothers and 80.5% of those with non-Hispanic white mothers. Multivariate analysis of the data indicated that preterm delivery, multiple births, and cesarean delivery all were independently associated with greater prevalence of NICU admission among infants with VLBW. Wide variation was observed among states in the prevalence of NICU admission of infants with VLBW; these state data should be assessed further, and barriers to NICU admission should be identified and addressed. PMID- 21063276 TI - Vital signs: health insurance coverage and health care utilization --- United States, 2006--2009 and January-March 2010. AB - BACKGROUND: The increasing number of persons in the United States with no health insurance has implications both for individual health and societal costs. Because of cost concerns, millions of uninsured persons forgo some needed health care, which can lead to poorer health and potentially to greater medical expenditures in the long term. METHODS: CDC analyzed data from the National Health Interview Survey (NHIS) for 2006, 2007, 2008, and 2009 and early release NHIS data from the first quarter of 2010 to determine the number of persons without health insurance or with gaps in coverage and to assess whether lack of insurance coverage was associated with increased levels of forgone health care. Data were analyzed further by demographic characteristics, family income level, and selected chronic conditions. RESULTS: In the first quarter of 2010, an estimated 59.1 million persons had no health insurance for at least part of the year before their interview, an increase from 58.7 million in 2009 and 56.4 million in 2008. Of the 58.7 million in 2009, 48.6 million (82.8%) were aged 18-64 years. Among persons aged 18-64 years with family incomes two to three times the federal poverty level (approximately $43,000-$65,000 for a family of four in 2009), 9.7 million (32.1%) were uninsured for at least part of the preceding year. Persons aged 18-64 years with no health insurance during the preceding year were seven times as likely (27.6% versus 4.0%) as those continuously insured to forgo needed health care because of cost. Among persons aged 18-64 years with diabetes mellitus, those who had no health insurance during the preceding year were six times as likely (47.5% versus 7.7%) to forgo needed medical care as those who were continuously insured. CONCLUSIONS: An increasing number of persons in the United States, including those at middle income levels, have had periods with no health insurance coverage in recent years, which is associated with increased levels of forgone health care. Persons aged 18-64 years with chronic conditions and without consistent health insurance coverage are much more likely to forgo needed medical care than persons with the same conditions and continuous coverage. IMPLICATIONS FOR PUBLIC HEALTH PRACTICE: Increasing the number of persons with continuous health insurance coverage can reduce the number of occasions that persons forgo needed health care, which can reduce complications from illness and avoidable long-term expenditures. PMID- 21063277 TI - Editorial message. PMID- 21063278 TI - Status epilepticus in children. AB - Status epilepticus is defined as a continuous seizure lasting for at least 30 minutes or recurrent seizures persisting for over 30 minutes, without recovery of consciousness. The estimated incidence in childhood is approximately 20 per 100,000 children per year. The incidence is higher in those under one year of age, with an incidence of approximately 50 per 100,000 per year. Among 1-4 year olds, approximately 30 per 100,000 per year will have an episode of status and in those aged 5-9 years, the incidence is approximately 10 per 100,000 per year. Those aged 10-15 years have the lowest incidence (approximately 2 per 100,000 per year). The mortality associated with status epilepticus in children is estimated at 2.5-5%, and is primarily related to the underlying cause of the episode of status. Neurological morbidity is seen in less than 15% of affected children. In most cases, the episode of status is either a single isolated event or is the first manifestation of epilepsy. Only 12% of cases occur in children with a prior diagnosis of epilepsy. It is essential to have an organized approach for dealing with status epilepticus. There is little data to support the contention that one protocol is better than another. It is recommended that each center should decide on a protocol that is rational and is standard practice for their patients. Most centers initiate therapy with either buccal or intravenous lorazepam. Alternate initial therapies include diazepam or midazolam. Early treatment is generally recommended although, in humans, there is minimal evidence that the length of seizure directly affects outcome. There is however, abundant evidence in animals, which indicates that longer seizures are harmful and result in poorer outcome. Early intervention does, however, increase the likelihood of attaining seizure control in humans. The optimal management of the child in a prolonged seizure therefore demands an understanding of the potential causes, appropriate investigations, and therapy. PMID- 21063279 TI - An anatomical study of the human lumbar ligamentum flavum. AB - OBJECTIVE: A detailed investigation of the gross and microscopic anatomy of ligamentum flavum. METHODS: Material included 14 lumbar vertebral columns obtained from the Anatomy Department, King Faisal University, Dammam during the period between January 2005 and January 2006. Height, width, and thickness of ligamenta flava were measured. A microscopic study was also performed. Computed tomography scan was carried out on the lumbar vertebrae of 30 patients for measuring the ligamentum flavum. RESULTS: The anatomical results showed that the right and left ligamenta flava join in the midline forming an acute angle with a ventral opening. The ligamentum flavum is rectangular and has 4 borders and 2 surfaces. It is attached inferiorly to the superior edge and the postero-superior surface of the lamina below. It is attached superiorly to the inferior edge and the antero-inferior surface of the lamina above. Its height ranges from 14-22 mm. The width of its lower part ranges from 11-23 mm, and the thickness ranges from 3.5-6 mm. The histological results revealed that it is comprised chiefly of elastic fibres and some collagen fibres. CONCLUSION: The information reported in this study is of clinical value in the practice of lumbar epidural anesthesia or analgesia. Epidural puncture will be best performed through the lower and medial portion of the ligamentum flavum slightly lateral to the midline. PMID- 21063280 TI - Intraindividual and interindividual variations in psychomotor task performance in spontaneously menstruating women. AB - OBJECTIVE: To examine the variability in psychomotor task performance in women in reference to the menstrual cycle. METHODS: One hundred and eighty young women with spontaneous regular menstrual period of 30 days duration and 20 young men were assessed in the Department of Pharmacology, College of Medicine, Al Mustansiriya University, Baghdad, Iraq during 2003. RESULTS: Women had significantly lower recognition as well as motor reaction time than men with wide inter and intraindividual variations. Women also had a lower critical flicker fusion frequency threshold than men with more variation. The changes in psychomotor performance are not specific for a certain phase of the menstrual cycle. CONCLUSION: Spontaneously menstruating young women are not good participants for psychomotor performance studies because of wide intra- and interindividual variations. PMID- 21063281 TI - Pattern of sepsis and meningitis in a University Hospital. AB - OBJECTIVE: To define the clinical and cerebrospinal fluid (CSF) criteria that establishes a diagnosis of sepsis and meningitis immediately on admission. METHODS: One thousand children, aged one day to 13 years, presenting with acute onset of vomiting, fever, convulsion, and diarrhea to the Pediatrics Department, King Abdul-Aziz University Hospital, Jeddah, Kingdom of Saudi Arabia from January 1997 to December 2000 were evaluated. Cases were subjected to history, clinical examination, and lumbar puncture (LP). On admission, chemical, cytological, and bacteriological examinations of blood and CSF were carried out. Patients were divided into sepsis (n=94) and meningitis (n=26) groups. RESULTS: The most common age liable for LP was in the neonatal period (35.8%). Septic cases were more than meningitis (78.3% versus 21.7%). Neonates were the most commonly affected age in sepsis and meningitis; and the predominant symptom in all groups was vomiting. In meningitis, hemoglobin was less (p<0.05) while, blood white blood cell counts (WBCs) (p<0.05), blood neutrophils (p<0.05), CSF-chloride (p<0.000) and CSF-WBCs (p<0.001) were more than sepsis. In meningitis, a positive correlation was found between CSF-glucose with WBCs (r=0.52, p<0.05), neutrophils (r=0.49, p<0.05), and blood-glucose (r=0.56, p<0.01); and between CSF-WBCs and CSF-protein (r=0.55, p<0.01). In sepsis, a positive correlation was found between CSF-lymphocyte and CSF-red blood cell count (r=0.37, p<0.001). CONCLUSION: More septic cases were admitted to the Pediatric Department through Emergency than meningitis cases. The most common pediatric patients liable to LP were neonates, and the most common presenting symptom was vomiting. Children with vomiting and convulsion and no organism in CSF must be carefully examined, and urine and blood culture must be collected. These children must be closely observed in hospital and re-evaluated by a pediatrician. PMID- 21063282 TI - Comparison of the physical and non-physical functioning between the patients with multiple sclerosis and healthy subjects. AB - OBJECTIVE: To investigate the relationship between physical functioning, non physical functioning, and upper extremity functional abilities in patients with multiple sclerosis (MS) and healthy subjects. METHODS: A total of 63 patients with MS [Expanded Disability Status Scale (EDSS) score; 3-8] and 52 healthy subjects attending the Pamukkale University, School of Physical Therapy and Rehabilitation in Denizli, Turkey were studied in the period from February 2006 to June 2006. To allow further evaluation, 63 individuals with MS were divided into 2 groups according to their ambulation ability level. The physical functioning was assessed with the Functional Independence Measure (FIM), the Purdue Pegboard Test, and the Jebsen Hand Function Test, and the non-physical functioning was assessed with the Beck Depression Inventory (BDI). RESULTS: Depressive symptoms were significantly elevated in the MS group versus the control group based on the BDI (p<0.0001). In MS subjects, while there was good positive correlation between EDSS and BDI scores, there was a good negative correlation between EDSS and FIM scores (p<0.0001). CONCLUSION: Non-physical functioning, especially depression, is strongly associated with activities of daily living and decreases functional abilities in MS patients. For this reason, we recommend that non-physical functioning should also be evaluated in patients with MS to plan the most suitable physical therapy program. PMID- 21063283 TI - Stages of progression in drug abuse involvement across generations in Jeddah, Saudi Arabia. AB - OBJECTIVE: To investigate the stages of progression in drug involvement among adolescents and adults in Saudi Arabia. METHODS: One hundred and one consecutive male patients (91 adults and 10 adolescents), with substance abuse or dependence according to DSM-IV criteria, admitted to Al-Amal Hospital in Jeddah, Saudi Arabia, during July and August 2002 for treatment, were included in the study. All participants answered a questionnaire, which included questions pertaining to the ages and stages of progression in drug abuse. RESULTS: Adolescents started using tobacco and drugs at a younger age than adults. The difference is statistically significant for drugs (14.6 +/- 2.6 versus 22.0 +/- 8.3, t = 2.8; p = 0.006), but not for tobacco (14.7 +/- 1.8 versus 16.4 +/- 6.5, t = -0.8; p = 0.4). Adolescents and adults were different in the first (Chi 2 (3) = 13, p = 0.001) and the second (Chi 2 (3) = 14.5; p = 0.002) stages of progression, but similar in the third stage (Chi 2 (3) = 0.1; p = 1.0). CONCLUSION: Adolescents were different from adults regarding the age of onset and sequence of progression in drug involvement. This sequence was different in Saudi Arabia from that in other cultures and this could have an implication in prevention programs. PMID- 21063284 TI - Levels of anxiety and ways of coping of family members of patients hospitalized in the Neurosurgery Intensive Care Unit. AB - OBJECTIVE: To evaluate the levels of anxiety and ways of coping of family members of patients hospitalized in the Neurosurgery Intensive Care Unit (NICU). METHODS: The descriptive study was carried out with the families of 120 patients hospitalized in the NICU of a University Hospital in Turkey, between November 2005 and July 2006. Data were collected using a questionnaire for demographical information, The State and Trait Anxiety Inventory, and Ways of Coping Inventory. Statistical analysis of the data was carried out with SPSS software, using arithmetic mean and standard deviation, percentage, t test, Kruskall Wallis, Mann Whitney U, and correlation analyses. RESULTS: Of the family members evaluated, 56.7% were males and the mean age of the family members was 34.7+/-11.13. The average score for the State Anxiety was 47.03+/-9.55, and Trait Anxiety was 44.11+/-7.62. Financial problems were the main source of anxiety, being experienced by 56.7% of the participants due to hospitalization of the patient in the intensive care unit. Submissive and helpless coping styles were observed more frequently, as the level of anxiety increased. CONCLUSION: In light of these findings, it has been found that members of the families of the patients hospitalized in the NICU experienced a significant amount of anxiety, and that they faced difficulties in coping with anxiety, indicating that they require help and support. PMID- 21063285 TI - Evaluation of caudal anesthesia performed in conscious infants for lower abdominal surgeries. AB - OBJECTIVE: To assess the tolerance and efficiency of caudal anesthesia in infants undergoing lower abdominal surgery. METHODS: Thirty-five infants aged 2-13 weeks with a median gestational age of 35 weeks, were given single dose caudal epidural anesthesia (with bupivacaine) without sedation. This group of babies was treated at the Tabriz Children General Hospital between December 2006 and March 2007. We evaluated patients' analgesia (Beclere pain scale), blood pressure, heart rate changes, and apnea during the 24-hour postoperative period. Each patient was self compared by Run test. RESULTS: Three patients had pain requiring induction of general anesthesia. Out of 35 cases, 23 patients had non-significant changes in heart rate, 20 patients had non-significant changes in systolic blood pressure, and 17 patients had non-significant changes in diastolic blood pressure. None of the patients experienced apnea. Four patients required postoperative analgesic support for 2 hours, 2 patients for 3.5 hours, and 9 patients for 4 hours. CONCLUSION: The present study shows that single dose caudal block can be used successfully in conscious babies for minor lower abdominal surgery, and may also facilitate postoperative pain management. PMID- 21063286 TI - Outcome of children older than one year with neuroblastoma. AB - OBJECTIVE: To assess the outcome of children older than one year with neuroblastoma treated at King Abdul-Aziz Medical City, Jeddah, Kingdom of Saudi Arabia. METHODS: We retrospectively reviewed the files of 52 children older than one year with neuroblastoma (NBL) treated at our center between September 1987 and May 2003. Treatment consisted of OPEC chemotherapy regimen (vincristine, cisplatin, etoposide, and cyclophosphamide) or alternating OPEC/OJEC (carboplatin in place of cisplatin), surgical resection +/- radiotherapy (RT). No patient received high dose therapy (HDT). RESULTS: Thirty-four patients (65%) were stage 4, 12 (23%) stage 3, and 6 (11%) stage 2. Three stage 2 patients were treated with surgery only, all are alive in complete remission (CR). All stage 3 and 4 patients were treated with chemotherapy and surgery +/- RT. After induction chemotherapy, CR was achieved in 17 patients (32%) and partial remission in 10 (19%). Complete surgical resection was possible in 11 patients (22%). Disease recurrence or progression occurred in 27 patients (51%). With a median follow-up of 24 months (range 4-120), the 2-year event free survival was 10%, 82%, and 87% and the overall survival was 12%, 83%, and 100% for stage 4, 3, and 2. CONCLUSION: Children older than one year with localized NBL have good prognosis compared to those with stage 4. The use of HDT may improve the outcome in the latter group. Toxicity was significant, and adoption of risk-stratified treatment may help to reduce treatment complications. PMID- 21063287 TI - Familiarity, knowledge, and attitudes towards epilepsy among attendees of a family clinic in Amman, Jordan. AB - OBJECTIVE: To investigate the present familiarity, knowledge, and attitudes of Jordanians towards epilepsy. METHODS: This is a cross-sectional study that was conducted over a 6-month period, from April 2006 to October 2006 at the family clinic of Jordan University Hospital, Amman, Jordan. A face-to-face questionnaire interview was conducted with 600 non-epileptic adults who visited the clinic during the study period. RESULTS: The sample matched the overall Jordanian population for literacy rate, however, included a higher proportion of females, and consequently findings may be taken as being only moderately representative of the Jordanian population. Ninety-eight percent of the respondents had heard about epilepsy, 47.3% knew someone with epilepsy, and 39.7% had witnessed a seizure. The major source of knowledge was word of mouth; knowledge about causes and treatment were far below the results reported in western countries. In addition, negative attitudes were present with regard to marriage and employment of epileptic patients (86.8% objected to marriage and 50.8% objected to employment). Eighty-three percent of respondents admitted that their knowledge of epilepsy was not satisfactory and were willing to learn more about epilepsy. The television was believed to be the most efficient and preferred way to disseminate knowledge about epilepsy. CONCLUSION: Although this study does not represent the whole Jordanian population, however, it demonstrated lack of knowledge and emphasized the extent of negative as well as positive attitudes towards epilepsy in Jordan. PMID- 21063288 TI - The prevalence of mental retardation by gender, age of diagnosis and location in Zonguldak province, Turkey. AB - OBJECTIVE: To evaluate the prevalence of mental retardation by gender, age of diagnosis, and location in Zonguldak, Turkey. METHODS: The data of 1909 mentally retarded children recorded between 1995 and 2003 was obtained from the Learning Disability Guidance and Research Centre. Age, gender, IQ scores, the age of diagnosis, and living areas of cases were evaluated. RESULTS: The distribution of mental retardation was as follows; 304 cases (15.9%) were profound and severe, 1060 (55.6%) were moderate and mild, and 545 (28.5%) were borderline. The prevalence of mental retardation was 12.1% (male: 15.1%, female: 9.1%). Of the cases, 1327 (69.5%) lived in urban areas. CONCLUSION: Most individuals with severe mental retardation become enrolled in the service system during early childhood, but children with mild mental retardation, especially those with no other neurological impairments, may never enter the system or may not do so until puberty. Most of our cases were diagnosed between the ages of 6 and 10. This proves the importance of school guidance of learning disability and their collaboration with The Learning Disability Guidance and Research Center. PMID- 21063289 TI - Is pseudoexfoliation associated with sensorineural hearing loss? AB - OBJECTIVE: To determine the possible relation between pseudoexfoliation (PSX) and sensorineural hearing loss. METHODS: This study was carried out in Afyon Kocatepe University, Afyon, Turkey between July 2002 and June 2005. Sixty-three patients who were found to have ocular PSX on routine biomicroscopic examination, and 38 age-matched control subjects were evaluated for evidence of audiometric abnormality. The sum of pure-tone hearing threshold measured at 250-2000 Hz, 2000 6000 Hz, and 250-6000 Hz in each ear was compared with controls for the same frequencies. RESULTS: The mean age of the patients was 68.4+/-10.3 years. All patients had PSX affecting at least one eye. Fifty (79.4%) patients with PSX, and 10 (26.3%) control subjects were found to have hearing loss (p=0.00, chi-square). From the 50 patients with PSX who had hearing loss, 34 patients had bilateral PSX, and 16 patients had unilateral PSX. Twenty-nine patients had high frequency hearing loss, while 20 patients had hearing loss in all frequencies. Forty-eight patients with PSX and 7 controls had bilateral sensorineural hearing loss (p=0.030). CONCLUSION: Sensorineural hearing loss was seen more frequently in patients with PSX in comparison with age-matched control subjects. PMID- 21063290 TI - Neurological outcome following delayed fixation of unstable thoracolumbar spinal injuries with short segment posterior fixation. AB - OBJECTIVE: To evaluate the improvement in neurological deficit following late decompression and stabilization of the fractured thoracolumbar spine. METHODS: Between January 2001 and August 2004 neurological recovery in 120 thoracolumbar fractures was studied after posterior stabilization at the Hospital for Bone & Joint Surgery, Srinagar, India. There were 88 male and 32 female patients. Fall from a height, usually a tree, was the most common (90%) cause of injury. Seventy six patients (63%) had neurologic deficit at the time of presentation. The unstable spine was fixed, between 4-18 days after trauma, by posterior short segment instrumentation (Steffee). Neurological recovery for the patients was recorded in the follow-up period. Frankel grade was used to assess the neurological status. The average follow-up period was 25 months (range 8-44 months), and average age was 34 years (18-54). RESULTS: There were 40 patients (30%) with an incomplete neurological deficit, namely, patients with Frankel grade B, C, and D. Two grades of improvement were found in 8 patients, and one grade improvement in 32 patients with incomplete lesion. Only one third of the patients with complete neuro deficit improved at the final follow-up. The overall result of the surgery for partial lesions was an improvement of at least one Frankel grade in all cases, but no improvement in most of the cases with complete lesion. CONCLUSION: This study demonstrates a clear relationship between the level of injury and Frankel grades, translational injuries are associated with a more severe neurologic grade, and surgical intervention appears to improve the neurological outcome, even when the intervention is inadvertently delayed (average 7.9 days). PMID- 21063291 TI - Tuberculous osteitis of the skull in a child. AB - Tuberculosis is endemic in developing countries. However, skull tuberculosis is uncommon with few cases reported in the literature. We report a 10-year-old boy admitted for a left parietal painless swelling. A CT scan demonstrated a left parietal bony defect, destroying both inner and outer tables. This was associated with an enhanced epidural collection and scalp swelling. The patient was operated, and the microscopic examination revealed typical tuberculosis granuloma. The clinical presentation and management of this rare location of tuberculosis are discussed. PMID- 21063292 TI - Olfactory groove schwannoma masquerading as an orbital mass. AB - Olfactory groove schwannoma is a very rare tumor breaking through the skull base and orbit. The tumor may also extend to the subfrontal region intradurally. A case of olfactory groove schwannoma in a 35-year-old female, originating from the left olfactory groove with left proptosis, diplopia, supra-orbital mass, and gross intracranial extension is reported. The presence of bony scalloping on CT and absence of meningeal tail on contrast enhanced MRI are in favor of the diagnosis. Histological examination and immuno-histochemical evaluation for S-100 protein, showed the tumor as a schwannoma. Total excision through a frontal craniotomy and skull base reconstruction are the main therapeutic steps. PMID- 21063293 TI - Klippel-Trenaunay-Weber syndrome with partial motor seizures and hemimegalencephaly. AB - A girl with Klippel-Trenaunay-Weber syndrome with partial motor seizures is reported. She had hemimegalencephaly and band heterotopia on MRI of the brain. PMID- 21063294 TI - Axillary neuropathy mimicking quadrilateral space syndrome and its follow up for one year. AB - Axillary neuropathy due to entrapment of the nerve in the quadrilateral space is seen rarely. Here, we describe a 24-year-old patient diagnosed with isolated axillary neuropathy that mimicked quadrilateral space syndrome. Quadrilateral or quadrangular space syndrome (QSS), first described by Cahill and Palmer in 1983, was defined as the entrapment of the distal branch of the axillary nerve and the posterior humeral circumflex artery (PHCA) in the quadrangular shaped anatomic space. We tracked the follow up of the patient for one year both clinically and electrophysiologically. Our aim in reporting this case is to stress the point that cases of such a nature usually represent situations of diagnostic and treatment challenges, where multidisciplinary approaches are required. PMID- 21063295 TI - Brain stem glioma, a rare cause of hearing loss and dysequilibrium. PMID- 21063296 TI - Depression rate among 18-40-year-old patients suffering from generalized tonic clonic epilepsy referred to Neurology Clinics in an Iranian Hospital. PMID- 21063297 TI - Clinical features of post stroke seizure in Babol, northern Iran. PMID- 21063298 TI - A new parameter in the differential diagnosis of bacterial and viral meningitis. PMID- 21063299 TI - A patient with ataxia with a normal brain CT on admission. AB - A 60-year-old housewife noticed sudden onset left hemiparesis. On admission, she had left hemiparesis, more severe in the lower limb. A brain CT scan at this time was normal. After a few days, her hemiparesis was almost diminished, however, she had ataxia on the left side without paresis. She had no facial weakness and dysarthria. PMID- 21063300 TI - A historical review of gait analysis. AB - Healthcare professionals have long been concerned with the assessment of human gait, but only recently were they able to utilize instrumental gait analysis in routine clinical practice for diagnosis, and to guide the selection of treatment methods for complex musculo-skeletal and neurological disorders. The development of motion analysis systems has progressed through several stages from simple to more sophisticated, versatile, multimodal, and accurate equipment. Several computerized motion analysis systems are now commercially available for the measurement of human gait. These vary in their design and performance. The purpose of this review is to summarize briefly the history and advances in the technology of instrumental gait analysis, especially during the past 3 decades. Further, it is hoped that this review will give clinical practitioners and researchers a general insight into the variety of measurement systems that are currently available for gait analysis and enable them to make an informed choice of the motion analysis system that best suits their clinical needs. PMID- 21063301 TI - Nicotinamide adenine dinucleotide phosphate-diaphorase and c-fos expression in spinal cord neurons following noxious stimulation. AB - OBJECTIVE: To investigate nicotinamide adenine dinucleotide phosphate-diaphorase (NADPH-d) and fos expression in spinal cord dorsal horn neurons following noxious peripheral stimulation. METHODS: Expression of the immediate-early gene c-fos and nitric oxide containing neurons one hour after unilateral formalin injection to the dorsal hind paw was investigated in rat lumbar spinal cord, using fos immunohistochemistry and NADPH-d histochemical techniques. The experiments were performed in 2004 and 2006 at Ege University Center for Brain Research in Izmir, Turkey. RESULTS: In 10 adult male Sprague-Dawley rats, an increase in fos immunoreactive neurons was observed ipsilateral, and NADPH-d positive neurons equally ipsi- and contralateral to the formalin injection site. Approximately 20% of fos-immunoreactive neurons were NADPH-d positive ipsilateral to the formalin injection, whereas no double labeling was observed in the contralateral side. Also, a close relation of NADPH-d positive processes with fos-immunoreactive nuclei were also observed. CONCLUSION: The results of this study support the hypothesis that nitric oxide synthase blocking agents may serve as a possible alternative in treatment of hyperalgesia following inflammation and peripheral nerve injury. PMID- 21063302 TI - Evaluation of effects of memantine on cerebral ischemia in rats. AB - OBJECTIVE: To evaluate the effects of memantine on infarct size in cerebral ischemia and on neurological outcome after temporary middle cerebral artery occlusion (MCAO) and reperfusion in rats. METHODS: In this study, performed between 2002-2004 in Dicle University School of Medicine, Diyarbakir, Turkey, 30 adult Sprague-Dawley rats were used. Cerebral ischemia was constituted by the intraluminal filament method with a 4-0 nylon suture. Reperfusion was started after 2 hours of MCAO. The rats were randomly divided into 2 groups as control and memantine. Saline 0.9% (0.5 ml/kg) and memantine (30 mg/kg) were administered via nasogastric intubations. Three coronal slices of 2 mm thickness were obtained from cerebrum, cerebellum, and brain stem, and were stained with a 2% solution of triphenyltetrazolium chloride. Transparent sheets were placed over each section and the areas of the brain and infarct were measured. RESULTS: Forty-five slices from each group (total 90) were obtained. Percent of ischemic area (%) in cerebrum, cerebellum, and brain stem level in memantine was lower than those of the control group (p<0.0001). In addition, we determined an improvement in neurological score at 24th and 72nd hours in the rats that have been given memantine. The memantine group showed significantly better recovery than the control group (p<0.0001). CONCLUSION: We concluded that memantine may decrease ischemic area in experimental cerebral ischemia in rats and it seems that memantine may be beneficial in cerebral ischemia. PMID- 21063303 TI - Comparison of meperidine alone with meperidine plus dexmedetomidine for postoperative patient-controlled analgesia. AB - OBJECTIVE: To investigate if the addition of dexmedetomidine to meperidine in a patient-controlled analgesia (PCA) device would reduce postoperative meperidine consumption when compared with meperidine alone. METHODS: Forty patients scheduled for elective abdominal surgery under general anesthesia in Suleyman Demirel University Medical School, Isparta, Turkey between February and September 2006, were randomly allocated into 2 groups. Group I: meperidine 0.25 mg kg-1 intravenous bolus and dexmedetomidine 0.5 mcg kg-1 in 50 ml of saline solution infusion before the end of surgery. Group II: meperidine 0.25 mg kg-1 intravenous bolus and 50 ml of saline solution infusion. In the postanesthesia care unit (PACU) patients in both groups received intravenous meperidine 10 mg with 5 minutes intervals until the patient's verbal pain score is lower than 2. Patients in both groups received PCA during the 24 hours after surgery (meperidine 5 mg + dexmedetomidine 10 mcg bolus for group I, meperidine 5 mg for group II). The verbal rating score of pain and meperidine requirement is recorded during PACU stay. Meperidine consumption with PCA is recorded until 24 hours postoperatively. RESULTS: Verbal rating score of pain in the PACU was lower in group I than group II (p<0.05). Meperidine consumption was lower in group I than group II during the PACU stay and until 24 hours postoperatively (p<0.01). CONCLUSION: When compared with meperidine PCA, meperidine-dexmedetomidine PCA reduces postoperative meperidine consumption. PMID- 21063304 TI - DUPLICATE PUBLICATION: Comparison of entropy and bispectral index values during propofol induction. AB - OBJECTIVE: To identify the entropy levels that would correspond to bispectral index (BIS) levels in general anesthesia (GA) induction in patients who will undergo elective lumbar disc surgery (LDS). METHODS: Thirty cases who underwent LDS under GA were included in our study after obtaining patient consent and approval of the Ethics Committee of Afyon Kocatepe University Medical School, Afyonkarahisar, Turkey, between January 01, 2004 to December 31, 2005. Bispectral index and entropy electrodes were applied at the same time to 30 cases in the study group. In order to assess the level of sedation during anesthesia and recovery, 'Observer's Assessment of Alertness/Sedation' (OAA/S) scale was used. Bispectral index, state-entropy (SE), response-entropy (RE), and OAA/S values were recorded simultaneously. RESULTS: Induction OAA/S scores were in correlation with BIS and entropy values (RE-SE) in 30 cases. A significant difference was found between BIS and entropy induction values (p=0.0398). Induction mean arterial pressure and heart rate values at 30, 60, 90, and 120 seconds were lower than the values of the control, which was statistically significant (p=0.0412). CONCLUSION: During the induction of GA, we found entropy values to be more sensitive and they demonstrated a more rapid increase than BIS. Therefore, it would be safer to monitor entropy while using agents of induction that might cause severe hypotension. Induction agents that might cause severe hypotension could be more safely administered under entropy monitoring.Notice of Duplicate Publication in: Neurosciences 2009; Vol. 14 (1): 106. PMID- 21063305 TI - Trapezoid-quadrilateral technique of frontalis suspension with synthetic material. AB - OBJECTIVE: To describe a new method of frontalis suspension (FS) to prevent recurrences, undercorrection, and cosmetic problems, and to share our experiences in treatment of congenital ptosis using the trapezoid quadrilateral technique (TQT) and synthetic materials. METHODS: Twenty-four eyes of 18 patients, admitted to Ankara Numune Training and Research Hospital 3rd Eye Clinic, Ankara, Turkey, between January 2002 to December 2004, were operated with a new method. All the patients had congenital ptosis, and they were 2-27 years old. Twelve of them were female and 6 of them were male. In this new method, the upper eyelid is fixed to the frontalis muscle from 2 points. RESULTS: The visual axis was open, and there was good symmetry between both eyes at the end of a mean of 14 months follow-up. There was granuloma formation in both eyes of one patient, exposure keratopathy in both eyes of another patient, and recurrence of ptosis in one eye of one patient. CONCLUSION: The TQT of FS with synthetic material does not cause any esthetic deformity and produces a balanced lifting in the upper eyelid. Therefore, we propose that it could be the preferred method in FS procedures performed with inorganic materials. PMID- 21063306 TI - The effect of long-term use of computer mouse devices on median nerve entrapment. AB - OBJECTIVE: To assess the effect of long-term use of computer mouse devices on the median nerves. METHODS: A cross-section prospective study conducted during the year 2004 involved 41 male secretaries employed in the Health Colleges of King Khalid University in Abha, Kingdom of Saudi Arabia. A questionnaire describing sociodemographic and computer use was completed. The electrophysiological study included measurements of motor latencies, motor conduction velocities, and amplitudes of compound muscle action potential of the right median nerve and compared these with those of the left median nerve (control). All of our subjects were right handed. Terminal latency index (TLI) was calculated for each nerve tested. Entrapment neuropathy of the median nerve at the wrist was defined as TLI <0.30. RESULTS: The mean TLI of the median nerve in the right hand was significantly lower than that in the left hand. Eight of the 23 asymptomatic participants (34.8%), 6 of the 12 who reported hand discomfort (50%), and all the 6 participants who met clinical criteria for carpal tunnel syndrome showed electrophysiological evidence suggestive of right median nerve entrapment neuropathy at the wrist. Test of association showed a negative and significant correlation between TLI of the right median nerve and weekly hours mouse device use while no significant correlation was found between TLI in the same hand and weekly hours keyboard use. CONCLUSION: Frequent computer mouse device users are at high risk of developing median nerve entrapment neuropathy at the wrist. PMID- 21063307 TI - The role of neutrophils and interleukin-8 in acute ischemic stroke. AB - OBJECTIVE: To investigate the crucial role of interleukin 8 (IL-8) as an inflammatory marker in infarct evolution, and course of the disease. METHODS: The study included 76 patients that were admitted to Haydarpasa Numune Training and Research Hospital, Istanbul, Turkey between September 2001 and June 2002 with an initial diagnosis of acute ischemic stroke, and 28 control subjects with a corresponding mean age. The serum IL-8 levels obtained within 24 hours of the stroke were assessed by the enzyme-linked immunoabsorbent assay method. The patients were divided into 4 groups according to the extent, and localization of the ischemic lesions. Prognosis was evaluated by modified Rankin Scale. RESULTS: In comparison between patients and control groups, there was a statistically significant difference in (p<0.001) IL-8, and neutrophil (net) levels (p=0.000). The serum IL-8 levels were associated with the extent of the lesion (p<0.01). Though the serum IL-8 levels were significantly higher in the dependent group (p<0.05), there was no significant difference between net levels, and prognosis (p>0.05). There was also no significant difference according to age, gender, and etiology between IL-8 and net levels. CONCLUSION: The high serum IL-8 levels are associated with prognosis. The development of new neuroprotective treatments aimed to prevent neutrophil-mediated-inflammation induced by IL-8 is critical in the treatment of stroke, and prevention of clinical worsening. PMID- 21063308 TI - Diagnostic yield of stereotactic brain biopsy. AB - OBJECTIVE: To evaluate the diagnostic yield, accuracy, and safety of frame-based stereotactic brain biopsy procedures. METHODS: A retrospective study of all pathologically diagnosed intracranial lesions, using frame-based stereotactic guided brain biopsy procedures performed at King Faisal Specialist Hospital and Research Centre (KFSH&RC), Riyadh, Kingdom of Saudi Arabia between 1993 and 2005 was conducted. Medical charts, radiological studies, and pathological slides were reviewed. RESULTS: A total of 120 consecutive patients who had frame-based stereotactic diagnostic biopsy procedures were identified. Data regarding procedural techniques, lesion locations, pathological diagnosis, and postoperative complications were collected. Patients' ages ranged from 3-72 years (mean +/- standard deviation: 39.4 +/- 20.3), 67 males and 53 females. Sites of biopsied lesions included: 49 thalamic, 29 deep frontal, 23 parietal, 9 temporal, and 10 others. Targeting accuracy was 99.2%. General anesthesia was used in 103 patients (85.8%). The rest was carried out under local anesthesia. Diagnostic yield was estimated at 96%. Most frequently encountered pathological diagnosis includes gliomas (63%), infections (16%), and lymphomas (7%). One mortality (0.8%), and 5 (4%) morbidities were encountered. CONCLUSION: Stereotactic brain biopsy is a relatively safe technique to obtain a tissue biopsy that represents the pathology of the lesion. Recent advances in stereotactic neurosurgical techniques have helped to improve the safety and diagnostic yield of such procedures. PMID- 21063309 TI - The efficacy of dexamethasone treatment in adult patients with acute bacterial meningitis. AB - OBJECTIVE: To evaluate the efficacy of dexamethasone added to the treatment of adult patients with bacterial meningitis in our region. METHODS: One hundred and forty-four patients were randomized prospectively and evaluated to determine the efficacy of dexamethasone treatment in adult patients with acute bacterial meningitis at Dicle University Hospital, Diyarbakir, Turkey between January 2000 and December 2004. While the first group received ceftriaxone 4 gr/day plus dexamethasone, the second group received ceftriaxone 4 gr/day only. Dexamethasone was given 10-15 minutes before the first 8 mg dose of antibiotic treatment. It was continued at 16 mg/day for 3 days. RESULTS: The study included 144 patients with the diagnosis of acute bacterial meningitis. Cerebrospinal fluid (CSF) was analyzed at the time of admission, after 24-48 hours (Table 1), and at the end of treatment. Accordingly, CSF leukocyte level was found to be 1710+/-2140/mm3 in group 1 receiving dexamethasone treatment compared to 1950+/-2244/mm3 in group 2 (p=0.001). The consciousness in the group receiving dexamethasone improved significantly more rapidly than the control group (p=0.001). While mortality was 9.7% in the patient group receiving dexamethasone it was 16.7% in the control group, however, it was not significant (p=0.093). CONCLUSION: The use of dexamethasone in adult patients is still under debate, and the administration of dexamethasone 10-15 minutes before antibiotherapy to unconscious patients in a poor state of health, is effective in the clinical improvement of the patient. PMID- 21063310 TI - A 6-year prospective surveillance study for healthcare associated infections in a neurology unit. AB - OBJECTIVE: To assess the epidemiology of healthcare-associated infections (HAIs) in a neurology unit in a university hospital. METHODS: The study was carried out prospectively at Dicle University Hospital, Diyarbakir, Turkey (1050-bed) between 1st January 1999 and 31st December 2004. Active surveillance for HAIs was performed by the infection control team, using the criteria proposed by the Centers for Diseases Control and Prevention (CDC) and National Nosocomial Infections Surveillance System (NNIS) methodology. RESULTS: During the 6-year follow up period, 219 HAIs episodes were detected in 203 patients out of 3323 in patients. The mean length of stay of patients with HAI was 28+/-5 days, while that of patients without infections was 11+/-1 days. Eighty-two patients died with HAIs, while 1330 died in the patients without infections. The overall incidence rates (HAI/100) and incidence densities (HAI/1000 days of stay) of HAIs were 6.6% and 4.4/1,000 patients-days. The most common HAIs by primary site were urinary tract infection (44.2%) and decubitus infection (30.4%). The most prevalent microorganisms were Escherichia coli (27%), Klebsiella species (14%), Pseudomonas aeruginosa (13%), Enterobacter species (12%), coagulase-negative Staphylococci (10%) and Staphylococcus aureus (7%). CONCLUSION: The results may contribute to observe the magnitude and characteristics of HAIs and to plan and evaluate policies and guidelines of infection control in neurology units. PMID- 21063311 TI - Peripartum neurological emergencies in a Critical Care Unit. AB - OBJECTIVE: To assess the proportion of eclampsia among patients admitted to the critical care unit (CCU) with an acute neurological emergency in the peripartum period, and careful clinical, laboratory, and radiological evaluation of non eclampsia cases responsible for such presentation. METHODS: A case series study that included women with acute deterioration of consciousness, with or without convulsions or neurological deficits, during pregnancy or puerperium, received in the CCU of Ibn Sina Teaching Hospital in Mosul, Iraq, from September 1st 2005 to August 31st 2006. A total of 30 women were included. They received careful clinical, radiological, and laboratory evaluation in an attempt to identify the cause of their presentation. RESULTS: Eclampsia was found to be responsible for two thirds of cases (20 patients). The remaining one third was diagnosed as cerebral venous thrombosis (CVT) (7 patients), peripartum cerebral infarction (one patient), intracerebral hemorrhage (one patient), and acute fatty liver of pregnancy (one patient). Four of the women with CVT had preeclampsia during pregnancy. Imaging studies, particularly MRI and MR venography, provided the final diagnosis in most cases. Eclampsia was found more common in women presenting during their first pregnancy, while CVT was more common in multiparous women (p=0.0001). Cortical blindness was significantly associated with eclampsia instead of CVT (p=0.01). CONCLUSION: Acute neurological symptoms in the peripartum period represent a diverse group of conditions, requiring careful clinical evaluation and early access to imaging studies. PMID- 21063312 TI - Psychiatric consultations and length of hospital stay. AB - OBJECTIVE: To examine factors affecting the length of hospital stay (LOS) of patients referred to psychiatric consultation liaison (C-L) services. METHODS: The study sample prospectively included all the referrals in 2004 to the C-L psychiatry unit at King Khaled University Hospital in Riyadh, Kingdom of Saudi Arabia. The following factors were documented for each consultation: patient demographic characteristics, dates of admission, consultation, and discharge, and total days of stay, medical specialty service requesting the consultation, reason for referral given by the referring physician, and the Diagnostic and Statistical Manual of Mental Disorders DSM-IV diagnosis, based on the consultation interview. RESULTS: The total number of referrals was 264. The LOS showed positive correlation with referral time (p=0.0001) accounting for 22% of the variance in LOS. Surgical ward admission and diagnosis of delirium predicted longer LOS, while obstetric/gynecology ward admission, diagnosis of anxiety, and diagnosis of bipolar affective disorder predicted shorter LOS. CONCLUSION: The direct correlation between the timing of referral and LOS reinforces that it is important for medical professionals to identify and detect patients who require early psychiatric intervention. Ways of detecting high-risk patients are discussed. PMID- 21063313 TI - Restless leg syndrome in the differential diagnosis of entrapment and peripheral neuropathies. AB - Restless leg syndrome (RLS) is an abnormal sensation disorder. Defining the syndrome is difficult. It is transmitted autosomal dominant genetically, is especially prevalent in the lower limbs, and is seen in both genders. In the differential diagnosis of RLS, nocturnal leg cramps, akathisia, peripheral neuropathy, entrapment neuropathy, and vascular disease (for example, deep vein thrombosis) should be considered. A 52-year-old woman was admitted to our clinic with signs of paresthesia, she had abnormal sensation disorder in both legs and the right arm, which she had difficulty defining. She had applied to another center with the same complaints and had been evaluated as entrapment neuropathy, carpal tunnel syndrome, and/or peripheral neuropathy. Her electromyographic examination carried out by us was normal. The history, neurological examination findings, and results of standard laboratory analyses provided a diagnosis of idiopathic RLS. After the diagnosis of RLS in the proband, we questioned other family members. Her large family had 63 members, 35 males, and 28 females. Of 63 members, 17 also had an RLS diagnosis. PMID- 21063314 TI - Unusual occurrence of cystic fibrosis and alobar holoprosencephaly. AB - Holoprosencephaly (HPE) is a defect of embryonic forebrain resulting from failure of growth and segmentation of the anterior end of the neural tube. It has been classified into 4 types based on the severity of associated brain and facial malformations. The most severe variety called alobar HPE is generally associated with major cranio-facial anomalies such as cyclopia, ethmocephaly, cebocephaly, or cleft-lip/palate. Significant etiological heterogeneity exists in HPE and includes both genetic and environmental causes. Maternal diabetes is a well established environmental factor with a significant increased risk for HPE. We report on a Saudi Arab girl born to a diabetic mother, with the alobar type of holoprosencephaly, associated with very minimal cranio-facial defects. However, she displayed several other congenital malformations. In addition, she was diagnosed with cystic fibrosis. Simultaneous occurrence of cystic fibrosis and congenital anomalies has been rare. PMID- 21063315 TI - Transanal prolapse of a ventriculoperitoneal shunt. AB - Ventriculoperitoneal shunt application is among the most frequently performed procedure in the treatment of hydrocephalus. Despite the peritoneal cavity being convenient for absorption of cerebrospinal fluid, multiple complications related to the shunt tend to develop in this area. Anal migration of ventriculoperitoneal shunt catheter is seen as a rare complication due to the intestinal perforation caused by peritoneal shunt catheters. The diagnosis of this condition is self evident. In this report, an infant whose shunt catheter protrudes through the anus with no abdominal or CNS signs is presented. PMID- 21063316 TI - The potential diagnostic value of diffusion-weighted imaging in acute disseminating encephalomyelitis. AB - Acute disseminating encephalomyelitis (ADEM) is a treatable inflammatory, demyelinating disorder of the central nervous system. Early application of steroids has been shown to reduce morbidity, therefore, early diagnosis is highly desirable. Magnetic resonance imaging (MRI) is the imaging modality of choice for establishing the diagnosis along with the clinical presentation. The aim of this report is to show the potential diagnostic value of advanced MR techniques such as diffusion-weighted imaging (DWI), which has been found to add to the diagnostic power of MRI in the setting of demyelinating disorder, even in the absence of contrast enhancement. We report a case of ADEM in an 11-year-old boy revealing high signal intensity changes on DWI indicating elevated diffusion. PMID- 21063317 TI - Wallenberg syndrome as a sole presentation of celiac disease. AB - Celiac sprue is a gluten sensitive enteropathy in which there is a small bowel villous atrophy associated with gastrointestinal and extraintestinal symptoms. True prevalence is difficult to ascertain because many patients have atypical symptoms or none at all. Few children display CNS symptoms such as peripheral neuropathy and cerebellar ataxia. So far, stroke in posterior circulation territory as a presentation for celiac disease has not been reported. We report a 15-year-old male patient who presented as Wallenberg syndrome without any positive medical history. Brain MRI confirmed infarction, and magnetic resonance angiography showed vertebral artery stenosis. Laboratory findings revealed anemia and positive serologic tests for celiac disease, and duodenal biopsy confirmed the disease. In any children with symptoms of vertebrobasilar insufficiency, celiac disease as a treatable cause must be considered. PMID- 21063318 TI - Episodic tonic pupil with aneurysm located on the same side. PMID- 21063319 TI - Delayed onset dystonia secondary to neonatal anoxia. PMID- 21063320 TI - Listeria monocytogenes meningitis in adults. PMID- 21063321 TI - An outbreak of aseptic meningitis in Hatay Province, Turkey. PMID- 21063323 TI - Auditory brainstem evoked response in autistic children in central Saudi Arabia. PMID- 21063322 TI - Cyanotic breath holding spell in a neonate. A rare entity. PMID- 21063324 TI - Metformin-induced paroxysmal dystonia. PMID- 21063325 TI - Atrial fibrillation among patients with stroke. PMID- 21063326 TI - Posterior epidural migration of a sequestrated lumbar disc fragment. PMID- 21063327 TI - Generic substitution of anti-epileptic drugs. A needed battle? AB - The clinical and economic consequences of generic antiepileptic drug (AED) substitution are not yet fully understood. Generic substitution may increase pharmacy utilization, but it may not always save health care costs for AEDs. The AEDs are relatively cheap, but high volumes of prescriptions mean that substantial drug-budget savings may be possible by switching from innovator brands to cheaper generic drugs. Such savings have been achieved in many other treatment areas. However, more caution may be needed for epilepsy because of the narrow therapeutic index, low solubility, and non-linear pharmacokinetics of some AEDs. This means that the ranges of bioequivalence that are authorized for generic formulations do not offer the same results regarding effectiveness and safety as those obtained by brand name drugs. This is why seizure control should not be sacrificed on the basis of cost alone, as the major endpoint in treating epilepsy with AEDs is seizure control without adverse effects. Switching to the cheapest generic AED may offer drug-budget savings that outweigh any risk to patient safety. But to date, this cost-benefit analysis has not been carried out. We propose that all changes to established principles of treating epilepsy are evidence based and that the risks of switching are clearly defined. PMID- 21063328 TI - AA-861 appears to suppress leukocyte infiltration induced by traumatic brain injury in rats. AB - OBJECTIVE: To study the effect of 2,3,5-Trimethyl-6-(12-hydroxy-5,10 dodecadiynyl)-1,4-benzoquinone (AA-861) on intercellular adhesion molecule 1 (ICAM-1) and P-selectin expression, leukotriene B4 (LTB4) level, and myeloperoxidase (MPO) activity 24 hours after traumatic brain injury (TBI). METHODS: This study was carried out in the laboratory of the Department of Clinical Pharmacology, Osmangazi University, Eskisehir, Turkey in 2006. Traumatic brain injury was induced in 2 sets of animals using Feeney's weight-drop method. The first set was used to study the expression of ICAM-1, P-selectin, CD11a, and mouse anti-rat granulocyte monoclonal antibody (HIS48). The second was used to study tissue changes in LTB4 level, and MPO activity. The rats were sacrificed at 0.5, 4, 24, 48, and 72 hours post-injury. RESULTS: Intercellular adhesion molecule (p=0.000001) and P-selectin expression (p=0.00002) peaked at 24 hours, remained high at 48 hours (p=0.00012 for ICAM-1, and p=0.00002 for P-selectin), and 72 hours (p=0.000008 for ICAM-1, p=0.0011 for P-selectin). The HIS48 intensity was significantly increased at 24-72 hours (p=0.022), while the intensity of CD11a became significant only at 72 hours (p=0.040). Myeloperoxidase activity increased notably at 24 hours (p=0.00077), and peaked at 48 hours (p=0.00001). The LTB4 increased markedly at 4 hours (p=0.000004), and peaked at 24 hours (p=0.000001). Pretreatment with AA-861 considerably suppressed the expression of ICAM-1 (p=0.0053), and P-selectin (p=0.0018) on microvascular endothelium, and lowered MPO activity (p=0.0007), and LTB4 level (p=0.008) at 24 hours. CONCLUSION: The present results suggest that AA-861 might be a potential mediator in the treatment of brain inflammation in TBI. PMID- 21063329 TI - Deoxy-ribonucleic acid repair genes XRCC1 and XPD polymorphisms and brain tumor risk. AB - OBJECTIVES: To evaluate whether polymorphisms in the deoxy-ribonucleic acid (DNA) repair genes XRCC1 and XPD, have efficacy in the development of brain tumors. METHODS: This is a case-population based study, including 135 cases of brain tumors, and 87 population based age- and gender-matched healthy controls. We examined the role of XRCC1 Arg 399Gln gene and XPD Lys751Gln gene polymorphisms, in the context of brain tumor risk for the Turkish population between 2004 and 2007 at Selcuk University, Konya, Turkey. Patients with brain tumors were subdivided into glial tumors (n=71), meningiomas (n=35), pituitary adenomas (n=21), and metastases to the brain (n=8). The diagnoses of brain tumors in all patients were analyzed by histopathological examination. Genomic DNA of leukocytes for polymerase chain reaction analysis was isolated. RESULTS: Association of genotype of both XRCC1 Arg399Gln and XPD Lys751Gln genotypes with tumor types, tumors according to brain subtypes were, 71 (52.6%) meningiomas, 35 glial (25.9%), 21 (15.55%) pituitary adenomas, and 8 (5.9%) metastases to the brain. Between subtypes of tumors, there was a significant difference in XRCC1 Arg399Gln genotypes, and not in XPD Lys751Gln genotypes. CONCLUSION: The results indicated no elevated risk for brain tumors in individuals with the XRCC1 Arg399Gln and XPD Lys751Gln polymorphism risk. PMID- 21063330 TI - Neuroprotective effects of selenium and ginkgo biloba extract (EGb761) against ischemia and reperfusion injury in rat brain. AB - OBJECTIVES: To determine the neuroprotective effects of Ginkgo biloba extract (EGb761) and Selenium (Se), and the combination of these agents on ischemia/reperfusion (I/R) injury in a rat model of transient global cerebral I/R. METHODS: This experimental study took place in the Animal Research Laboratory at Dokuz Eylul University, Izmir, Turkey in the year 2006. Fifty rats were subjected to cerebral I/R induced by right carotid artery occlusion technique for a duration of 45 minutes, and then were treated with EGb761 (50 mg/kg/day, ip) and Se (0.625 mg/kg, ip), alone or in combination for 14 days after surgery. Superoxide dismutase, and glutathione peroxidase activities were measured in the hippocampal tissues from 25 animals. Histopathological examinations were also carried out under light and electron microscopy from the rest of animals. RESULTS: The results suggest that EGb761 has a potent neuroprotective effect against cerebral I/R induced injury in rat brain that is comparable with that of Se. However, the combined use of EGb761 and Se does not further protect from neuronal injury when compared with the use of both agents alone. DISCUSSION: Our results suggest that administration of EGb761, Se and its combination with EGb761 have significant neuroprotective effects on I/R injury in rats via suppression of oxidative stress. PMID- 21063331 TI - Which is more effective in reducing secondary brain damage resulting from cyclooxygenase expression following traumatic brain injury: calcium channel blockers or cox inhibitors? AB - OBJECTIVES: To evaluate localizations of cyclooxygenase (COX)-1 and COX-2 following traumatic brain injury (TBI) and the effects of 2 therapeutic agents on COX inhibition. METHODS: Forty rabbits were used in this study for developing a TBI model and divided into 4 groups (n=10) at Afyon Kocatepe University School of Medicine, Afyonkarahisar, Turkey in June 2004. Differential cellular COX-1 and COX-2 protein expression profiles were analyzed following TBI, and the effects of 2 therapeutic agents, indomethacin and nimodipine, on COX inhibition were evaluated immunohistochemically. RESULTS: This study revealed that COX-1 and COX 2 protein expression were significantly increased in vascular endothelial, smooth muscle cells, and CD68+ microglia/macrophages following TBI. Indomethacin inhibited the COX expression in glial cells more than nimodipine, however, both did not affect endothelial COX-1 and COX-2 expression. CONCLUSION: The restricted accumulation of COX-1 at the perilesional area points to an acute inflammatory response and the role of COX-1 in TBI. This study revealed that COX-1 expression should be a pharmacological target following TBI, and COX-2 should also be evaluated in this aspect, and indomethacin is more effective than nimodipine for blocking COX-1. PMID- 21063332 TI - Corpus callosum and optic chiasm in early blind adults. AB - OBJECTIVES: Certain callosal dimensions, callosal areas, and the optic chiasm (OC) thickness were measured in order to detect any morphometric difference that would imply plastic changes in a selected group of adults. METHODS: Seventeen early blinds were selected among a group of blind adults after performing interviews. These selected blind subjects, and 23 adults with normal vision of both genders were examined by MRI. The study was conducted in Mersin, Turkey between the years 2004 and 2006. RESULTS: Only 14 early blind subjects completed the MR imaging procedure. Statistically significant difference between the OC thicknesses of 2 groups was found whereas no statistically significant difference was detected for the callosal dimensions. CONCLUSION: The difference in the OC dimensions of the 2 groups may be explained by the disuse atrophy. It has been known that if a cortical area of any sense is deprived of stimulus within the critical period, then it may take on another cortical activity. The reasons for the unaffected dimensions of the corpus callosum (CC) in this study may be either the relatively small percentage of the fibers related to vision within the total CC, such as auditory function, of the "normally" visual cortex. PMID- 21063333 TI - Comparison of unilateral posterior lumbar interbody fusion and bilateral posterior interbody fusion with simple discectomy at degenerative disc herniations. AB - OBJECTIVES: To evaluate the early results of unilateral posterior lumbar interbody fusion technique in lumbar discectomy cases compared with simple discectomy and bilateral posterior interbody fusion cases using visual analog scale (VAS) and Oswestry outcomes instruments and radiological and physical examinations. METHODS: The control group had 40 patients who underwent simple discectomy. In group one, 8 patients had recurrent disc herniations and facet joint hypertrophy, 21 patients had degenerative disc disease and facet joint hypertrophy. In this group polyetheretherketone (PEEK) cage was used for protecting the disc height and recurrence. In group 2, 15 patients had degenerative lumbar disc herniations and bilateral facet joint hypertrophy. All patients were operated upon between October 2002 between February 2004 at the Neurosurgery Department of Kocatepe University Medical School, and were followed by the help of radiological exams, VAS and Oswestry scores, and clinical exams. The groups were compared to each other statistically. RESULTS: In the control group (n=40) there were recurrences and disc height loss. In group one (n=29) there were no recurrences and the height lost was limited. In group 2, (n=15) there was no recurrence, however, the lost disc height was more than group one. CONCLUSION: If the patient has degenerative disc disease, the use of unilateral posterior PEEK cage and interbody grafting is a safer mode of treatment after discectomy. PMID- 21063334 TI - Neurophysiologic evaluation of the temporomandibular joint and related masticatory muscles in rheumatoid arthritis patients. AB - OBJECTIVES: To study the effect of rheumatoid arthritis (RA) on the temporomandibular joints (TMJ) and related muscles using CT scan and neurophysiologic tests. METHODS: Forty-two RA patients referred from the Maxillofacial Clinic at the Special Surgeries Hospital, Medical City, Baghdad, Iraq from February 2006 to September 2006 were included in this study. Thirty seven of them underwent CT scan of the TMJ and 25 of these patients were neurophysiologically examined. The data were compared to 30 age-matched control subjects. RESULTS: Fifteen patients showed normal TMJ, whereas, abnormal TMJ on CT scan was present in 22 patients. Of these 22 patients, 6 showed decrease in the intra-articular space, 6 exhibited erosion of the condylar head, and 3 had flattening of the condylar head. The remaining 7 patients had all the abnormalities present. Electromyography (EMG) examination showed reduced interference pattern, poor recruitment of motor unit potentials, shift of the power spectra to the lower frequencies, low mean power frequency, and root mean square values, and prolonged blink reflex component latencies. CONCLUSION: Rheumatoid arthritis patients with positive CT scan findings have poorer neurophysiologic data than those without CT scan detectable lesions. Trigeminal motor neuropathy is suggested to be the cause of the masticatory muscle weakness. Root mean square voltage as a parameter of the EMG power spectra is of great value in diagnosing such weakness. PMID- 21063335 TI - Dermatological manifestations of epilepsy among adult Sudanese epileptic patients. AB - OBJECTIVES: To study the pattern of dermatological changes associated with epilepsy among adult Sudanese epileptic patients. METHODS: This non interventional descriptive study included 360 adult Sudanese epileptic patients and was conducted at the El Shaab Teaching Hospital, Khartoum, Sudan, from February 2004 to August 2007. All patients had full detailed history and clinical examination. A dermatologist assessed the dermatological changes. Investigations carried out included EEG, CT brain, and serial of drug serum levels. RESULTS: Out of 360 patients, 31 were found to have scars due to repeated attacks of convulsions, one patient was found to have neurofibromatoma, one had tuberous sclerosis, one had Sturge-Weber syndrome, one had Kaposi sarcoma, one had systemic lupus erythematosus, one diabetic patient had skin atrophy, one patient taking phenobarbitone had skin eruption, one patient on carbamazepine had skin changes, while 5 patients on phenytoin had skin manifestations. CONCLUSION: Skin changes can occur in epileptic patients as part of drug toxicity, or as part of the clinical manifestations of certain diseases that can cause secondary epilepsy, for example, neurofibroma. PMID- 21063336 TI - Predictors of outcome for non-traumatic intracerebral hemorrhage. AB - OBJECTIVE: To evaluate the prognosis, neurologic outcome, and predictors of survival in patients with non-traumatic intracerebral hemorrhage. METHODS: We evaluated prospectively a cohort of 96 Saudi adult males and females with stroke during the month of July 2005 at Arar Central Hospital, Riyadh, Kingdom of Saudi Arabia. Out of 103 patients, 96 patients, who were diagnosed as having intracerebral hemorrhage (ICH) presenting to the emergency department for initial evaluation, were included, except those with recurrent intracerebral hemorrhage, arteriovenous malformation, subarachnoid hemorrhage, traumatic brain injury, hemorrhagic infarctions, and patients receiving anticoagulant therapy. No patient underwent any neurosurgical procedure. RESULTS: The results of 96 patients were analyzed. The mean age at ICH was 67.2 (+/-14.7) years (range, 30-100 years), and mean Glasgow coma scale (GCS) score on admission was 8.42 (+/-1.73) and (range, 4 13). Mean ICH volume on initial CT scan was 10.61 (+/-14.01) ml3 (range, 1-63). Mean pulse pressure on hospital arrival was 81.9 (+/-22.8) mm Hg (range, 70-120 mm Hg). In uni-variate analysis, GCS score (p=0.0005), ICH volume (p=0.001), mass effect (p=0.001), and presence of intraventricular hemorrhage (p=0.0005) were all associated with 30-days mortality, while in multivariable analysis, the most significant independent predictors of 30-day mortality were, GCS score and the intraventricular extension of hemorrhage. CONCLUSION: This model may aid in making decisions quickly and easily regarding the appropriate level of care for such patients with intracerebral hemorrhage. PMID- 21063337 TI - Brain metastases in patients with diagnosed versus undiagnosed primary tumor. AB - OBJECTIVES: To propose a diagnostic work-up specifically tailored to the undiagnosed primary (UDP) tumor patients. METHODS: To investigate the distribution of primary tumors and presenting symptoms in UDP versus diagnosed primary (DP) patients, 50 consecutive patients with diagnosis of brain metastasis in Shohada Hospital, Tehran, Iran from January 2001 to December 2005 were included in this study. Univariate analyses were performed to assess the difference of various variables between DP and UDP patients. RESULTS: The UDP patients represented 46% of all. Aphasia was significantly more common in the UDP group (p=0.0008) and ataxia in the DP group (p=0.04). The source of the metastases proved to be different between the 2 groups of interest (p=0.0006). The lung was the most frequent primary site in both groups. Among all UDP patients, a primary tumor in a location other than the lung was only found in 17% of patients. This study validated the hypothesis that the distribution of primary tumors differs between DP and UDP patients. CONCLUSION: If lung investigation fails to disclose the location of primary tumor, the patient is unlikely to benefit from extensive paraclinical investigation. In such a situation, a neurosurgical procedure should be considered the most appropriate second step to be taken. PMID- 21063338 TI - Morphometric measurements of the thalamus and interthalamic adhesion by MRI in the South-East of the Caspian Sea border. AB - OBJECTIVES: To assess the gender differences and the age-related morphometrical changes of the thalamus, interthalamic adhesion, and the right-left differences of the thalamus of the native Fars ethnic group in the South-East of the Caspian Sea border METHODS: This descriptive study was carried out on 97 patients (44 males and 53 females) without neuropathologic changes and symptoms admitted to the Kowsar MRI center in the South-East of the Caspian Sea border (Gorgan City, Northern Iran) in 2006. Thalamic dimensions were measured by MR images. The vertical lengths of the thalami and interthalamic adhesion were measured in the coronal sections, while the anteroposterior and transverse length measurements of the thalami and interthalamic adhesion were obtained in the axial plane. The data were assessed by SPSS 11.5 statistics program. RESULTS: Thalamic dimensions were longer in males. There was no significant correlation between size of thalamus and interthalamic adhesion regarding age; however, we found that thalamic dimensions increase a little with age until the 31-40 years group, and decreased after that. There was no correlation between age and gender groups and dimensions of the interthalamic adhesion. CONCLUSION: This study showed that there are no significant differences between right and left sides of the thalamus, however, the left-side thalamic dimensions were a little longer than the right. PMID- 21063339 TI - Multiple sclerosis in Jordan and Iraq. Clinical and social overview. AB - OBJECTIVES: To verify clinical features of multiple sclerosis (MS) patients throughout the course of their illness and to study the socioeconomic status of MS patients in Jordan and Iraq. METHODS: Fifty-two patients were examined by 2 neurologists looking for the clinical and radiological features of MS at Al Bashir Teaching Hospital, Amman, Jordan (35 patients), and Rezgary Teaching Hospital-Erbil, Kurdistan, Iraq (17 patients) from January 2004 to July 2007. The study design was based on full clinical examination of MS patients, investigate the signs, and symptoms, tabulating them, and show the percentage of their presence in all patients, and then comparing the results with other findings through systematic review of the available data from other reviews. RESULTS: The percentage of different symptoms, signs, and radiological features of the 52 MS patients were recorded. Weakness in one limb, mostly the leg (90.4%) was the most common symptom described by our patients, while hyperreflexia was the most prominent sign in MS patients (75%). Higher level of school performance, and moderate to good socioeconomic status were the common status between MS patients. The MRI results were interpreted according to the anatomical sites, and according to different MRI sequences, and the MRI showed MS plaques in almost all patients. CONCLUSION: This study showed comparable findings with other studies, except nystagmus, which was less observed in Jordanian and Iraqi patients. PMID- 21063340 TI - Different manifestations of nervous system involvement by neurobrucellosis. AB - OBJECTIVES: To assess the clinical categories, laboratory, radiological findings, and treatment outcomes of patients with neurobrucellosis. METHODS: This retrospective study was designed at the Infectious Diseases and Clinical Microbiology Department, Faculty of Medicine of Gaziantep University, Gaziantep, Turkey between 2003 and 2006. In this period, 300 patients with brucellosis were diagnosed, and 13 patients with neurobrucellosis are described. Diagnosis of neurobrucellosis was based on the isolation of Brucella spp. from CSF and/or CSF standard tube agglutination (STA) >= 1/40, lymphocytic pleocytosis, increased protein in CSF and STA >= 1/320 in blood. The mean adenosine deaminase level was 12.15 u/L in CSF. The duration of antimicrobial treatment varied with the clinical response of the patient. RESULTS: We divided the 13 patients with neurobrucellosis into 4 different groups according to clinical presentation: meningoencephalitis in 8 patients, cerebral demyelination in one patient, myelitis in one patient, and neuropsychiatric disorder in 3 patients. The most common clinical form of neurobrucellosis was meningoencephalitis. There was no mortality in any of the cases. CONCLUSION: Applying these diagnostic criteria can help both early diagnosis of neurobrucellosis and differentiation from other CNS involvement in endemic regions. PMID- 21063341 TI - Psychiatric morbidity among Saudi secondary schoolteachers. AB - OBJECTIVES: To measure the prevalence and severity of depression, anxiety, and stress among male secondary schoolteachers. METHOD: A cross-sectional study was conducted during the academic session 2004/5, covering the teachers of 65 boys' secondary schools in Abha Educational Area, Aseer Region, Saudi Arabia. A study sample of 195 teachers was obtained using the random sampling technique. Data were collected using the Arabic version of the 42-item Depression, Anxiety, Stress Scale (DASS-42). RESULTS: Out of a total 195 teachers, 189 questionnaires were returned, yielding a response rate of 96.9%. Twenty-five percent had depression 43% had anxiety, and 31% of the participants had stress. Depression, anxiety, and stress were strongly, positively, and significantly correlated. CONCLUSION: Male secondary schoolteachers in Abha educational area in Saudi Arabia experienced a high prevalence of depression, anxiety, and stress. Additional studies are needed to find out the causes of this problem. PMID- 21063342 TI - Diagnostic and management difficulties of chronic inflammatory demyelinating polyradiculoneuropathy. AB - OBJECTIVES: To describe the pattern of chronic inflammatory demyelinating polyradiculoneuropathy (CIDP), and evaluate its local diagnostic and management practices. To define factors responsible for the delay in reaching a diagnosis and initiating treatment. METHODS: Patients with the diagnosis of CIDP attending King Khalid University Hospital, Riyadh, Saudi Arabia between 1986 and 2006 were retrospectively studied, in relation to diagnosis and management. Diagnosis was reassessed, and patients included in view of American Academy of Neurology as well as Latov's criteria. Available patients were reevaluated and prospectively followed up until the end of the study. RESULTS: Twenty-two patients were included (18 males and 4 females, 4.5:1). Age at onset range was 3-70 years (mean of 33 years). Diagnosis in 80% of patients was delayed from 6 months to 10 years (mean of 2.5 years). No case was diagnosed before referral. The course was progressive in 53% and relapsing in 47%. Most patients made significant initial improvement, though less than expected. Two patients with long delay in diagnosis (7.5 and 10 years) showed no improvement. CONCLUSION: Diagnosis of CIDP is frequently delayed, with a deleterious effect on response to treatment. This is related to some degree to the lack of awareness among general physicians, which needs to be corrected. Treatment was also hindered by patients' suboptimal compliance, which could be improved by better education. Management is not standardized, and this could be improved by establishing up-to-date treatment guidelines. PMID- 21063343 TI - Central pontine myelinolysis due to rapid correction of hyponatremia induced by excessive water intake. AB - We report a 25-year-old female with a recent diagnosis of gastric B-cell lymphoma based on imaging and pathological findings. She was discharged against medical advice after it was recommended to her, by an "alternative medicine practitioner," to restrict her diet to excessive ingestion of the "Holy water Zamzam" (natural well water in Makkah). She presented back with altered level of awareness and severe, new-onset hyponatremia. The hyponatremia was corrected rapidly and her condition improved. Six days later, she developed the classical pyramidal and extrapyramidal features of central pontine myelinolysis, documented by MRI. The patient succumbed to her original disease 3 months later. PMID- 21063344 TI - Sensorineural hearing loss in neurobrucellosis. AB - Neurobrucellosis (NB) is a rare clinical presentation of brucellosis. This form is hard to diagnose because of a lack of definite diagnostic criteria, and its treatment is also hard. The clinical spectrum may cover a span between non specific neurological symptoms to a severe meningoencephalitis. We report 3 patients with NB, whose main complaint was sensorineural hearing loss (SNHL) who were followed up at our hospital for 3 years. We diagnosed NB by positive CSF cultures in 2 patients and by a positive brucella IgG agglutination titer in blood and CSF in the third. Sensorineural hearing loss is a rare complication of NB, which has not attracted enough attention among known manifestations. Neurologists and otologists should be aware of this symptom as a probable clinical presentation of brucellosis. PMID- 21063345 TI - Prolonged hemiplegic migraine. AB - Hemiplegic migraine is a rare form of migraine variant. It is characterized by recurrent attacks of headache associated with temporary neurological deficit, usually unilateral hemiparesis or hemiplegia. It can be difficult to distinguish from migrainous stroke clinically, and a full neurological work-up and careful review of medical history and symptoms are necessary for the diagnosis. Two forms of hemiplegic migraine are known: familial and sporadic, phenotypically similar, differentiated by the absence of family history of similar attacks in the sporadic form. We report a case of sporadic hemiplegic migraine with unusually prolonged deficit and progression to quadriplegia with complete return to baseline 7 days after onset. Diffusion weighted images helped in excluding infarction. PMID- 21063346 TI - Merosin-deficient congenital muscular dystrophy in an Omani boy. AB - Merosin-deficient congenital muscular dystrophy is an autosomal recessive disease that can manifest differently in different ethnic groups. This often presents as a floppy infant, and normal mental development. The creatine kinase is usually elevated with white matter abnormalities on brain imaging. In this report, we describe an infant with Merosin-deficient congenital muscular dystrophy who presented with delayed motor milestones and hypotonia. The clinical features, biopsy findings, and neuroimaging abnormalities in our patient are described. PMID- 21063347 TI - Coexistence of subdural and intracerebral hematoma in a shunted infant not related to ventricular catheter. AB - We have here, a case report of an infant who presented with subdural and intracerebral hematoma distantly from the site of insertion of a ventriculoperitoneal shunt 6 days after operation. Though this complication has been previously reported, it remains a rare event. Both pathogenesis and treatment still represent a problem. PMID- 21063348 TI - Multiple sclerosis with recurrent meningitis. AB - Multiple sclerosis is an autoimmune demyelinating disease that is rarely associated with aseptic meningitis. However, certain syndromes causing aseptic meningitis are often associated with central nervous system demyelination that mimics multiple sclerosis (MS). Since many of these syndromes are potentially treatable, unmasking an alternative diagnosis is essential whenever an MS-like illness and recurrent meningitis are encountered in the same patient. Yet, the search for an alternative diagnosis may be elusive sometimes, despite extensive and appropriate investigations. We present a young woman with an MS-like illness associated with recurrent meningitis over a 7-year period. After an exhaustive evaluation, we conclude that recurrent meningitis is an atypical manifestation of MS. If neurologists would appreciate this point, unrewarding and costly investigations may be avoided and appropriate therapy instituted when similar cases are encountered in clinical practice. PMID- 21063349 TI - Epilepsia partialis continua of the face in a 4-year-old girl. PMID- 21063350 TI - Subarachnoid hemorrhage revealing Takayasu's disease. PMID- 21063351 TI - Spontaneous pneumocephalus related to CSF shunting and pneumosinus dilatans in a patient with a large cavernoma. PMID- 21063352 TI - Cerebral venous sinus thrombosis following tamoxifen prescription. PMID- 21063353 TI - Teratogenic effects of gabapentin on neural tube and limb development in mice. PMID- 21063354 TI - Ataxia due to isolated vitamin E deficiency in Turkey. PMID- 21063355 TI - Adrenomyeloneuropathy, presenting with sub-acute spastic paraparesis and Addison's disease. PMID- 21063356 TI - A 45-year old man with headache, double vision, and facial numbness. PMID- 21063357 TI - A patient with abrupt left sided palsy but an incidental finding on brain MRI. PMID- 21063358 TI - Genetics of ischemic stroke. AB - Stroke is the third leading cause of death and a major cause of disability worldwide. Most cases of ischemic stroke are attributable to hypertension and other risk factors, but in over 20% of cases, the cause is unknown. Recent research has implicated some novel genes in the etiology of ischemic stroke, including genes for soluble epoxide hydrolase (sHE), 5-lipoxygenase activating protein (FLAP) and phosphodiesterase 4D (PDE4D). Moreover, thrombophilic states such as prothrombin G20210A mutation and factor V Leiden are now known to cause arterial stroke as well as venous thrombosis. Meanwhile, the recent availability of enzyme replacement therapy for Fabry disease and the proven benefits of regular blood transfusion in certain patients with sickle cell disease have greatly altered the outlook of these devastating inherited disorders. Thus, our understanding of the role of genetic factors in stroke raises the prospects for accurate assessment of future stroke risk among susceptible individuals, in whom early preventive measures may be life-saving. Further research into the genetics of stroke will clearly compliment ongoing national and international efforts to reduce the global burden of stroke. PMID- 21063359 TI - Brain death criteria. The neurological determination of death. AB - Brain death implies the permanent absence of all cerebral and brainstem functions. The diagnosis of brain death is usually made clinically. The criteria require the occurrence of acute and irreversible CNS insult. Drug intoxication, poisoning, metabolic derangements, and hypothermia should be corrected for accurate brain death evaluation. At least 2 expert examiners are required to make the brain death determination. It is advisable to involve an independent examiner not involved in the patients care or the recovery of donated organs. The objective of this article is to present updated guidelines for the process of brain death determination. All brain and brainstem functions should be absent on neurological examination including cerebral response to external stimuli and brain stem reflexes. An apnea test should be performed in all patients. However, if the clinical criteria cannot be applied, other confirmatory ancillary tests are required, particularly EEG, and radionuclide scan. They are also needed to supplement the clinical assessment in young children. An EEG is more reliable in the setting of hypotension or with disorders that lower intracranial pressure. While tests of brain blood flow are preferred in the setting of hypothermia, metabolic, or drug confounders. PMID- 21063360 TI - Coexistence of CACNA1A, ATP1A2, and KCNN3 gene mutation in migraine patients with human platelet polymorphism. AB - OBJECTIVE: To look for any possible coexistence of CACNA1A, ATP1A2, and KCNN3 gene mutations in migraine patients who had human platelet HPA-1a/1b polymorphism, which is also known as PlA1/A2 polymorphism. METHODS: The study was carried out at the Neurology Clinic, Hospital University Sains Malaysia, Kelantan, Malaysia between April 2004 and March 2005. The DNA from 4 patients who had migraine with the HPA1a/1b polymorphism were analyzed by polymerase chain reaction using the allele specific oligonucleotide technique to detect the presence of CACNA1A, ATP1A2, and KCNN3 genotypes. RESULTS: We found that the CACNA1A gene mutation alone was present in only one patient who presented with classical migraine with aura. The gene mutations on ATP1A2 and KCNN3 were seen in none of our 4 cases with migraine. CONCLUSION: There is no coexistence between the platelet HPA-1a/1b polymorphism and the ATP1A2 and KCNN3 gene mutations, though one classical migraine patient with HPA-1a/1b polymorphism had the CACNA1A gene mutation. Larger studies are warranted to confirm these findings. PMID- 21063361 TI - Study on serum homocysteine level in Alzheimers disease and its relationship with the stages of this disease. AB - OBJECTIVE: To investigate homocysteine levels in Alzheimer's disease and its relationship with the severity of disease. METHODS: This investigation was performed as a case-control study on 40 Alzheimer patients and 40 non-Alzheimer patients in Tabriz, Iran from May 2006 to September 2007. Alzheimer patients were selected based on the criteria of the American Psychological Association. The severity of illness was determined based on Reisberg scale. Mental status of the patients was evaluated by Mini Mental State Examination (MMSE). The serum levels of homocysteine were measured by enzyme-linked immunosorbent assay method. RESULTS: The average serum homocysteine level in the 40 patient group was 23.01+/ 14.40mmol/L, and in the 40 patient control group was 15.40+/-6.23 (p=0.003). The average serum homocysteine level in the first group of patients was 21.7+/ 12.7mmol/L, in the second group 22.3+/-13.8, and in the third group 24.9 +/- 17.2. The relationship between MMSE score and serum homocysteine level of patients was not significant (p=0.4). CONCLUSION: The average serum homocysteine level in Alzheimer patients was higher than in the control group, however, it did not show a significant relationship with the severity of illness. PMID- 21063362 TI - Visual evoked potentials in neurobrucellosis. AB - OBJECTIVE: To investigate whether visual evoked potentials (VEPs) are valuable for distinguishing between brucellosis with or without neurological involvement. METHODS: A total of 23 patients who were admitted to the Department of Infectious Diseases and Microbiology, and Neurology, Ministry of Health Ankara Education and Research Hospital, Ankara, Turkey between December 2004 and August 2005 with a diagnosis of brucellosis were included in this study. After a detailed neurological and ophthalmological examination, VEPs were recorded. The P100 latencies and amplitudes were compared between the group of brucellosis (n=17) and neurobrucellosis (n=6) patients. RESULTS: Although there was no difference in the mean P100 latencies between the groups (n=17 for brucellosis, and n=6 for neurobrucellosis) (p=0.38), the mean P100 amplitude in patients with neurobrucellosis was significantly lower (p=0.012). CONCLUSION: It could be emphasized that VEPs might reflect an underlying axonal pathology as a distinctive feature in neurobrucellosis. PMID- 21063363 TI - QT dispersion on ECG in acute ischemic stroke and its impact on early prognosis. AB - OBJECTIVE: To evaluate the effects of corrected QT dispersion (QTcd) on patients' prognosis with early stage non-lacunar ischemic stroke, regardless of location of the lesion. METHODS: In this non-randomized prospective study, stroke patients were evaluated in the intensive care unit of Cukurova University Hospital, School of Medicine, Adana, Turkey, from 2002-2003. Neurologic symptoms of all subjects were recorded according to Glasgow Coma Scale (GCS) and Canadian Neurological Scale. Subtypes of stroke were defined according to the Oxfordshire Community Stroke Project classification. Patients with GCS between 7 and 11 were included in the study. Electrocardiograms of the patients were collected in the first 6 hours. Corrected QT (QTc) were calculated by the Bazzett formula. Corrected QT dispersion was defined as maximum minus minimum QT interval. RESULTS: A total of 148 (74 male) consecutive acute stroke patients, aged between 36-90 years (mean 63.07 +/- 12.55), were divided into 2 groups. Group I consisted of surviving patients (n=109) and Group II consisted of expired patients (n=39). There were no statistically significant differences in the mean age, gender distribution, frequency of hypertension, diabetes mellitus, and coronary artery disease between the groups. Group II (7.4 +/- 3.7) had significantly higher QTcd (7.4 +/- 3.7) compared to Group I (p=0.002). CONCLUSION: This study shows the value of QTcd in predicting patients' prognoses with early stage non-lacunar ischemic stroke, regardless of location of the lesion. PMID- 21063364 TI - Study on brainstem auditory evoked potentials in diabetes mellitus. AB - OBJECTIVE: To determine the changes of brain stem auditory evoked potential (BAEP) in diabetes mellitus (DM). METHODS: In a case-control study, 50 diabetic subjects (54.2+/-9.9 years) and 69 healthy subjects (50.87+/-10.6 years) were selected in the Clinic of Neurology, Emam Reza Hospital, Tabriz, Iran, from April 2006 to July 2007. Diabetic subjects were classified according to type of diabetes (type I and II), fasting blood sugar (FBS) level (>130mg/dl) and glycosylated hemoglobin (HbA1C) (>7% and <7%). RESULTS: In a comparison of 50 diabetic samples and 69 non-diabetic samples, there was no significant difference between BAEP findings with FBS level (p=0.683), HbA1C (p=0.151), and type of diabetes (p=0.496). There was a meaningful association between latency of wave III (p=0.012), IV (p=0.023), V (p<0.0001), and interpeak latency of I-III (p=0.035) and III-V (p=0.003) in the diabetic and control group. CONCLUSION: Subjects with DM have abnormalities in BAEP, and for evaluation of defects of the brainstem in diabetic patients usage of BAEP is recommended. PMID- 21063365 TI - Electrophysiological changes, plasma vascular endothelial growth factor, fatty acid synthase, and adhesion molecules in diabetic neuropathy. AB - OBJECTIVE: To evaluate the electrophysiological changes, blood flow index, vascular endothelial growth factor (VEGF), soluble fatty acid synthase (s-FAS), and intercellular adhesion molecule (I-CAM) in diabetic neuropathy. METHODS: This study was conducted from March 2004 to November 2007 on 60 type II diabetic patients and 30 controls, recruited from the Diabetic Research Center of King Abdul-Aziz University Hospital, Riyadh, Kingdom of Saudi Arabia. Electrophysiological studies were carried out in the Clinical Physiology Laboratory. Patients and controls were of the same age, gender, and weight. RESULTS: The study included 30 controls (group I), 30 diabetics type II without complications (group II), and 30 with peripheral neuropathy (group III). There was a significant decrease of motor conduction velocity, prolongation of F wave response of median, ulnar, peroneal nerves, significant decrease of median and ulnar sensory conduction velocity, sural nerve conduction velocity and sensory amplitude, showed significant decrease, ankle/brachial index (A/BI) showed insignificant change, also there was a significant increase of plasma VEGF, s FAS, and ICAM all in group III compared to groups I and II. The results revealed that VEGF and s-FAS are good predictors for median nerve motor conduction velocity, also VEGF is a good predictor of sural nerve sensory conduction velocity in diabetic neuropathy. CONCLUSION: The rise of VEGF in diabetic neuropathy may be protective to preserve the nerve blood flow, the significant rise of s-FAS may be causative in advancement of neuropathy, I-CAM high levels suggest its leading role in interaction between endothelium, blood elements, and peripheral nerves. The results showed that human neuropathy is the result of multiple factors, thus, it may be optimistic to believe that reversing one of them, such as s-FAS will halt, or reverse nerve damage. Targeting multiple mechanisms simultaneously, by administering combination treatments of VEGF, and anti-apoptotic drugs may be prospective. PMID- 21063366 TI - Benzodiazepines sensitivity testing. A pragmatic clinical approach to identify potentially useful GABAergic antiepileptic medications. AB - OBJECTIVE: To determine how benzodiazepine (BZD) sensitivity testing might be utilized to choose potentially useful antiepileptic drugs. METHODS: A retrospective audit of BZD sensitivity testing was carried out on 76 difficult pediatric epileptic cases that attended the Pediatric Neurology services at The Royal Hospital for Sick Children Edinburgh, Scotland from February 2005 to February 2006. The causes and types of epilepsy varied widely, as well as the encephalographic (EEG) findings. The EEG changes post-test are categorized according to the response to BZDs into "complete," "intermediate," "paradoxical" and "absent response." Similarly, the clinical outcomes after changing their antiepileptic medications have different ranges of clinical improvement from "definitive," "partial" and "no improvement." RESULTS: The largest percentages of definitive improvement are seen in those with complete response. The percentage with clinical improvement tends to decrease a) with increasing numbers and amplitudes of spikes that are resistant to the action of BZD, and b) when there is a paucity of, and different distribution of fast rhythms, indicating non viability of cortical tissues. High spike density regions in the EEG pre-test that correlate with a specific pathology, and are found post-test to be devoid of fast rhythms, may indicate focally damaged gamma-aminobutyric acid receptor areas. CONCLUSION: The BZD sensitivity testing may influence the choice of anticonvulsants in the management of epilepsy. PMID- 21063367 TI - Lipid profile in Jordanian patients with first ever ischemic stroke. AB - OBJECTIVE: To study the relationship between lipid profile, total cholesterol (TC), low density lipoprotein (LDL), high density lipoprotein (HDL), and triglycerides (TG) in Jordanian patients admitted with first ever ischemic stroke (IS) to a teaching hospital over a 3-year-period, and compared them to a control group. METHODS: A retrospective case control study of all patients with diagnosis of IS who were admitted to the Jordan University Hospital, Amman, Jordan from January 2004 to December 2006 and had a documented fasting lipid profile within 48 hours of their admission. They were compared to controls without IS. RESULTS: Ninety-eight patients with IS were studied and compared to 98 control subjects. Both patients and control groups were similar regarding age, gender distribution, prevalence of hypertension, diabetes mellitus, ischemic heart disease (IHD), and smoking. The lipid profile showed a significantly lower HDL level in IS patients compared to the control group. There were no significant differences between the patients and control regarding TC, LDL, or TG levels. Control group used statins more frequently than patients (28% versus 10%, p=0.002). Both groups had high prevalence of risk factors. CONCLUSION: Though this study is limited by its retrospective design, it suggests that IS patients have significantly lower levels of HDL. PMID- 21063368 TI - Topiramate as a long-term therapy in children with refractory epilepsy. AB - OBJECTIVE: To evaluate the long-term efficacy, safety, and retention rate of topiramate (TPM) in childhood refractory epilepsies. METHODS: This study was designed as a single-center, retrospective study. Children with refractory epilepsy who has been followed in Behcet Uz Children's Hospital, Izmir, Turkey, between 2003 and 2007 were included in the study. RESULTS: The study population consisted of 43 boys (60.6%), and 28 girls (39.4%) aged between 2-18 years. Mean age was 8.83 (SD: 3.77) and mean duration of epilepsy was 3.89 (SD: 1.51) years. There were 41 children (57.7%) with mental retardation. Twenty-seven children had generalized epilepsy, and 44 children had localization-related epilepsy. Fifty one children (71.8%) showed a good response to initial treatment. The retention at a mean of 32 months was 31 out of 71 children (43.6%), and approximately 18 children (25.3%) were seizure free. A loss of efficacy in long-term use occurred in 17 (33.3%) of initial responders. Adverse events were seen in 20 children (28.1%). There were no significant differences between the groups who continued and discontinued TPM treatment in long-term use. CONCLUSION: As a result, it was determined that the drug was more effective and well tolerated in localization related epilepsies, on long-term follow up. PMID- 21063369 TI - Poststroke depressive symptoms and their relationship with quality of life, functional status, and severity of stroke. AB - OBJECTIVE: The present study aimed to investigate the relationship between depressive symptoms in 6 months after stroke and the quality of life (QOL), clinical and socio-demographical characteristics, functional status, and severity of stroke. METHODS: Ninety consecutive stroke patients who attended the neurology outpatient clinic at Erciyes University, Kayseri, Turkey from March 2004 to March 2005 were evaluated for the study. Seventy outpatients who had a stroke 6 months previously were included in the study. As a data-collecting device, Short Form 36, Functional Independence Measure (FIM), Canadian Neurological Scale, and Beck Depression Inventory (BDI) were used. In addition, a questionnaire was administered to obtain clinical and socio-demographic data. RESULTS: Seventy patients were included in the study. Depression measured using BDI was identified in 47.1% of the patients. Total FIM scores, especially motor subscale scores, were decreased in the depressive patients. No difference was found in the stroke severity scores of the depressed and non-depressed patients. The QOL subscale scores, such as physical functioning, bodily pain, general health perception, vitality, social functioning, and mental health, were lower in the patient group with high BDI scores. There was a positive correlation between age and BDI scores of the patients. Negative correlations were found between the scores of QOL and FIM in both total and motor subscale scores. CONCLUSION: Poststroke depression seems to be associated with age, education level, QOL, and functional status. PMID- 21063370 TI - Evaluation of 121 adult cases of tuberculous meningitis. AB - OBJECTIVE: To evaluate serious complications of tuberculous meningitis (TBM), resulting from difficulties in diagnosis and treatment of the disease. METHODS: Clinical and laboratory findings of 121 patients with TBM followed-up between the years 1998 and 2005 were evaluated retrospectively in Haseki Training and Research Hospital, Istanbul, Turkey. The patients were diagnosed by history, physical examination findings, CSF findings, CSF culture, and radiological imaging techniques, and were treated with isoniazid, rifampicin, ethambutol, pyrazinamide, and dexamethasone. RESULTS: The age distribution of 121 patients was 15-70 (31+/-14.0 years). Most frequent complaint on admission was headache, and most frequent findings were nuchal rigidity, alteration in consciousness, and fever. Forty-four patients had active pulmonary tuberculosis, 33 had a history of pulmonary tuberculosis, 24 had a family member with active pulmonary tuberculosis, and one had HIV infection. Mycobacterium tuberculosis was isolated from CSF in 52 patients (43%). At cranial imaging, basal meningitis, tuberculoma, and hydrocephalus were the most common findings. Of 121 patients who were treated, 69 recovered completely, 40 recovered with neurological sequels, and 12 patients died. Ten of the deceased had stage III TBM. CONCLUSION: Tuberculous meningitis is one of the most severe clinical forms of tuberculosis. Mortality is directly related with the stage of the disease. PMID- 21063371 TI - Cerebral venous thrombosis. Clinical presentation and outcome in a prospective series from Sudan. AB - OBJECTIVE: To describe the presentation and outcome of treatment of cerebral venous thrombosis (CVT) in patients from Sudan, an example of a developing country. METHODS: In a prospective study, we described the clinical features, risk factors, and outcome of CVT in patients admitted to the National Center for Neurological Diseases, Khartoum, Sudan, the only specialized neurological hospital in the country, during the period from February 2001-October 2006. Patients were referred from other hospitals in the town or from nearby hospitals in Khartoum state. RESULTS: We recruited only adult and adolescent patients aging >/=15 years. We reviewed 15 patients (12 females and 3 males) with a mean (+/-SD) age of 33.9+/-11.8 years. Headache (n=15), papilledema (n=13), paresis (n=3), and generalized seizures (n=3) were the most common symptoms, and signs encountered. A prothrombotic risk factor was often identified (n=12). At the time of the first visit namely, 12 weeks after discharge, 7 patients (46.7%) attained complete neurological recovery, 4 (26.7%) developed optic atrophy, and 2 (13.3%) died of pulmonary embolism. CONCLUSION: The clinical features and risk factors of CVT in Sudan are not different from elsewhere, but the outcome is less favorable. Places with less privileged health service resources, late presentation or delayed accessibility to appropriate diagnostic tools may negatively influence the final outcome. PMID- 21063372 TI - Community pharmacists attitudes towards mental illness and providing pharmaceutical care for mentally ill patients. AB - OBJECTIVE: To examine the attitudes of community pharmacist to both mental illness and provision of pharmaceutical care. METHODS: The study was conducted from May 2006 to September 2006 in College of Pharmacy, King Saud University, Riyadh, Saudi Arabia. The survey composed of the demographic characteristics of the respondents, who were asked 6 Likert type questions on the attitudes of the pharmacists toward mental illness, providing pharmaceutical care to mentally ill patients, the barriers of the provision of the service and differentiation between different types of mental illness. RESULTS: Forty-three pharmacists participated in the study. Eighty-eight percent of the pharmacists felt that mental illness was the same as other illnesses. Sixty-six percent of the respondents "strongly agree," or "agree" that mentally ill patients were easily recognizable. Thirty-three percent of the respondents "disagree," or "strongly disagree" that mentally ill patients have no ability to tell right from wrong. In general, 43.3-87.7% of respondents are being "much more" or "more" interested, comfortable, and confident to perform pharmaceutical care to mentally ill patients. An average range of 30-67% of respondents felt neutral, or "much more" or "more" comfortable, confident for screening and solving drug-related problems, and compliance with drug therapy. Barriers that limit the provision of pharmaceutical care to the mentally ill patients include the lack of training in pharmaceutical care practice (88.4%), lack of therapeutic knowledge (83.7%), lack of documentation skill (79%), lack of communication (76.8%), lack of space for counseling (76.7%), insufficient time (74.5%) and lack of staff (72.1%). CONCLUSION: Although pharmacists have positive attitudes to both mental illness and providing pharmaceutical care to mentally ill patients, they felt uncomfortable counseling or carrying out follow-up monitoring of patients for adverse drug-related problems. PMID- 21063373 TI - The physical activity of patients after herniated lumbar disc surgery. AB - OBJECTIVE: We evaluated the level of pain, disability, performance, and physical activity changes in patients who underwent lumbar disc hernia surgery. METHODS: This study included 31 patients who underwent lumbar disc hernia surgery in the Neurosurgery Department of Dokuz Eylul University Hospital, Izmir, Turkey over a 13-month period from April 2003 to May 2004. Changes in the patients' pain were determined using a visual analog scale, and disability changes were evaluated using the Oswestry Disability Index. Total times for the following performance tests were recorded: rolling from right to left and vice versa, loaded reach, repeated sitting/standing, 50-foot walk, and 5-min walk. The Compendium of Physical Activities questionnaire was used to assess physical activity levels in a 24-hour period. The assessments were performed 2, 4, and 6 months postoperatively. RESULTS: Significant differences were observed in the pain, disability, performance, and physical activity levels 2, 4, and 6 months postoperatively (p=0.000), with the worst values at 2 months and the best at 6 months. CONCLUSION: A need exists not only to direct patients toward more active lifestyles and physical fitness, but also to use assessments to accelerate the recovery period, ensuring continuity in the postoperative period. PMID- 21063374 TI - Improving inventory learning style. AB - OBJECTIVE: To look into Vermunt learning style inventory as an example of other inventories, identify the students learning style, their experience when they answered the inventory, did it help them to identify their learning style, and how it can be improved. METHODS: Quantitative questionnaire, and qualitative semi structured interviews at King Abdulaziz Medical City, King Fahad National Guard Hospital, Department of Obstetrics and gynecology, from November to December 2006 were recorded. RESULTS: The quantitative comparison between the 17 studied students inventories revealed no significant difference between the students learning styles. In the interviews, the common themes were long questionnaire with frequency of 15/17, time consuming questionnaire 15/17, boring questionnaire 10/17, and agreement with the learning style identified 12/17. CONCLUSION: Inventory learning style questionnaires are in general time-consuming and boring to the students. They are overlapping and using different terminologies for the same meaning. A collaborative work aiming to unify all the terms and reduce the length of the questionnaire is required. We should take into consideration motivation, cultural variations and emotional factors. This will allow more reliable results, better counselling to the students, perform systematic reviews, and enrich the literature with valuable information with avoidance of wasting time and efforts. PMID- 21063375 TI - Sporadic creutzfeldt jacob disease. AB - We report a 65-year-old lady who presented with rapidly progressive dementia and was found to have Creutzfeldt-Jacob disease (CJD). On reviewing the literature, there have been only 3 case reports of CJD from Saudi Arabia. Our aim is to report this rare disease and to include it in the differential diagnosis of rapidly progressive dementia in our practice. PMID- 21063376 TI - Vanishing white matter in Saudi Arabia. AB - Vanishing white matter disease (VWMD) is an under-diagnosed condition that affects the brains white matter at all ages, especially in the pediatric age group. It belongs to a clinically and genetically heterogeneous group of disorders, collectively known as eukaryotic initiation factor 2B-related disorders. The disorder has been described in different ethnic groups. Here, we describe a case of VWMD from Saudi Arabia. PMID- 21063377 TI - Absent anterior communicating artery and varied distribution of anterior cerebral artery. AB - During the cerebral dissection of a 67-year-old male cadaver, a unique combination of variations at the circle of Willis and anterior cerebral artery (ACA) distribution were encountered. The A1 segment of both ACA were fused without an anterior communicating artery (ACoA), forming an X shape and giving rise to a common pericallosal artery (CPA), an incomplete distal ACA, and an incomplete distal anterior cerebral artery (IACA). The IACA had an unusual course, which may be important from the surgical point of view. The CPA continued as the A2 and A3 segments, and bifurcated into 2 pericallosal arteries. Branching patterns of the varied arteries to the interhemispheric region were evaluated, and results were discussed. Additionally, both posterior communicating arteries were hypoplastic. There was no aneurysm formation at the circle of Willis and its branches. PMID- 21063378 TI - Neuroleptic malignant syndrome. Diagnostic and therapeutic dilemmas in a general hospital setting. AB - Neuroleptic malignant syndrome (NMS) is a rare life-threatening condition, usually induced by typical and atypical antipsychotics. A middle-aged woman with bipolar disorder and acute back pain due to multiple falls was admitted to the trauma ward of a general hospital. After 3 days, she suddenly developed signs and symptoms of NMS possibly caused by PRN injectable haloperidol, although the additional role of olanzapine could not be ruled out. A 3-day delayed diagnosis of NMS led to serious complications, which could be prevented by its prompt management contingent on its early diagnosis, even in the absence of certain diagnostic criteria. Although she improved substantially with treatment interventions and continued to have dialysis, she died later due to renal complications. The PRN administration of antipsychotic medications needs to be avoided among such psychiatric patients admitted to general hospitals. PMID- 21063379 TI - Vasogenic edema in a patient with sickle cell disease. PMID- 21063380 TI - Suspected fatal pulmonary embolism following application of intermittent pneumatic compression during cranial surgery. PMID- 21063381 TI - Free radical injury for in vivo induction of platelet aggregation. A model in microcirculatory studies of the brain. PMID- 21063383 TI - Motor neuron disease and its association with non-Hodgkins lymphoma. PMID- 21063382 TI - Efficacy and safety of oral suspension of oxcarbazepine in children with epilepsy. PMID- 21063384 TI - A historical review of gait analysis. PMID- 21063385 TI - A patient with cape like sensory loss of arms and shoulders. PMID- 21063386 TI - Spinal cord injury without radiological abnormality (SCIWORA). A diagnosis that is missed in unconscious children. AB - Spinal cord injury without radiographic abnormality (SCIWORA) usually occurs in the hypermobile, immature cervical spine of young children. In a comatose child, a normal spine x-ray, and CT scan exclude most cases of gross fracture and dislocation, but not SCIWORA. We present 2 children that sustained a polytrauma, which rendered each of them unconscious at the outset. In both, cervical spine x ray excluded bony injuries, however, CT scan raised the suspicious of spinal cord injury in one, and MRI demonstrated significant cord injury in both. The first patient died from severe head and cervical spinal cord injury. The second patient recovered with mild Brown-Sequard syndrome. A high index of suspicious of SCIWORA is necessary in injured comatose children in whom movement of all limbs is not seen. Therefore, spine MRI should be considered if they are expected to remain ventilated for an unknown time. PMID- 21063387 TI - Trans-activation between 7TM domains: implication in heterodimeric GABAB receptor activation. AB - Seven-transmembrane domain (7TM) receptors have important functions in cell-cell communication and can assemble into dimers or oligomers. Such complexes may allow specific functional cross-talk through trans-activation of interacting 7TMs, but this hypothesis requires further validation. Herein, we used the GABAB receptor, which is composed of two distinct subunits, GABAB1, which binds the agonist, and GABAB2, which activates G proteins, as a model system. By using a novel orthogonal-labelling approach compatible with time-resolved FRET and based on ACP and SNAP-tag technologies to verify the heterodimerization of wild-type and mutated GABAB subunits, we demonstrate the existence of a direct allosteric coupling between the 7TMs of GABAB heterodimers. Indeed, a GABAB receptor, in which the GABAB2 extracellular domain was deleted, was still capable of activating G proteins. Furthermore, synthetic ligands for the GABAB2 7TM could increase agonist affinity at the GABAB1 subunit in this mutated receptor. In addition to bringing new information on GABAB receptor activation, these data clearly demonstrate the existence of direct trans-activation between the 7TM of two interacting proteins. PMID- 21063388 TI - Two PABPC1-binding sites in GW182 proteins promote miRNA-mediated gene silencing. AB - miRNA-mediated gene silencing requires the GW182 proteins, which are characterized by an N-terminal domain that interacts with Argonaute proteins (AGOs), and a C-terminal silencing domain (SD). In Drosophila melanogaster (Dm) GW182 and a human (Hs) orthologue, TNRC6C, the SD was previously shown to interact with the cytoplasmic poly(A)-binding protein (PABPC1). Here, we show that two regions of GW182 proteins interact with PABPC1: the first contains a PABP-interacting motif 2 (PAM2; as shown before for TNRC6C) and the second contains the M2 and C-terminal sequences in the SD. The latter mediates indirect binding to the PABPC1 N-terminal domain. In D. melanogaster cells, the second binding site dominates; however, in HsTNRC6A-C the PAM2 motif is essential for binding to both Hs and DmPABPC1. Accordingly, a single amino acid substitution in the TNRC6A-C PAM2 motif abolishes the interaction with PABPC1. This mutation also impairs TNRC6s silencing activity. Our findings reveal that despite species specific differences in the relative strength of the PABPC1-binding sites, the interaction between GW182 proteins and PABPC1 is critical for miRNA-mediated silencing in animal cells. PMID- 21063389 TI - Nol9 is a novel polynucleotide 5'-kinase involved in ribosomal RNA processing. AB - In a cell, an enormous amount of energy is channelled into the biogenesis of ribosomal RNAs (rRNAs). In a multistep process involving a large variety of ribosomal and non-ribosomal proteins, mature rRNAs are generated from a long polycistronic precursor. Here, we show that the non-ribosomal protein Nol9 is a polynucleotide 5'-kinase that sediments primarily with the pre-60S ribosomal particles in HeLa nuclear extracts. Depletion of Nol9 leads to a severe impairment of ribosome biogenesis. In particular, the polynucleotide kinase activity of Nol9 is required for efficient generation of the 5.8S and 28S rRNAs from the 32S precursor. Upon Nol9 knockdown, we also observe a specific maturation defect at the 5' end of the predominant 5.8S short-form rRNA (5.8S(S)), possibly due to the Nol9 requirement for 5'>3' exonucleolytic trimming. In contrast, the endonuclease-dependent generation of the 5'-extended, minor 5.8S long-form rRNA (5.8S(L)) is largely unaffected. This is the first report of a nucleolar polynucleotide kinase with a role in rRNA processing. PMID- 21063390 TI - CAMP (C13orf8, ZNF828) is a novel regulator of kinetochore-microtubule attachment. AB - Proper attachment of microtubules to kinetochores is essential for accurate chromosome segregation. Here, we report a novel protein involved in kinetochore microtubule attachment, chromosome alignment-maintaining phosphoprotein (CAMP) (C13orf8, ZNF828). CAMP is a zinc-finger protein containing three characteristic repeat motifs termed the WK, SPE, and FPE motifs. CAMP localizes to chromosomes and the spindle including kinetochores, and undergoes CDK1-dependent phosphorylation at multiple sites during mitosis. CAMP-depleted cells showed severe chromosome misalignment, which was associated with the poor resistance of K-fibres to the tension exerted upon establishment of sister kinetochore bi orientation. We found that the FPE region, which is responsible for spindle and kinetochore localization, is essential for proper chromosome alignment. The C terminal region containing the zinc-finger domains negatively regulates chromosome alignment, and phosphorylation in the FPE region counteracts this regulation. Kinetochore localization of CENP-E and CENP-F was affected by CAMP depletion, and by expressing CAMP mutants that cannot functionally rescue CAMP depletion, placing CENP-E and CENP-F as downstream effectors of CAMP. These data suggest that CAMP is required for maintaining kinetochore-microtubule attachment during bi-orientation. PMID- 21063391 TI - Application of phi29 motor pRNA for targeted therapeutic delivery of siRNA silencing metallothionein-IIA and survivin in ovarian cancers. AB - Ovarian cancer is a highly metastatic and lethal disease, making it imperative to find treatments that target late-stage malignant tumors. The packaging RNA (pRNA) of bacteriophage phi29 DNA-packaging motor has been reported to function as a highly versatile vehicle to carry small interference RNA (siRNA) for silencing of survivin. In this article, we explore the potential of pRNA as a vehicle to carry siRNA specifically targeted to metallothionein-IIa (MT-IIA) messenger RNA (mRNA), and compare it to survivin targeting pRNA. These two anti-apoptotic cell survival factors promote tumor cell viability, and are overexpressed in recurrent tumors. We find that pRNA chimeras targeting MT-IIA are processed into double-stranded siRNA by dicer, are localized within the GW/P-bodies, and are more potent than siRNA alone in silencing MT-IIA expression. Moreover, knockdown of both survivin and MT-IIA expression simultaneously results in more potent effects on cell proliferation in the aggressive ovarian tumor cell lines than either alone, suggesting that therapeutic approaches that target multiple genes are essential for molecular therapy. The folate receptor-targeted delivery of siRNA by the folate-pRNA dimer emphasizes the cancer cell-specific aspect of this system. The pRNA system, which has the capability to assemble into multivalent nanoparticles, has immense promise as a highly potent therapeutic agent. PMID- 21063392 TI - Treatment with mANT2 shRNA enhances antitumor therapeutic effects induced by MUC1 DNA vaccination. AB - In this study, we developed a combination therapy (pcDNA3/hMUC1+mANT2 shRNA) to enhance the efficiency of MUC1 DNA vaccination by combining it with mANT2 short hairpin RNA (shRNA) treatment in immunocompetent mice. mANT2 shRNA treatment alone increased the apoptosis of BMF cells (B16F1 murine melanoma cell line coexpressing an MUC1 and Fluc gene) and rendered BMF tumor cells more susceptible to lysis by MUC1-associated CD8(+) T cells. Furthermore, combined therapy enhanced MUC1 associated T-cell immune response and antitumor effects, and resulted in a higher cure rate than either treatment alone (pcDNA3/hMUC1 or mANT2 shRNA therapy alone). Human MUC1 (hMUC1)-loaded CD11c(+) cells in the draining lymph nodes of BMF-bearing mice treated with the combined treatment were found to be most effective at generating hMUC1-associated CD8(+)IFNgamma(+) T cells. Furthermore, the in vitro killing activities of hMUC1-associated cytotoxic T cells (CTLs) in the combined therapy were greater than in the respective monotherapies. Cured animals treated with the combined treatment rejected a rechallenge by BMF cells, but not a rechallenge by B16F1-Fluc cells at 14 days after treatment, and showed MUC1 antigen-associated immune responses. These results suggest that combined therapy enhances antitumor activity, and that it offers an effective antitumor strategy for treating melanoma. PMID- 21063393 TI - Importance of patient, provider, and facility predictors of hepatitis C virus treatment in veterans: a national study. AB - OBJECTIVES: Several patient characteristics are known to impact hepatitis C virus (HCV) antiviral treatment rates. However, it is unclear whether, and to what extent, health-care providers or facility characteristics impact HCV treatment rates. METHODS: Using national data obtained from the Department of Veterans Affairs (VA) HCV Clinical Case Registry, we conducted a retrospective cohort study of patients with active HCV viremia, who were diagnosed between 2003 and 2004. We evaluated patient-, provider-, and facility-level predictors of receipt of HCV treatment with hierarchical logistic regression. RESULTS: The overall HCV treatment rate in 29,695 patients was 14.2%. The strongest independent predictor for receipt of treatment was consultation with an HCV specialist (odds ratio=9.34; 8.03-10.87). Patients were less likely to receive HCV treatment if they were Black, older, male, current users of alcohol or drugs, had HCV genotype 1 or 4, had higher creatinine levels, or had severe anxiety/post-traumatic stress disorder or depression. Patients with high hemoglobin levels, cirrhosis, and persistently high liver enzyme levels were more likely to receive treatment. Patient, provider, and facility factors explained 15, 4, and 4%, respectively, of the variation in treatment rates. CONCLUSIONS: Treatment rates for HCV are low in the VA. In addition to several important patient-level characteristics, a specialist consultant has a vital role in determining whether a patient should receive HCV treatment. These findings support the development of patient-level interventions targeted at identifying and managing comorbidities and contraindications and fostering greater involvement of specialists in the care of HCV. PMID- 21063394 TI - Validation of a bowel function diary for assessing opioid-induced constipation. AB - OBJECTIVES: Validated tools to assess opioid-induced constipation (OIC) are needed. The aim of this study was to validate a Bowel Function Diary (BF-Diary) that includes patient-reported outcomes (PROs) associated with OIC. METHODS: In a multicenter, observational study, opioid-naive or recently untreated (>= 14 days) adults with nonmalignant, chronic pain who were prescribed oral opioid and usual care completed an electronic diary daily for 2 weeks. Test-retest reliability was assessed. Validity was evaluated for two composite end points--number of spontaneous bowel movements (SBM) and complete SBMs (SCBM)--and for other relevant PROs. RESULTS: Of 238 patients (mean age 54 years, 58% women), 63% reported constipation. The intraclass correlation coefficient for numbers of SBM and SCBM, and other BF-Diary PROs was >= 0.71 for all items except stool consistency. Mean (s.d.) number of SBM per week was significantly less in each week for patients with vs. without constipation (5.6 +/- 4.3 and 7.3 +/- 3.6, respectively over week 1, P=0.0012; similarly, P=0.0096 over week 2). Validity of individual items in the BF-Diary was supported (P<0.05, stool consistency; P<0.0001, all others). CONCLUSIONS: BF-Diary items are generally reliable and valid assessments for OIC research. Specifically, number of SBM is a valid measure for differentiating opioid-treated patients with and without constipation. PMID- 21063395 TI - Evaluation of early null response to pegylated interferon and ribavirin as a predictor of therapeutic nonresponse in patients undergoing treatment for chronic hepatitis C. AB - OBJECTIVES: Early viral kinetics accurately predicts sustained virological response (SVR) in genotype 1 patients with hepatitis C virus (HCV) undergoing therapy with pegylated interferon (PEG) and ribavirin (RBV). No baseline factor has a stronger predictive role. Early identification of patients unlikely to respond is equally important, allowing early treatment modification or discontinuation. The aim of this study was to determine whether 4-week null response (eNR) correlates directly with 12-week null response and inversely with SVR. METHODS: A retrospective analysis of HCV patients treated at our institution was done. Patients were classified based on a 4-week viral log decline compared with baseline: <1 log, >= 1 log, <2 log, >= 2 log, <3 log, >= 3 log without rapid virological response (RVR) and with RVR. eNR was defined as less than a 1 log change from baseline. RESULTS: A total of 159 patients had quantitative HCV-RNA PCR at treatment week 4, of whom 24% (38) experienced eNR. In all, 22 (58%) of the eNR patients were African American, 58% male, 32% cirrhotic, average age 53 years (range 36-71), 89% (33) genotype 1, and average baseline viral load was 5.9261 log (range 3.1492-7.3025). On-treatment response demonstrated failure to attain early virological response (EVR; 2-log decline at week 12) in 50% (19) and partial EVR (pEVR) in 39% (15). Three (8%) patients with eNR achieved SVR. In our patient population, eNR had 92% negative predictive value (confidence interval 83.5-100%) for SVR and was the strongest single predictor for treatment failure, including the baseline factors genotype and viral load. CONCLUSIONS: eNR is strongly associated with null response or pEVR and accurately predicts failure to attain SVR. Consideration should be made to discontinue or modify therapy in patients with eNR who receive the appropriate weight-based PEG/RBV. PMID- 21063396 TI - A patient with tracheoesophageal fistula and esophageal cancer after radiotherapy. AB - BACKGROUND: A 63-year-old woman presented with dysphagia owing to proximal esophageal stenosis 27 years after she received radiation therapy for Hodgkin disease. She had previously experienced various other complications of this treatment, including myelopathy, hypothyroidism, and cardiomyopathy. INVESTIGATIONS: Medical history and physical examination, pathology and immunohistochemistry, bronchoscopy, esophagogastroscopy, chest and abdominal PET CT scan, examination of the thorax during open right thoracotomy. DIAGNOSIS: Large tracheoesophageal fistula and poorly differentiated squamous cell carcinoma of the esophagus. MANAGEMENT: The stenosis was treated with endoscopic dilatations and brachytherapy, but persisted and became a tracheoesophageal fistula despite repeated placement of esophageal stents. Definitive surgical treatment comprised combined transabdominal and thoracic esophagectomy with creation of a gastric tube and cervical esophagogastrostomy, and repair of the trachea with a pericardial patch and intercostal muscle flap. PMID- 21063397 TI - LY6K is a novel molecular target in bladder cancer on basis of integrate genome wide profiling. AB - BACKGROUND: The aim of this study is to find a novel molecular target based on chromosomal alteration and array-based gene expression analyses in bladder cancer (BC). We investigated a cancer testis antigen, LY6K, which is located on chromosome 8q24.3. METHODS: Five BC cell lines were subjected to high-resolution array-comparative genomic hybridisation with 244 000 probes. The expression levels of LY6K mRNA were evaluated in BC cell lines and clinical BC specimens by real-time reverse transcription-PCR. The cell lines were subjected to fluorescence in situ hybridisation of LY6K. Cell viability was evaluated by cell growth, wound healing, and matrigel invasion assays. RESULTS: Typical gained loci (P<0.0001) at 6p21.33-p21.32, 8q24.3, 9q34.13, 11q13.1-q14.1, 12q13.12-q13.13, 16p13.3, and 20q11.21-q13.33 were observed in all of the cell lines. We focused on 8q24.3 locus where LY6K gene harbours, and it was the top upregulated one in the gene profile from the BC cell line. LY6K mRNA expression was significantly higher in 91 BCs than in 37 normal bladder epitheliums (P<0.0001). Fluorescence in situ hybridisation validated that the high LY6K mRNA expression was due to gene amplification in the region where the gene harbours. Cell viability assays demonstrated that significant inhibitions of cell growth, migration, and invasion occured in LY6K knock down BC cell lines; converse phenomena were observed in a stable LY6K transfectant; and LY6K knockdown of the transfectant retrieved the original phenotype from the LY6K transfectant. CONCLUSION: Upregulation of the oncogenic LY6K gene located on the gained locus at 8q24.3 may contribute BC development. PMID- 21063398 TI - Intra-tumour genetic heterogeneity and poor chemoradiotherapy response in cervical cancer. AB - BACKGROUND: Intra-tumour genetic heterogeneity has been reported in both leukaemias and solid tumours and is implicated in the development of drug resistance in CML and AML. The role of genetic heterogeneity in drug response in solid tumours is unknown. METHODS: To investigate intra-tumour genetic heterogeneity and chemoradiation response in advanced cervical cancer, we analysed 10 cases treated on the CTCR-CE01 clinical study. Core biopsies for molecular profiling were taken from four quadrants of the cervix pre-treatment, and weeks 2 and 5 of treatment. Biopsies were scored for cellularity and profiled using Agilent 180k human whole genome CGH arrays. We compared genomic profiles from 69 cores from 10 patients to test for genetic heterogeneity and treatment effects at weeks 0, 2 and 5 of treatment. RESULTS: Three patients had two or more distinct genetic subpopulations pre-treatment. Subpopulations within each tumour showed differential responses to chemoradiotherapy. In two cases, there was selection for a single intrinsically resistant subpopulation that persisted at detectable levels after 5 weeks of chemoradiotherapy. Phylogenetic analysis reconstructed the order in which genomic rearrangements occurred in the carcinogenesis of these tumours and confirmed gain of 3q and loss of 11q as early events in cervical cancer progression. CONCLUSION: Selection effects from chemoradiotherapy cause dynamic changes in genetic subpopulations in advanced cervical cancers, which may explain disease persistence and subsequent relapse. Significant genetic heterogeneity in advanced cervical cancers may therefore be predictive of poor outcome. PMID- 21063400 TI - Rab25 as a tumour suppressor in colon carcinogenesis. AB - Recent investigations have increasingly focussed attention on the roles of intracellular vesicle trafficking in the regulation of epithelial polarity and transformation. Rab25, an epithelial-specific member of the Rab family of small GTPases, has been associated with several epithelial cancers. Whereas Rab25 overexpression is associated with ovarian cancer aggressive behaviour, Rab25 expression is decreased in human colon cancers independent of stage. Recent studies of mouse models of intestinal and colonic neoplasia have demonstrated that Rab25 deficiency markedly promotes the development of neoplasia. Some of these effects appear related to alterations in beta1-integrin trafficking to the cell surface. These findings all suggest that Rab25 is a tumour suppressor for colonic neoplasia. PMID- 21063399 TI - Prognostic biomarkers in squamous cell carcinoma of the anus: a systematic review. AB - BACKGROUND: recent decades have seen combination chemoradiotherapy become the standard treatment for anal squamous cell carcinoma (SCC). However, the burden of this disease continues to rise, with only 10% of patients with metastatic disease surviving >2 years. Further insight into tumour characteristics and molecular biology may identify novel therapeutic targets. This systematic review examines current prognostic markers in SCC of the anus. METHODS: an extensive literature search was performed to identify studies reporting on biomarkers in anal cancer in the context of clinical outcome following treatment primarily with chemoradiotherapy. RESULTS: in all, 21 studies were included. A total of 29 biomarkers were studied belonging to 9 different functional classes. Of these biomarkers, 13 were found to have an association with outcome in at least one study. The tumour-suppressor genes p53 and p21 were the only markers shown to be of prognostic value in more than one study. CONCLUSIONS: an array of biomarkers have been identified that correlate with survival following chemoradiotherapy in anal cancer. However, investigators are yet to identify a biomarker that has the ability to consistently predict outcome in this disease. Further studies are needed to elucidate whether these candidate biomarkers demonstrate their optimum value when they serve as targets for new therapeutic strategies. PMID- 21063401 TI - Disabled-2 downregulation promotes epithelial-to-mesenchymal transition. AB - BACKGROUND: Metastatic tumour cells are characterised by acquisition of migratory and invasive properties; properties shared by cells, which have undergone epithelial-to-mesenchymal transition (EMT). Disabled-2 (Dab2) is a putative tumour suppressor whose expression has been shown to be downregulated in various cancer types including breast cancer; however, its exact function in suppressing tumour initiation or progression is unclear. METHODS: Disabled-2 isoform expression was determined by RT-PCR analysis in human normal and breast tumour samples. Using shRNA-mediated technology, Dab2 was stably downregulated in two cell model systems representing nontumourigenic human mammary epithelial cells. These cells were characterised for expression of EMT markers by RT-PCR and western blot analysis. RESULTS: Decreased expression of the p96 and p67 isoforms of Dab2 is observed in human breast tumour samples in comparison to normal human breast tissue. Decreased Dab2 expression in normal mammary epithelial cells leads to the appearance of a constitutive EMT phenotype. Disabled-2 downregulation leads to increased Ras/MAPK signalling, which facilitates the establishment of an autocrine transforming growth factor beta (TGFbeta) signalling loop, concomitant with increased expression of the TGFbeta2 isoform. CONCLUSION: Loss of Dab2 expression, commonly observed in breast cancer, may facilitate TGFbeta-stimulated EMT, and therefore increase the propensity for metastasis. PMID- 21063402 TI - TRAIL-expressing mesenchymal stem cells kill the putative cancer stem cell population. AB - BACKGROUND: Tumours contain stem-like, side population (SP) cells, which have increased tumorigenic potential, resistance to traditional therapies and may be responsible for treatment failures and relapse in patients. METHODS: Mesenchymal stem cells (MSCs) were engineered to express the apoptotic ligand, TNF-related apoptosis-inducing ligand (TRAIL). Squamous (H357) and lung (A549) cancer cell lines were sorted into side and non-side populations (non-SP) by Hoechst flow cytometry. The survival and growth of both SP and non-SP cancer populations, in conjunction with TRAIL-expressing MSCs and mitoxantrone chemotherapy, were assessed by flow cytometry and colony forming ability. RESULTS: Mesenchymal stem cells expressing TRAIL migrate to tumours and reduce the growth of primary cancers and metastases. This report demonstrates that these cells cause apoptosis, death and reduced colony formation of the SP of squamous and adenocarcinoma lung cancer cells and are synergistic when combined with traditional chemotherapy in apoptosis induction. CONCLUSIONS: The sensitivity of putative cancer stem cells to TRAIL-expressing MSCs, suggests their possible role in the prevention of cancer relapse. PMID- 21063403 TI - Bcl-2 and beta1-integrin predict survival in a tissue microarray of small cell lung cancer. AB - INTRODUCTION: Survival in small cell lung cancer (SCLC) is limited by the development of chemoresistance. Factors associated with chemoresistance in vitro have been difficult to validate in vivo. Both Bcl-2 and beta(1)-integrin have been identified as in vitro chemoresistance factors in SCLC but their importance in patients remains uncertain. Tissue microarrays (TMAs) are useful to validate biomarkers but no large TMA exists for SCLC. We designed an SCLC TMA to study potential biomarkers of prognosis and then used it to clarify the role of both Bcl-2 and beta(1)-integrin in SCLC. METHODS: A TMA was constructed consisting of 184 cases of SCLC and stained for expression of Bcl-2 and beta(1)-integrin. The slides were scored and the role of the proteins in survival was determined using Cox regression analysis. A meta-analysis of the role of Bcl-2 expression in SCLC prognosis was performed based on published results. RESULTS: Both proteins were expressed at high levels in the SCLC cases. For Bcl-2 (n=140), the hazard ratio for death if the staining was weak in intensity was 0.55 (0.33-0.94, P=0.03) and for beta(1)-integrin (n=151) was 0.60 (0.39-0.92, P=0.02). The meta-analysis showed an overall hazard ratio for low expression of Bcl-2 of 0.91(0.74-1.09). CONCLUSIONS: Both Bcl-2 and beta(1)-integrin are independent prognostic factors in SCLC in this cohort although further validation is required to confirm their importance. A TMA of SCLC cases is feasible but challenging and an important tool for biomarker validation. PMID- 21063404 TI - Population-based survival estimates for childhood cancer in Australia during the period 1997-2006. AB - BACKGROUND: This study provides the latest available relative survival data for Australian childhood cancer patients. METHODS: Data from the population-based Australian Paediatric Cancer Registry were used to describe relative survival outcomes using the period method for 11,903 children diagnosed with cancer between 1983 and 2006 and prevalent at any time between 1997 and 2006. RESULTS: The overall relative survival was 90.4% after 1 year, 79.5% after 5 years and 74.7% after 20 years. Where information onstage at diagnosis was available (lymphomas, neuroblastoma, renal tumours and rhabdomyosarcomas), survival was significantly poorer for more-advanced stage. Survival was lower among infants compared with other children for those diagnosed with leukaemia, tumours of the central nervous system and renal tumours but higher for neuroblastoma. Recent improvements in overall childhood cancer survival over time are mainly because of improvements among leukaemia patients. CONCLUSION: The high and improving survival prognosis for children diagnosed with cancer in Australia is consistent with various international estimates. However, a 5-year survival estimate of 79% still means that many children who are diagnosed with cancer will die within 5 years, whereas others have long-term health morbidities and complications associated with their treatments. It is hoped that continued developments in treatment protocols will result in further improvements in survival. PMID- 21063405 TI - Molecular disruption of NBS1 with targeted gene delivery enhances chemosensitisation in head and neck cancer. AB - BACKGROUND: a fibroblast growth factor 2 (FGF2)-targeted adenoviral system can alter viral tropism and allow for improved transduction and reduced systemic toxicity. This study is to investigate if the FGF2-targeted adenoviral mutant Nijmegen breakage syndrome 1 (FGF2-Ad-NBS1) gene transfer can enhance cisplatin chemosensitisation not only by targeting DNA repair, but also through the induction of antiangiogenesis, whereas at the same time reducing toxicities in treating head and neck squamous cell carcinoma (HNSCC). METHODS: the human HNSCC cell line was treated in vitro and in a nude mouse xenograft model. We conducted verification of binding ability of mutant NBS1 and downregulation of MRN complex, evaluation of transduction efficiency and combined antitumour activities. The antiangiogenesis mechanism was also investigated. Finally, we estimated the distribution of adenoviral vector in the liver. RESULTS: the mutant NBS1 protein retains the binding ability and effectively suppresses the expression level of the MRN in infected cells. Transduction efficiency in vitro and cisplatin chemosensitisation were upregulated. The FGF2-Ad-NBS1 also showed detargeting the viral vectors away from the liver. The downregulation of NF-kappaB expression was supposed to correlate with increased antiangiogenesis. CONCLUSIONS: FGF2-targeted adenoviral system enhances the cisplatin chemosensitisation of mutant NBS1 and may avoid viral-associated liver toxicities. PMID- 21063406 TI - Topo2alpha protein expression predicts response to anthracycline combination neo adjuvant chemotherapy in locally advanced primary breast cancer. AB - BACKGROUND: this study aimed to identify predictors of response to anthracycline based chemotherapy (5-fluoro-uracil, epirubicin, cyclophosphamide (FEC)) in locally advanced primary breast cancer (LAPC). METHODS: a total of 91 LAPC patients were treated with six cycles of FEC before surgery. Protein expression of nine biomarkers (topoisomerase2alpha (Topo2alpha), ER, PR, HER2, Ki67, p53, EGFR, CK5/6 and CK14) was assessed in pre-chemotherapy core biopsies using immunohistochemistry (IHC) and results correlated with clinical and pathological response. RESULTS: clinical (cCR) and pathological (pCR) complete response were seen in 34.1% (n=31) and 20% (n=18), respectively. Pathological complete response was concordant with cCR in 14/31 cases; in four cases of cPR with palpable residual breast tumours, histology showed fibrous tissue only (pCR). On univariate analysis, pre-chemotherapy high expression of Topo2alpha protein (P=0.031), and negativity for ER and EGFR (P=0.001 and P=0.005, respectively) correlated with pCR. Positivity for p53 also showed significance (P=0.015), whereas basal phenotype, HER2, and all the clinicopathological variables of LAPC included in this study did not show significant correlation with response. On multivariate analysis, Topo2alpha expression had the strongest correlation with pCR (P=0.021) followed by EGFR (P=0.044). CONCLUSION: the study suggests that pre chemotherapy Topo2alpha protein expression measured by IHC strongly correlates with pathological CR to neo-adjuvant anthracyclines in this group of LAPC studied. PMID- 21063407 TI - BH3-only protein Bik is involved in both apoptosis induction and sensitivity to oxidative stress in multiple myeloma. AB - BACKGROUND: although gene expression profile of multiple myeloma (MM) patients shows a wide range of Bik/Nbk expression, varying from absent to high, its regulation and function in myeloma cells is poorly understood. Thus, we addressed these questions in MM. METHODS: human myeloma cell lines (HMCLs) and primary purified myeloma cells were studied for Bcl-2 family protein expression by western blot and further correlation analysis was performed. Correlative study between Bik and thyrotroph embryonic factor (TEF) transcription factor expression was analysed by PCR. Stress oxidative response was analysed by flow cytometry. RESULTS: a strong expression of Bik protein was found only in one out of three of HMCL and correlated to Bcl-2 expression (P=0.0006). We demonstrated that Bik could be regulated at the protein level by Bcl-2 and at the transcriptional level by TEF. Bik overexpression sensitises myeloma cells to oxidative stress whereas Bik silencing increases resistance to H(2)O(2) oxidative stress. Furthermore, Bik ectopic expression disrupts Bim/Bcl-2 and Bim/Bcl-xL endogenous complexes triggering Bim release that could induce Bax and Bak activation. CONCLUSIONS: ours results suggest that Bik has a role in both, apoptosis induction and sensitivity to oxidative stress in myeloma cells. Small BH3 mimetic molecules should be considered for further apoptosis-based therapy in myeloma cells expressing endogenous Bik/Bcl-2 complexes. PMID- 21063408 TI - Cancer and renal insufficiency results of the BIRMA study. AB - BACKGROUND: half of anticancer drugs are predominantly excreted in urine. Dosage adjustment in renal insufficiency (RI) is, therefore, a crucial issue. Moreover, patients with abnormal renal function are at high risk for drug-induced nephrotoxicity. The Belgian Renal Insufficiency and Anticancer Medications (BIRMA) study investigated the prevalence of RI in cancer patients, and the profile/dosing of anticancer drugs prescribed. METHODS: primary end point: to estimate the prevalence of abnormal glomerular filtration rate (GFR; estimated with the abbreviated Modification of Diet in Renal Disease formula) and RI in cancer patient. Secondary end point: to describe the profile of anticancer drugs prescribed (dose reduction/nephrotoxicity). Data were collected for patients presenting at one of the seven Belgian BIRMA centres in March 2006. RESULTS: a total of 1218 patients were included. The prevalence of elevated SCR (> or =1.2 mg per 100 ml) was 14.9%, but 64.0% had a GFR<90 ml min(-1) per 1.73 m(2). In all, 78.6% of treated patients (n=1087) were receiving at least one drug needing dosage adjustment and 78.1% received at least one nephrotoxic drug. In all, 56.5% of RI patients receiving chemotherapy requiring dose reduction in case of RI did not receive dose adjustment. CONCLUSIONS: the RI is highly frequent in cancer patients. In all, 80% of the patients receive potentially nephrotoxic drugs and/or for which dosage must be adjusted in RI. Oncologists should check the appropriate dose of chemotherapeutic drugs in relation to renal function before prescribing. PMID- 21063409 TI - Improving the accuracy of pre-operative survival prediction in renal cell carcinoma with C-reactive protein. AB - BACKGROUND: Validated objective biomarkers are needed for patients with renal cell carcinoma (RCC) to guide patient management and define high-risk populations for follow-up or for therapeutic purposes. METHODS: Patients undergoing nephrectomy for RCC (n=286 all stages, 84% with conventional clear cell type) were included with a median duration follow-up of 5 years. The prognostic significance of pre-operative haematological and biochemical variables, including C-reactive protein (CRP) values were examined and whether they added additional information to a recently published pre-operative scoring system was determined. RESULTS: C-reactive protein was the most significant predictor of overall survival (OS; chi(2)=50.9, P<0.001). Five-year OS for patients with CRP <= 15 mg l(-1) vs >15 mg l(-1) was 72% (95% CI 65-78%) and 33% (95% CI 23-44%), respectively. Similar results were seen for cancer-specific survival (CSS) and disease-free survival. On multivariate analysis, CRP remained highly significant for CSS (chi(2)=17.3, P<0.0001) and OS (chi(2)=9.8, P<0.002), in addition to other pre-operative variables including log of neutrophil/lymphocyte ratio, red blood cell count and white cell count. C-reactive protein was significant in addition to the pre-operative nomogram score (chi(2)=12.5, P=0.0004 for OS, chi(2)=16.2, P=0.0001 for CSS and chi(2)=8.6, P=0.003 for DFS) and was still significant when other pre-operative variables were included. CONCLUSION: C reactive protein and other haematological and biochemical variables have independent prognostic significance in RCC and may enhance pre-operative scoring systems. PMID- 21063410 TI - A large-scale meta-analysis to refine colorectal cancer risk estimates associated with MUTYH variants. AB - BACKGROUND: defective DNA repair has a causal role in hereditary colorectal cancer (CRC). Defects in the base excision repair gene MUTYH are responsible for MUTYH-associated polyposis and CRC predisposition as an autosomal recessive trait. Numerous reports have suggested MUTYH mono-allelic variants to be low penetrance risk alleles. We report a large collaborative meta-analysis to assess and refine CRC risk estimates associated with bi-allelic and mono-allelic MUTYH variants and investigate age and sex influence on risk. METHODS: MUTYH genotype data were included from 20 565 cases and 15 524 controls. Three logistic regression models were tested: a crude model; adjusted for age and sex; adjusted for age, sex and study. RESULTS: all three models produced very similar results. MUTYH bi-allelic carriers demonstrated a 28-fold increase in risk (95% confidence interval (CI): 6.95-115). Significant bi-allelic effects were also observed for G396D and Y179C/G396D compound heterozygotes and a marginal mono-allelic effect for variant Y179C (odds ratio (OR)=1.34; 95% CI: 1.00-1.80). A pooled meta analysis of all published and unpublished datasets submitted showed bi-allelic effects for MUTYH, G396D and Y179C (OR=10.8, 95% CI: 5.02-23.2; OR=6.47, 95% CI: 2.33-18.0; OR=3.35, 95% CI: 1.14-9.89) and marginal mono-allelic effect for variants MUTYH (OR=1.16, 95% CI: 1.00-1.34) and Y179C alone (OR=1.34, 95% CI: 1.01-1.77). CONCLUSIONS: overall, this large study refines estimates of disease risk associated with mono-allelic and bi-allelic MUTYH carriers. PMID- 21063412 TI - Is there an association with phosphorylation and dephosphorylation of Src kinase at tyrosine 530 and breast cancer patient disease-specific survival. AB - BACKGROUND: recent work has demonstrated that c-Src and fully activated Y419Src expression was associated with poor clinical outcome of breast cancer patients. It is unknown whether different activation stages of c-Src equally influence disease-specific survival of breast cancer patients. METHODS: immunohistochemistry was performed on 165 resected breast cancers using antibodies to phosphorylated and dephosphorylated Src kinase tyrosine site 530. Expression was assessed using the weighted histoscore method. RESULTS: majority of phosphorylated and dephosphorylated Y530Src expression was observed in the nucleus and cytoplasm. Only 3.6% of phosphorylated Y530Src (pY530Src) expression was detected in the membrane, compared with 53% with dephosphorylated Y530Src. Nuclear expression of pY530Src correlated negatively with oestrogen receptor (ER) status (chi(2) P<0.001), whereas cytoplasmic phosphorylated and dephosphorylated Y530Src expression correlated negatively with membrane c-Src expression (chi(2) P=0.008, chi(2) P<0.001). On univariate and multivariate analysis, no significant association was noticed between phosphorylated or dephosphorylated Y530Src expression and disease-specific survival at any cellular location. CONCLUSION: ER negative breast cancer patients were more likely to express pY530Src in the nucleus. Breast cancer patients with higher cytoplasmic expression of phosphorylated or dephosphorylated Y530Src were more likely not to express c-Src at the membrane. Phosphorylated and dephosphorylated Y530Src expression is not associated with survival of patients. PMID- 21063413 TI - Guesstimates are not good enough for determining what is happening in routine care. PMID- 21063414 TI - Quantitative promoter methylation differentiates carcinoma ex pleomorphic adenoma from pleomorphic salivary adenoma. AB - BACKGROUND: potential epigenetic biomarkers for malignant transformation to carcinoma ex pleomorphic adenoma (Ca ex PSA) have been sought previously with and without specific comparison with the benign variant, pleomorphic salivary adenoma (PSA). Previous analysis has been limited by a non-quantitative approach. We sought to demonstrate quantitative promoter methylation across a panel of tumour suppressor genes (TSGs) in both Ca ex PSA and PSA. METHODS: quantitative methylation-specific real-time polymerase chain reaction (qMSP) analysis of p16(INK4A), CYGB, RASSF1, RARbeta, human telomerase reverse transcriptase (hTERT), Wilms' tumour 1 (WT1) and TMEFF2 gene promoters was undertaken on bisulphite-converted DNA, previously extracted from archival fixed tissue specimens of 31 Ca ex PSA and an unrelated cohort of 28 PSA. All target regions examined had formerly been shown to be hypermethylated in salivary and/or mucosal head and neck malignancies. RESULTS: the qMSP demonstrated abnormal methylation of at least one target in 20 out of 31 (64.5%) Ca ex PSA and 2 out of 28 (7.1%) PSA samples (P<0.001). RASSF1 was the single gene promoter for which methylation is shown to be a statistically significant predictor of malignant disease (P<0.001) with a sensitivity of 51.6% and a specificity of 92.9%. RARbeta, TMEFF2 and CYGB displayed no apparent methylation, while a combinatory epigenotype based on p16, hTERT, RASSF1 and WT1 was associated with a significantly higher chance of detecting malignancy in any positive sample (odds ratio: 24, 95% CI: 4.7-125, P<0.001). CONCLUSIONS: we demonstrate the successful application of qMSP to a large series of historical Ca ex PSA samples and report on a panel of TSGs with significant differences in their methylation profiles between benign and malignant variants of pleomorphic salivary adenoma. qMSP analysis could be developed as a useful clinical tool to differentiate between Ca ex PSA and its benign precursor. PMID- 21063415 TI - An immunohistochemically positive E-cadherin status is not always predictive for a good prognosis in human breast cancer. AB - BACKGROUND: in primary breast cancers dichotomic classification of E-cadherin expression, according to an arbitrary cutoff, may be inadequate and lead to loss of prognostic significance or contrasting prognostic indications. We aimed to assess the prognostic value of high and low E-cadherin levels in a consecutive case series (204 cases) of unilateral node-negative non-lobular breast cancer patients with a 8-year median follow-up and that did not receive any adjuvant therapy after surgery. METHODS: expression of E-cadherin was investigated by immunohistochemistry and assessed according to conventional score (0, 1+, 2+, 3+). Multiple correspondence analysis was used to visualise associations of both categorical and continuous variables. The impact of E-cadherin expression on patients outcome was evaluated in terms of event-free survival curves by the Kaplan-Meier method and proportional hazard Cox model. RESULTS: respect to intermediate E-cadherin expression values (2+), high (3+) or low (0 to 1+) E cadherin expression levels had a negative prognostic impact. In fact, both patients with a low-to-nil (score 0 to 1+) expression level of E-cadherin and patients with a high E-cadherin expression level (score 3+) demonstrated an increased risk of failure (respectively, hazard ratio (HR)=1.71, confidence interval (CI)=0.72-4.06 and HR=4.22, CI=1.406-12.66) and an interesting association with young age. CONCLUSIONS: the findings support the evidence that high expression values of E-cadherin are not predictive for a good prognosis and may help to explain conflicting evidence on the prognostic impact of E-cadherin in breast cancer when assessed on dichotomic basis. PMID- 21063416 TI - Toxicity associated with capecitabine plus oxaliplatin in colorectal cancer before and after an institutional policy of capecitabine dose reduction. AB - BACKGROUND: Capecitabine plus oxaliplatin (CAPOX) is an established treatment option in colorectal cancer, but can be associated with severe toxicities. METHODS: Following reporting of severe diarrhoea and dehydration with capecitabine 2000 mg m(-2) per day plus oxaliplatin every 3 weeks (CAPOX 2000) in 2006, we instituted a policy change to reduce capecitabine dose to 1700 mg m(-2) per day (CAPOX 1700). We undertook a retrospective analysis comparing toxicities encountered before and after this dose change. RESULTS: Of the 400 patients treated, no significant differences were seen between the CAPOX 2000 and CAPOX 1700 in grades 3 and 4 diarrhoea (21% vs 19%; P=0.80), stomatitis (0% vs 1%; P=0.50) or grades 2-4 hand foot syndrome (16% vs 11%; P=0.18). Grades 3 and 4 neutropenia (9.5% vs 3.5%; P=0.03) and all grades hyperbilirubinaemia (60% vs 40%; P<0.0001) were significantly reduced with CAPOX 1700. Rates of hospitalisation due to toxicities were not different between two groups (13% vs 11%; P=0.53). CONCLUSIONS: No clinically or statistically significant differences in gastrointestinal toxicities or hospitalisation rate were seen after reducing our routine capecitabine dose from CAPOX 2000 to CAPOX 1700. PMID- 21063417 TI - Evaluation of management of desmoid tumours associated with familial adenomatous polyposis in Dutch patients. AB - BACKGROUND: The optimal treatment of desmoid tumours is controversial. We evaluated desmoid management in Dutch familial adenomatous polyposis (FAP) patients. METHODS: Seventy-eight FAP patients with desmoids were identified from the Dutch Polyposis Registry. Data on desmoid morphology, management, and outcome were analysed retrospectively. Progression-free survival (PFS) rates and final outcome were compared for surgical vs non-surgical treatment, for intra-abdominal and extra-abdominal desmoids separately. Also, pharmacological treatment was evaluated for all desmoids. RESULTS: Median follow-up was 8 years. For intra abdominal desmoids (n=62), PFS rates at 10 years of follow-up were comparable after surgical and non-surgical treatment (33% and 49%, respectively, P=0.163). None of these desmoids could be removed entirely. Eventually, one fifth died from desmoid disease. Most extra-abdominal and abdominal wall desmoids were treated surgically with a PFS rate of 63% and no deaths from desmoid disease. Comparison between NSAID and anti-estrogen treatment showed comparable outcomes. Four of the 10 patients who received chemotherapy had stabilisation of tumour growth, all after doxorubicin combination therapy. CONCLUSION: For intra-abdominal desmoids, a conservative approach and surgery showed comparable outcomes. For extra abdominal and abdominal wall desmoids, surgery seemed appropriate. Different pharmacological therapies showed comparable outcomes. If chemotherapy was given for progressively growing intra-abdominal desmoids, most favourable outcomes occurred after combinations including doxorubicin. PMID- 21063418 TI - Childhood leukaemia, nuclear sites, and population mixing. AB - The excess of childhood leukaemia (CL) in Seascale, near the Sellafield nuclear reprocessing site in rural NW England, suggested that an epidemic of an underlying infection, to which CL is a rare response, is promoted by marked population mixing (PM) in rural areas, in which the prevalence of susceptibles is higher than average. This hypothesis has been confirmed by 12 studies in non radiation situations. Of the five established CL excesses near nuclear sites, four are associated with significant PM; in the fifth, the Krummel power station in Germany, the subject has not been thoroughly investigated. PMID- 21063419 TI - Ultra-short PROMs: clever or not? PMID- 21063420 TI - A simple practical patient-reported clinic satisfaction measure for young adults. AB - BACKGROUND: The development of practical patient-reported outcome measures (PROM) to assess the user view of health programmes is increasingly important. Valid, shorter instruments are more likely to be used and completed than extensive questionnaires. METHODS: Consecutive adult outpatient attendees who were long term survivors of childhood cancer completed the 16-item Patient Satisfaction with Communication Questionnaire (PSCQ). These data were used to develop a three item questionnaire. The brief PROM was validated against data from a second, independent survey conducted in a similar fashion. RESULTS: In all, 93 individuals contributed PSCQ data, a response rate of 63%. The brief PROM was highly correlated with the original PSCQ in derivation (rho=0.87, P<0.001) and validation (rho=0.82, P<0.001) data sets. Using a cutoff of scores <9 to indicate dissatisfaction showed fair discrimination in derivation (sensitivity 85%, specificity 80%) and validation data sets (sensitivity 75%, specificity 78%). CONCLUSION: It is possible to quickly and efficiently assess satisfaction with follow-up clinics with three questions. This brief PROM could prove useful in monitoring services quality by allowing clinic users to provide timely feedback on their care. PMID- 21063421 TI - A new antifungal yellow pigment from Aspergillus nishimurae. PMID- 21063422 TI - In vitro and in vivo antimalarial activity of puberulic acid and its new analogs, viticolins A-C, produced by Penicillium sp. FKI-4410. AB - In the course of screening for antimalarial agents, five tropolone compounds were isolated from the culture broth of Penicillium sp. FKI-4410. Two were known compounds, puberulic acid and stipitatic acid. Three were new analogs of puberulic acid, designated viticolins A-C. Among them, puberulic acid exhibited potent antimalarial inhibition, with IC(50) values of 0.01 MUg ml(-1) against chloroquine-sensitive and -resistant Plasmodium falciparum strains in vitro. Furthermore, puberulic acid showed weak cytotoxicity against human MRC-5 cells, with an IC(50) value of 57.2 MUg ml(-1). The compound also demonstrated a therapeutic effect in vivo, which compared well against the currently used antimalarial drugs, and thus shows promise as a leading candidate for development into a new antimalarial compound. PMID- 21063423 TI - Hutchinson's legacy: keeping on polyketide biosynthesis. AB - Professor Charles Richard Hutchinson (Hutch) dedicated his research to the study of polyketide compounds, in particular, those produced by actinomycetes. Hutch principally centered his efforts to study the biosynthesis of bioactive compounds, antibiotic and antitumor drugs, and to develop new derivatives with improved therapeutic properties. After dedicating 40 years to the study of polyketides, Hutch leaves us, as legacy, the knowledge that he and his collaborators have accumulated and shared with the scientific community. The best tribute we can offer to him is keeping on the study of polyketides and other bioactive compounds, in an effort to generate more safer and useful drugs. In this review, the work on the polyketides, borrelidin, steffimycin and streptolydigin, performed at the laboratory of Professors Salas and Mendez at University of Oviedo (Spain) during the last 10 years, is summarized. PMID- 21063424 TI - Two novel alkaloids from the South China Sea marine sponge Dysidea sp. AB - Two new alkaloids, dysideanins A (1) and B (2), along with two known diketopiperazines, cyclo-(Pro-Leu) (3) and cyclo-(Pro-Ile) (4), were isolated from the marine sponge Dysidea sp. The structures were established from NMR and MS analysis. Dysideanin B (2) exhibited antibacterial activity. The thiomethylated imidazolinium unit as found in dysideanin A is very rarely encountered in nature. PMID- 21063425 TI - Genome mining and biosynthesis of fumitremorgin-type alkaloids in ascomycetes. AB - This review summarizes the recent progress on the biosynthesis of fumitremorgin type alkaloids; that is, the identification of the biosynthetic gene clusters from genome sequences by genome mining and proof of gene function by molecular biological and biochemical investigations. PMID- 21063427 TI - Brain glutamine synthesis requires neuronal aspartate: a commentary. AB - Inspired by the paper, 'Brain glutamine synthesis requires neuronal-born aspartate as amino donor for glial glutamate formation' by Pardo et al, a modified model of oxidation-reduction, transamination, and mitochondrial carrier reactions involved in aspartate-dependent astrocytic glutamine synthesis and oxidation is proposed. The alternative model retains the need for cytosolic aspartate for transamination of alpha-ketoglutarate, but the 'missing' aspartate molecule is generated within astrocytes during subsequent glutamate oxidation. Oxaloacetate formed during glutamate formation is used during glutamate degradation, and all transmitochondrial reactions, oxidations-reductions, and cytosolic and mitochondrial transaminations are stoichiometrically balanced. The model is consistent with experimental observations made by Pardo et al. PMID- 21063426 TI - Macrophage migration inhibitory factor promotes cell death and aggravates neurologic deficits after experimental stroke. AB - Multiple mechanisms contribute to tissue demise and functional recovery after stroke. We studied the involvement of macrophage migration inhibitory factor (MIF) in cell death and development of neurologic deficits after experimental stroke. Macrophage migration inhibitory factor is upregulated in the brain after cerebral ischemia, and disruption of the Mif gene in mice leads to a smaller infarct volume and better sensory-motor function after transient middle cerebral artery occlusion (tMCAo). In mice subjected to tMCAo, we found that MIF accumulates in neurons of the peri-infarct region, particularly in cortical parvalbumin-positive interneurons. Likewise, in cultured cortical neurons exposed to oxygen and glucose deprivation, MIF levels increase, and inhibition of MIF by (S,R)-3-(4-hydroxyphenyl)-4,5-dihydro-5-isoxazole acetic acid methyl ester (ISO 1) protects against cell death. Deletion of MIF in Mif(-/-) mice does not affect interleukin-1beta protein levels in the brain and serum after tMCAo. Furthermore, disruption of the Mif gene in mice does not affect CD68, but it is associated with higher galectin-3 immunoreactivity in the brain after tMCAo, suggesting that MIF affects the molecular/cellular composition of the macrophages/microglia response after experimental stroke. We conclude that MIF promotes neuronal death and aggravates neurologic deficits after experimental stroke, which implicates MIF in the pathogenesis of neuronal injury after stroke. PMID- 21063428 TI - Adherence to the Mediterranean diet and albuminuria levels in Greek adolescents: data from the Leontio Lyceum ALbuminuria (3L study). AB - BACKGROUND/OBJECTIVES: Mediterranean diet has favorable effects on the cardiovascular system, whereas albuminuria is associated with atherosclerosis progression. The aim of the study was to assess the relationships of adherence rates to the Mediterranean diet with albumin to creatinine ratio (ACR), in a cohort of Greek adolescents who participated in the Leontio Lyceum ALbuminuria (3L) study. SUBJECTS/METHODS: In a total of 365 adolescents 12-17 years of age included in 3L study, ACR values were determined in a morning-spot urine. RESULTS: The Mediterranean diet Quality Index for children and adolescents (KIDMED) was estimated and accordingly subjects were divided into those with high (>= 8), average (4-7) and low (<= 3) score. Adolescents with low (n=153, 42%) compared with those with average (n=187, 51.2%) and high (n=25, 6.8%) KIDMED score were characterized by greater ACR values (22.4 vs 13.4 vs 12.1 mg/g, P<0.05) even after adjustment for confounders. In the total population, KIDMED score was related to body mass index (r=0.122, P=0.02), waist circumference (r=0.118, P=0.02), systolic blood pressure (BP) (r=0.119, P=0.023), whereas it was negatively associated with ACR (r=-0.111, P=0.041). Regarding ACR, it was associated with age (r=-0.11, P=0.044), male sex (r=0.16, P=0.003), body mass index (r=-0.131, P=0.016) and systolic BP (r=-0.144, P=0.008). CONCLUSIONS: Adolescents who adhere to the Mediterranean diet exhibit lower levels of albuminuria, independently of demographic and hemodynamic confounders. The inverse relation of KIDMED index with ACR suggests a close link of accelerated vascular damage reflected by albuminuria with low adherence to this favorable diet of the Mediterranean basin. PMID- 21063429 TI - Effect of an alpha-lactalbumin-enriched infant formula with lower protein on growth. AB - BACKGROUND/OBJECTIVES: Protein concentration is lower in human milk (HM) than in infant formula. The objective of this study was to evaluate the effect of an alpha-lactalbumin-enriched formula with a lower protein concentration on infant growth, protein markers and biochemistries. SUBJECTS/METHODS: Healthy term formula-fed (FF) infants 5-14 days old were randomized in this controlled, double blind trial to standard formula (SF: 14.1 g/l protein, 662 kcal/l) group (n=112) or experimental formula (EF: 12.8 g/l protein, 662 kcal/l) group (n=112) for 120 days; a HM reference group (n=112) was included. Primary outcome was weight gain (g/day) from D0 to D120. Secondary outcomes included serum albumin, plasma amino acids insulin and incidence of study events. Anthropometric measures were expressed as Z-scores using 2006 World Health Organization growth standards. RESULTS: A total of 321 of the 336 infants (96%) who enrolled, completed the study. Mean age was 9.6 (+/-2.9) days; 50% were girls. Mean weight gain (g/day) did not significantly differ between SF vs EF (P=0.67) nor between EF vs HM (P=0.11); however weight gain (g/day) was significantly greater in the SF vs HM group (P=0.04). At day 120, mean weight-for-age Z-score (WAZ) and weight-for length Z-score (WLZ) did not significantly differ between SF vs EF nor EF vs HM; however the WAZ was significantly greater in SF vs HM (P=0.025). Secondary outcomes were within normal ranges for all groups. Incidence of study events did not differ among groups. CONCLUSIONS: alpha-Lactalbumin-enriched formula containing 12.8 g/l protein was safe and supported age-appropriate growth; weight gain with EF was intermediate between SF and HM groups and resulted in growth similar to HM-fed infants in terms of weight gain, WAZ and WLZ. PMID- 21063430 TI - Long-term walnut supplementation without dietary advice induces favorable serum lipid changes in free-living individuals. PMID- 21063431 TI - Enhanced increase of omega-3 index in response to long-term n-3 fatty acid supplementation from triacylglycerides versus ethyl esters. AB - BACKGROUND: There is a debate currently about whether different chemical forms of eicosapentaenoic acid (EPA) and docosahexaenoic acid (DHA) are absorbed in an identical way. The objective of this study was to investigate the response of the omega-3 index, the percentage of EPA+DHA in red blood cell membranes, to supplementation with two different omega-3 fatty acid (n-3 FA) formulations in humans. DESIGN: The study was conducted as a double-blinded placebo-controlled trial. A total of 150 volunteers was randomly assigned to one of the three groups: (1) fish oil concentrate with EPA+DHA (1.01 g+0.67 g) given as reesterified triacylglycerides (rTAG group); (2) corn oil (placebo group) or (3) fish oil concentrate with EPA+DHA (1.01 g+0.67 g) given as ethyl ester (EE group). Volunteers consumed four gelatine-coated soft capsules daily over a period of six months. The omega-3 index was determined at baseline (t(0)) after three months (t(3)) and at the end of the intervention period (t(6)). RESULTS: The omega-3 index increased significantly in both groups treated with n-3 FAs from baseline to t(3) and t(6) (P<0.001). The omega-3 index increased to a greater extent in the rTAG group than in the EE group (t(3): 186 versus 161% (P<0.001); t(6): 197 versus 171% (P<0.01)). CONCLUSION: A six-month supplementation of identical doses of EPA+DHA led to a faster and higher increase in the omega-3 index when consumed as triacylglycerides than when consumed as ethyl esters. PMID- 21063433 TI - School-based intervention to promote eating daily and healthy breakfast: a survey and a case-control study. AB - BACKGROUND/OBJECTIVE: The recent rapid increase in childhood obesity rates suggests that a consideration of the role of the schools in addressing this problem is necessary. 'Fits me' program functions to promote eating daily and healthy breakfast among elementary school children. METHODS: Separate children groups were sampled each year by clusters from seven regions around Israel. They filled a self-administered questionnaire at the beginning of 2003, before the program started, and in 2003-2005, after the program. A separate sample was collected in 2006 in a case-control structure. The answer to the question: 'what do you eat for breakfast?' considered as a healthy breakfast if it included one of the following food items: A sandwich (not including chocolate, jam or butter), cereals, vegetable, fruit, egg and dairy product. RESULTS: As compared with 2003 before the program, more children reported eating daily breakfast over the years (51-65% before and until 2005, respectively, P for trend<0.01). Odds ratio (OR) and 95% confidence interval (95% CI) for eating a healthy breakfast, in 2006 in the intervention (n=417) vs controls (n=572), adjusted for sex and age were OR=1.53 (95% CI: 1.15-2.04). However, only a third of 75% of the children who ate a healthy breakfast in the intervention group estimated that they were eating a healthy breakfast. CONCLUSIONS: After implementation an educational program to promote daily and healthy breakfast eating, the goal of a healthier breakfast was achieved. However, one should strive to define an exact definition of a healthy breakfast. PMID- 21063434 TI - Alarming high prevalence of overweight/obesity among Sudanese children. AB - The objective of this study was to estimate the prevalence of obesity among schoolchildren in Khartoum state, Sudan. Multistage stratified random sampling methodology was used. Sampling included different residential areas within the state. A total of 1138 children between the ages of 10 and 18 years were involved in the study. More than 9% of the children were obese, 10.8% were overweight whereas combined overweight/obesity scored 20.5%. The prevalence of combined overweight/obesity among higher, middle and lower socioeconomic class children was 56.8, 27.3 and 3.1%, respectively. These figures, being higher than those reported among Nigerian and South African children, living in similar conditions, may refer to an emerging problem of overweight and obesity especially among children of the higher and middle class families. Adoption of national programs of promoting healthy food habits and physical activity among children is recommended. PMID- 21063435 TI - Local adaptation for body color in Drosophila americana: commentary on Wittkopp et al. PMID- 21063436 TI - Unraveling the sequence dynamics of the formation of genus-specific satellite DNAs in the family solanaceae. AB - Tandemly repeated DNAs, referred to as satellite DNAs, often occur in a genome in a genus-specific manner. However, the mechanisms for generation and evolution for these sequences are largely unknown because of the uncertain origins of the satellite DNAs. We found highly divergent genus-specific satellite DNAs that showed sequence similarity with genus-specific intergenic spacers (IGSs) in the family Solanaceae, which includes the genera Nicotiana, Solanum and Capsicum. The conserved position of the IGS between 25S and 18S rDNA facilitates comparison of IGS sequences across genera, even in the presence of very low sequence similarity. Sequence comparison of IGS may elucidate the procedure of the genesis of complex monomer units of the satellite DNAs. Within the IGS of Capsicum species, base substitutions and copy number variation of subrepeat monomers were causes of monomer divergence in IGS sequences. At the level of inter-generic IGS sequences of the family Solanaceae, however, genus-specific motif selection, motif shuffling between subrepeats and differential amplification among motifs were involved in formation of genus-specific IGS. Therefore, the genus-specific satellite DNAs in Solanaceae plants can be generated from differentially organized repeat monomers of the IGS rather than by accumulation of mutations from pre-existent satellite DNAs. PMID- 21063437 TI - Does flax have the answer to the GM mix-up? PMID- 21063438 TI - Patterns of hybridization and asymmetrical gene flow in hybrid zones of the rare Eucalyptus aggregata and common E. rubida. AB - The patterns of hybridization and asymmetrical gene flow among species are important for understanding the processes that maintain distinct species. We examined the potential for asymmetrical gene flow in sympatric populations of Eucalyptus aggregata and Eucalyptus rubida, both long-lived trees of southern Australia. A total of 421 adults from three hybrid zones were genotyped with six microsatellite markers. We used genealogical assignments, admixture analysis and analyses of spatial genetic structure and spatial distribution of individuals, to assess patterns of interspecific gene flow within populations. A high number of admixed individuals were detected (13.9-40% of individuals), with hybrid populations consisting of F(1) and F(2) hybrids and backcrosses in both parental directions. Across the three sites, admixture proportions were skewed towards the E. aggregata genetic cluster (x=0.56-0.65), indicating that backcrossing towards E. aggregata is more frequent. Estimates of long-term migration rates also indicate asymmetric gene flow, with higher migration rates from E. aggregata to hybrids compared with E. rubida. Taken together, these results indicate a greater genetic input from E. aggregata into the hybrid populations. This asymmetry probably reflects differences in style lengths (E. rubida: ~7 mm, E. aggregata: ~4 mm), which can prevent pollen tubes of smaller-flowered species from fertilizing larger-flowered species. However, analyses of fine-scale genetic structure suggest that localized seed dispersal (<40 m) and greater clustering between hybrid and E. aggregata individuals may also contribute to directional gene flow. Our study highlights that floral traits and the spatial distributions of individuals can be useful predictors of the directionality of interspecific gene flow in plant populations. PMID- 21063439 TI - Development: Strand-specific satellite expression. PMID- 21063440 TI - Genomics: A picture worth 1000 Genomes. PMID- 21063441 TI - Regulation of transcription in plants: mechanisms controlling developmental switches. AB - Unlike animals, plants produce new organs throughout their life cycle using pools of stem cells that are organized in meristems. Although many key regulators of meristem and organ identities have been identified, it is still not well understood how they function at the molecular level and how they can switch an entire developmental programme in which thousands of genes are involved. Recent advances in the genome-wide identification of target genes controlled by key plant transcriptional regulators and their interactions with epigenetic factors provide new insights into general transcriptional regulatory mechanisms that control switches of developmental programmes and cell fates in complex organisms. PMID- 21063442 TI - The clinical spectrum of complete FBN1 allele deletions. AB - The most common mutations found in FBN1 are missense mutations (56%), mainly substituting or creating a cysteine in a cbEGF domain. Other mutations are frameshift, splice and nonsense mutations. There are only a few reports of patients with marfanoid features and a molecularly proven complete deletion of a FBN1 allele. We describe the clinical features of 10 patients with a complete FBN1 gene deletion. Seven patients fulfilled the Ghent criteria for Marfan syndrome (MFS). The other three patients were examined at a young age and did not (yet) present the full clinical picture of MFS yet. Ectopia lentis was present in at least two patients. Aortic root dilatation was present in 6 of the 10 patients. In three patients, the aortic root diameter was on the 95th percentile and in one patient, the diameter of the aortic root was normal, the cross section, however, had a cloverleaf appearance. Two patients underwent aortic root surgery at a relatively young age (27 and 34 years). Mitral valve prolapse was present in 4 of the 10 patients, and billowing of the mitral valve in 1. All patients had facial and skeletal features of MFS. Two patients with a large deletion extending beyond the FBN1 gene had an extended phenotype. We conclude that complete loss of one FBN1 allele does not predict a mild phenotype, and these findings support the hypothesis that true haploinsufficiency can lead to the classical phenotype of Marfan syndrome. PMID- 21063443 TI - Mitochondrial dysfunction and organic aciduria in five patients carrying mutations in the Ras-MAPK pathway. AB - Various syndromes of the Ras-mitogen-activated protein kinase (MAPK) pathway, including the Noonan, Cardio-Facio-Cutaneous, LEOPARD and Costello syndromes, share the common features of craniofacial dysmorphisms, heart defect and short stature. In a subgroup of patients, severe muscle hypotonia, central nervous system involvement and failure to thrive occur as well. In this study we report on five children diagnosed initially with classic metabolic and clinical symptoms of an oxidative phosphorylation disorder. Later in the course of the disease, the children presented with characteristic features of Ras-MAPK pathway-related syndromes, leading to the reevaluation of the initial diagnosis. In the five patients, in addition to the oxidative phosphorylation disorder, disease-causing mutations were detected in the Ras-MAPK pathway. Three of the patients also carried a second, mitochondrial genetic alteration, which was asymptomatically present in their healthy relatives. Did we miss the correct diagnosis in the first place or is mitochondrial dysfunction directly related to Ras-MAPK pathway defects? The Ras-MAPK pathway is known to have various targets, including proteins in the mitochondrial membrane influencing mitochondrial morphology and dynamics. Prospective screening of 18 patients with various Ras-MAPK pathway defects detected biochemical signs of disturbed oxidative phosphorylation in three additional children. We concluded that only a specific, metabolically vulnerable sub-population of patients with Ras-MAPK pathway mutations presents with mitochondrial dysfunction and a more severe, early-onset disease. We postulate that patients with Ras-MAPK mutations have an increased susceptibility, but a second metabolic hit is needed to cause the clinical manifestation of mitochondrial dysfunction. PMID- 21063445 TI - [A protein interaction network and cell signaling pathways activated by muramyl peptides]. AB - Review is devoted to studying the interaction muramyl peptides with protein components of immune system cells. Systems analysis of published results may be useful to select not only the strategy to further explore the function of this class of glycopeptides, but their use in clinical practice. PMID- 21063444 TI - Comprehensive fine mapping of chr12q12-14 and follow-up replication identify activin receptor 1B (ACVR1B) as a muscle strength gene. AB - Muscle strength is important in functional activities of daily living and the prevention of common pathologies. We describe the two-staged fine mapping of a previously identified linkage peak for knee strength on chr12q12-14. First, 209 tagSNPs in/around 74 prioritized genes were genotyped in 500 Caucasian brothers from the Leuven Genes for Muscular Strength study (LGfMS). Combined linkage and family-based association analyses identified activin receptor 1B (ACVR1B) and inhibin beta C (INHBC), part of the transforming growth factor beta pathway regulating myostatin - a negative regulator of muscle mass - signaling, for follow-up. Second, 33 SNPs, selected in these genes based on their likelihood to functionally affect gene expression/function, were genotyped in an extended sample of 536 LGfMS siblings. Strong associations between ACVR1B genotypes and knee muscle strength (P-values up to 0.00002) were present. Of particular interest was the association with rs2854464, located in a putative miR-24-binding site, as miR-24 was implicated in the inhibition of skeletal muscle differentiation. Rs2854464 AA individuals were ~2% stronger than G-allele carriers. The strength increasing effect of the A-allele was also observed in an independent replication sample (n=266) selected from the Baltimore Longitudinal Study of Aging and a Flemish Policy Research Centre Sport, Physical Activity and Health study. However, no genotype-related difference in ACVR1B mRNA expression in quadriceps muscle was observed. In conclusion, we applied a two-stage fine mapping approach, and are the first to identify and partially replicate genetic variants in the ACVR1B gene that account for genetic variation in human muscle strength. PMID- 21063446 TI - [Transcriptome analysis of bacterial pathogens in vivo: problems and solutions]. AB - This review considers modern strategy of whole-transcriptome investigation of intracellular pathogens in vivo. The methods of preliminary enrichment for bacterial RNA are discussed in details, including hybridization-based approaches and the peculiarities of cDNA synthesis in bacteria; methods of synthesizing cDNA from the view of features of prokaryotic RNAs and methods of bacterial cDNA analysis are also described, including high-throughput RNA-seq. The discussed methods are exemplified by analysis of Mycobacterium tuberculosis in different infection models: in cell lines, infected animal tissues and organs, and human surgical samples of lung. The advantages and limitations of different methodological approaches are discussed. PMID- 21063447 TI - [Biologically active metabolites of the marine actinobacteria]. AB - This review systematically data on the chemical structure and biological activity of metabolites of obligate and facultative marine actinobacteria, published from 2000 to 2007. We discuss some structural features of the five groups of metabolites related to macrolides and compounds containing lactone, quinone and diketopiperazine residues, cyclic peptides, alkaloids, and compounds of mixed biosynthesis. Survey shows a large chemical diversity of metabolites actinobacteria isolated from marine environment. It is shown that, along with metabolites, identical to previously isolated from terrestrial actinobacteria, marine actinobacteria synthesize unknown compounds not found in other natural sources, including micro organisms. Perhaps the biosynthesis of new chemotypes bioactive compounds in marine actinobacteria is one manifestation of chemical adaptation of microorganisms to environmental conditions at sea. Review stresses the importance of the chemical study of metabolites of marine actinobacteria. These studies are aimed at obtaining new data on marine microorganisms producers of biologically active compounds and chemical structure and biological activity of new low-molecular bioregulators of natural origin. PMID- 21063448 TI - [Oligoglycine surface structures: molecular dynamics simulation]. AB - The full-atomic molecular dynamics (MD) simulation of adsorption mode for diantennary oligoglycines [H-Gly4-NH(CH2)5]2 onto graphite and mica surface is described. The resulting structure of adsorption layers is analyzed. The peptide second structure motives have been studied by both STRIDE (structural identification) and DSSP (dictionary of secondary structure of proteins) methods. The obtained results confirm the possibility of polyglycine II (PGII) structure formation in diantennary oligoglycine (DAOG) monolayers deposited onto graphite surface, which was earlier estimated based on atomic-force microscopy measurements. PMID- 21063449 TI - [GnRH analogues containing SV-40 virus T-antigen nuclear localization sequence]. AB - To improve the efficiency of anticancer drugs due to their delivery to intracellular targets a set of GnRH analogues containing nuclear localization signal (NLS) of SV-40 virus large T-antigen have been synthesized. NLS was attached to the parent molecule via epsilon-amino group of D-Lysine in position 1 or 6 of peptide sequence using orthogonal protection strategy. The biological activity studies revealed that incorporation of NLS moiety significantly increases cytotoxic activity of palmitoyl-containing GnRH analogues in vitro. The influence of tested peptides on tumor cells does not accompanied by the destruction of cell membrane, as confirmed in experiments with normal fibroblasts, used as a control. PMID- 21063450 TI - [Effects and mechanism of action of synthetic peptide octarphin]. AB - We have synthesized the peptide TPLVTLFK corresponding to the beta-endorphin fragment 12-19 (the name given by the authors - octarphin), and its analogs (LPLVTLFK, TLLVTLFK, TPLVLLFK, TPLVTLLK, TPLVTLFL). The peptide octarphin was labeled with tritium (the specific activity of 28 Ci/mmol) and its binding to the murine peritoneal macrophages has been studied. [(3)H]Octarphin was found to bind to macrophages with high affinity (K(d) = 2.3 +/- 0.2 nM) and specificity. The specific binding of [(3)H]octarphin is inhibited by unlabeled beta-endorphin and selective agonist of non-opioid beta-endorphin receptor synthetic peptide immunorphin (SLTCLVKGFY) (K(i) = 2.7 +/- 0.2 and 2.4 +/- 0.2 nM respectively) and not inhibited by unlabeled naloxone, alpha-endorphin, gamma-endorphin and [Met(5)]enkephalin (K(i) > 10 MUM). Inhibiting activity of unlabeled analogs of octarphin is more then 100 times lower the unlabeled octarphin. Octarphin stimulates activity of murine immunocompetent cells in vitro and in vivo: at the concentration of 1-10 nM enhances the adhesion and spreading of peritoneal macrophages as well as their capacity to digest bacteria of Salmonella typhimurium virulent strain 415 in vitro. Intraperitoneal administration of peptide at dose 20 MUg/animal on day 7,3 and 1 prior to the isolation of cells increases activity of peritoneal macrophages as well as T- and B-spleen lymphocytes. PMID- 21063451 TI - [Identification in the rat olfactory epithelium new subgroup YM-1 chitinase-like protein]. AB - Novel protein with a molecular mass of ~43 kDa from rat olfactory epithelium in pathophysiological conditions was discovered. Its amino acid sequence and affiliation with the family 18 glycohydrolase subgroup of chitinase-like proteins YM-1 were determined. PMID- 21063452 TI - [The development and optimization of coupled cell-free expression system for production of the transmembrane domain of the receptor tyrosine kinase ErbB3]. AB - The cell-free expression system based on bacterial extract S30 from E. coli for production of the transmembrane domain of human receptor tyrosine kinase ErbB3 (residues 632-675) was developed. The synthesis of the domain in the soluble form in the presence of detergents and in the form of the translation mixture precipitate was studied. The protocols of purification of the recombinant domain obtained by both methods were developed. The final yield of target protein in optimal conditions was 1.8-2.0 mg per 1 ml of translation mixture. PMID- 21063453 TI - [Overexpression of the nucleolar protein SURF-6 in mouse fibroblasts NIH/3T3 leads to stabilisation of intragenic transcribed spacers of the pre-rRNA]. AB - SURF-6 is an evolutionary conserved nucleolar protein that is required for maintenance of cell viability, but its functional significance in mammals still remains illusive. In the present work we examined effects of SURF-6 overexpression in mouse NIH/3T3 fibroblasts transfected with two plasmids. The plasmid pUHrT62-1 encodes a tetracycline-dependant trans-activator, the protein rtTA, the plasmid pBI-SURF6--the genes of EGFP (enhanced green fluorescent protein) and of mouse SURF-6 which expression was controlled by the rtTA responsive bi-directorial promoter. Western blot analysis showed that the SURF-6 level was severely augmented in cells transfected with pUHrT62-1 and pBI-SURF6 and incubated with the inducer--doxycycline opposed to the transfected but not induced cells. The increase of SURF-6 was observed in 24 and 48 h after adding the inducer doxycycline. Dot-hybridization of isolated RNA with biotinilated oligonucleotide probes to various regions of mouse primarily pre-rRNA transcripts showed that overexpression of SURF-6 enhanced levels of the second intragenic transcribed spacer ITS2 in about seven folds and of the 5' external transcribed spacer 5'ETS in two folds. Amounts of fragments corresponding to 18S, 5.8S and 28S rRNA remained almost unchanged. These observations for the first time demonstrated that mammalian SURF-6 helps to stabilize or prevents premature cleavage of the pre-rRNA intragenic transcribed spacers, particularly of ITS2, similar to its homologue in S. cerevisiae the protein Rrp14. Today metazoan proteins that play a similar role in ribosome biogenesis, are not described. PMID- 21063454 TI - [Effect of of distamycin A on histone H1 methylation, extraction and formation of UV-inducible crosslinks with DNA in the interphase rat liver nucleus]. AB - Incubation in vitro of rat liver nuclei in the presence of S-adenosyl[methyl (3)H]methionine ([(3)H] SAM) leads to incorporation of the radioactive label not only into core-histones H3 and H4, but also into linker histone H1. Addition of distamycine A to the incubation medium stimulates label incorporation into histone H1 ~ in 6 times and into histone H3 ~ in 2 times. The presence of distamycine facilitates histone H1 extraction by polyglutamic acid (poly(Glu)) and decreases of UV-induced DNA-histone cross-links formation. These effects give evidence of weakening of H1-chromatin interaction by distamycin to be results of histone H1 position change relative to nucleosome and(or) disturbance of histones H1-H3 interactions so as these histones are exposed to additional methylation. PMID- 21063455 TI - [N-azidomethylbenzoyl blocking group in the phosphotriester synthesis of oligonucleotides]. AB - An effective modification of phosphotriester method for automatic synthesis of DNA and RNA fragments using O-nucleophilic intramolecular catalysis and 2 (azidometil)benzoyl group to protect amino groups of heterocyclic bases of nucleotides is described. PMID- 21063456 TI - [Oligonucleotide microarray for subtyping of influenza virus A neuraminidase]. AB - Microarray for influenza A neuraminidase subtyping was presented. Selection of oligoprobes proceeded in two steps. First step included selection of peptides specific for each subtype of neuraminidase. At the second step oligoprobes were calculated using found peptides structures with the subsequent additional selection of the most specific and representative probes. From 19 to 24 probes were used for determination of each subtype of neuraminidase. Microchip testing for 19 samples with the most widespread types (N1 and N2) specifies in unequivocal definition 18 of them and only one isolate has not been identified. PMID- 21063457 TI - [Oligonucleotide derivatives in the nucleic acid hybridization analysis. I. Covalent immobilization of oligonucleotide probes onto the nylon]. AB - The features of UV-induced immobilization of oligonucleotides on a nylon membranes and the effectiveness of enzymatic labeling of immobilized probes at heterophase detection of nucleic acids are studied. Short terminal oligothymidilate (up to 10 nt) sequences are suggested to attach to the probe via a flexible ethylene glycol based linker. The presence of such fragment enhances the intensity of immobilization and reduces UV-dependent degradation of the targeted (sequence-specific) part of the probe by reducing the dose needed for the immobilization of DNA. The optimum dose of UV-irradiation is determined to be ~0.4 J/cm(2) at the wavelength 254 nm. This dose provides high level of hybridization signal for immobilized probes with various nucleotide composition of the sequence specific moiety. The amide groups of the polyamide are shown to play the key role in the photoinduced immobilization of nucleic acids, whereas the primary amino groups in the structure of PA is not the center responsible for the covalent binding of DNA by UV-irradiation, as previously believed. Various additives in the soaking solution during the membrane of UV-dependent immobilization of probes are shown to influence its effectiveness. The use of alternative to UV-irradiation system of radical generation are shown to provide the immobilization of oligonucleotides onto the nylon membrane. PMID- 21063458 TI - [Synthesis of positively charged galactosurfactants]. AB - An approach to synthesis of cationic carbohydrate surfactants with potential antimicrobial or transfected activities is described. PMID- 21063459 TI - Interaction of variable bacterial outer membrane lipoproteins with brain endothelium. AB - BACKGROUND: Previously we reported that the variable outer membrane lipoprotein Vsp1 from the relapsing fever spirochete Borrelia turicatae disseminates from blood to brain better than the closely related Vsp2 [1]. Here we studied the interaction between Vsp1 and Vsp2 with brain endothelium in more detail. METHODOLOGY/PRINCIPAL FINDINGS: We compared Vsp1 to Vsp2 using human brain microvascular endothelial cell (HBMEC) association assays with aminoacid radiolabeled Vsp-expressing clones of recombinant Borrelia burgdorferi and lanthanide-labeled purified lipidated Vsp1 (LVsp1) and Vsp2 (LVsp2) and inoculations of the lanthanide-labeled proteins into mice. The results showed that heterologous expression of LVsp1 or LVsp2 in B. burgdorferi increased its association with HBMEC to a similar degree. Purified lanthanide-labeled lipidated Vsp1 (LVsp1) and LVsp2 by themselves were capable of associating with HBMEC. The association of LVsp1 with brain endothelium was time-dependent, saturable, and required the lipidation. The association of Vsp1 with HBMEC was inhibited by incubation at lower temperature or with excess unlabeled LVsp1 or LVsp2 but not with excess rVsp1 or mouse albumin or an anti Vsp1 monoclonal antibody. The association of LVsp2 with HBMEC and its movement from blood to brain parenchyma significantly increased in the presence of LVsp1. CONCLUSIONS/SIGNIFICANCE: Variable bacterial outer membrane lipoproteins interact with brain endothelium differently; the lipidation and variable features at the protein dome region are key modulators of this interaction. PMID- 21063460 TI - Role of teriparatide in treatment of glucocorticoid-induced osteoporosis. AB - Glucocorticoids are commonly used in various fields within medicine. One of their most common and clinically significant side effects is glucocorticoid-induced osteoporosis (GIOP). GIOP is a disease leading to progressive decreases in bone mineral density, decreased bone strength, and increased risk of skeletal fractures. GIOP has a significant impact on the morbidity and health-related quality of life of the patients it affects. Glucocorticoids have deleterious effects on bone through promoting osteoblast apoptosis and inhibiting osteoblastogenesis. Teriparatide exerts anabolic effects on bone, so it is understandable why teriparatide is thought to be a rational treatment option. Clinical studies have indicated teriparatide is efficacious in the treatment of GIOP to improve bone mineral density values at the lumbar spine and femoral neck. Some evidence also suggests teriparatide may reduce rates of vertebral fractures in GIOP patients. Overall, this review of the current clinical evidence suggests teriparatide may be an efficacious and promising agent in the treatment of GIOP. PMID- 21063462 TI - Emerging clinical role of ranolazine in the management of angina. AB - Chronic stable angina is an exceedingly prevalent condition with tremendous clinical, social, and financial implications. Traditional medical therapy for angina consists of beta-blockers, calcium channel blockers, and nitrates. These agents decrease myocardial oxygen demand and ischemia by reducing heart rate, lowering blood pressure, and/or optimizing ventricular loading characteristics. Unique in its mechanism of action, ranolazine is the first new antianginal agent approved for use in the US for chronic angina in over 25 years. By inhibiting the late inward sodium current (I(Na)), ranolazine prevents pathologic intracellular calcium accumulation that leads to ischemia, myocardial dysfunction, and electrical instability. Ranolazine has been proven in multiple clinical trials to reduce the symptoms of angina safely and effectively and to improve exercise tolerance in patients with symptomatic coronary heart disease. These benefits occur without reduction in heart rate and blood pressure or increased mortality. Although ranolazine prolongs the QT(c), experimental data indicate that ranolazine may actually be antiarrhythmic. In a large acute coronary syndrome clinical trial, ranolazine reduced the incidence of supraventricular tachycardia, ventricular tachycardia, new-onset atrial fibrillation, and bradycardic events. Additional benefits of ranolazine under investigation include reductions in glycosylated hemoglobin levels and improved left ventricular function. Ranolazine is a proven antianginal medication in patients with symptomatic coronary heart disease, and should be considered as an initial antianginal agent for those with hypotension or bradycardia. PMID- 21063461 TI - Treatment of Cushing disease: overview and recent findings. AB - Endogenous Cushing syndrome is an endocrine disease caused by excessive secretion of adrenocorticotropin hormone in approximately 80% of cases, usually by a pituitary corticotroph adenoma (Cushing disease [CD]). It is a heterogeneous disorder requiring a multidisciplinary and individualized approach to patient management. The goals of treatment of CD include the reversal of clinical features, the normalization of biochemical changes with minimal morbidity, and long-term control without recurrence. Generally, the treatment of choice is the surgical removal of the pituitary tumor by transsphenoidal approach, performed by an experienced surgeon. Considering the high recurrence rate, other treatments should be considered. Second-line treatments include more radical surgery, radiation therapy, medical therapy, and bilateral adrenalectomy. Drug treatment has been targeted at the hypothalamic or pituitary level, at the adrenal gland, and also at the glucocorticoid receptor level. Frequently, medical therapy is performed before surgery to reduce the complications of the procedure, reducing the effects of severe hypercortisolism. Commonly, in patients in whom surgery has failed, medical management is often essential to reduce or normalize the hypercortisolemia, and should be attempted before bilateral adrenalectomy is considered. Medical therapy can be also useful in patients with CD while waiting for pituitary radiotherapy to take effect, which can take up to 10 years or more. So far, results of medical treatment of CD have not been particularly relevant; however, newer tools promise to change this scenario. The aim of this review is to analyze the results and experiences with old and new medical treatments of CD and to reevaluate medical therapies for complications of CD and hypopituitarism in patients with cured CD. PMID- 21063463 TI - Management of gastroesophageal reflux disease and erosive esophagitis in pediatric patients: focus on delayed-release esomeprazole. AB - OBJECTIVE: To review the literature on the treatment of gastroesophageal reflux disease (GERD) with emphasis on proton pump inhibitors (PPIs), particularly on delayed-release esomeprazole, and to identify properties and adverse effects of PPIs observed in the treatment of GERD in children and adolescents. SOURCES: Electronic search of PubMed/Medline and Cochrane Collaboration databases, and of abstracts on DDW, NASPGHAN, and ESPGHAN. We focused on controlled and randomized studies published since 2000 and identified reviews that presented a consensual position, and directives published within the last 10 years. MAIN RESULTS: PPIs are considered better antisecretory agents than H(2)-receptor antagonists. Although all PPIs are similar, they are not identical in their pharmacologic properties. For example, the acid-suppressive effect of esomeprazole, the S isomer of omeprazole, persists for more than 16 hours after administration of the morning dose. Therefore, it can control acidity after night meals better than a single dose of omeprazole. Moreover, the onset of the suppressive effect of esomeprazole is faster. It achieves acid inhibition faster than other PPIs. CONCLUSION: Currently, the mainstream treatment for GERD in children is a PPI. Although PPIs are safe drugs, effective in healing erosive esophagitis, and in relieving symptoms, studies with esomeprazole have shown that this drug has as powerful an ability to inhibit acid secretion as omeprazole. It also seems that some pharmacologic properties of esomeprazole are actually better for the treatment of GERD. PMID- 21063464 TI - Tamoxifen-independent recombination in the RIP-CreER mouse. AB - BACKGROUND: The inducible Cre-lox system is a valuable tool to study gene function in a spatial and time restricted fashion in mouse models. This strategy relies on the limited background activity of the modified Cre recombinase (CreER) in the absence of its inducer, the competitive estrogen receptor ligand, tamoxifen. The RIP-CreER mouse (Tg (Ins2-cre/Esr1) 1Dam) is among the few available beta-cell specific CreER mouse lines and thus it has been often used to manipulate gene expression in the insulin-producing cells of the endocrine pancreas. PRINCIPAL FINDINGS: Here, we report the detection of tamoxifen independent Cre activity as early as 2 months of age in RIP-CreER mice crossed with three distinct reporter strains. SIGNIFICANCE: Evidence of Cre-mediated recombination of floxed alleles even in the absence of tamoxifen administration should warrant cautious use of this mouse for the study of pancreatic beta-cells. PMID- 21063465 TI - Prognostic significance of p53-expression in colorectal carcinoma as measured by a luminometric immunoassay. AB - BACKGROUND: Mutations of the TP53 gene induce the production of abnormal p53 protein with a prolonged half-life allowing its detection by monoclonal antibodies. In the following study we examined if elevated levels of p53 correlate with worse prognosis in colorectal cancer. METHODS: We have quantified the protein, using an immunoluminometric assay, in 144 cytosols of primary sporadic colorectal cancer tissues and in 96 specimen of normal mucosa. RESULTS: In 112 samples (77.8%) the p53-expression was higher than the cut-off-value of 0.15 ng p53 per mg total protein. Luminometric immunoassay did not correlate with various clinicopathological parameters. Follow-up ranged from 2.4 to 54.3 (mean 25.3) months. During this period, 61 patients developed recurrences of whom 39 died of the underlying disease. Neither univariate nor multivariate analysis showed any statistically significant differences in prognosis between high and low p53 expression. CONCLUSION: Our investigation revealed that p53 overexpression as measured by a luminometric immunoassay, is not a useful predictor of prognosis in patients with colorectal adenocarcinoma. Overcoming the limit of semiquantitative immunohistochemistry for p53-protein quantitative immunoluminometry may be useful elucidating the relation between serum p53 antibodies and p53 in cytosols. PMID- 21063466 TI - Results of a survey on applied quality standards in non-interventional studies among the members of the German Association of Research-based Pharmaceutical Companies. AB - After the regulatory approval has been obtained, epidemiological studies are acknowledged scientific medical research methods for a new drug which provide additional knowledge about routine application of the drug in clinical daily routine. These studies are performed according to the recommendations of both international and national expert associations, the recommendations of the higher federal authorities in Germany and according to the recommendations of the associations of the pharmaceutical industry. Two surveys among the member companies of the Association of Research-based Pharmaceutical Companies investigated the status of the implementation of the recommendations in the years 2008 and 2010 and compared the results with each other. It could be shown that these recommendations were implemented successfully and were fully adhered to during the conduct of non-interventional studies in Germany. The recommendations define a quality standard which justifies a high level of confidence in the validity of the data collected and the results from these investigations. PMID- 21063467 TI - Electrocardiologic and related methods of non-invasive detection and risk stratification in myocardial ischemia: state of the art and perspectives. AB - BACKGROUND: Electrocardiographic methods still provide the bulk of cardiovascular diagnostics. Cardiac ischemia is associated with typical alterations in cardiac biosignals that have to be measured, analyzed by mathematical algorithms and allegorized for further clinical diagnostics. The fast growing fields of biomedical engineering and applied sciences are intensely focused on generating new approaches to cardiac biosignal analysis for diagnosis and risk stratification in myocardial ischemia. OBJECTIVES: To present and review the state of the art in and new approaches to electrocardiologic methods for non invasive detection and risk stratification in coronary artery disease (CAD) and myocardial ischemia; secondarily, to explore the future perspectives of these methods. METHODS: In follow-up to the Expert Discussion at the 2008 Workshop on "Biosignal Analysis" of the German Society of Biomedical Engineering in Potsdam, Germany, we comprehensively searched the pertinent literature and databases and compiled the results into this review. Then, we categorized the state-of-the-art methods and selected new approaches based on their applications in detection and risk stratification of myocardial ischemia. Finally, we compared the pros and cons of the methods and explored their future potentials for cardiology. RESULTS: Resting ECG, particularly suited for detecting ST-elevation myocardial infarctions, and exercise ECG, for the diagnosis of stable CAD, are state-of-the art methods. New exercise-free methods for detecting stable CAD include cardiogoniometry (CGM); methods for detecting acute coronary syndrome without ST elevation are Body Surface Potential Mapping, functional imaging and CGM. Heart rate variability and blood pressure variability analyses, microvolt T-wave alternans and signal-averaged ECG mainly serve in detecting and stratifying the risk for lethal arrythmias in patients with myocardial ischemia or previous myocardial infarctions. Telemedicine and ambient-assisted living support the electrocardiological monitoring of at-risk patients. CONCLUSIONS: There are many promising methods for the exercise-free, non-invasive detection of CAD and myocardial ischemia in the stable and acute phases. In the coming years, these new methods will help enhance state-of-the-art procedures in routine diagnostics. The future can expect that equally novel methods for risk stratification and telemedicine will transition into clinical routine. PMID- 21063468 TI - Raised D-dimer levels in acute sickle cell crisis and their correlation with chest X-ray abnormalities. AB - OBJECTIVE: Quantitation of D-dimer level during a sickling crisis and its correlation with other clinical abnormalities. DESIGN: Prospective longitudinal study. SETTING: Armed Forces Hospital, Southern Region, Kingdom of Saudi Arabia. PATIENTS: Adult patients (12 years and older) admitted acutely with a sickle cell crisis who consent to taking part in the study. Candidates may re-participate if they are readmitted with a further acute painful crisis. RESULTS: 36 patients with homozygous sickle cell disease consented to take part in the study. D-dimer levels were raised in 31 (68.9%) of 45 episodes of painful crisis of whom 13 had an abnormal chest X-ray. Of those with a normal chest X-ray only one patient had a raised D-dimer level: sensitivity of 92.3%, specificity 40.6%, positive predictive value 38.7% and negative predictive value of 92.9% for an abnormal chest X-ray. CONCLUSION: D-dimer levels are frequently raised during an acute painful crisis. A normal level has a high negative predictive value for an abnormal chest X-ray. PMID- 21063469 TI - Does hypernatremia impact mortality in Toxic Epidermal Necrolysis? AB - INTRODUCTION: In-hospital hypernatremia is associated with increased mortality rates. We want to elucidate the impact of in-hospital acquired hypernatremia in mortality of Toxic Epidermal Necrolysis (TEN). PURPOSE: Is there an association between hypernatremia and mortality in patients with TEN? METHOD: Retrospective study of 25 patients with TEN. Laboratory electrolyte results, diuresis and survival were analyzed. Patients were separated in two groups without (Group A) or with (Group B) hypernatremia. RESULTS: In Group A 10 patients with a TBSA of 74 +/- 25% (mean +/- standard deviation), and a SCORTEN-Score of 2.7 +/- 0.9 were summarized. Diuresis within the first 10 days after admission was 1 +/- 0.3 ml/kg/hour. In Group B 15 patients with a TBSA of 76 +/- 19%, and a SCORTEN-Score of 3.5 +/- 1 were included. Diuresis within the first 10 days after admission was 1.4 +/- 0.4 ml/kg/hour. Hypernatremia occurred on day 3.3 +/- 2.4 after admission and persisted for 5.3 +/- 2.9 days. Statistical analysis showed a significantly higher diuresis (p=0.007) and SCORTEN-Score (p=0.04) in the hypernatremic patients. One normonatremic and 8 hypernatremic patients died during ICU-stay (overall mortality rate 36%). A significantly higher mortality rate was found in Group B (odds ratio: 13,5; 95% confidence interval: 1.34-135.98; p=0.01) during ICU-stay. CONCLUSION: TEN patients with an in-hospital acquired hypernatremia have an increased mortality risk. Close electrolyte monitoring is advisable in these patients. PMID- 21063470 TI - Transdermal fluid loss in severely burned patients. AB - INTRODUCTION: The skin protects against fluid and electrolyte loss. Burn injury does affect skin integrity and protection against fluid loss is lost. Thus, a systemic dehydration can be provoked by underestimation of fluid loss through burn wounds. PURPOSE: We wanted to quantify transdermal fluid loss in burn wounds. METHOD: Retrospective study. 40 patients admitted to a specialized burn unit were analyzed and separated in two groups without (Group A) or with (Group B) hypernatremia. Means of daily infusion-diuresis-ratio (IDR) and the relationship to totally burned surface area (TBSA) were analyzed. RESULTS: In Group A 25 patients with a mean age of 47 +/- 18 years, a mean TBSA of 23 +/- 11%, and a mean abbreviated burned severity index (ABSI) score of 6.9 +/- 2.1 were summarized. In Group B 15 patients with a mean age of 47 +/- 22 years, a mean TBSA of 30 +/- 13%, and a mean ABSI score of 8.1 +/- 1.7 were included. Statistical analysis of the period from day 3 to day 6 showed a significant higher daily IDR-amount in Group A (Group A vs. Group B: 786 +/- 1029 ml vs. -181 +/- 1021 ml; p<0.001) and for daily IDR-TBSA-ratio (Group A vs. Group B: 40 +/- 41 ml/% vs. -4 +/- 36 ml/%; p<0.001). CONCLUSIONS: There is a systemic relevant transdermal fluid loss in burn wounds after severe burn injury. Serum sodium concentration can be used to calculate need of fluid resuscitation for fluid maintenance. There is a need of an established fluid removal strategy to avoid water and electrolyte imbalances. PMID- 21063471 TI - Case report of a cervical lipoleiomyoma with an incidentally discovered ovarian granulosa cell tumor - imaging and minimal-invasive surgical procedure. AB - Uterine lipoleiomyomas are rare benign tumors that mostly affect the uterine corpus. We are reporting the imaging and operative procedure of a very rare case of a large lipoleiomyoma of the uterine cervix combined with an occult adult ovarian granulosa cell tumor. The patient was treated with minimal invasive surgery. PMID- 21063472 TI - Quality indicators in intensive care medicine: why? Use or burden for the intensivist. AB - In order to improve quality (of therapy), one has to know, evaluate and make transparent, one's own daily processes. This process of reflection can be supported by the presentation of key data or indicators, in which the real as-is state can be represented. Quality indicators are required in order to depict the as-is state.Quality indicators reflect adherence to specific quality measures. Continuing registration of an indicator is useless once it becomes irrelevant or adherence is 100%. In the field of intensive care medicine, studies of quality indicators have been performed in some countries. Quality indicators relevant for medical quality and outcome in critically ill patients have been identified by following standardized approaches.Different German societies of intensive care medicine have finally agreed on 10 core quality indicators that will be valid for two years and are currently recommended in German intensive care units (ICUs). PMID- 21063473 TI - Peer reviewing critical care: a pragmatic approach to quality management. AB - Critical care medicine frequently involves decisions and measures that may result in significant consequences for patients. In particular, mistakes may directly or indirectly derive from daily routine processes. In addition, consequences may result from the broader pharmaceutical and technological treatment options, which frequently involve multidimensional aspects. The increasing complexity of pharmaceutical and technological properties must be monitored and taken into account. Besides the presence of various disciplines involved, the provision of 24-hour care requires multiple handovers of significant information each day. Immediate expert action that is well coordinated is just as important as a professional handling of medicine's limitations.Intensivists are increasingly facing professional quality management within the ICU (Intensive Care Unit). This article depicts a practical and effective approach to this complex topic and describes external evaluation of critical care according to peer reviewing processes, which have been successfully implemented in Germany and are likely to gain in significance. PMID- 21063474 TI - Identification of a severe acute respiratory syndrome coronavirus-like virus in a leaf-nosed bat in Nigeria. AB - Bats are reservoirs for emerging zoonotic viruses that can have a profound impact on human and animal health, including lyssaviruses, filoviruses, paramyxoviruses, and severe acute respiratory syndrome coronaviruses (SARS-CoVs). In the course of a project focused on pathogen discovery in contexts where human-bat contact might facilitate more efficient interspecies transmission of viruses, we surveyed gastrointestinal tissue obtained from bats collected in caves in Nigeria that are frequented by humans. Coronavirus consensus PCR and unbiased high-throughput pyrosequencing revealed the presence of coronavirus sequences related to those of SARS-CoV in a Commerson's leaf-nosed bat (Hipposideros commersoni). Additional genomic sequencing indicated that this virus, unlike subgroup 2b CoVs, which includes SARS-CoV, is unique, comprising three overlapping open reading frames between the M and N genes and two conserved stem-loop II motifs. Phylogenetic analyses in conjunction with these features suggest that this virus represents a new subgroup within group 2 CoVs. PMID- 21063475 TI - The bodily presence of significant others: Intensive care patients' experiences in a situation of critical illness. AB - This study is about intensive care patients and the bodily presence of significant others. The aim of the study is to inquire and understand the patients experience of the body in relation to their significant others during critical illness. Open, unstructured, in-depth interviews with six former intensive care patients provide the data for the study. The phenomenological hermeneutical analysis points to a theme among ICU patients' experience of conflict between proximity and distance during the bodily presence of their relations. Patients experience different and conflicting forms of responses to the presence of their significant others. Patients experience significant positive confirmation but also negation through this presence. In the ICU situation, the reactions of significant others appear difficult to deal with, yet the physical presence is significant for establishing a sense of affinity. Patients seek to take some responsibility for themselves as well as for their relatives, and are met with a whole spectrum of reactions. Intensive care patients experience the need to be actively, physically present, which often creates sharp opposition between their personal needs and the needs of their significant others for active participation. PMID- 21063476 TI - Angiogenesis: multiple masks in hepatocellular carcinoma and liver regeneration. AB - Hepatocellular carcinoma (HCC) is naturally resistant to radiotherapy and cytotoxic chemotherapy, leaving surgery as the mainstream therapeutic approach. However, the 5-year recurrence rate after curative resection is as high as 61.5%. The background hepatitis B- or C-induced cirrhosis and the presence of micrometastases at the time of surgery have been regarded as two main causes of recurrence. Recently, accumulating evidence suggests that growth factors and cytokines released during the physiological process of post-surgical liver regeneration could induce the activation of dormant micrometastatic lesions. The establishment of neovasculature to support either liver regeneration or HCC growth involves multiple cell types including liver sinusoidal endothelial cells, Kupffer cells, hepatic stellate cells, and circulating endothelial progenitors. The crosstalks among these cells are driven by multiple molecules and signaling pathways, including vascular endothelial growth factors and their receptors, platelet-derived growth factor, the angiopoietin/Tie family, hepatocyte growth factor/c-Met signaling, and others. Anti-angiogenic agent targeting liver cancer vasculature has been reported to be able to generate limited survival benefit of the patients. In this review, discussions are focused on various angiogenic mechanisms of HCC and liver regeneration, as well as the prevailing anti angiogenic strategies. PMID- 21063477 TI - New antiviral therapies for chronic hepatitis C. AB - Chronic hepatitis C is an important health issue worldwide. The current standard therapy is based on a combination of pegylated-interferon (pegIFN) and ribavirin (RBV), but this treatment leads to only ~50% sustained virological response (SVR) in patients with HCV genotype 1 and high viral loads, who were mostly null responders or relapsers. Among HCV genotypes other than HCV genotype 1, especially HCV genotype 4 patients show only 40-70% SVR by this treatment. Although new drugs also depend on the combination of pegIFN and RBV, it appears that these drugs improve not only rapid virological response (RVR) but also early virological response, leading to SVR in these patients. In the near future, we predict higher SVR rates in chronic hepatitis C patients treated with these new drugs. PMID- 21063478 TI - Outcome of small liver nodules detected by computed tomographic angiography in patients with hepatocellular carcinoma. AB - PURPOSE: Hepatic lesions identified by computed tomography (CT) during arterial portography (CTAP) or CT hepatic arteriography (CTHA) in hepatocellular carcinoma (HCC) patients are sometimes too small to be diagnosed as HCC. We undertook this cohort study to assess whether these small lesions are actually HCC, and to clarify the effectiveness of these imaging examinations in a clinical setting. METHODS: We assessed the characteristics of 74 tiny lesions detected by CTAP and/or CTHA, but not by CT in 67 patients. RESULTS: Seven out of 10 nodules were histologically confirmed as HCC and 18 out of 64 lesions increased in size and showed typical findings of HCC during the follow-up period. Multivariate analysis revealed that the size of the main tumor (>30 mm in diameter) was associated with the presence of tiny additional HCC lesions (P = 0.002). CONCLUSIONS: These findings indicate that CTAP and CTHA are recommended for determining the stage of HCC, especially when the HCC nodule is larger than 30 mm in diameter. PMID- 21063479 TI - Clinical utility of prothrombin induced by vitamin K absence in the detection of hepatocellular carcinoma in Indian population. AB - BACKGROUND: Alpha-fetoprotein (AFP) is a well known widely used biomarker for the detection of hepatocellular carcinoma (HCC); however, it suffers from a low sensitivity and specificity. Protein or prothrombin induced by vitamin K absence or antagonist II (PIVKA-II) is another tumor marker elevated in HCC but not extensively used. AIM: Evaluation of PIVKA-II and AFP in diagnosing HCC in India. PATIENTS AND METHODS: The study group consisted of 70 consecutive HCC patients, 38 patients with cirrhosis, 30 patients with chronic hepatitis, and 30 normal healthy subjects. All patients were evaluated for PIVKA-II and AFP levels by ELISA. RESULT: The mean plasma concentration of PIVKA-II in HCC, cirrhotic, chronic hepatitis patients and healthy controls was 101.07 +/- 78.30 ng/ml, 2.45 +/- 4.25 ng/ml, 1.50 +/- 0.98 ng/ml and 0.79 +/- 0.75 ng/ml, respectively. Receiver operating characteristic (ROC) curve was plotted for PIVKA-II and AFP. At a cutoff level of 9.2 ng/ml for PIVKA-II a sensitivity of 80% and a specificity of 92.1% was found, whereas AFP at a cutoff level of 13.02 ng/ml showed 72.9% sensitivity and 65.8% specificity. No significant relationship of plasma levels of PIVKA-II was observed in HCC with HBsAg/antiHCV positivity and associated portal vein thrombosis, but a positive correlation was seen with the tumor size (P = 0.001). However, no such significant association was found with AFP. CONCLUSION: PIVKA-II was more sensitive and specific than AFP for diagnosing HCC in the Indian population. PMID- 21063480 TI - A case-control study on sequence variations in the enhancer II/core promoter/precore and X genes of hepatitis B virus in patients with hepatocellular carcinoma. AB - PURPOSE: To evaluate the sequence variations in the enhancer II (EnhII)/basal core promotor (BCP)/precore (PC) and X genes of hepatitis B virus (HBV) in Thai patients with hepatocellular carcinoma (HCC) by conducting a cross-sectional case control study. METHODS: As much as 60 patients with HCC and 60 patients without HCC, who were matched for sex, age, hepatitis B e antigen (HBeAg) status, and HBV genotype, were included. Viral mutations in the EnhII/BCP/PC and X regions were characterized by direct sequencing in serum samples. RESULTS: The prevalence of T1753C/A, A1762T/G1764A and G1899A mutations were significantly higher in the HCC group compared to the non-HCC group (43.3 vs. 23.3%, P = 0.02; 88.3 vs. 53.0%, P < 0.001; and 35.0 vs. 8.3%, P = 0.001, respectively). No significant difference between groups was found with respect to G1613A, C1653T, C1766T/T1768A, A1846T/C, T1858C, and G1896A mutations. By multiple logistic regression analysis, the presence of cirrhosis, A1762T/G1764A and G1899A mutations were independently associated with the risk of HCC. CONCLUSION: These data suggested that A1762T/G1764A and G1899A mutations were associated with the development of HCC in Thai patients. PMID- 21063481 TI - Increased incidence of gastroesophageal reflux disease in patients with chronic hepatitis B virus infection. AB - BACKGROUND: Although chronic liver disease is associated with gastroesophageal reflux disease (GERD), the impact of chronic hepatitis B virus (HBV) infection on this association remains unclear. We thus aimed to evaluate the relationship between chronic HBV infection and GERD. METHODS: In this prospective population based study, 1,001 adult subjects who underwent an upper gastrointestinal endoscopic examination in a health check-up and completed a gastroesophageal reflux questionnaire were consecutively enrolled. Endoscopic findings were classified according to the Los Angeles classification. Hepatitis B surface antigen was used as a marker of HBV infection. Univariate and multivariate approaches were used to evaluate the effects of chronic HBV infection on GERD. RESULTS: Chronic HBV infection was associated with heartburn sensation [odds ratio (OR) 1.27, 95% confidence interval 1.01-1.61, P = 0.037], and erosive esophagitis (adjusted OR 1.75, 1.03-2.97, P = 0.037). Although male gender is a risk factor of erosive esophagitis, further analyses stratified by gender and aspartate aminotransferase to platelet ratio index (APRI) showed that chronic HBV infection was associated with erosive esophagitis in female subjects (adjusted OR 2.70, 1.14-6.39, P = 0.024) and those with APRI of more than 0.3 (adjusted OR 3.94, 1.73-8.96, P = 0.001). Moreover, higher serum aspartate aminotransferase (AST) and triglyceride (TG) levels were risk factors of erosive esophagitis in patients with chronic HBV infection. CONCLUSIONS: Our findings indicate a close association between chronic HBV infection and GERD, especially in female subjects and those with higher APRI levels. Moreover, HBV carriers with higher AST or TG levels have higher incidence of erosive esophagitis. The interactions between chronic HBV infection and GERD need further studies. ELECTRONIC SUPPLEMENTARY MATERIAL: The online version of this article (doi:10.1007/s12072-010-9184-4) contains supplementary material, which is available to authorized users. PMID- 21063482 TI - Evaluation of long-term entecavir treatment in stable chronic hepatitis B patients switched from lamivudine therapy. AB - PURPOSE: Current Japanese guidelines recommend that patients should be switched from lamivudine to entecavir when they meet certain criteria. This analysis examines the efficacy and safety of long-term entecavir therapy in patients who were switched to entecavir after 24 weeks' lamivudine therapy in Japanese studies ETV-047 and ETV-060. METHODS: The Phase II Japanese study ETV-047 assessed the efficacy of different entecavir doses when compared with lamivudine. A total of 33 Japanese patients who received lamivudine 100 mg daily in ETV-047 entered the open-label rollover study ETV-060 and subsequently received treatment with entecavir 0.5 mg daily. Hepatitis B virus (HBV) DNA suppression, alanine aminotransferase (ALT) normalization, hepatitis B e antigen (HBeAg) seroconversion, and resistance were evaluated among patients with available samples for up to 96 weeks. Safety was assessed throughout the treatment period. RESULTS: After 96 weeks of entecavir therapy in ETV-060, 90% of patients achieved HBV DNA <400 copies/mL as compared to 21% of patients who completed 24 weeks of lamivudine therapy in ETV-047. Increasing proportions of patients achieved ALT normalization and HBeAg seroconversion following long-term entecavir treatment. No patients experienced virologic breakthrough, and substitutions associated with entecavir resistance were not observed in patients with detectable HBV DNA. Entecavir was well tolerated during long-term treatment. CONCLUSIONS: Switching lamivudine-treated patients with chronic hepatitis B to entecavir results in increased virologic suppression with no evidence of resistance through 2 years of entecavir therapy. These findings support recommendations in the current Japanese treatment guidelines that stable lamivudine patients should be switched to entecavir. PMID- 21063483 TI - Hepatitis B virus genotyping by enzyme-linked immunosorbent assay in Taiwan. AB - PURPOSE: Restriction fragment length polymorphism (RFLP) and enzyme-linked immunosorbent assay (ELISA) with monoclonal antibodies (mAbs) were used in this study to detect genotypes of HBV, and the efficiency and precision of ELISA using the mAbs for HBV genotype detection were also estimated. METHODS: The ELISA with mAbs method was used for the detection of HBV genotype in a Taiwanese population. The HBV genotypes of 100 chronic hepatitis B patients were determined by ELISA and were then compared with those obtained using RFLP. RESULTS: Genotype B was found to be the most prevalent in this study (63% by RFLP; 62% by ELISA) followed by genotype C (31% by RFLP; 35% by ELISA). There was no significant difference between the results obtained by RFLP and ELISA (P = 0.75). The ELISA overall genotypeable rate, the correct genotyping rate from genotypeable specimens, and the concordance of the HBV genotyping assay was 96.00, 94.79, and 91.00%; for the ELISA HBV genotyping assay for genotype B specimens was 96.77, 100.00, and 96.77%; and for genotype C specimens was 97.14, 91.18, and 88.57%, respectively. The mean HBV DNA level was higher in the specimens that could be genotyped by both RFLP and ELISA samples (6.24 +/- 1.77 vs. 2.34 +/- 0.90, log IU/ml), and a significant difference in terms of HBV DNA level of more than 2 * 10(3) IU/ml was identified between the genotyped RFLP samples (P < 0.001). CONCLUSIONS: ELISA is a practical and a useful method for HBV genotyping in a clinical setting in Taiwan, in particular for patients with lower levels of HBV DNA. PMID- 21063484 TI - Occult hepatitis B in blood donors in Indonesia: altered antigenicity of the hepatitis B virus surface protein. AB - BACKGROUND AND AIMS: Occult hepatitis B virus infection (OBI) poses a challenge to the safety of blood donation. The prevalence of OBI is not well documented in Indonesia, although this information in such an endemic country is needed. This study was aimed to evaluate the prevalence of occult hepatitis B in blood donors from two cities of Indonesia, and to study the genetic variation and its effect on the predicted antigenicity of HBsAg. METHODS: Serum samples of 309 regular blood donors negative for HBsAg were tested for anti-HBs and anti-HBc. Hepatitis B virus (HBV) DNA isolated from anti-HBc-positive samples were analyzed by polymerase chain reaction, cloned and sequenced. Antigenic properties of identified HBsAg mutants were predicted by calculation of the antigenic index. RESULTS: Of the 309 HBsAg-negative samples, anti-HBc was positive in 134 (43.4%) and HBV DNA was detected in 25 (8.1%). Seven of the viremic samples had nucleotide substitutions (A521G, A551T, C582T, and A562G) in the S gene, causing amino acid mutations (T123A, M133L, and T143M) in the 'a' determinant of HBsAg that resulted in changes in the predicted antigenicity. CONCLUSIONS: OBI was detected in blood donors' samples in Indonesia. Anti-HBc was shown to be a better screening parameter than HBsAg, however, it might result in the loss of donors particularly in endemic countries. HBsAg detection failure in this study might be due to mutations altering the protein antigenicity and/or the low-level carriage of HBV. PMID- 21063485 TI - Comparison of effects of hepatitis E or A viral superinfection in patients with chronic hepatitis B. AB - PURPOSE: To compare the demographics, liver function, and prognosis of Chinese patients infected with chronic hepatitis B (CHB) and superinfected with hepatitis E virus (HEV) or hepatitis A virus (HAV). PATIENTS AND METHODS: Among 188 patients with CHB, 136 with HEV superinfection and 52 with HAV superinfection were treated at our hospital between March 1999 and October 2007 for clinical features suggestive of acute hepatitis. The patients' age, sex, incidence of liver failure, and mortality were recorded. The tested biochemical indices and markers of liver function included serum alanine aminotransferase (ALT), aspartate aminotransferase (AST), total bilirubin (TBil), prothrombin activity (PTA), and the serum levels of HBeAg, HBeAb, and HBV DNA. RESULTS: There were significant differences between the age and sex distributions of the two groups (P < 0.05). More patients in the CHB + HEV group had complications (94.9 vs. 61.5%, P < 0.001), and hepatic failure (39.7 vs. 11.5%, P = 0.002). Additionally, the mortality among the CHB + HEV group was significantly higher (33.8 vs. 1.9%, P < 0.001). CONCLUSIONS: The comparison of clinical outcomes revealed that patients with HBV + HEV had more advanced baseline liver disease and a poorer prognosis than those with HBV + HAV. Because there is no vaccine against HEV, patients with CHB should take appropriate precautions against superinfection with HEV, such as consumption of boiled water and well-cooked food, in regions where it is endemic. PMID- 21063486 TI - Serum hs-CRP was correlated with treatment response to pegylated interferon and ribavirin combination therapy in chronic hepatitis C patients. AB - BACKGROUND/AIMS: Serum high sensitivity C-reactive protein (hs-CRP) is a surrogate marker for cardiovascular disease risks and related mortality. However, the features of hs-CRP in chronic HCV infection (CHC) patients have not been fully addressed. This study aimed to elucidate the characteristics of hs-CRP and its correlation with clinical profiles in CHC patients. METHODS: Ninety-five CHC patients and 95 age- and sex-matched healthy controls were enrolled for serum hs CRP level, biochemical, and metabolic profiles examinations. Sequential changes of hs-CRP levels in CHC patients receiving peginterferon/ribavirin combination therapy were also evaluated. RESULTS: The mean hs-CRP level of CHC patients was significantly higher than that of healthy controls (0.97 +/- 0.11 vs. 0.24 +/- 0.07 mg/L, P < 0.001). There was no significant correlation between hs-CRP and both virological and histological factors. CHC patients with a high LDL-C level had significantly higher mean hs-CRP (1.38 +/- 0.20 mg/L) than that of patients without (0.59 +/- 0.06 mg/L) (P < 0.001). Hs-CRP level was significantly decreased in 83 patients after peginterferon/ribavirin combination therapy (0.24 vs. 0.62 mg/L, P < 0.001), particularly in 68 patients achieving a sustained virological response (0.25 vs. 0.64 mg/L, P < 0.001). CONCLUSION: CHC patients had a higher hs-CRP level than healthy controls which could be ameliorated after peginterferon/ribavirin combination therapy. PMID- 21063487 TI - A pilot trial of high-dose ursodeoxycholic acid in nonalcoholic steatohepatitis. AB - PURPOSE: Standard dose (13-15 mg/kg) ursodeoxycholic acid (UCDA) is ineffective in the treatment of nonalcoholic steatohepatitis (NASH), however, its immunomodulatory and hepatoprotective effects are dose related. Therefore, we examined the impact of high-dose (28-32 mg/kg) UCDA on aminotransaminase levels in a pilot study of patients with NASH. METHODS: Twelve patients with biopsy proven NASH and elevated aminotransaminases were prescribed high-dose UCDA for 6 months. Liver function tests were monitored during and after treatment with the study endpoint defined as normalization of aminotransaminase levels. RESULTS: Normalization of aspartate aminotransaminase (AST) levels was observed in two (17%) patients, however, no patient normalized their alanine aminotransaminase (ALT) levels. A trend towards a minor reduction in median (range) ALT values from baseline to end of treatment was noted [124 (66-229) vs. 101 (53-188) IU/l, p = 0.07], whereas AST levels remained unchanged [85 (40-132) vs. 98 (28-147) IU/l, p = 0.83]. One patient discontinued treatment prematurely due to diarrhea. No significant change in fasting glucose, triglyceride or HDL cholesterol was observed with treatment. No significant change in ALT or AST levels was observed in the 6-month period after cessation of treatment. CONCLUSION: High-dose UCDA does not normalize aminotransaminase levels in patients with NASH. Other inexpensive well-tolerated agents for the treatment of NASH need to be investigated. PMID- 21063488 TI - Disturbances of parathyroid hormone-vitamin D axis in non-cholestatic chronic liver disease: a cross-sectional study. AB - PURPOSE: Liver has an important role in metabolism of vitamin D. This study aimed to evaluate the patterns of vitamin D-parathyroid hormone (PTH) disturbance and correlate it in patients with non-cholestatic chronic liver disease (CLD). METHODS: A total of 40 healthy controls and 90 consecutive patients with evidence of non-cholestatic CLD due to hepatitis C (n = 28), hepatitis B (n = 26), autoimmune hepatitis (n = 19), and cryptogenic causes (n = 17) were enrolled. Cirrhosis was evident in 51 patients. Serum concentrations of 25-hydroxy vitamin D, PTH, calcium, phosphate, and liver enzymes were measured. Child-Pugh classification was determined in cirrhotic patients. RESULTS: Vitamin D deficiency (<50 nmol/l) was found in 46 (51.1%) patients and vitamin D insufficiency (50-80 nmol/l) in 15 (16.7%) patients. Secondary hyperparathyroidism (serum PTH > 6.8 pmol/l) was present in 6 (6.7%) patients. The prevalence of vitamin D deficiency was significantly higher in cirrhotic versus noncirrhotic patients (76.5 vs. 17.9%; P < 0.001), whereas there was no significant difference in serum calcium, phosphate, and PTH levels. Child-Pugh class B and C patients had significantly lower vitamin D level compared with class A patients (P < 0.001), whereas there was no significant difference in serum calcium, phosphate, and PTH levels. No significant correlation was seen between vitamin D and PTH, calcium or phosphate levels. Lower serum level of vitamin D was associated with coagulopathy, hyperbilirubinemia, hypoalbuminemia, anemia, and thrombocytopenia. CONCLUSIONS: Vitamin D inadequacy and the severity of liver dysfunction move in parallel in patients with non-cholestatic CLD. Vitamin D assessment and replacement should be considered in the management of patients with non-cholestatic CLD. PMID- 21063489 TI - Opposite effects of high and low doses of interleukin-2 on T cell-mediated hepatitis in mice (interleukin-2 on hepatitis). AB - PURPOSE: Concanavalin A (Con A)-induced hepatitis is an extensively used animal model of T cell-mediated acute hepatitis. A variety of cytokines, including interleukin 4 (IL-4), interferon gamma (IFN-gamma), and tumor necrosis factor alpha (TNF-alpha), have been shown to play important roles in Con A-induced liver injury. However, the role of IL-2, a critical cytokine in the development and function of T cells and a clinical therapeutics for virus infection and tumor, has not been carefully examined in this model. METHODS: In this study, we investigated the function of IL-2 in Con A-induced hepatitis by using various strategies of rhIL-2 pretreatment. We treated mice with two rhIL-2 administration strategies: a single injection of high dose of rhIL-2 (IL-2(hi), 50 * 10(3) U/mouse) and four injections of low dose of rhIL-2 (IL-2(4lo), 5 * 10(3) U/mouse). RESULTS: IL-2(hi) pretreatment ameliorated Con A-induced liver injury, while IL-2(4lo) aggravated Con A-induced liver injury. IL-2(hi) pretreatment reduced Con A-induced elevation of serum TNF-alpha while IL-2(4lo) pretreatment did not. Serum IL-4 and TNF-alpha were high 6 h after Con A injection in IL 2(4lo) mice, while it was undetectable in IL-2(hi) and non-pretreated mice. IL 2(hi) pretreatment reduced Con A-induced accumulation of T cells in liver while IL-2(4lo) pretreatment increased accumulation of NK cells. CONCLUSION: Various strategies of rhIL-2 administration play different roles in Con A-induced hepatitis, suggesting the importance of IL-2 administrative regime in clinical liver diseases. PMID- 21063490 TI - Spontaneous regression of a solitary necrotic nodule of the liver. AB - Solitary necrotic nodules of the liver occur rarely. Although these nodules are usually benign, they are surgically removed in most cases because they cannot be differentiated from malignant lesions. To date, the natural history of solitary fibrous nodules remains unclear. We present the case of an incidentally detected hepatic mass (diameter 2 cm) in a 35-year-old man. The hepatic mass was diagnosed as a solitary necrotic nodule by liver biopsy. Follow-up radiologic examination revealed that the solitary necrotic nodule had spontaneously regressed. This is the first report on the natural course history of a solitary necrotic nodule. PMID- 21063491 TI - Adult Langerhans cell histiocytosis and sclerosing cholangitis: a case report and review of the literature. AB - BACKGROUND/AIMS: Sclerosing cholangitis is a rare complication of Langerhans cell histiocytosis in children which can result in liver failure. This combination is even rarer in adults. CASE REPORT: We report a 65-year-old female who developed sclerosing cholangitis 4 years after the diagnosis of Langerhans cell histiocytosis. CONCLUSION: Sclerosing cholangitis caused by Langerhans cell histiocytosis is a rare condition in the adult population, but it has a high mortality. There is no definitive therapy other than liver transplantation. The long-term efficacy of liver transplantation remains unknown. PMID- 21063492 TI - RETRACTED ARTICLE. Localized synovial hypertrophy in the anteromedial compartment of the osteoarthritic knee. PMID- 21063493 TI - Posterior knee pain. AB - Posterior knee pain is a common patient complaint. There are broad differential diagnoses of posterior knee pain ranging from common causes such as injury to the musculotendinous structures to less common causes such as osteochondroma. A precise understanding of knee anatomy, the physical examination, and of the differential diagnosis is needed to accurately evaluate and treat posterior knee pain. This article provides a review of the anatomy and important aspects of the history and physical examination when evaluating posterior knee pain. It concludes by discussing the causes and management of posterior knee pain. PMID- 21063494 TI - Does wrist immobilization following open carpal tunnel release improve functional outcome? A literature review. AB - Carpal Tunnel Syndrome (CTS) is a compressive neuropathy of the median nerve in the carpal tunnel. It is the most common peripheral entrapment neuropathy. The surgical management includes dividing the flexor retinaculum to decompress the median nerve. Post-operative mobilization of the wrist is controversial. Some surgeons splint the wrist for 2-4 weeks whilst others encourage early mobilization. The literature has been inconclusive as to which method is most beneficial. The purpose of this study is to review the literature regarding the effectiveness of wrist immobilization following open carpal tunnel decompression. We reviewed all published clinical trials claiming to evaluate the mobility status following open carpal tunnel release. Studies not in the English language as well as those with small number of patients (n < 30) were excluded. There were five studies that fulfilled the eligibility criteria and were included in this review. We conclude that there is no beneficial effect from post-operative immobilization after open carpal tunnel decompression when compared to early mobilization. PMID- 21063495 TI - Iliotibial band friction syndrome. AB - Published articles on iliotibial band friction syndrome have been reviewed. These articles cover the epidemiology, etiology, anatomy, pathology, prevention, and treatment of the condition. This article describes (1) the various etiological models that have been proposed to explain iliotibial band friction syndrome; (2) some of the imaging methods, research studies, and clinical experiences that support or call into question these various models; (3) commonly proposed treatment methods for iliotibial band friction syndrome; and (4) the rationale behind these methods and the clinical outcome studies that support their efficacy. PMID- 21063496 TI - RETRACTED ARTICLE. Painful os intermetatarseum in athletes: a literature review of this condition is presented. AB - Painful os intermetatarseum is a very rare condition. Gruber et al. first described os intermetatarseum in 1877. This condition is usually asymptomatic. One should consider painful os intermetatarseum as being a possible cause of dorsal foot pain in athletes. Surgical excision of the os intermetatarseum should be considered for those patients failing conservative treatment. Here, a literature review of this condition is presented. PMID- 21063497 TI - Diagnosis and management of quadriceps strains and contusions. AB - Injuries to the quadriceps muscle group occur frequently in sports and athletic activities. Muscle strains and contusions constitute the majority of these injuries. The clinical presentation and assessment of quadriceps strains and contusions are reviewed along with discussion of appropriate imaging used in diagnosis. Treatment protocols for acute injuries are reviewed including rehabilitation techniques frequently utilized during recovery. Special consideration is given to discussing the criteria for return to sports for athletes after injury. Myositis ossificans is a potentially disabling complication from quadriceps contusions and risk factors, prevention, and treatment are reviewed. PMID- 21063498 TI - Chronic exertional compartment syndrome of the leg. AB - Chronic exertional compartment syndrome (CECS) is an underdiagnosed cause of chronic exertional leg pain. The syndrome most commonly occurs in young adult recreational runners, elite athletes, and military recruits. CECS is caused by increased intracompartmental pressure within a fascial space; however, the mechanism of why pain occurs is unknown. Symptoms are classically pain in the affected compartment at the same time, distance, or intensity of exercise. CECS is a clinical diagnosis; however, it is confirmed by intracompartmental pressure testing. Fasciotomy is the treatment of choice for athletes who would like to maintain the same level of activity. Athletes who have a release of the anterior and lateral compartments have a high success rate. PMID- 21063499 TI - Corticosteroid injection for tennis elbow or lateral epicondylitis: a review of the literature. AB - Lateral epicondylitis or tennis elbow is a painful and functionally limiting entity affecting the upperextremity and is frequently treated by hand surgeons. Corticosteroid injection is one of the most common interventions for lateral epicondylitis or tennis elbow. Here, a review of the medical literature on this treatment is presented. PMID- 21063500 TI - JCCS-A journal for translational research. PMID- 21063501 TI - Signalling in the genomic era. AB - For a complex organism, short range signalling is not sufficient to coordinate the behaviour of all cells composing itself. The response to stimuli is the reprogramming of cell activity (resulting in differentiation, proliferation, stand by or apoptosis depending on the set of signals). Cells own elaborate and complex systems of proteins that enable them to communicate, including both secreted signalling molecules and related factors, deriving from relic mechanisms. The intra and intercellular signalling are actively studied not only to comprehend the basic mechanisms that allowed the evolution of mammals species on earth, but also because the alteration of one or more of these pathways is recognized to be involved in a crescent number of human diseases, both degenerative and tumoural. That is, a growing body of evidences suggest that every human disease may be analyzed and classified by a "signalling disease" point of view. This approach opens new therapeutic perspectives, virtually amplifying for every single disease the number of therapeutic targets (in terms of both genes and proteins) to upstream and/or downstream, short and/or long distance proteins interacting with the altered molecule, thus individuating many other targets to which act upon. PMID- 21063502 TI - CCN5: biology and pathophysiology. AB - CCN5 is one of six proteins in the CCN family. This family of proteins has been shown to play important roles in many processes, including proliferation, migration, adhesion, extracellular matrix regulation, angiogenesis, tumorigenesis, fibrosis, and implantation. In this review, we focus on the biological and putative pathophysiological roles of CCN5. This intriguing protein is structurally unique among the CCN family members, and has a unique biological activity profile as well. PMID- 21063503 TI - The contribution of adhesion signaling to lactogenesis. AB - The mammary gland undergoes hormonally controlled cycles of pubertal maturation, pregnancy, lactation, and involution, and these processes rely on complex signaling mechanisms, many of which are controlled by cell-cell and cell-matrix adhesion. The adhesion of epithelial cells to the extracellular matrix initiates signaling mechanisms that have an impact on cell proliferation, survival, and differentiation throughout lactation. The control of integrin expression on the mammary epithelial cells, the composition of the extracellular matrix and the presence of secreted matricellular proteins all contribute to essential adhesion signaling during lactogenesis. In vitro and in vivo studies, including the results from genetically engineered mice, have shed light on the regulation of these processes at the cell and tissue level and have led to increased understanding of the essential signaling components that are regulated in temporal and cell specific manner during lactogenesis. Recent studies suggest that a secreted matricellular protein, CTGF/CCN2, may play a role in lactogenic differentiation through binding to beta1 integrin complexes, enhancing the production of extracellular matrix components and contributions to cell adhesion signaling. PMID- 21063505 TI - Yin and Yang Part Deux: CCN5 inhibits the pro-fibrotic effects of CCN2. AB - There is no treatment for fibrotic disease is a significant cause of mortality. CCN2 Members of the CCN family of matricellular proteins have a characteristic four domain structure. CCN2 (connective tissue growth factor) is believed to play an essential role in fibrogenesis. In a recent paper, data are provided that CCN5 (wisp2), which lacks the carboxy-terminal heparin-binding domain shared by the other CCN proteins, may act as a dominant-negative protein to suppress CCN2 mediated fibrogenesis. These data are consistent with the notion that different CCN proteins may enhance or suppress each other's action and also suggest that CCN5, may be used as a novel anti-fibrotic therapy. PMID- 21063504 TI - A novel role of CCN3 in regulating endothelial inflammation. AB - The vascular endothelium plays a fundamental role in the health and disease of the cardiovascular system. The molecular mechanisms regulating endothelial homeostasis, however, remain incompletely understood. CCN3, a member of the CCN (Cyr61, Ctgf, Nov) family of cell growth and differentiation regulators, has been shown to play an important role in numerous cell types. The function of CCN3 in endothelial cells has yet to be elucidated. Immunohistochemical analysis of CCN3 expression in mouse tissues revealed robust immunoreactivity in the endothelium of large arteries, small resistance vessels, and veins. We found that CCN3 expression in human umbilical vein endothelial cells (HUVECs) is transcriptionally induced by laminar shear stress (LSS) and HMG CoA-reductase inhibitors (statins). Promoter analyses identified the transcription factor Kruppel-like factor 2 (KLF2) as a direct regulator of CCN3 expression. In contrast to LSS, proinflammatory cytokines reduced CCN3 expression. Adenoviral overexpression of CCN3 in HUVEC markedly inhibited the cytokine-mediated induction of vascular adhesion molecule-1 (VCAM-1). Consistent with this observation, CCN3 significantly reduced monocyte adhesion. Conversely, CCN3 knockdown in HUVECs resulted in enhancement of cytokine-induced VCAM-1 expression. Concordant effects were observed on monocyte adhesion. Gain and loss of-function mechanistic studies demonstrated that CCN3 negatively regulates nuclear factor kappaB (NF-kappaB) activity by reducing its translocation into the nucleus and subsequent binding to the VCAM-1 promoter, suggesting that CCN3's anti-inflammatory effects occur secondary to inhibition of NF-kappaB nuclear accumulation. This study identifies CCN3 as a novel regulator of endothelial proinflammatory activation. PMID- 21063506 TI - When there's smoke there's.....CCN2. AB - Smoking causes oral fibrosis. In a recent report, Takeuchi and colleagues (J Dent Res 89:34-9, 2010) evaluate whether nicotine can directly elevate collagen production in gingival fibroblasts. They show that CCN2 (connective tissue growth factor, CTGF) is elevated in response to nicotine and that a neutralizing CCN2 antibody reduces the ability of nicotine to promote collagen production. These data suggest that nicotine from smoking may promote periodontal fibrosis via CCN2. This commentary summarizes these findings. PMID- 21063508 TI - Cosmetic camouflage in vitiligo. AB - Vitiligo is not a life-threatening nor a contagious disease. But the disfigurement of vitiligo can be devastating to its sufferers, especially dark skinned individuals. Available treatment options are disappointing and sufferers often use various forms of camouflage. Remedial cosmetic cover creams help conceal the blemish of vitiligo at least temporarily. A high concentration of pigment is incorporated into water-free or anhydrous foundations to give a color that matches the patient's skin, thereby concealing vitiligo patches. The article highlights the content and technique of application of these creams. PMID- 21063507 TI - Diet in dermatology: present perspectives. AB - Many nutrients are essential for life, and an adequate amount of nutrients in the diet is necessary for providing energy, building and maintaining body organs, and for various metabolic processes. The role of food in the induction of various skin disorders and skin diseases leading to nutritional deficiencies is well known. The photo-protective potential of antioxidants, the effects of micronutrient supplementation on the skin immune system, and the modulating effects of fatty acids on skin disorders are well documented. Skin diseases due to nutritional deficiencies, the dietary role in skin immunity and various skin diseases, and the role of antioxidants and other supplements in skin health have been reviewed. PMID- 21063510 TI - The comparison of intelligence quotients of atopic and nonatopic children in ibadan, Nigeria. AB - BACKGROUND: Atopy-related illnesses such as atopic dermatitis and asthma are chronic illnesses, and children suffering from such illnesses are subjected to frequent absenteeism from school. Studies have shown that the performance of children with asthma was comparable to their healthy counterparts despite their absenteeism at school, in contrast to findings in other chronic illnesses like epilepsy. AIM: In the present study, we investigated the association between atopy and intelligence quotient (IQ) scores in a group of Nigerian children in Ibadan, a city in southwestern Nigeria. MATERIALS AND METHODS: This is a cross sectional study of children in an urban elementary school. Questionnaires to ascertain the presence of atopy-associated conditions such as hay fever, atopic dermatitis, asthma, allergic rhinitis, and allergic conjunctivitis were administered to the parents of 128 pupils in the 3(rd) to 6(th) grades of elementary school. Based on the responses to the questionnaire, pupils were categorized as being atopic and nonatopic. All the pupils underwent the Standard Progressive Matrices IQ test. The IQ scores were then compared among these two groups of children. RESULTS: Out of the children studied, 26.6% were found to have atopy and after adjusting for factors such as age and sex, the IQ scores in this atopic group were not found to be statistically different from the scores in the nonatopic group (r = 2.122872, P = 0.009). CONCLUSION: IQ scores were not statistically significantly different for children with and without atopy. Thus, the presence of atopy does not appear to be associated with low IQ scores and hence, may not be related to poor school performance. PMID- 21063509 TI - Assessment of serum homocysteine, endothelin-1, and nitric oxide levels in behcet's disease. AB - BACKGROUND: Some prominent features of Behcet's disease (BD) are arterial and venous thromboses as a result of endothelial dysfunction. Hyperhomocysteinemia is responsible for vascular endothelial injury due to an increased frequency of thrombogenesis. Endothelin-1 (ET-1) is a vasoconstrictor whereas nitric oxide (NO) is an endothelial vasorelaxing peptide that is responsible for the inhibition of platelet adhesion. AIM: To evaluate serum levels of homocysteine (Hcy) and determine whether hyperhomocysteinemia is considered as a contributing risk factor for venous and arterial thromboses of BD, and to correlate serum levels of ET-1 and NO with disease activity. MATERIALS AND METHODS: We measured serum levels of Hcy, ET-1, and nitrite (NO(2) (-)) in 25 patients who fulfilled the criteria of the International Study Group for BD, and compared them to those of 15 healthy control subjects. Levels of Hcy and ET-1 were measured by using enzyme-linked immunosorbent assay (ELISA), whereas serum nitrite (NO(2) (-)) levels were measured by using Griess reaction as an indicator for NO production. All the patients were screened for a history of venous thrombosis and subdivided into thrombotic and nonthrombotic subgroups according to their thrombotic history. Patients with BD were divided into two subgroups, active and inactive, according to their clinical and laboratory findings. RESULTS: There were significant increases in serum levels of Hcy, ET-1, and nitrite in BD patients compared to those in controls. There was a significant increase in serum Hcy levels in thrombotic compared to nonthrombotic subgroups. Positive correlations were detected between the serum ET-1 and nitrite levels with disease activity in BD patients. CONCLUSION: Hyperhomocysteinemia may play some role in the development of venous and arterial thromboses in BD. Increased NO production might ave critical biological activities that are relevant to pathological events in the active period of the disease. PMID- 21063511 TI - Spectrum of non-infectious erythematous, papular and squamous lesions of the skin. AB - BACKGROUND: Dermatopathologists base their diagnostic approach on the tissue reaction pattern. This study mainly includes the interpretation of two major tissue reaction patterns, the psoriasiform and the lichenoid reactions, with clinicopathological correlation. AIMS: To analyze the spectrum of non-infectious erythematous papular and squamous lesions of the skin at our institute, study the age, sex and anatomic distribution pattern and classify the lesions into major categories; determine the incidence of each subcategory. MATERIALS AND METHODS: STUDY PERIOD: two years; prospective, sample size: 161 cases, proforma filled. RESULTS: The lesions comprised 15.80% of the total load of surgical pathology and 30.99% of total number of skin biopsies. The highest percentage was in the 30-40 year age group (28.6%) with a male preponderance of 60.25%. The extremities were most frequently involved (67.79%). Lichenoid lesions were the commonest (46.57%) with lichen planus 26.7% and psoriasis vulgaris-19.88% being the most frequent. There were 5.6% seropositive cases. Correlation with the histopathological diagnosis was positive in 97.52% cases and negative in 2.48% cases. CONCLUSION: The contribution of histopathology to the final diagnosis was significant. It confirmed the diagnosis in 92.55% and gave the diagnosis in 4.97% cases. PMID- 21063512 TI - Epidermolysis bullosa: a series of 12 patients in kashmir valley. AB - BACKGROUND: Epidermolysis Bullosa (EB) is a genetically determined mechano bullous disorder of the skin encompassing a group of conditions that share skin fragility as a common feature. MATERIALS AND METHODS: Twele patients with Epidermolysis Bullosa from Kashmir valley are reported. RESULTS: Our series included 12 patients, 5 males and 7 females. Features were consistent with EB simplex in 8 patients, EB pruriginosa in 2 patients, generalized atrophic benign EB in one patient and EB acquista in one patient. CONCLUSION: EB is a rare, genetically determined, blistering disorder affecting both males and females with predominant involvement of hands and feet. In the absence of specific therapy, treatment mainly involves avoidance of provoking factors, prevention and treatment of complications. PMID- 21063513 TI - A clinicoepidemiological study of 50 cases of cutaneous tuberculosis in a tertiary care teaching hospital in pokhara, Nepal. AB - BACKGROUND: Cutaneous tuberculosis (TB) is essentially an invasion of the skin by Mycobacterium tuberculosis, the same bacteria that causes pulmonary tuberculosis. AIM: This study was conducted to study the common types of cutaneous TB and to find the management pattern in a tertiary teaching hospital in Pokhara, Nepal. MATERIALS AND METHODS: All the cases of cutaneous TB were biopsied and furthermore investigated by performing Mantoux test, sputum examination, fine needle aspiration cytology, chest X-ray and ELISA. RESULTS: In this study, we found that tuberculosis verrucous cutis (48%) had a higher incidence than other types of cutaneous TB. More males were affected than were females (1.2:1). Commonly affected sites were the limb and the buttock (48%). The most commonly affected age group was 16-25 years (40%). All cases (except two) were more than 15 mm in size in the Mantoux test. The histopathological picture was typical in all except three cases. All patients were treated with antitubercular treatment as per the national guidelines. CONCLUSION: The most common type of cutaneous TB was tuberculosis verrucous cutis and the most commonly affected sites were the limb and the buttock. As cutaneous TB sometimes reflects the presence of pulmonary tuberculosis, its incidence should not be ignored. PMID- 21063514 TI - Assessment of bone mineral density by dual x-ray absorptiometry in dermatological patients treated by corticosteroids. AB - BACKGROUND: Corticosteroids are mainstay of dermatological therapy and they are also a well known cause of osteoporosis. The objective of the present study was to find out the influence of the systemic intake of corticosteroids, either by the oral route or by IV pulse administration, on bone mineral density in dermatological patients using dual X-ray absorptiometry (DXA). MATERIALS AND METHODS: This study was carried on 100 patients and 55 controls. The first group of patients included 55 patients undergoing long-term oral corticosteroid therapy daily and the second group included 45 patients who received IV dexamethasone pulse therapy. DXA was measured once for both the controls and patients in group 1. DXA was measured twice for patients in group 2, before starting pulse therapy (baseline DXA) and six months after regular treatment with pulse therapy (follow up DXA). RESULTS: The results show that significant reduction in BMD occurs in both groups, however, oral corticosteroids produce significantly more reduction in BMD in the lumbar spine. BMD was not found to be affected by the cumulative doses of corticosteroids, the duration of daily oral corticosteroid intake, or the number of IV dexamethasone pulses. CONCLUSION: Corticosteroid treatment causes significant BMD loss in patients treated by either route. Prophylactic treatment against osteoporosis is mandatory in patients receiving either form of corticosteroids. PMID- 21063516 TI - Chemical leucoderma symposium. PMID- 21063515 TI - The comparison between the efficacy of high dose acyclovir and erythromycin on the period and signs of pitiriasis rosea. AB - BACKGROUND: Pityriasis Rosea (PR) is an acute inflammatory and self-limiting skin disorder, sometimes with troublesome symptoms. To date, there are few treatments available for this disorder. AIM: Compare the traditional treatment with erythromycin to a newly introduced antiviral treatment acyclovir for PR. MATERIALS AND METHODS: Patients with clinically confirmed diagnosis of PR, matching our exclusion criteria, were enrolled. They were randomized in two groups that received high-dose oral acyclovir or erythromycin. The participants were evaluated two, four, and eight weeks after commencement of the study and followed for one year. RESULTS: A total of 30 patients including 15 males and 15 females completed the study. After eight weeks, 13 patients in the acyclovir group experienced complete response, while in the erythromycin group only six patients had complete response (P < 0.05). Also, patients in the acyclovir group experienced faster resolution of pruritus in comparison with the erythromycin group (not significant). No adverse drug reaction was detected in both groups. CONCLUSION: It seemed that a high-dose of oral acyclovir was a safe and effective therapy for PR, although this remained to be confirmed in larger studies. PMID- 21063517 TI - Chemical leucoderma: Indian scenario, prognosis, and treatment. AB - Chemical leucoderma is an industrial disorder in developed countries and the common causative chemicals are phenols and catechols. Due to stringent controls and preventive measures the incidence has come down. In the recent past various chemicals in consumer products have also been documented to produce depigmentation. In India due to lax quality control measures chemical leucoderma due to consumer items is not uncommon.The various consumer items documented to cause contact depigmentation are sticker bindis, rain shoes, plastic chappals, hair dye/ black henna(kali mehndi), alta, wallets and even mobile plastic covers. PMID- 21063518 TI - Chemical leukoderma: what's new on etiopathological and clinical aspects? AB - Chemical leukoderma denotes an acquired hypopigmentation caused by repeated exposure to specific chemical compounds simulating clinically idiopathic vitiligo. The ailment has been increasing in developing countries like India in recent years. Etiologically, a lot of chemicals, especially phenolic group, have been identified in various countries including India. The term, "chemical leukoderma syndrome" has been described to encompass all the various manifestations of chemical leukoderma. Clinical diagnostic criteria have been proposed to diagnose chemical leukoderma clinically more confidently. PMID- 21063519 TI - Seronegative necrolytic acral erythema: a distinct clinical subset? AB - A patient was referred to us with asymptomatic, erythematous, nonitchy, scaly lesions present bilaterally on the dorsa of his feet and toes since the last 2 months. Both the legs had pitting edema as well. There were hyperkeratosis, focal parakeratosis, acanthosis and scattered spongiosis in the epidermis, and proliferation of capillaries with perivascular infiltration of lymphomononuclear cells in the dermis. There was no serological evidence of hepatitis C virus. Laboratory investigations revealed hypoalbuminemia and low-normal serum zinc. On clinicopathological correlation, we made a diagnosis of necrolytic acral erythema (NAE). The lesions responded dramatically to oral zinc sulfate and topical clobetasol propionate within 3 weeks with disappearance of edema and scaling and only a minimal residual erythema. This is the first reported case of NAE from Eastern India. NAE with negative serology for hepatitis C may be viewed as a distinct subset of the condition that had been originally described. PMID- 21063520 TI - Borderline lepromatous leprosy with neurofibromatosis. AB - The coexistence of leprosy with neurofibromatosis is rare both the diseases present with nerve thickening and skin lesions (patches and nodules). The coexistence of neurofibroma with borderline tuberculoid, lepromatous, histoid, and neuritic leprosy has been reported in the past. We report here a case of borderline lepromatous leprosy coexisting with neurofibromatosis in a 60 year-old male, who presented with neurofibromata and nerve thickening. Histopathology of skin biopsy from the leprosy and neurofibroma nodules confirmed the diagnosis of leprosy and neurofibroma. PMID- 21063521 TI - Extensive cutaneous manifestations: presenting feature of chronic myelocytic leukemia in second blast crisis. AB - Leukemia cutis is the infiltration of neoplastic leukocytes or their precursors into the epidermis, the dermis, or the subcutis, resulting in clinically identifiable cutaneous lesions. We describe a case of CML who presented with extensive cutaneous manifestations at the time of second blast crisis with multiple subcutaneous skin nodules over the face and trunk with extensive violaceous papules and plaques over all four limbs and the trunk, with scalp showing extensive crusting and scaling with foul smelling discharge. PMID- 21063522 TI - A case to illustrate the role of ophthalmologist in systemic lupus erythematosus. AB - Systemic lupus erythematosus (SLE) affects the eye as part of the disease or due to the drugs used in therapy. Ocular involvement is seen in one third of the patients with SLE. SLE is rare in India and found less frequently in males and children. SLE retinopathy is usually bilateral. We report an unusual case of unilateral macular infarction in a boy caused by systemic lupus erythematosus. A fourteen year old boy was presented with skin rashes and loss of vision in left eye. Posterior segment examination showed hyperemic edematous disc, arteriolar attenuation, venous dilatation, multiple cotton wool spots around the disc and macula in the left eye. There was no improvement in vision with pulse steroids and cyclophosphamide. The clinical implication of SLE retinopathy is that the disease is severe and warrants systemic immunosuppressive therapy. SLE-induced macular infarction is rare and has poor visual prognosis. As serious ocular complications of SLE can be silent, routine ophthalmological evaluation is warranted in all patients. PMID- 21063523 TI - Psoriasis and cardiomyopathy: an intriguing association. AB - A 25-year-old male symptomatic of heart disease for four months presented with biventricular failure. Echocardiography revealed dilated cardiomyopathy. He had skin lesions for 10 years which were clinically and histopathologically identified as psoriasis. Association of cardiomyopathy with psoriasis is uncommon and intriguing. The link between dilated cardiomyopathy and psoriasis on a common inflammatory background is discussed. PMID- 21063524 TI - Ross syndrome with ana positivity: a clue to possible autoimmune origin and treatment with intravenous immunoglobulin. AB - A 28-year-old serving soldier presented with patchy areas of absence of sweating and blurring of vision. On examination he was found to have segmental anhidrosis, right sided tonic pupil and absent ankle jerks. Investigations revealed ANA positivity with no other abnormalities. He was treated with Intravenous immunoglobulin. This case of Ross syndrome is reported for its rarity as well as a clue to its probable autoimmune origin and treatment option with intravenous immunoglobulins. PMID- 21063525 TI - Idiopathic eruptive macular pigmentation: report on two cases. AB - Idiopathic eruptive macular pigmentation (IEMP) is a rather under-reported condition of unknown etiology. Clinically consisting of benign hyperpigmented macules, the condition is characterized histopathologically by dermal melanization. It must be differentiated from lichen planus pigmentosus, erythema dyschromicum perstans, fixed drug eruption and mastocytosis. PMID- 21063526 TI - Cutaneous involvement in angioimmunoblastic T-cell lymphoma. AB - Angioimmunoblastic T-cell lymphoma (AITL) is an aggressive non-Hodgkin's nodal peripheral T-cell lymphoma characterized by general lymphadenopathy, night sweats, fever, hepatosplenomegaly, polyclonal hypergammaglobulinemia, and cutaneous involvement. We present a rare case of AITL cutaneous involvement mimicking toxic erythema recurring with AITL relapse and suggesting a precursor of disease progression. PMID- 21063527 TI - Kasabach merritt syndrome: management with interferon. AB - Kasabach Merritt Syndrome (KMS) is a rare, locally aggressive, vascular tumor. The objectives of treatment of KMS are to prevent bleeding from consumptive coagulopathy and induce vascular tumor regression. A 14-month old female child was brought with a reddish lesion on the left scapular area noticed at birth, which suddenly increased in size since 3 days. Hemogram revealed anemia severe thrombocytopenia, prolongation of bleeding, clotting time and increased fibrin degradable products, suggestive of KMS. Coagulopathy was managed by transfusing fresh frozen plasma and platelets. Oral prednisolone up to 5mg/kg/day for four weeks yielded no effect on thrombocytopenia or regression of tumor size. Embolization of feeding artery was attempted but not feasible. We used Interferon -alpha- 2b (IFN alpha 2b), in a dosage of 3million IU/m(2) /day subcutaneously. Within a month the platelet count increased and the vascular tumor started regressing. This case signifies the importance of step wise management of KMS. PMID- 21063528 TI - Desmoplastic melanoma presenting as pyogenic granuloma: report of a case with review of literature. AB - An elderly female patient was referred to the author for the treatment of a large recurrent pyogenic granuloma in the sole of right foot for a period of 2 years. She underwent excisional surgeries at an outside facility twice in the past. This time, she was treated with wide excision biopsy and the surgical defect was closed with a new technique, the "adjustable suture technique". Histopathology report confirmed "desmoplastic melanoma" with complete marginal clearance. The wound had healed uneventfully. There were no recurrences at 4-year follow-up. PMID- 21063529 TI - Subcutaneous panniculitis-like T-cell lymphoma. AB - This case report describes a 38 year-old lady with the clinical, histopathological, and immunohistochemical (IHC) changes of subcutaneous panniculitis-like T-cell lymphoma (SPTCL). The IHC findings revealed CD8 + and CD56 - cells, which are indicative of tumors which have an indolent course. Our patient is being managed with tapering doses of corticosteroids for the last nine months with good improvement. PMID- 21063530 TI - A rare presentation of pemphigus vulgaris as multiple pustules. PMID- 21063531 TI - Successful treatment of molluscum contagiosum with a zinc oxide cream containing colloidal oatmeal extracts. PMID- 21063532 TI - Polypoid Basal cell carcinoma masquerading as pyogenic granuloma. PMID- 21063533 TI - Sybert'S keratoderma in three siblings. PMID- 21063534 TI - Darier'S disease with perifollicular hypopigmentation. PMID- 21063535 TI - Er: yag laser therapy for steatocystoma multiplex. PMID- 21063536 TI - Nail changes and nail disorders in the elderly. PMID- 21063537 TI - Dexamethasone-cyclophosphamide pulse therapy in progressive systemic sclerosis. PMID- 21063538 TI - Myiasis in a large perigenital seborrheic keratosis. PMID- 21063539 TI - Dermatophytes and related keratinophilic fungi in soil of parks and agricultural fields of uttar pradesh, India. PMID- 21063540 TI - Juvenile localized scleroderma with autoimmune thyroid disorder. PMID- 21063541 TI - Delayed diagnosis in a case of perianal tuberculosis: differential diagnosis in perianal ulceration. PMID- 21063542 TI - A comparison of two approaches to text processing: facilitating chart reviews of radiology reports in electronic medical records. AB - Chart review is central to health services research. Text processing, which analyzes free-text fields through automated methods, can facilitate this process. We compared precision and accuracy of NegEx and SQLServer 2008 Free-Text Search in identifying acute fractures in radiology reports.The term "fracture" was included in 23,595 radiology reports from the Veterans Aging Cohort Study. Four hundred reports were randomly selected and manually reviewed for acute fractures to establish a gold standard. Reports were then processed by SQLServer and NegEx. Results were compared to the gold standard to determine accuracy, precision, recall, and F-statistic.NegEx and the gold standard identified acute fractures in 13 reports. SQLServer identified 2 in a report-based analysis (precision: 1.00; accuracy: 0.97; recall: 0.15; F-statistic: 0.26), and 12 in a sentence-by sentence analysis (precision: 1.00; recall: 0.92; accuracy: 0.92; F-statistic: 0.96).Text-processing tools utilizing basic database or programming skills are comparable, precise, and accurate in identifying reports for review. PMID- 21063543 TI - Adding a genomic healthcare component to a health information management curriculum. AB - The inclusion of genomic information will become routine in electronic health records (EHRs). Educating health information management (HIM) students about how to best manage, protect, properly release, and use this information for patient care is of utmost importance. This study examined the usefulness of incorporating genomic modules into an existing course in quality management. Pretest and posttest results showed that students improved in all areas related to genomics in healthcare. Also, students enjoyed the class scenarios and discussion on the ethical use of genomic information. Interspersing genomic information management throughout an existing quality management class is an effective way to add this information to an existing HIM curriculum. PMID- 21063544 TI - Application of simulation to facility planning utilizing an organization's forecasted growth strategy. AB - This study proposes simulation as a tool for transforming forecasted data into information that leaders can use to make strategic decisions. This study focuses on a health system's strategic decision to meet future demand by either expanding an existing hospital or developing a new 700,000-square-foot, $500M-plus facility. The researcher extracted data from forecasting reports, translated the data into scenarios, and used a simulation package to test, modify, and retest each until a best-suited scenario was identified. Simulation indicated that existing facilities could not accommodate projected demand, delineated structural and financial requirements for a replacement facility, and projected profitability of the new site by service line, program of excellence, and facility. Results of the simulation were used to secure support from the Board of Trustees and funding from financial institutions. The organization initiated construction of the 365-bed facility in late 2008 with occupancy scheduled for early 2011. PMID- 21063545 TI - Health information technology: integration of clinical workflow into meaningful use of electronic health records. AB - This article examines the role that clinical workflow plays in successful implementation and meaningful use of electronic health record (EHR) technology in ambulatory care. The benefits and barriers of implementing EHRs in ambulatory care settings are discussed. The researchers conclude that widespread adoption and meaningful use of EHR technology rely on the successful integration of health information technology (HIT) into clinical workflow. Without successful integration of HIT into clinical workflow, clinicians in today's ambulatory care settings will continue to resist adoption and implementation of EHR technology. PMID- 21063546 TI - Incorporating patient perspectives into the personal health record: implications for care and caring. AB - Electronic personal health records (ePHRs) can potentially maximize access and coordination of health information and improve patient/clinician collaboration, patient self-management, and health outcomes. Most ePHRs are designed by vendors, physicians, and other proprietary partners and have neglected the patient perspective. This study sought to incorporate patient feedback into an existing ePHR system. Patients participated in a semistructured interview after one to two weeks of using an ePHR. Interviews addressed strengths and weaknesses of the PHR. Two iterations of interviews, referred to as Wave 1 and Wave 2, occurred sequentially. An iterative process of theme identification was used, and three theme categories (User, System Acceptance, and Technology) were identified in the two waves. Seven technology themes with 40 specific questions were identified and were rank ordered by importance and feasibility, and 20 suggestions were subsequently implemented into the ePHR. Thus, incorporating patient feedback on specific utilities and functionality into an existing ePHR is possible. PMID- 21063547 TI - Yes, Virginia, there is a paper record! AB - This tongue-in-cheek essay hopes to prompt discussion among health information management (HIM) professionals of all levels with regard to the fast-changing HIM landscape, particularly the electronic health record (EHR) and the financial and career options that healthcare systems and employees have had to accept, adapt to, and decipher. Many of us have preconceived notions about how we will work within the new electronic environment as we help the implementation process succeed. Perhaps we need to also look at the negative impact some of these changes have had on HIM personnel. Some may find their tasks outsourced, obsolete, or expendable once the new EHR product they helped to implement is up and running. Do we really want all the paper to go away? PMID- 21063548 TI - The use of cell phone technology provides teens more control and independence and healthcare cost savings in the management of chronic disease. PMID- 21063549 TI - What's new in Emergencies Trauma and Shock? Still searching for a scoring system for sepsis! PMID- 21063550 TI - Traumatic urologic injuries in Ile-Ife, Nigeria. AB - BACKGROUND: In a developing country with limited healthcare resources, traumatic injuries and their management pose a significant challenge to healthcare delivery. AIM: To highlight the challenges in the management of traumatic urologic injuries in patients in our setting. SETTING AND DESIGN: Patients presenting with traumatic injuries to the urinary tract, between January 1996 and December 2005, in a University Teaching Hospital in Southwestern Nigeria were the subjects of this study. PATIENTS AND METHODS: Clinical records of patients who had such injuries were reviewed. RESULTS: Ninety injuries occurred in 86 patients including 77 males and 9 females aged 14-68 years. Fourteen (15.5%) of the injuries involved the kidneys, urinary bladder was involved in 23 (25.6%) and the male urethra in 53 (58.9%) injuries. The mechanisms of injury were road traffic accidents in 52 (60.5%) patients, straddle injuries in 18 (20.9%), trauma to the back in 8 (9.3%), falls from a height in 6 (7.0%) and gunshot injuries in 2 (2.3%) patients. Associated injuries include pelvic fractures in 33 (38.4%) patients, limb bone fractures in 13 (14.1%), intestinal injuries in 12 (13.0%) and spinal injuries in 8 (8.7%) patients. In most patients, diagnosis was made based on clinical suspicion and minimal investigations such as abdominal ultrasound, urethrocystoscopy and/or urethrocystography. The outcome was good in most patients and mortality was recorded in only 2 (2.3%) patients who had concomitant spinal and burns injuries. CONCLUSION: Prompt management instituted on clinical suspicion of injuries presents a good outcome in patients in a limited resource setting. PMID- 21063551 TI - Prevalence and patterns of combat sport related maxillofacial injuries. AB - AIM: This study was designed to assess the prevalence, distribution, and patterns of injury among athletes engaged in combat sports and compare the prevalence, pattern, and types of oral and maxillofacial trauma in these athletes. MATERIALS AND METHODS: A total of 120 male athletes engaged in four combat sports (boxing, taekwondo, kickboxing, and Muay Thai) who had sustained bodily trauma were studied; 95 subjects with at least one traumatic injury to the face requiring treatment were referred to us by the physician team. The type of injury (facial laceration, facial fractures, jaw dislocation, etc.), site of facial injury (jaw, nose, malar bone, teeth, etc.), dental injuries (tooth fracture, displacement, luxation, and avulsion), causative sport (boxing, taekwondo, kickboxing, and Muay Thai) as well as demographic data were recorded. Injuries were examined clinically and radiographically, and treated accordingly by a specialist. Treatment data and demographics were recorded for each subject. Recorded data were assessed, and chi(2), ANOVA, and Kruskal-Wallis tests were used to statistically analyze and compare the data. RESULTS: Of 120 subjects, 95 male subjects (79.2%), aged 18-25 years (avg. 20 years), had at least one traumatic injury to the face requiring medical treatment. These injuries included facial laceration, bone fractures (nose, mandible, and zygoma), dental injuries (displacement, luxation, fracture, and avulsion), and mandibular dislocation which were recorded in 83 (69.2%), 55 (45.1%), 53 (44.2%), and 8 (6.7%) cases respectively. Statistically significant differences were encountered among various injuries and the sports; kickboxing caused the most maxillofacial injuries and was identified as more injurious. Tooth fractures (59.7%) were the most common dental injuries, and the nose (84.7%) was the most frequently fractured facial bone. Lacerations were more common in Thai-boxers (93.3%). Injuries were significantly greater in professional rather than amateur athletes. CONCLUSION: In this study, prevalence of facial injuries from combat sports professionals was significantly high (roughly 80%), especially in kickboxing (in part due to use of less protective gear). Because the nose and teeth sustained the most injuries, they require more attention with regard to prevention. Kickboxing was the most injurious of these combat sports and caused the most significant number of maxillofacial trauma. More safety apparel and protective guards seem warranted in athletes of combat sports if facial injury is to be prevented. PMID- 21063552 TI - Analyzing intra-abdominal pressures and outcomes in patients undergoing emergency laparotomy. AB - BACKGROUND: Studies have documented the impact of intra-abdominal hypertension (IAH) on virtually every organ. However, it still remains strangely underdiagnosed. The aims of the study were to assess, in patients undergoing emergency laparotomy, whether intra-abdominal pressure (IAP) is an independent predictor of morbidity and mortality, to evaluate the effects of IAH, and to identify hidden cases of abdominal compartment syndrome (ACS). MATERIALS AND METHODS: The study comprised 197 patients undergoing emergency laparotomy. IAP was measured preoperatively and then postoperatively at 0, 6, and 24 hours. Duration of hospital stay, occurrence of burst abdomen, and mortality were noted as outcomes. RESULTS: At admission, incidence of IAH was 80%. No significant association was found between IAP and occurrence of burst abdomen (P > 0.1). IAP was found to be a significant predictor of mortality in patients undergoing laparotomy (P < 0.001). Elevated IAP was found to affect all the organ systems adversely. The incidence of post-op ACS was 3.05% in the general population and 13.16% in trauma patients. The mortality rate for this subgroup was 100%. CONCLUSIONS: IAP is a significant predictor of mortality in patients undergoing laparotomy. IAH has detrimental effects on various organ systems. A more frequent monitoring with prompt decompression may be helpful in decreasing the mortality rate. Further studies are required to establish a screening protocol in patients undergoing laparotomy to detect and manage cases of IAH and ACS. PMID- 21063553 TI - Pain management in the emergency department and its relationship to patient satisfaction. AB - BACKGROUND: Pain is the most common reason due to which patients come to the emergency department (ED). AIM: The purpose of this study was to measure the correlation, if any, between pain reduction and the level of satisfaction in patients who presented to the ED with pain as their chief complaint. MATERIALS AND METHODS: This study used a randomly selected group of patients who presented to the ED with pain of 4 or more on the Visual Analogue Pain Scale (VAS) as their chief complaint to a level one adult and pediatric trauma center. Instruments that were used in this study were the VAS, Brief Pain Inventory (BPI), and the Medical Interview Satisfaction Scale (MISS). They were administered to patients by research fellows in the treatment rooms. Statistical analysis included frequencies, descriptive, and linear regression. This study was approved by the Internal Review Board. RESULTS: A total of 159 patients were enrolled in the study. All patients were given some type of treatment for their pain upon arrival to the ED. A logistic regression showed a significant relationship to reduction in pain by 40% or more and customer service questions. CONCLUSIONS: A reduction in perceived pain levels does directly relate to several indicators of customer service. Patients who experienced pain relief during their stay in the ED had significant increases in distress relief, rapport with their doctor, and intent to comply with given instructions. PMID- 21063554 TI - Is intensive care the only answer for high risk pregnancies in developing nations? AB - BACKGROUND: Management of high risk obstetric patients. AIM: The present study was conducted to evaluate the primary causes of the admission of obstetric patients to Intensive Care Unit (ICU), the presence of co-morbid diseases, outcome of such patients, their survival rate as well as the factors which contribute to the maternal mortality. SETTINGS AND DESIGN: A retrospective study was conducted in the Department of Obstetrics and Gynaecology and Anaesthesiology/ICU of our Institute. MATERIALS AND METHODS: Sixty-one obstetric patients, who were admitted to ICU between 20 December 2006 and 31 January 2010, were evaluated for various factors responsible for their admission as well as their outcome. STATISTICAL ANALYSIS: At the end of study, the data were arranged systematically and subjected to statistical analysis using nonparametric tests and P value <0.05 was considered significant. RESULTS: Majority of the 61 patients admitted in ICU were referred from the peripheral health centers, smaller nursing homes/hospitals and some even without proper primary care and mainly comprising uneducated and rural population. Hemorrhage, pregnancy induced hypertension, cardiac diseases, respiratory insufficiency and sepsis were the main causes for admission. A total of 18 patients among 61 died during their ICU stay in the hospital. CONCLUSIONS: In the developing countries, high risk pregnancy should be managed at peripheral centers with proper facilities, antenatal visits and timely referral. The intensive care help should be reserved for very high risk pregnancies with co-morbid diseases. PMID- 21063555 TI - Obstetric medical emergency teams are a step forward in maternal safety! AB - BACKGROUND AND AIM: The medical emergency team (MET) system was introduced successfully worldwide. With the exception of a few research publications, most of the described teams are based on patients' medical rather than obstetric management. The objective of this study was to review literature on the outcome of obstetric MET implementation. MATERIALS AND METHODS: Systematic review has been done through searching MEDLINE, the Cochrane Library, relevant articles references, and contact with experts. The author and one other researcher independently selected literature on the establishment or implementation of obstetric MET. There were no restrictions on language, sample size, type of publication, or duration of follow up. RESULTS: THREE PUBLICATIONS WERE IDENTIFIED: Catanzarite et al., Gosman et al., and Skupski et al. They were heterogeneous in terms of the method of implementation and the outcomes discussed. None of them discussed obstetric MET implementation in developing countries. CONCLUSION: In the literature, there is a lack of reporting and probably of implementation of Obstetrics METs. Therefore, there is a need for more standardized experiences and reports on the implementation of various types of Obstetrics METs. We propose here a design for Obstetrics METs to be implemented in developing countries, aiming to reduce maternal mortality and morbidity resulting from obstetric hemorrhage. PMID- 21063556 TI - Comparison of severity of illness scoring systems in the prediction of hospital mortality in severe sepsis and septic shock. AB - BACKGROUND: New scoring systems, including the Rapid Emergency Medicine Score (REMS), the Mortality in Emergency Department Sepsis (MEDS) score, and the confusion, urea nitrogen, respiratory rate, blood pressure, 65 years and older (CURB-65) score, have been developed for emergency department (ED) use in various patient populations. Increasing use of early goal directed therapy (EGDT) for the emergent treatment of sepsis introduces a growing population of patients in which the accuracy of these scoring systems has not been widely examined. OBJECTIVES: To evaluate the ability of the REMS, MEDS score, and CURB-65 score to predict mortality in septic patients treated with modified EGDT. MATERIALS AND METHODS: Secondary analysis of data from prospectively identified patients treated with modified EGDT in a large tertiary care suburban community hospital with over 85,000 ED visits annually and 700 inpatient beds, from May 2007 through May 2008. We included all patients with severe sepsis or septic shock, who were treated with our modified EGDT protocol. Our major outcome was in-hospital mortality. The performance of the scores was compared by area under the ROC curves (AUCs). RESULTS: A total of 216 patients with severe sepsis or septic shock were treated with modified EGDT during the study period. Overall mortality was 32.9%. Calculated AUCs were 0.74 [95% confidence interval (CI): 0.67-0.81] for the MEDS score, 0.62 (95% CI: 0.54-0.69) for the REMS, and 0.59 (95% CI: 0.51-0.67) for the CURB-65 score. CONCLUSION: We found that all three ED-based systems for scoring severity of illness had low to moderate predictive capability. The MEDS score demonstrated the largest AUC of the studied scoring systems for the outcome of mortality, although the CIs on point estimates of the AUC of the REMS and CURB 65 scores all overlap. PMID- 21063557 TI - Simulation-based learning: Just like the real thing. AB - Simulation is a technique for practice and learning that can be applied to many different disciplines and trainees. It is a technique (not a technology) to replace and amplify real experiences with guided ones, often "immersive" in nature, that evoke or replicate substantial aspects of the real world in a fully interactive fashion. Simulation-based learning can be the way to develop health professionals' knowledge, skills, and attitudes, whilst protecting patients from unnecessary risks. Simulation-based medical education can be a platform which provides a valuable tool in learning to mitigate ethical tensions and resolve practical dilemmas. Simulation-based training techniques, tools, and strategies can be applied in designing structured learning experiences, as well as be used as a measurement tool linked to targeted teamwork competencies and learning objectives. It has been widely applied in fields such aviation and the military. In medicine, simulation offers good scope for training of interdisciplinary medical teams. The realistic scenarios and equipment allows for retraining and practice till one can master the procedure or skill. An increasing number of health care institutions and medical schools are now turning to simulation-based learning. Teamwork training conducted in the simulated environment may offer an additive benefit to the traditional didactic instruction, enhance performance, and possibly also help reduce errors. PMID- 21063558 TI - Tools for evaluating team performance in simulation-based training. AB - Teamwork training constitutes one of the core approaches for moving healthcare systems toward increased levels of quality and safety, and simulation provides a powerful method of delivering this training, especially for face-paced and dynamic specialty areas such as Emergency Medicine. Team performance measurement and evaluation plays an integral role in ensuring that simulation-based training for teams (SBTT) is systematic and effective. However, this component of SBTT systems is overlooked frequently. This article addresses this gap by providing a review and practical introduction to the process of developing and implementing evaluation systems in SBTT. First, an overview of team performance evaluation is provided. Second, best practices for measuring team performance in simulation are reviewed. Third, some of the prominent measurement tools in the literature are summarized and discussed relative to the best practices. Subsequently, implications of the review are discussed for the practice of training teamwork in Emergency Medicine. PMID- 21063559 TI - Simulation-based education for building clinical teams. AB - Failure to work as an effective team is commonly cited as a cause of adverse events and errors in emergency medicine. Until recently, individual knowledge and skills in managing emergencies were taught, without reference to the additional skills required to work as part of a team. Team training courses are now becoming commonplace, however their strategies and modes of delivery are varied. Just as different delivery methods of traditional education can result in different levels of retention and transfer to the real world, the same is true in team training of the material in different ways in traditional forms of education may lead to different levels of retention and transfer to the real world, the same is true in team training. As team training becomes more widespread, the effectiveness of different modes of delivery including the role of simulation based education needs to be clearly understood. This review examines the basis of team working in emergency medicine, and the components of an effective emergency medical team. Lessons from other domains with more experience in team training are discussed, as well as the variations from these settings that can be observed in medical contexts. Methods and strategies for team training are listed, and experiences in other health care settings as well as emergency medicine are assessed. Finally, best practice guidelines for the development of team training programs in emergency medicine are presented. PMID- 21063560 TI - Simulation-based team training at the sharp end: A qualitative study of simulation-based team training design, implementation, and evaluation in healthcare. AB - This article provides a qualitative review of the published literature dealing with the design, implementation, and evaluation of simulation-based team training (SBTT) in healthcare with the purpose of providing synthesis of the present state of the science to guide practice and future research. A systematic literature review was conducted and produced 27 articles meeting the inclusion criteria. These articles were coded using a low-inference content analysis coding scheme designed to extract important information about the training program. Results are summarized in 10 themes describing important considerations for what occurs before, during, and after a training event. Both across disciplines and within Emergency Medicine (EM), SBTT has been shown to be an effective method for increasing teamwork skills. However, the literature to date has underspecified some of the fundamental features of the training programs, impeding the dissemination of lessons learned. Implications of this study are discussed for team training in EM. PMID- 21063561 TI - Simulation in resuscitation teaching and training, an evidence based practice review. AB - In the management of a patient in cardiac arrest, it is sometimes the least experienced provider giving chest compressions, intubating the patient, and running the code during the most crucial moment in that patient's life. Traditional methods of educating residents and medical students using lectures and bedside teaching are no longer sufficient. Today's generation of trainees grew up in a multimedia environment, learning on the electronic method of learning (online, internet) instead of reading books. It is unreasonable to expect the educational model developed 50 years ago to be able to adequately train the medical students and residents of today. One area that is difficult to teach is the diagnosis and management of the critically ill patient, specifically who require resuscitation for cardiac emergencies and cardiac arrest. Patient simulation has emerged as an educational tool that allows the learner to practice patient care, away from the bedside, in a controlled and safe environment, giving the learner the opportunity to practice the educational principles of deliberate practice and self-refection. We performed a qualitative literature review of the uses of simulators in resuscitation training with a focus on their current and potential applications in cardiac arrest and emergencies. PMID- 21063562 TI - Breaking bad news education for emergency medicine residents: A novel training module using simulation with the SPIKES protocol. AB - Breaking bad news (BBN) in the emergency department (ED) is a common occurrence. This is especially true for an emergency physician (EP) as there is little time to prepare for the event and likely little or no knowledge of the patients or family background information. At our institution, there is no formal training for EP residents in delivering bad news. We felt teaching emergency medicine residents these communication skills should be an important part of their educational curriculum. We describe our experience with a defined educational program designed to educate and improve physician's confidence and competence in bad news and death notification. A regularly scheduled 5-h grand rounds conference time frame was dedicated to the education of EM residents about BBN. A multidisciplinary approach was taken to broaden the prospective of the participants. The course included lectures from different specialties, role playing for three short scenarios in different capacities, and hi-fidelity simulation cases with volatile psychosocial issues and stressors. Participants were asked to fill out a self-efficacy form and evaluation sheets. Fourteen emergency residents participated and all thought that this education is necessary. The mean score of usefulness is 4.73 on a Likert Scale from 1 to 5. The simulation part was thought to be the most useful (43%), with role play 14%, and lecture 7%. We believe that teaching physicians to BBN in a controlled environment is a good use of educational time and an important procedure that EP must learn. PMID- 21063563 TI - Human factors in resuscitation: Lessons learned from simulator studies. AB - Medical algorithms, technical skills, and repeated training are the classical cornerstones for successful cardiopulmonary resuscitation (CPR). Increasing evidence suggests that human factors, including team interaction, communication, and leadership, also influence the performance of CPR. Guidelines, however, do not yet include these human factors, partly because of the difficulties of their measurement in real-life cardiac arrest. Recently, clinical studies of cardiac arrest scenarios with high-fidelity video-assisted simulations have provided opportunities to better delineate the influence of human factors on resuscitation team performance. This review focuses on evidence from simulator studies that focus on human factors and their influence on the performance of resuscitation teams. Similar to studies in real patients, simulated cardiac arrest scenarios revealed many unnecessary interruptions of CPR as well as significant delays in defibrillation. These studies also showed that human factors play a major role in these shortcomings and that the medical performance depends on the quality of leadership and team-structuring. Moreover, simulated video-taped medical emergencies revealed that a substantial part of information transfer during communication is erroneous. Understanding the impact of human factors on the performance of a complex medical intervention like resuscitation requires detailed, second-by-second, analysis of factors involving the patient, resuscitative equipment such as the defibrillator, and all team members. Thus, high-fidelity simulator studies provide an important research method in this challenging field. PMID- 21063564 TI - Perianal abscesses due to ingested foreign bodies. AB - The clinical presentation of perianal abscesses due to foreign bodies (FBs) impacted in the anal canal mimics common causes of acute anal pain. The diagnosis can be established by digital rectal examination and/or proctoscopy, but may miss the presence of an FB. Incision and drainage of the abscess, along with removal of the FB, results in immediate pain relief and cure. Impacted FB must not be overlooked as an unusual cause of perianal abscess. One case of perianal abscesses due to FB impacted in the anal canal is reported. PMID- 21063565 TI - Cardiogenic shock following blunt chest trauma. AB - Cardiac contusion, usually caused by blunt chest trauma, has been recognized with increased frequency over the past decades. Traffic accidents are the most frequent cause of cardiac contusions resulting from a direct blow to the chest. Other causes of blunt cardiac injury are numerous and include violent fall impacts, interpersonal aggression, explosions, and various types of high-risk sports. Myocardial contusion is difficult to diagnose; clinical presentation varies greatly, ranging from lack of symptoms to cardiogenic shock and arrhythmia. Although death is rare, cardiac contusion can be fatal. We present a case of cardiac contusion due to blunt chest trauma secondary to a fall impact, which manifested as cardiogenic shock. PMID- 21063566 TI - An unusual presentation of toothpick penetration of colon. AB - This case report presents the delayed unusual presentation of plastic toothpick penetrating transverse colon 3 months after ingestion with localized peritonitis. Role of omentum "policeman of abdomen" for salvage is highlighted. Careful observation and long-term lookup for any neglected ingested foreign body are stressed. The delayed presentation can be sometimes proving as a surgical emergency. PMID- 21063567 TI - Acute gastric dilatation in a patient with anorexia nervosa binge/purge subtype. AB - Acute gastric dilatation is a rare complication of anorexia nervosa binge/purge subtype that results from gastrointestinal abnormalities, including decreased gastric motility and delayed gastric emptying. Early diagnosis and intervention is critical since delay may result in gastric necrosis, perforation, shock, and death. We report a 26-year-old female with anorexia nervosa binge/purge subtype, who presented with abdominal pain and nausea after a binge episode. Abdominal radiography and computed tomography showed a grossly dilated stomach measuring 32 cm * 17.9 cm consistent with acute gastric dilatation. She underwent exploratory laparotomy with gastrotomy and gastric decompression, and recovered uneventfully. Initially, the patient denied the binge episode, as many patients with eating disorders do, but later revealed an extensive history of anorexia nervosa binge/purge subtype. This case stresses the importance of obtaining a thorough history of eating disorders and maintaining a high index of suspicion for acute gastric dilatation in young women who present with abdominal pain and distention. PMID- 21063568 TI - Jejunogastric intussusception presenting as tumor bleed. AB - Jejunogastric intussusception (JGI) is a rare but serious complication of previous gastrectomy or gastrojejunostomy, and a delayed diagnosis can lead to catastrophe. It can present as hematemesis, and an endoscopist aware of the condition can diagnose it early. We present a case of JGI presenting as hematemesis and diagnosed as tumor bleed on endoscopy. Diagnosis of JGI was confirmed on laparotomy, gangrenous efferent limb was resected and a fresh gastrojejunostomy performed. PMID- 21063569 TI - A retropharyngeal-mediastinal hematoma with supraglottic and tracheal obstruction: The role of multidisciplinary airway management. AB - A 77-year-old man suffered hypoxemic cardiac arrest by supraglottic and tracheal airway obstruction in the emergency department. A previously unknown cervical fracture had caused a traumatic retropharyngeal-mediastinal hematoma. A lifesaving surgical emergency tracheostomy succeeded. Supraglottic and tracheal obstruction by a retropharyngeal-mediastinal hematoma with successful resuscitation via emergency tracheostomy after hypoxemic cardiac arrest has never been reported in a context of trauma. This clinically demanding case outlines the need for multidisciplinary airway management systems with continuous training and well-implemented guidelines. Only multidisciplinary staff preparedness and readily available equipments for the unanticipated difficult airway solved the catastrophic clinical situation. PMID- 21063570 TI - Peritoneal free air due to evacuation of pneumobilia in blunt abdominal trauma. AB - Pneumobilia is mostly observed on computed tomography (CT) following surgical biliary-enteric anastomosis and biliary manipulation through endoscopic procedures. Although pneumobilia can be seen in pathological conditions, post surgical pneumobilia is typically not associated with morbidity. In the present article, we report a case in which blunt abdominal trauma led to the evacuation of pre-existing pneumobilia causing pneumoperitoneum. Given that the subsequent laparotomy proved to be non-therapeutic, this report adds to the few cases of intra-peritoneal free air not helped by surgical intervention. PMID- 21063571 TI - Acute scrotal bleeding. AB - We report a case of acute scrotal hemorrhage from multiple angiokeratomas on scrotum, because of the rarity of the condition and to emphasize the importance of considering this condition in the evaluation of acute scrotal bleeding. PMID- 21063572 TI - Traumatic testicular dislocation: A reminder for the unwary. PMID- 21063573 TI - Retroperitoneal-necrotizing fasciitis due to chronic pyelonephritis. PMID- 21063574 TI - Mass envenomation by honey bee-speed thrills. PMID- 21063575 TI - Tramadol toxicity-induced rhabdomyolysis. PMID- 21063576 TI - Isolated, traumatic posterior dislocation of the radial head in an adult: A new case treated conservatively. PMID- 21063577 TI - Prognosis of patients with traumatic intractable intracranial hypertension based on the time at which craniectomy was performed. PMID- 21063578 TI - Delayed perforation of the sigmoid colon following a major pelvic fracture. PMID- 21063579 TI - Coecal volvulus: An acute complication of pregnancy. PMID- 21063580 TI - Differences in structure, energy, and spectrum between neutral, protonated, and deprotonated phenol dimers: comparison of various density functionals with ab initio theory. AB - We have carried out extensive calculations for neutral, cationic protonated, anionic deprotonated phenol dimers. The structures and energetics of this system are determined by the delicate competition between H-bonding, H-pi interaction and pi-pi interaction. Thus, the structures, binding energies and frequencies of the dimers are studied by using a variety of functionals of density functional theory (DFT) and Moller-Plesset second order perturbation theory (MP2) with medium and extended basis sets. The binding energies are compared with those of highly reliable coupled cluster theory with single, double, and perturbative triple excitations (CCSD(T)) at the complete basis set (CBS) limit. The neutral phenol dimer is unique in the sense that its experimental rotational constants have been measured. The geometry of the neutral phenol dimer is governed by the hydrogen bond formed by two hydroxyl groups and the H-pi interaction between two aromatic rings, while the structure of the protonated/deprotonated phenol dimers is additionally governed by the electrostatic and induction effects due to the short strong hydrogen bond (SSHB) and the charges populated in the aromatic rings in the ionic systems. Our salient finding is the substantial differences in structure between neutral, protonated, and deprotonated phenol dimers. This is because the neutral dimer involves in both H(pi)...O and H(pi)...pi interactions, the protonated dimer involves in H(pi)...pi interactions, and the deprotonated dimer involves in a strong H(pi)...O interaction. It is important to compare the reliability of diverse computational approaches employed in quantum chemistry on the basis of the calculational results of this system. MP2 calculations using a small cc-pVDZ basis set give reasonable structures, but those using extended basis sets predict wrong pi-stacked structures due to the overestimation of the dispersion energies of the pi-pi interactions. A few new DFT functionals with the empirical dispersion give reliable results consistent with the CCSD(T)/CBS results. The binding energies of the neutral, cationic protonated, and anionic deprotonated phenol dimers are estimated to be more than 28.5, 118.2, and 118.3 kJ mol(-1), respectively. The energy components of the intermolecular interactions for the neutral, protonated and deprotonated dimers are analyzed. PMID- 21063581 TI - Chromone-3-carboxylic acid as a potential electron scavenger: a surface-enhanced Raman scattering study. AB - A SERS study of chromone 3-carboxylic acid adsorbed on silver colloids was undertaken, in order to assess the ability of this compound to accept electrons in charge transfer (CT) processes. Theoretical SERS intensities under photoinduced CT resonant conditions have been carried out for both the neutral and the deprotonated species allowing to conclude, by comparison with the experimental data, that the recorded SER corresponds to the anionic form of the acid linked to the metal. It was shown that the SERS-CT mechanism predominates for this particular compound, thus explaining the strong enhancement of the band at ca. 1600 cm(-1) assigned to the 8a ring stretching mode. The identification of CT processes is of the utmost importance for understanding the mechanism through which these benzopyranes may act as antioxidants. PMID- 21063582 TI - Cost-effective and compact wide-field fluorescent imaging on a cell-phone. AB - We demonstrate wide-field fluorescent and darkfield imaging on a cell-phone with compact, light-weight and cost-effective optical components that are mechanically attached to the existing camera unit of the cell-phone. For this purpose, we used battery powered light-emitting diodes (LEDs) to pump the sample of interest from the side using butt-coupling, where the pump light was guided within the sample cuvette to uniformly excite the specimen. The fluorescent emission from the sample was then imaged using an additional lens that was positioned right in front of the existing lens of the cell-phone camera. Because the excitation occurs through guided waves that propagate perpendicular to our detection path, an inexpensive plastic colour filter was sufficient to create the dark-field background required for fluorescent imaging, without the need for a thin-film interference filter. We validate the performance of this platform by imaging various fluorescent micro-objects in 2 colours (i.e., red and green) over a large field-of-view (FOV) of ~81 mm(2) with a raw spatial resolution of ~20 MUm. With additional digital processing of the captured cell-phone images, through the use of compressive sampling theory, we demonstrate ~2 fold improvement in our resolving power, achieving ~10 MUm resolution without a trade-off in our FOV. Further, we also demonstrate darkfield imaging of non-fluorescent specimen using the same interface, where this time the scattered light from the objects is detected without the use of any filters. The capability of imaging a wide FOV would be exceedingly important to probe large sample volumes (e.g., >0.1 mL) of e.g., blood, urine, sputum or water, and for this end we also demonstrate fluorescent imaging of labeled white-blood cells from whole blood samples, as well as water-borne pathogenic protozoan parasites such as Giardia Lamblia cysts. Weighing only ~28 g (~1 ounce), this compact and cost-effective fluorescent imaging platform attached to a cell-phone could be quite useful especially for resource-limited settings, and might provide an important tool for wide-field imaging and quantification of various lab-on-a-chip assays developed for global health applications, such as monitoring of HIV+ patients for CD4 counts or viral load measurements. PMID- 21063583 TI - Mass analyzed threshold ionization spectra of phenol...Ar2: ionization energy and cation intermolecular vibrational frequencies. AB - The phenol(+)...Ar(2) complex has been characterized in a supersonic jet by mass analyzed threshold ionization (MATI) spectroscopy via different intermediate intermolecular vibrational states of the first electronically excited state (S(1)). From the spectra recorded via the S(1)0(0) origin and the S(1)beta(x) intermolecular vibrational state, the ionization energy (IE) has been determined as 68,288 +/- 5 cm(-1), displaying a red shift of 340 cm(-1) from the IE of the phenol(+) monomer. Well-resolved, nearly harmonic vibrational progressions with a fundamental frequency of 10 cm(-1) have been observed in the ion ground state (D(0)) and assigned to the symmetric van der Waals (vdW) bending mode, beta(x), along the x axis containing the C-O bond. MATI spectra recorded via the S(1) state involving other higher-lying intermolecular vibrational states (sigma(s)(1), beta(x)(3), sigma(s)(1)beta(x)(1), sigma(s)(1)beta(x)(2)) are characterized by unresolved broad structures. PMID- 21063584 TI - The role of low-coordinate oxygen on Co3O4(110) in catalytic CO oxidation. AB - A complete catalytic cycle for carbon monoxide (CO) oxidation to carbon dioxide (CO(2)) by molecular oxygen on the Co(3)O(4)(110) surface was obtained by density functional theory plus the on-site Coulomb repulsion (DFT + U). Previously observed high activity of Co(3)O(4) to catalytically oxidize CO at very low temperatures is explained by a unique twofold-coordinate oxygen site on Co(3)O(4)(110). The CO molecule extracts this oxygen with a computed barrier of 27 kJ/mol. The extraction leads to CO(2) formation and an oxygen vacancy on Co(3)O(4)(110). Then, the O(2) molecule dissociates without a barrier between two neighboring oxygen vacancies (which are shown to have high surface mobility), thereby replenishing the twofold-coordinate oxygen sites on the surface and enabling the catalytic cycle. In contrast, extracting the threefold-coordinate oxygen site on Co(3)O(4)(110) has a higher barrier. Our work furnishes a molecular-level mechanism of Co(3)O(4)'s catalytic power, which may help understand previous experimental results and oxidation catalysis by transition metal oxides. PMID- 21063585 TI - Three-dimensional microwell arrays for cell culture. AB - We propose the concept of three-dimensional (3D) microwell arrays for cell culture applications and highlight the importance of oxygen diffusion through pores in all three dimensions to enhance cell viability. PMID- 21063586 TI - A ferrocene functionalized rotaxane host system capable of the electrochemical recognition of chloride. AB - A ferrocene appended rotaxane is prepared by chloride anion templation and ring closing metathesis. Upon removal of the chloride template, the rotaxane is demonstrated to be selective for chloride over more basic oxoanions by (1)H NMR spectroscopy and electrochemistry, in marked contrast to an acyclic analogue--the first example of a solution based redox-active interlocked host system capable of the electrochemical recognition of anions. PMID- 21063587 TI - Tailoring silver nanodots for intracellular staining. AB - Through tailored oligonucleotide scaffolds, Ag nanocluster syntheses have yielded thermally and cell-culture medium stable silver cluster-based emitters. Optimizing ssDNA stability has enabled creation of highly concentrated and spectrally pure nanocluster emitters with strong intracellular emission. Both fixed and live-cell staining become possible, and intracellular delivery is demonstrated both through conjugation to cell-penetrating peptides and via microinjection. PMID- 21063588 TI - The use of dendrimers as high-performance shells for round-trip energy transfer: efficient trans-cis photoisomerization from an excited triplet state produced within a dendrimer shell. AB - A series of stilbene-cored poly(benzyl ether) dendrimers with benzophenone peripheries were synthesized and their photophysical and photochemical properties were studied. Fluorescence studies revealed that singlet-singlet energy transfer (SSET) from the stilbene core to the benzophenone units took place efficiently in dendrimers of all generations. Similarly, phosphorescence and time-resolved spectroscopic measurements indicated efficient triplet-triplet energy transfer (TTET) from the benzophenone periphery to the stilbene core. Upon excitation at 310 nm, the stilbene core isomerizes via an energy round trip within the dendrimer shell. The quantum yields for the energy round trip (Phi(ERT)), defined as the product of the quantum yields of SSET, intersystem crossing, and TTET (Phi(ERT) = Phi(SS)Phi(isc)Phi(TT)), were extremely high for all generations- 99%, 95% and 94% for G1, G2, and G3, respectively--which means that the excitation energy of the dendrimer core was transferred to the dendrimer periphery and back to the core almost quantitatively. The quantum yield for photoisomerization of G1-G3 via an energy round trip was higher than for other stilbene-cored dendrimers, which mainly isomerize from the excited singlet state. Photostability in the dendrimers was also demonstrated and discussed. PMID- 21063589 TI - Cationic and radical intermediates in the acid photorelease from aryl sulfonates and phosphates. AB - The irradiation of a series of phenyl sulfonates and phosphates leads to the quantitative release of acidity with a reasonable quantum yield (~0.2). Products characterization, ion chromatography analysis and potentiometric titration are consistent with the intervening of two different paths in this reaction, viz. cationic with phosphates and (mainly) radical with sulfonates. PMID- 21063590 TI - Oxidative amide synthesis directly from alcohols with amines. AB - Transition metal catalyzed oxidative amide synthesis directly from primary alcohols and amines is a highly atom economical transformation that evolves hydrogen gas as the only by-product. Several Ru-, Rh-based homogeneous and Ag based heterogeneous catalysts have been developed for direct amide synthesis. Most of the developed catalysts showed excellent activity with sterically unhindered alcohols and amines; however, limited activity was observed with sterically hindered alcohols or amines, less basic aryl amines, and secondary amines. This account provides an overview of recent advances and challenges in direct amide synthesis. PMID- 21063591 TI - Large-scale production of graphene by microwave synthesis and rapid cooling. AB - Graphene was prepared via carbonization of microwave synthesized metal phthalocyanine, followed by a rapid cooling process. The morphology and structure of the obtained graphene were controlled by the coolants. PMID- 21063592 TI - Chemical synthesis on SU-8. AB - In this paper we describe a highly effective surface modification of SU-8 microparticles, the attachment of appropriate linkers for solid-supported synthesis, and the successful chemical modification of these particles via controlled multi-step organic synthesis leading to molecules attached in an unambiguous manner to the support surface. PMID- 21063593 TI - Enhanced field emission property of a novel Al2O3 nanoparticle-decorated tubular SiC emitter with low turn-on and threshold field. AB - We report a novel Al(2)O(3) nanoparticle-decorated tubular SiC nanostructure, which shows a remarkable enhanced field emission property with low turn-on and threshold field. The formation of Al(2)O(3) nanoparticle-decorated tubular SiC on Si substrates is achieved in one-step via simple heating evaporation process for the first time. The nanostructure consists of tubular SiC and the Al(2)O(3) nanoparticles, which homogeneously decorate on the surface of the tubular SiC with an average diameter of 7.8 nm and narrow diameter distribution. Moreover, compared with the same density and sized bare tubular SiC, the Al(2)O(3) nanoparticle-decorated tubular SiC nanostructure has an obvious reduction in turn on (from 8.8 to 2.4 V MUm(-1)) and threshold field (from 23.5 to 5.37 V MUm(-1)). The very low turn-on and threshold field is also comparable to that of carbon nanotubes, which indicates the Al(2)O(3) nanoparticle-decorated tubular SiC is of huge potential application in future field emission display devices. PMID- 21063594 TI - Chiral phosphine-squaramides as enantioselective catalysts for the intramolecular Morita-Baylis-Hillman reaction. AB - Novel squaramides containing tertiary phosphine were developed as chiral bifunctional organic catalysts to promote the asymmetric intramolecular Morita Baylis-Hillman reaction of omega-formyl-enones. The adducts were obtained in high yields with good-to-excellent enantioselectivity (up to 93% ee). PMID- 21063595 TI - Diiron species containing a cyclic P(Ph)2N(Ph)2 diphosphine related to the [FeFe]H2ases active site. AB - A new dissymmetrically disubstituted diiron dithiolate species, [Fe(2)(CO)(4)(kappa(2)-P(Ph)(2)N(Ph)(2))(MU-pdt)] (pdt = S(CH(2))(3)S), was prepared by using a flexible cyclic base-containing diphosphine, 1,3,5,7 tetraphenyl 1,5-diaza-3,7-diphosphacyclooctane (P(Ph)(2)N(Ph)(2) = {PhPCH(2)NPh}(2)). Preliminary investigations of proton and electron transfers on the diiron system have been done. PMID- 21063596 TI - Large-scale synthesis of water-soluble nanowires as versatile templates for nanotubes. AB - This study presents a large-scale synthesis of water-soluble sodium fluosilicate (Na(2)SiF(6)) nanowires, which serve as a versatile template for producing nanotubes. PMID- 21063597 TI - Acetoxypalladation of unactivated alkynes and capture with alkenes to give 1 acetoxy-1,3-dienes taking dioxygen as terminal oxidant. AB - A new and general protocol for the synthesis of 1-acetoxy-1,3-dienes by an acetoxypalladation/Heck cross-coupling/beta-H elimination tandem process is described in which dioxygen is the terminal oxidant. Electron-rich and electron deficient alkynes are both effective substrates in this system. It is the first example of acetoxypalladation of diarylalkynes. PMID- 21063605 TI - Theoretical study of the infrared and Raman line shapes of liquid methanol. AB - Using a combined electronic structure and molecular dynamics simulation method, we calculated the infrared and Raman spectra for the OH vibrations in liquid CH(3)OH. The vibrational frequencies, transition dipole moments, and transition polarizabilities are obtained from density functional theory calculations and then mapped into an empirical relation to the electric field on the H atom along the OH bond. Vibrational couplings between OH chromophores on different molecules are treated using transition dipole interactions. The simulated infrared and Raman line shapes are in good agreement with experimental observations. We have also shown that the vibrations of non-hydrogen-bonded OH groups contribute significantly to the difference between the IR and Raman line shapes. PMID- 21063611 TI - Mechanisms of the Knoevenagel hetero Diels-Alder sequence in multicomponent reactions to dihydropyrans: experimental and theoretical investigations into the role of water. AB - The role of water in a multicomponent domino reaction (MCR) involving styrene, 2,4-pentanedione, and formaldehyde was studied. Whereas anhydrous conditions produced no reaction, the MCR successfully proceeded in the presence of water, affording the targeted dihydropyran derivatives with good yield. The mechanism of this MCR (Knoevenagel hetero Diels-Alder sequence) was studied with and without explicit water molecules using the SMD continuum solvation model in combination with the B3LYP density functional and the 6-311++G** basis set to compute the water and acetone (aprotic organic solvent) solution Gibbs free energies. In the Knoevenagel step, we found that water acted as a proton relay to favor the formation of more flexible six-membered ring transition state structures both in concerted (direct H(2)O elimination) and stepwise (keto-enol tautomerization and dehydration) pathways. The inclusion of a water molecule in our model resulted in a significant decrease (-8.5 kcal mol(-1)DeltaG(water)(?)) of the direct water elimination activation barrier. Owing to the presence of water, all chemical steps involved in the MCR mechanism had activation free energies barriers lower than 39 kcal mol(-1) at 25 degrees C in aqueous solvent (<21 kcal mol(-1) ZPE corrected electronic energies barriers). Consequently, the MCR proceeded without the assistance of any catalyst. PMID- 21063612 TI - Organocatalytic enantioselective desymmetrization of cyclic enones via phosphine promoted [3+2] annulations. AB - Phosphine catalyzed enantioselective [3+2] cyclizations on 4-substituted 2,6 diarylidenecyclohexanones and 2,4-diarylidene-bicyclo[3.1.0]hexan-3-ones take place with high diastereo- and enantioselectivity levels. The process affords spirocyclic compounds with excellent stereochemical control of up to five stereogenic centres. PMID- 21063613 TI - Chemical tailoring of fullerene acceptors: synthesis, structures and electrochemical properties of perfluoroisopropylfullerenes. AB - High-temperature syntheses of the new C(60)(i-C(3)F(7))(2,4,6) and C(70)(i C(3)F(7))(2,4) isomers and their characterization by spectroscopic methods, X-ray crystallography, cyclic voltammetry and density functional theory provide compelling evidence that they are superior electron acceptors than trifluoromethylfullerenes. PMID- 21063614 TI - The (4+3)-cycloaddition reaction: heteroatom-substituted allylic cations as dienophiles. AB - The (4+3)-cycloaddition of allylic cations to dienes is a powerful method for the direct synthesis of seven-membered rings. Recent developments in this area have included new methods for the generation of allylic cations, diastereoselective and catalytic, enantioselective reactions, an increased understanding of the diverse mechanistic possibilities of the reaction and applications to the total synthesis of natural products and their analogues. PMID- 21063615 TI - Self-assembly of [2*2] grids and a hexagon using bis(dipyrrin)s. AB - Neutral Zn(II) [2*2] grids (M(4)L(4)) and a hexagon structure (M(6)L(6)) were, for the first time, synthesized using bis(dipyrrin)s and confirmed by X-ray diffraction analysis. The channel structures in the solid state result from several intermolecular noncovalent interactions. PMID- 21063616 TI - Elastic deformations in hexagonal phases studied by small-angle X-ray diffraction and simulations. AB - In this study we present experimental and theoretical results which concern the deviations from circularity of the pivotal plane in the inverse hexagonal phases (H(II)) of phospholipid self-assemblies. Due to packing constraints, the cross section of the polar/apolar interface deviates from a circle, which we studied in minute detail by analysing small-angle X-ray diffraction data of dioleoyl phosphatidylethanolamine (DOPE) and stearoyl-oleoyl-phosphatidylethanolamine (SOPE), respectively. On this structural basis, Monte Carlo (MC) simulated annealing variations of the free energy were carried out, both on the formation of the H(II)-phase and on the particular shape of the cross-section in the H(II) phase. The equilibrium of the H(II)-phase pivotal plane contour and the corresponding values of the mean intrinsic curvature, H(m), and the hydrocarbon chain stiffness, tau, were determined from MC calculations. The results of these calculations were tested by solving the corresponding system of non-linear differential equations derived using variational calculus. Here our main aim is to predict the range of possible values of H(m) and tau. Comparing the measured structural data with predictions from MC calculations including lipid anisotropy, and accounting for the elastic deformations of the pivotal plane allowed us to determine a relationship between the bending deformation and stretching of hydrocarbon chains. PMID- 21063617 TI - In situ X-ray probing reveals fingerprints of surface platinum oxide. AB - In situ X-ray absorption spectroscopy (XAS) at the Pt L(3) edge is a useful probe for Pt-O interactions at polymer electrolyte membrane fuel cell (PEMFC) cathodes. We show that XAS using the high energy resolution fluorescence detection (HERFD) mode, applied to a well-defined monolayer Pt/Rh(111) sample where the bulk penetrating hard X-rays probe only surface Pt atoms, provides a unique sensitivity to structure and chemical bonding at the Pt-electrolyte interface. Ab initio multiple-scattering calculations using the FEFF code and complementary extended X-ray absorption fine structure (EXAFS) results indicate that the commonly observed large increase of the white-line at high electrochemical potentials on PEMFC cathodes originates from platinum oxide formation, whereas previously proposed chemisorbed oxygen-containing species merely give rise to subtle spectral changes. PMID- 21063618 TI - Zero-point vibrational corrections to isotropic hyperfine coupling constants in polyatomic molecules. AB - The present work addresses isotropic hyperfine coupling constants in polyatomic systems with a particular emphasis on a largely neglected, but a posteriori significant, effect, namely zero-point vibrational corrections. Using the density functional restricted-unrestricted approach, the zero-point vibrational corrections are evaluated for the allyl radical and four of its derivatives. In addition for establishing the numerical size of the zero-point vibrational corrections to the isotropic hyperfine coupling constants, we present simple guidelines useful for identifying hydrogens for which such corrections are significant. Based on our findings, we critically re-examine the computational procedures used for the determination of hyperfine coupling constants in general as well as the practice of using experimental hyperfine coupling constants as reference data when benchmarking and optimizing exchange-correlation functionals and basis sets for such calculations. PMID- 21063619 TI - High-resolution soft X-ray photoelectron spectroscopy of liquid water. AB - High-resolution soft X-ray photoelectron spectra of liquid water (H(2)O and D(2)O) were measured using a liquid beam photoelectron spectrometer. The 1a(1) (O1s) band and the lowest valence 1b(1) band had single peaks, which is not consistent with the split 1b(1)-> 1a(1) of the X-ray emission band of liquid water if the splitting is assumed to originate from level shifts in two different hydrogen bonding structures. The second valence 3a(1) band of liquid water exhibited a flat top implying that two bands exist underneath a broad feature, which is similar to the case of the 3a(1) band of amorphous ice. The energy splitting between the two 3a(1) bands is estimated to be 1.38 eV (H(2)O) and 1.39 eV (D(2)O). Ab initio calculations suggest that the large splitting of the 3a(1) band is characteristic of water molecules that function as both proton donor and acceptor. The overall result is consistent with the conventional model of a tetrahedral hydrogen-bonding network in liquid water. PMID- 21063620 TI - Enhanced reactivity of Pt nanoparticles supported on ceria thin films during ethylene dehydrogenation. AB - The adsorption and reaction of ethylene on Pt/CeO(2-x)/Cu(111) model catalysts were studied by means of high resolution photoelectron spectroscopy (HR-PES) in conjunction with resonant photoemission spectroscopy (RPES). The dehydrogenation mechanism is compared to the HR-PES data obtained on a Pt(111) single crystal under identical conditions. It was found that the Pt nanoparticle system shows a substantially enhanced reactivity and several additional reaction pathways. In sharp contrast to Pt(111), partial dehydrogenation of ethylene on the supported Pt nanoparticles already starts at temperatures as low as 100 K. Similar to the single crystal surface, dehydrogenation occurs via the isomer ethylidene (CHCH(3)) and then mainly via ethylidyne (CCH(3)). In the temperature region between 100 and 250 K there is strong evidence for spillover of hydrocarbon fragments to the ceria support. In addition, splitting of ethylene to C(1) fragments is more facile than on Pt(111), giving rise to the formation of CH species and CO in the temperature region between 250 and 400 K. Upon further annealing, carbonaceous deposits are formed at 450 K. By heating to 700 K, these carbon deposits are completely removed from the surface by reaction with oxygen, provided by reverse spillover of oxygen from the ceria support. PMID- 21063621 TI - Quantum stochastic resonance in parity violating chiral molecules. AB - In order to explore parity violating effects in chiral molecules, of interest in some models of evolution towards homochirality, quantum stochastic resonance (QSR) is studied for the population difference between the two enantiomers of a chiral molecule (hence for the optical activity of the sample), under low viscous friction and in the deep quantum regime. The molecule is described by a two-state model in an asymmetric double well potential where the asymmetry is given by the known predicted parity violating energy difference (PVED) between enantiomers. In the linear response to an external driving field that lowers and rises alternatively each one of the minima of the well, a signal of QSR is predicted only in the case that the PVED is different from zero, the resonance condition being independent on tunneling between the two enantiomers. It is shown that, at resonance, the fluctuations of the first order contribution to the internal energy are zero. Due to the small value of the PVED, the resonance would occur in the ultracold regime. Some proposals concerning the external driving field are suggested. PMID- 21063622 TI - Ultrafast excited-state dynamics of strongly coupled porphyrin/core-substituted naphthalenediimide dyads. AB - The photophysics and excited-state dynamics of two dyads consisting of either a free-base or a zinc-tetraphenylporphyrin linked through a rigid bridge to a core substituted naphthalenediimide (NDI) have been investigated by femtosecond resolved spectroscopy. The absorption and fluorescence spectra differ substantially from those of the individual units, pointing to a substantial coupling and to a delocalisation of the excitation over the whole molecule, as confirmed by quantum chemistry calculations. A strong dependence of their excited state dynamics on the solvent polarity has been observed. In toluene, the fluorescence quantum yield of the dyads is of the order of a few percent and the main decay channel of the emitting state is proposed as intersystem-crossing to the triplet state. However, in a medium polarity solvent like dichloromethane, the emitting state undergoes charge separation from the porphyrin to the NDI unit within 1-3 ps, and the ensuing charge-separated state recombines in about 10-20 ps. This solvent dependence can be explained by the weak driving force for charge separation in polar solvents and the large electronic coupling between the porphyrin and NDI moieties, making charge separation a solvent-controlled adiabatic process. PMID- 21063623 TI - Surface molecular imprinting onto fluorescein-coated magnetic nanoparticles via reversible addition fragmentation chain transfer polymerization: a facile three in-one system for recognition and separation of endocrine disrupting chemicals. AB - In this study, we present a general protocol for the making of surface-imprinted magnetic fluorescence beads via reversible addition-fragmentation chain transfer polymerization. The resulting composites were characterized by X-ray diffraction analysis, transmission electron microscopy, scanning electron microscopy, fluorescence spectroscopy, Fourier transform infrared spectroscopy, and energy dispersive spectroscopy. The as-synthesized beads exhibited homogeneous polymer films (thickness of about 5.7 nm), spherical shape, high fluorescence intensity and magnetic property (Magnetization (Ms)=3.67 emu g(-1)). The hybrids bind the original template 17beta-estradiol with an appreciable selectivity over structurally related compounds. In addition, the resulting hybrids performed without obvious deterioration after five repeated cycles. This study therefore demonstrates the potential of molecularly imprinted polymers for the recognition and separation of endocrine disrupting chemicals. PMID- 21063627 TI - Tetracycline prevents Abeta oligomer toxicity through an atypical supramolecular interaction. AB - The antibiotic tetracycline was reported to possess an anti-amyloidogenic activity on a variety of amyloidogenic proteins both in in vitro and in vivo models. To unveil the mechanism of action of tetracycline on Abeta1-40 and Abeta1 42 at both molecular and supramolecular levels, we carried out a series of experiments using NMR spectroscopy, FTIR spectroscopy, dynamic laser light scattering (DLS) and atomic force microscopy (AFM). Firstly we showed that the co incubation of Abeta1-42 oligomers with tetracycline hinders the toxicity towards N2a cell lines in a dose-dependent manner. Therefore, the nature of the interaction between the drug and Abeta oligomers was investigated. To carry out NMR and FTIR studies we have prepared Abeta peptide solutions containing assemblies ranging from monomers to large oligomers. Saturation transfer difference (STD) NMR experiments have shown that tetracycline did not interact with monomers at variance with oligomers. Noteworthy, in this latter case we observed that this interaction was very peculiar since the transfer of magnetization from Abeta oligomers to tetracycline involved all drug protons. In addition, intermolecular cross-peaks between tetracycline and Abeta were not observed in NOESY spectra, indicating the absence of a specific binding site and suggesting the occurrence of a supramolecular interaction. DLS and AFM studies supported this hypothesis since the co-dissolution of Abeta peptides and tetracycline triggered the immediate formation of new aggregates that improved the solubility of Abeta peptides, preventing in this way the progression of the amyloid cascade. Moreover, competitive NMR binding experiments showed for the first time that tetracycline competes with thioflavin T (ThT) in the binding to Abeta peptides. Our data shed light on a novel mechanism of anti-amyloidogenic activity displayed by tetracycline, governed by hydrophobic and charge multiparticle interactions. PMID- 21063628 TI - Near-infrared chromogenic sensing of organotin species by a cyclopalladated azo dye. AB - A simple cyclopalladated complex of 4-(2-thiazolylazo)resorcinol showed a specific red-to-green colour change upon addition of organotin species in the acetonitrile-water medium. PMID- 21063629 TI - Effect of Sr2+ association on the tautomerization processes of uracil and its dithio- and diseleno-derivatives. AB - The structures and relative stabilities of the complexes formed by uracil and its thio- and seleno-derivatives with the Sr(2+) cation, in the gas phase, have been analyzed by means of G96LYP density functional theory (DFT) calculations. The attachment of the Sr(2+) cation to the heteroatom at position 4 is preferred systematically. Although the enolic forms of uracil and its derivatives should not be observed in the gas phase, the corresponding Sr(2+) complexes are the most stable. The enhanced stability of these tautomers is two-fold, on the one hand Sr(2+) interacts with two basic sites simultaneously, and on the other hand an aromatization of the six-membered ring takes place upon Sr(2+) association. Sr(2+) attachment also has a clear catalytic effect in the tautomerization processes involving uracil and its derivatives. This catalytic effect increases when oxygen is replaced by sulfur or selenium. The Sr(2+) binding energy with uracil and its derivatives is bigger than the tautomerization barriers connecting the dioxo forms with the corresponding enolic tautomers. Consequently, when associated with Sr(2+), all tautomers are energetically accessible and should all be observed in the gas phase. PMID- 21063630 TI - Synthesis of chiral calix[4]arenes bearing aminonaphthol moieties and their use in the enantiomeric recognition of carboxylic acids. AB - Two armed chiral calix[4]arenes 8-16 functionalized at the lower rim with chiral aminonaphthol units have been prepared and the structures of these receptors characterized by FTIR, (1)H, and (13)C, DEPT and COSY NMR spectroscopy and elemental analysis. The enantioselective recognition of these receptors with various carboxylic acids has been studied by (1)H NMR and UV/Vis spectroscopy. The receptors exhibited different chiral recognition abilities towards the enantiomers of racemic materials and formed 2 : 1 or 1 : 1 complexes between host and guest. It was also demonstrated that chiral calix[4]arenes 9 and 16 could be used as chiral NMR solvating agents to determine the enantiomeric purity of mandelic acid. PMID- 21063631 TI - Hierarchically structured carbon-based composites: Design, synthesis and their application in electrochemical capacitors. AB - This feature article provides an overview of the recent research progress on the hierarchically structured carbon-based composites for electrochemical capacitors. The basic principles of electrochemical capacitors, and the design, construction and performance of hierarchically structured carbon-based composites electrode materials with good ions and electron transportation and large specific surface area are discussed. The trend of future development of high-power and large energy electrochemical capacitors is proposed. PMID- 21063632 TI - Dramatic increase of quench efficiency in "spacerless" dimaleimide fluorogens. AB - In this post-genomic era, new techniques are needed to cope with the task of assigning functional roles to the huge number of identified putative gene products. We have developed a minimalist labelling strategy based on the use of synthetic fluorogenic probe reagents that fluoresce only after their reaction with a target peptide sequence. The probe reagents have fluorescent cores and bear two maleimide groups, such that their latent fluorescence is quenched by a photoinduced electron transfer (PET) to the pendant maleimide groups, until both of these groups undergo a specific thiol addition reaction. The efficiency of the fluorescence quenching is critical to the practicality of this labelling method, and has been predicted to be related to the intramolecular distance between the fluorophore and the maleimide groups. We have conducted the first direct test of this hypothesis by preparing a series of novel fluorogens that differ only by the spacer moiety separating their coumarin fluorophore and their dimaleimide fragment. A striking correlation was observed between intramolecular distance and the fluorescence enhancement (FE) observed after reaction with two equivalents of thiol. Guided by this observation, we then designed 'spacerless' fluorogens, of which a dansyl derivative shows an FE ratio of >300, the largest recorded for dimaleimide fluorogens. The trends observed herein provide valuable lessons for subsequent fluorogen design, and the novel fluorogens developed in the course of this study are currently being applied to protein labelling applications. PMID- 21063633 TI - Preventive psychiatry. PMID- 21063634 TI - Multi-disease health screening in an urban low-income setting: a community-based study. AB - INTRODUCTION: We were interested to determine the participation rates for health screening in a multi-ethnic urban low-income community. We assessed the health screening rates at baseline, collected data on reasons for non-participation and assessed the impact that a 5-month intervention had on health screening in this community. MATERIALS AND METHODS: The study population involved all residents aged >=40 years, living in heavily subsidised public rental flats in Taman Jurong Constituency, Singapore. From January 2009 to May 2009, we collected baseline information and offered eligible residents free blood pressure, fasting blood glucose and lipid measurements, fecal occult blood testing and Pap smears. Screenings were conducted either at or near the residents' homes. RESULTS: The participation rate was 60.9% (213/350). At baseline, 18.9% (24/127), 26.4% (42/159) and 18.7% (31/166) had gone for regular hypertension, diabetes and hyperlipidaemia screening, respectively; 3.8% (6/157) and 2.9% (2/70) had had regular colorectal and cervical cancer screening, respectively. Post intervention, rates for hypertension screening increased to 97.6% but increases for other modalities were marginal. High cost, lack of time, not at risk, too old, or unnecessary for healthy people were commonly-cited reasons for skipping regular health screening. Being unemployed was associated with missing regular hypertension screening (adjusted OR = 2.48, CI = 1.12-5.53, P = 0.026); those who did not need financial aid were less likely to miss regular hyperlipidaemia screening (adjusted OR = 0.27, CI = 0.10-0.72, P = 0.008). CONCLUSION: The participation rates for health screening were poor in this low-income community. More can be done to encourage regular health screening participation amongst this segment of the populace, both by reducing costs as well as addressing misperceptions. PMID- 21063635 TI - Validity and reliability of the Zarit Burden Interview in assessing caregiving burden. AB - INTRODUCTION: This study aims to validate the Zarit Burden Interview as an instrument to measure the level of burden experienced by caregivers of patients with dementia (PWD) in Singapore. MATERIALS AND METHODS: Adult family caregivers of PWD were recruited from the ambulatory dementia clinic of a tertiary hospital and the Alzheimer's Disease Association. All subjects completed a battery of questionnaires which consisted of demographic questions and the following instruments: the Zarit Burden Interview (ZBI), Burden Assessment Scale (BAS), General Health Questionnaire (GHQ-28), Dementia Management Strategies Scale (DMSS), and the Revised Memory and Behaviour Problems Checklist (RMBPC). A subgroup of subjects also completed the ZBI for the second time 2 weeks after the fi rst survey. RESULTS: A total of 238 subjects completed the survey. As hypothesised, the Zarit burden score was strongly correlated with BAS, GHQ-28, DMSS, and RMBPC scores (Pearson's correlation coefficient: 0.53 to 0.73); caregivers who undertook the major role in caregiving, had spent >1 year in caregiving, or experienced financial problems had higher Zarit burden scores than those who were not main carers, with <=1 year of caregiving, or reported no/minimal financial problems, respectively. The Cronbach's alpha value for the ZBI items was 0.93; the intra-class correlation coefficient for the test-retest reliability of the Zarit burden score was 0.89 (n = 149). CONCLUSION: The results in this study demonstrated that the Zarit Burden Interview is a valid and reliable instrument for measuring the burden of caregivers of PWD in Singapore. PMID- 21063636 TI - Trends in importation of communicable diseases into Singapore. AB - INTRODUCTION: Singapore is a transition country in Southeast Asia that is both vulnerable and receptive to the introduction and re-introduction of imported communicable diseases. MATERIALS AND METHODS: For a 10-year period between 1998 and 2007 we studied the trend, epidemiological characteristics, proportion of imported versus local transmission of malaria, viral hepatitis (hepatitis A and E), enteric fevers (typhoid and paratyphoid), cholera, chikungunya and SARS. RESULTS: Of a total of 4617 cases of the above selected diseases notified in Singapore, 3599 (78.0%) were imported. The majority of the imported cases originated from Southeast Asia and the Indian subcontinent. Malaria constituted the largest bulk (of which 95.9% of the 2126 reported cases were imported), followed by hepatitis A (57.1% of 1053 cases imported), typhoid (87.6% of 596 cases imported), paratyphoid (87.6% of 241 cases imported), and hepatitis E (68.8% of 231 cases imported). Furthermore, there were 14 cases of imported cholera, 6 cases of imported severe acute respiratory syndrome (SARS) and 13 cases of imported chikungunya. CONCLUSION: This study underlines that diseases such as malaria, viral hepatitis and enteric fever occur in Singapore mainly because of importation. The main origin of importation was South and Southeast Asia. The proportion of imported diseases in relation to overall passenger traffic has decreased over the past 10 years. PMID- 21063637 TI - The natural history and prognosticative factors of adult extremity soft tissue sarcomas: an Asian perspective. AB - INTRODUCTION: We describe the natural history of Asian adult soft tissue sarcomas (STSs) in the extremities and predict prognosticative factors for local recurrence, metastasis and tumour-related death. MATERIALS AND METHODS: Between January 1999 and May 2009, 67 adult patients with first presentation STSs of extremity sites underwent surgical treatment at a single institution. The associations between patient demographics and pathological features with local recurrence, metastasis and mortality were studied using univariate and multivariate analysis. RESULTS: The mean age of our patients was 52.4 years with most presentations occurring in the thigh. Majority of Asian STSs were high grade (61.3%) and large tumours with 81.0% being >5 cm. Stages Ia, Ib, IIa, IIb, IIc, III and IV accounted for 6.6%, 6.6%, 26.2%, 11.5%, 3.3%, 42.6% and 3.3% of presentations, respectively. Patients were followed-up for a mean period of 45.9 months. On univariate analysis, high tumour grade and advanced stage (IIc to IV) were predictive of local recurrence and metastasis. Deep lesions were more likely to recur but not metastasise or cause death. Age, sex, size, and margin positivity were not predictive for all end-points. On multivariate testing, only pathological high grade was associated adversely with local recurrence [odds ratio (OR) = 10.0, 95% CI, 1.2 to 84.9, P = 0.035], metastasis (OR = 12.7, 95% CI, 2.46 to 65.2, P = 0.002) and mortality (OR = 16.2, 95% CI, 1.95 to 135.0, P = 0.010). CONCLUSIONS: Asian adult extremity soft tissue sarcomas present late and are most commonly found in the thigh. High pathological grade is a consistent independent predictor for local failure, distant spread and tumour-related death. Our results reaffirm the current thinking that tumour biology is of primary importance in determining patient outcomes. PMID- 21063638 TI - Psychological symptoms in people presenting for weight management. AB - INTRODUCTION: Elevated levels of psychopathology have been described in various groups of obese patients. This study aimed to describe the presence of depressive and binge eating symptoms in patients presented for clinical weight management at a general hospital in Singapore, as well as their health-related quality of life (HRQOL). Correlations between these symptoms and other demographic and clinical variables were also sought. MATERIALS AND METHODS: Patients presented at a clinical weight management programme were asked to complete the Beck Depression Inventory (BDI), Binge Eating Scale (BES) and the Short Form-36 (SF-36). Clinical and demographic data were also collected. RESULTS: Of the group, 17.1% reported moderate or severe binge eating symptoms and 9.7% reported moderate or severe depressive symptoms. HRQOL, mostly in physical health domains, was lower in this sample compared to local norms. Within the group, binge eating and depressive symptoms, but not increasing obesity, predicted poorer HRQOL. CONCLUSIONS: Psychological symptoms are significantly present in patients presented for clinical weight management and these contribute to poorer quality of life. Addressing these symptoms will improve the overall well-beings of these patients and the total benefits gained will exceed the benefits of weight loss per se. PMID- 21063639 TI - Difference in Asthma Control TestTM (ACT) scores in three different clinical practice settings. AB - INTRODUCTION: Asthma control varies in different clinical settings because of its multidimensional and heterogeneous nature, and variability over time. The revised asthma management guidelines indicate that the goal of treatment should be maintaining asthma control for long periods. The aims of this study were to explore: (i) difference in asthma control test scores in patients at different clinical practice settings; (ii) assess if patients were overestimating the level of their asthma control and (iii) assess the relationship of the derived Asthma Control Test (ACT) score to cost of inpatient stay and length of stay (LOS). MATERIALS AND METHODS: The Asthma Control Test (ACT) is a 5-item questionnaire that assesses the multidimensional perspective of asthma control from activity limitation, shortness of breath, night symptoms, use of rescue medication and self-perception of asthma control. The score ranges on a scale from 1 (poorly controlled) to 5 (well controlled). ACT was administered to 447 patients diagnosed with asthma from the in-patient and out-patient settings (new and follow-up cases). RESULTS: Three hundred and ninety-nine (92%) patients completed the ACT questionnaire. The analysis only included patients who had completed the ACT questionnaire. The analysis showed that all the 5 items in the ACT questionnaire were significantly associated with different clinical settings (P <0.001). When we correlated the ACT question 5 (patients' self-rating of asthma control) in the ACT with Question 3 and Question 4 individually, it showed that most patients did not overestimate their asthma control (P <0.001). However, there was no correlation between the derived ACT score and cost (P = 0.419), LOS (P = 0.373), and the number of comorbid medical history (P = 0.055). CONCLUSION: Our results reinforce the usefulness of ACT for clinicians to identify patients with poorly controlled asthma and to optimise their level of control in different clinical settings. PMID- 21063640 TI - Opioid use amongst cancer patients at the end of life. AB - INTRODUCTION: Concerns about the life shortening effect of opioids is a well known fact in the medical world when considering administration of these drugs for symptom alleviation at end of life. This study described the patterns of opioid use among cancer patients referred to a hospital-based specialist palliative care service for symptom management. This study also examined whether opioid use among terminally ill cancer patients during the last 2 days of life had any influence on survival. MATERIALS AND METHODS: A retrospective review of case notes of patients who were diagnosed with terminal cancer and had passed away in a 95-bedded oncology ward between September 2006 and September 2007 was conducted. Data were collected on patients' characteristics and patterns of opioid use including opioid doses and dose changes at 48 hours and 24 hours before death. RESULTS: There were 238 patients who received specialist palliative care, of whom 132 (55.5%) were females. At 48 hours and 24 hours before death, 184 (77.3%) patients and 187 (78.6%) patients had received opioids, respectively. The median daily doses at 48 hours and 24 hours were 48 mg and 57 mg oral morphine equivalent doses (OME), respectively. Indications for opioid use were pain (41.1%), dyspnoea, (29.1%) and both dyspnoea and pain (30.8%). In the fi nal 24 hours, 22.3% patients had a reduction in their mean opioid dose while 22.7% required an increase in their mean opioid dose. Increased age was associated with decreasing opioid doses (P = 0.003). Patients with spinal metastases required higher doses of opioids (P = 0.03) while those with lung metastases required lower doses (P = 0.011). Survival analysis using Kaplan-Meier survival curve revealed no significant survival difference between those who were on opioids and those who were not. Log rank test (Mantel-Cox) (P = 0.69). CONCLUSION: Our results showed that opioids are safe medications for symptom alleviation in terminally ill cancer patients during the last days of life and have no deleterious influence on survival. PMID- 21063641 TI - Staging of benign prostate hyperplasia is helpful in patients with lower urinary tract symptoms suggestive of benign prostate hyperplasia. AB - INTRODUCTION: We prospectively evaluated the staging of benign prostate hyperplasia (BPH) to decide transurethral resection of prostate (TURP) therapeutic modality and the final outcomes in patients with lower urinary tract symptoms (LUTS) suggestive of BPH. MATERIALS AND METHODS: Male patients above 50 years old presented with LUTS suggestive of BPH were included in this study. The initial assessment included the International Prostatic Symptoms Score (IPSS) and the Quality of Life (QOL) index, digital rectal examination (DRE). Transabdominal ultrasound was done to measure the prostate volume, intravesical prostatic protrusion (IPP) and the post void residual (PVR) urine. BPH was classified according to the degree of IPP using grades 1 to 3. The staging of BPH was performed according to the presence or absence of bothersome symptoms (QOL >=3) and significant obstruction (PVR >100ml). Patients with stage I BPH with no bothersome symptoms and no significant obstruction were generally observed. Those with stage II BPH, bothersome symptoms but no significant obstruction, received pharmacotherapy in the first instance, and were offered TURP if symptoms persisted or worsened. Patients with significant obstruction, persistent PVR >100ml, irrespective of symptoms would be classified as stage III, and were advised to undergo TURP as an option. Lastly, those with stage IV (complications of BPH) were strongly recommended to undergo TURP. RESULTS: A total of 408 patients were recruited in this study and after a mean follow-up of 30 months (range, 6 to 84), 96 (24%) eventually had TURP. Sixteen (13%), 50 (21%), 28 (64%) and 2 (100%) patients who underwent TURP were initially diagnosed as stage I, II, III and IV, respectively. Eighty-seven (91%) of the 96 patients significantly improved to stage I BPH post TURP. CONCLUSIONS: These results showed that the staging of BPH can assist in the tailoring of treatment for patients with LUTS suggestive of BPH, with good outcome in 91% post TURP. PMID- 21063642 TI - Multimodal therapeutic approach in acute ischaemic stroke with real-time neurovascular monitoring. PMID- 21063643 TI - Management of Fournier's gangrene with Vacuum Assisted Closure(r) dressing. PMID- 21063644 TI - Medical misadventure: barium extravasation in colorectal cancer. PMID- 21063645 TI - ANCA-negative Pauci-immune crescentic glomerulonephritis with thrombotic microangiopathy. PMID- 21063646 TI - Putting the wedge under pressure. PMID- 21063648 TI - A rare cause of spontaneous bleeding per oral in an elderly. PMID- 21063649 TI - Antibacterial activity of the latex of Argemone ochroleuca Sweet. AB - OBJECTIVE: To investigate the antibacterial effect of the crude latex of Argemone ochroleuca (A. ochroleuca) as antibacterial potential against a range of human pathogenic bacteria. METHODS: This study was carried out at King Khalid University, Abha, Kingdom of Saudi Arabia from January to March 2010. Seventeen ml of fresh latex from A. ochroleuca Sweet was collected, and the antibacterial activity of crude and diluted latex were examined using one ml of standardized inoculum suspension, and using the agar diffusion method test against Bacillus subtilis, Enterobacter aerogenes, Micrococcus luteus, Escherichia coli, and Staphylococcus aureus. All inoculated plates were incubated aerobically at 290C for 48 hours. The diameter of the zones of inhibition was measured to the nearest mm. RESULTS: The crude latex of A. ochroleuca exhibited a potent antibacterial effect on all bacterial strains examined. The zones of inhibition against the tested bacteria were found in the range of 9.30 - 40.3 mm along with their respective minimum inhibitory concentration values 100 ul/ml. CONCLUSION: The observable inhibition on selected bacteria by latex of A. ochroleuca makes it a promising alternative as a potential source of natural antibacterial. PMID- 21063650 TI - Gastroprotective effects of aqueous extract of Chamomilla recutita against ethanol-induced gastric ulcers. AB - OBJECTIVE: To investigate the gastroprotective effects of an orally administered aqueous extract of Chamomilla recutita (ACE) against ethanol-induced gastric ulcers in male Wistar rats. METHODS: This study was performed during January and February 2009, in the Research Labs in the Department of Physiology at the Medical School, King Khalid University, Abha, Kingdom of Saudi Arabia. Sixty white albino rats were divided into 5 groups. Group 1 (control group) was treated with deionized water for 28 days; animals in group 2 to group 5 received zero, 0.5, 1, or 2 gm/kg ACE for 27 days. Stomach ulcerations were induced by orally administering a single dose of 70% ethanol on day 28. Lesions in the gastric mucosa were examined macroscopically to calculate the ulcer index (UI) and estimated glutathione (GSH) for each animal. RESULTS: Compared to non-ACE treated rats, the UI decreased significantly in a dose-dependent manner in treated animals. Furthermore, GSH levels fell significantly after ethanol treatment; this decrease was prevented by ACE treatment. However, daily treatment of rats with the maximum ACE dose actually led to an increase in GSH levels. Histological examination revealed that ACE treatment alleviated, or completely resolved ethanol-induced degenerative alterations, including disorganization of cell nuclei and gland morphology with erosion in the gastric mucosa and interrupted muscularis mucosa. CONCLUSION: This study provides evidence for the regulation of ACE-mediated gastroprotection against ethanol-induced ulceration by GSH. PMID- 21063651 TI - Kawasaki disease in Western Saudi Arabia. AB - OBJECTIVE: To describe our experience on Kawasaki disease in the Madinah region, Kingdom of Saudi Arabia (KSA). METHODS: This is a retrospective hospital based study. The study was conducted in Maternity and Children Hospital, Madinah, Kingdom of Saudi Arabia during January 2007 to January 2010. The study included 51 patients' records as suspected cases of Kawasaki disease. The study was approved by the Ethical Committee. RESULTS: Twenty-four patients were proven to have Kawasaki disease in this study. The mean age of the patients at diagnosis was 3.1+/-2.4 years. Most patients were younger than 5 years (83.3%). The male to female ratio was 1.7:1. Diagnosis was made 8.1+/-3.3 days after start of fever with a range from 4-15 days. All patients received intravenous immunoglobulin (IVIG) with 2 requiring another dose of IVIG. Echocardiography was performed 10.1+/-3.9 days from onset of fever with a range of 4-20 days. The duration of hospital stay was 7.9+/-5.8 days with a range from 3-25 days. Three patients had coronary artery abnormalities and still have coronary artery dilatation at last follow-up appointment. CONCLUSION: A high index of suspicion is mandatory for early diagnosis of Kawasaki disease as delayed diagnosis may lead to coronary lesions. A national awareness program on Kawasaki disease is recommended. PMID- 21063652 TI - Immunization coverage and its determinants among children 12-23 months of age in Aden, Yemen. AB - OBJECTIVE: To assess the immunization status of children aged 12-23 months and its determinants in Aden, Yemen. METHODS: This cross-sectional survey was conducted between March and July 2007 during which time mothers of 680 children from 37 randomly selected clusters in Aden, were interviewed. Information on socio-demographic profiles and children's immunization status was obtained. Immunization coverage of all officially provided vaccines was assessed. Analysis of association between immunization coverage and the socio-demographic characteristics were tested using logistic regression analysis with the immunization status as the dependent variable. RESULTS: We found that 83.1% had complete, 10.4% had partial, and 6.5% were never immunized. The immunization card retention rate was 84.9%. The immunization coverage was 92.9% for Bacillus Calmette-Guerin, 89.6% for Oral Polio Vaccine-3, 86.6% for Diphtheria, Pertusis and Tetanus-3 and Hepatitis-B vaccination, and 89.1% for measles. Multivariate analysis showed that children with an immunization card (odds ratio [OR]=14.71; 95% confidence interval [CI]: 8.50-25.44) were more likely to have complete immunization, while children with older aged mothers (OR=0.41; 95% CI: 0.22-0.77) were more likely to have complete immunization. CONCLUSION: Despite the high immunization coverage, 16.9% of children did not have complete immunization, and this rate was lower among children of older mothers, and those who retained their immunization cards. Raising awareness of immunization and increasing access to health services must be strengthened. PMID- 21063653 TI - Extremely elevated erythrocyte sedimentation rate: etiology at a tertiary care center in Saudi Arabia. AB - OBJECTIVE: To evaluate the etiology of extremely elevated erythrocyte sedimentation rate (ESR) in adolescents and adults at a tertiary care center. METHODS: This retrospective, cross-sectional, observational study was carried out at King Abdulaziz Medical City, Riyadh, Saudi Arabia using the Westergren method of determining ESR in adolescents and adults aged >or=12 years. The patients included inpatients and outpatients with medical, surgical, and gynecological problems. During a period from June 2007 to October 2008, consecutive, non repetitive patients with ESR >or=100 mm/hour were evaluated for possible etiology by checking the electronic and paper data file of each patient. RESULTS: During the study period, out of the 44,366 ESR tests carried out at this center, 1864 (4.2%) had an ESR >or=100 mm/hour belonging to 567 patients. Out of 508 patients fulfilling the study criteria, the main associated causes included: infections (38.6%), autoimmune diseases (15.9%), malignancy (15.4%), miscellaneous causes (10.2%), ischemic tissue injury or trauma (8.7%), and renal diseases (8.4%). Ten common individual causes included: rheumatoid arthritis (7.3%), osteomyelitis (6.9%), tuberculosis (5.5%), trauma (5.3%), lymphoma and sepsis of unknown origin (5.1%) each, urinary tract infection (4.7%), septic arthritis (3.1%), abscesses (2.8%), and pregnancy (2.2%). Fourteen (2.4%) patients had no known cause. CONCLUSION: Most of the patients with extreme ESR elevation have an underlying cause and a focused evaluation of such patients needs to be carried out to reach a diagnosis. PMID- 21063654 TI - Extensive retroperitoneal hematoma in blunt trauma. AB - OBJECTIVE: To report our experience of managing extensive retroperitoneal hematoma (RH) in patients with blunt trauma and to determine any associated factors affecting causation and mortality. METHODS: In this retrospective observational study, patients diagnosed with extensive RH following blunt trauma admitted to King Saud Medical Complex, Riyadh, Kingdom of Saudi Arabia between January 2004 and December 2009 were included. Patient data were explored for injury severity score (ISS), associated injuries, location of hematoma, amount of blood transfusions, coagulation profile, operative management, hospital stay, and mortality. The outcomes in surviving and non-surviving patients were compared. RESULTS: Out of 290 patients presenting with RH, extensive RH was found in 46 patients (15.8%). The overall mortality was 32.6%. The pelvis was the most frequent location of RH in combination with lateral and central zones (65.2%). The lower extremity and pelvic fractures were the most common injury. Associated intra-peritoneal injuries were present in 39.1% patients. An exploratory laparotomy was performed in 58.7% patients (n=27). A high ISS (55.9 versus 35.5, p<0.0001), abnormal coagulation profile (odds ratio [OR] 7.8, 95% confidence interval [CI] 1.974-30.932, p=0.005, and associated chest injuries OR 5.94, 95% CI 1.528-23.19, p=0.014) were independent factors associated with mortality. CONCLUSION: Multiple musculoskeletal injuries in addition to intra-abdominal injuries and abnormal coagulation are major factors associated with the presence of extensive RH. High ISS, abnormal coagulation, and associated chest injuries are independent factors associated with mortality. PMID- 21063655 TI - Patterns of thyroid cancer in Southwestern Saudi Arabia. AB - OBJECTIVE: To examine the pattern of thyroid cancer, assess the magnitude of the problem, and evolve a management plan for such malignancies. METHODS: This is a retrospective cohort study of all thyroid cases operated at Aseer Central Hospital, Abha, Kingdom of Saudi Arabia, between January 1998 and December 2007. Clinical presentations, management regimens, and recurrence were reviewed. RESULTS: Five hundred and sixteen patients were operated for different thyroid lesions. Ninety-two (17.8%) were malignant (20 males and 72 females). Mean age for males was 41.35 +/- 15.52 years compared to 36.59 +/- 13.28 years for females. Papillary carcinoma constituted 50%, while follicular carcinoma formed only 4.3% of malignant cases. Lymphoma ranked third with only 1.1% of all malignant thyroid lesions. No cases of medullary carcinoma were found. Of 92 patients, 75 reported for follow-up. The recurrence rate for follow-up patients was 29 (31.5%). CONCLUSION: High resolution neck ultrasonography and image-guided fine needle aspiration cytology should be considered as routine investigative tools in patients with suspicious thyroid swelling. Total thyroidectomy and removal of all lymph nodes in the central compartment of the neck seem to be the ideal management plan, until such trials emerge. Residual thyroid tissues, following surgery, should be ablated using radioiodine I131 isotope. PMID- 21063656 TI - The knowledge of breast cancer among young Saudi females. AB - OBJECTIVE: To assess the breast cancer knowledge level of Saudi female school students. METHODS: A detailed questionnaire on cancer breast was designed with all the needed information. Using a map of the Jeddah area of Saudi Arabia, schools were identified in each area and permission was sought from the Ministry of Education to distribute the questionnaire to the students. A team of volunteers was instructed on how to distribute and collect the questionnaires. The collected questionnaires were then statistically analyzed. This Pilot study of 500 students was performed in King Abdul-Aziz University Hospital using high schools from the city of Jeddah between April and June 2009. The results were used to build up a base for designing a community educational program. RESULTS: Analysis of the data from 337 questionnaires from high school and college students showed that the level of knowledge of young females on breast cancer is limited. However, it also indicated that the students are very enthusiastic to learn about cancer breast, and its prevention. CONCLUSION: The limited knowledge level of breast cancer in the younger generation might be an obstacle to screening programs and early diagnosis. Awareness programs should be developed including lectures, seminars workshops, and on hands training. PMID- 21063657 TI - Association of physicians' knowledge and behavior with prostate cancer counseling and screening in Saudi Arabia. AB - OBJECTIVE: To investigate the knowledge, attitudes and practices of primary care physicians towards prostate cancer counseling and screening. METHODS: This cross sectional study was conducted in May 2009 to October 2009 through a survey questionnaire, which was distributed to all licensed primary care physicians in Riyadh, Saudi Arabia. The study took place in the Princes Al-Johara Al-Ibrahim Center for Cancer Research, King Saud University, Saudi Arabia. Data was obtained from 204 primary care physicians using self-reports of prostate cancer screening practices, knowledge, attitudes towards prostate cancer screening, and continuous medical education preferences. Respondents' characteristics were also collected. RESULTS: Approximately 54.7% of the respondents were practicing counseling and referring prostate cancer patients. The mean correct knowledge score was 54.3%, their attitude was not strong; the only statement that approximately 70% of physicians agreed upon was about the value of screening, however, the reliability and evidence to support digital rectal examination and prostatic specific antigen were in question. Our primary care physicians had self-confidence in suspecting and referring high-risk patients for screening, but not for management and treatment. CONCLUSION: Knowledge and attitude were found to be the most significant predictors that determine physicians' self practice. Physicians' practice towards a screening procedures or early detection of diseases should rely on a good background of information, which in turn enhances their self efficacy and develops a good and positive attitude towards their practice skills. PMID- 21063658 TI - Evaluation of habitual behavior related to genital hygiene in women living in a health care center area. AB - OBJECTIVE: To evaluate that habitual behaviors related to genital hygiene in women living in a Health Care Center Area. METHODS: In this cross-sectional study, 400 women were sampled to represent women in reproductive ages (15-49 ages) living in the Park Health Care Center Area, Ankara, Turkey between June and September 2008. Three hundred and eighty-six (96.5%) women were interviewed for evaluation of habitual behaviors related to genital hygiene. The chi-square test was used for statistical analysis. RESULTS: The mean age of the study group was 32.19+/-9.54 (15-49) years. Of the study group, 21.5% had daily baths, 77.2% used cotton underwear, and 71.8% wiped front to back after using the toilet. Of those who menstruated, 83.4% used hygienic pads during menstrual periods, and 42.1% of the 321 married women confirmed practicing vaginal douching. The education level p=0.001, p=0.000, income level p=0.034, p=0.005, employment p=0.022, p=0.000, and house type p=0.005, p=0.006 were found as factors affecting general frequency of bathing and type of pad used during the menstrual period. Frequency of vaginal douching was higher in housewives than employed women p=0.000. CONCLUSION: The rate of women who had appropriate behaviors related to genital hygiene among the study group was found to be low, particularly within groups with a low socioeconomic level. Thus, it will be useful for health care employers to emphasize this issue at every opportunity. PMID- 21063659 TI - Medication administration errors in Eastern Saudi Arabia. AB - OBJECTIVE: To assess the prevalence and characteristics of medication errors (ME) in patients admitted to King Fahd University Hospital, Alkhobar, Kingdom of Saudi Arabia. METHODS: Medication errors are documented by the nurses and physicians standard reporting forms (Hospital Based Incident Report). The study was carried out in King Fahd University Hospital, Alkhobar, Kingdom of Saudi Arabia and all the incident reports were collected during the period from January 2008 to December 2009. The incident reports were analyzed for age, gender, nationality, nursing unit, and time where ME was reported. The data were analyzed and the statistical significance differences between groups were determined by Student's t-test, and p-values of <0.05 using confidence interval of 95% were considered significant. RESULTS: There were 38 ME reported for the study period. The youngest patient was 5 days and the oldest 70 years. There were 31 Saudis, and 7 non-Saudi patients involved. The most common error was missed medication, which was seen in 15 (39.5%) patients. Over 15 (39.5%) of errors occurred in 2 units (pediatric medicine, and obstetrics and gynecology). Nineteen (50%) of the errors occurred during the 3-11 pm shift. CONCLUSION: Our study shows that the prevalence of ME in our institution is low, in comparison with the world literature. This could be due to under reporting of the errors, and we believe that ME reporting should be made less punitive so that ME can be studied and preventive measures implemented. PMID- 21063660 TI - Evaluation of residents in professionalism and communication skills in south China. AB - OBJECTIVE: To evaluate the resident doctors' competency in professionalism and communication skills in south China. METHODS: We conducted this cross-sectional study in 8 hospitals, in 4 provinces of southern China from October to December 2007. The evaluation included 148 resident doctors. A 360-degree instrument from Education Outcomes Service Group (EOS group) of the Arizona Medical Education Consortium was developed and used by the attending physicians, residents, and their peers, nurses, patients, and office staff in this study. All data were entered into a computerized database and analyzed using the Statistical Package for Social Sciences version 13.0( SPSS Inc., Chicago, IL, USA) for Windows(r). RESULTS: Our results indicated that the instruments are internally consistent (Cronbach's alpha >0.90). The principal components analysis with varimax rotation for the attending-, resident self-evaluation, nurse-, patient-, office staff- and resident peer-rated questionnaires explained 70.68%, 76.13%, 77.02%, 76.37%, 75.51%, and 72.05% of the total variance. Significant differences (p<0.05) were found among different evaluators. CONCLUSION: The 360-degree instrument appears to be reliable in evaluating a residents' competency in professionalism and communication skills. Information from the assessment may provide feedback to residents. PMID- 21063661 TI - Isolated lateral rectus myositis. AB - Orbital myositis is a rare non-granulomatous inflammatory process within the orbit. Grave's disease and lymphoproliferative disorders are considered the most common cause of orbital myositis. The idiopathic form should be considered after exclusion of known causes or associations. Isolated orbital myositis is a very rare form of this disease. We report a case of an isolated lateral rectus myositis to draw the attention of physicians to this condition, as prompt treatment in our patient resulted in complete recovery. PMID- 21063662 TI - Effects of Ramadan fasting on glucose levels and serum lipid profile among type 2 diabetic patients. PMID- 21063663 TI - Endoscopic ultrasound-guided fine needle aspiration in the evaluation of pancreatic neuroendocrine neoplasms. PMID- 21063664 TI - The inhibitory effect of cyclopamine on human non-small cell lung cancer. PMID- 21063665 TI - A child with congenital constriction bands. PMID- 21063666 TI - Physical inactivity in Saudi Arabia. An under served public health issue. PMID- 21063667 TI - Rotavirus gastroenteritis and strain diversity in Saudi Arabia. Current status and future prospects. PMID- 21063668 TI - Medical student and patient perspectives on bedside teaching. PMID- 21063669 TI - Characterisation of children's asthma status by ICD-9 code and criteria-based medical record review. AB - OBJECTIVE: To characterise the relationship between ICD code-based (i.e. physician diagnosis-based) and criteria-based asthma ascertainment. METHODS: We compared identification of children with asthma between criteria-based medical record review for asthma ascertainment and an ICD-9 code-based approach. We determined the agreement rate and validity index of ICD code-based asthma ascertainment using asthma status by medical record review as a gold standard. RESULTS: Of the 115 study subjects, the agreement between medical record review and ICD-9 coding was 81.6% with a kappa value of 0.28 (P<0.0001). Sensitivity, specificity, positive and negative predictive values for ICD-9 code were 24.0%, 97.8%, 75.0%, and 82.0%, respectively, using criteria for asthma by medical record review as gold standard. CONCLUSIONS: ICD code-based asthma ascertainment appears to under-identify children with asthma compared to criteria-based medical record review. ICD codes may be useful for etiologic research but may not be suitable for surveillance of asthma epidemiology. PMID- 21063670 TI - [Diagnosis and treatment of infective endocarditis. Current ESC guidelines]. AB - To account for the current evidence in the field of infective endocarditis and to harmonize deviant national guidelines, in 2009 the European Society of Cardiology published novel recommendations on the prevention, diagnosis and treatment of infective endocarditis.The most important changes can be found concerning antimicrobial prophylaxis for endocarditis, the antimicrobial treatment of endocarditis caused by S. aureus and the indications for surgical treatment.Due to the weak evidence about prophylactic administration of antibiotics before procedures at risk for bacteraemia to prevent infective endocarditis, the novel guidelines recommend prophylaxis only in patients with the highest risk for infection and lethal course of endocarditis. These are patients with prosthetic valves or prosthetic material used for cardiac repair, patients with previous endocarditis and patients with congenital heart disease. A narrow definition of procedures at risk was proposed only including dental procedures requiring manipulation of the gingival or periapical region of teeth.For endocarditis caused by S. aureus an additional gentamicin administration was previously recommended but this is now seen only as optional due to its nephrotoxicity. In methicillin-resistant strains daptomycin is a possible alternative to vancomycin. In strains susceptible to methicillin, beta-lactamic antibiotics were definitively preferred than the usage of vancomycin due to better outcome. The current guidelines recommend definitive timing and risk constellations for surgical treatment of infective endocarditis. For example, cardiac shock due to valvular lesions refractory to medical treatment should give rise to an emergency intervention within 24 h. Other indication groups contain uncontrolled infection and prevention of embolism and indications were defined as urgent or elective depending on the clinical situation. PMID- 21063671 TI - [Implementation of new standards in anaesthesia. Exemplified by the ad hoc introduction of desflurane in 10 German hospitals]. AB - BACKGROUND: According to numerous pharmacoeconomic studies new anaesthesia techniques can improve recovery times and thus can have a positive economic impact on patient turnover. However, artificial study protocols do not always match real world situations and thus the practical impact of such studies remains unclear. MATERIAL AND METHODS: At 10 hospitals exclusively using sevoflurane as a volatile anaesthetic, the ad hoc implementation of desflurane was studied with respect to post-anaesthetic recovery times (primary endpoint) and postoperative outcome measured by the Quality of Recovery Score- (QoR-)40, on the first postoperative day was investigated. Randomization of patients undergoing elective surgical procedures under general anaesthesia with sevoflurane (n=186) or desflurane (n=176) was started immediately after a period of a few days after introducing the new drug to all participants. Except for the volatile anaesthetic the anaesthetic procedure was performed according to local standing operating procedures. RESULTS: All parameters indicating the immediate postanaesthetic recovery were superior in the patients receiving desflurane (mean+/-SD). Time to extubation was accelerated from 8.7+/-9.7 to 6.2+/-6.8 min. Times to recalling name and date of birth were accelerated by 2.6 and 3.8 min, respectively. Transferring the patients from the operating theatre to the post-anaesthetic recovery unit was 17.3+/-11.5 min after sevoflurane and 13.7+/-7.8 min after anaesthesia with desflurane. Eligibility for discharge according to a modified Aldrete score (White and Song 1999) was reached after 103+/-98 and 79+/-76 min, respectively. The postoperative recovery (QoR 40 questionnaire) did not differ 24 h later. DISCUSSION: The implementation of a new drug (here: desflurane to substitute sevoflurane) can improve speed of recovery immediately after termination of anaesthesia even after a very short period of introducing the new technique but has no positive long term effects. Thus, the results of this trial performed under a real world scenario (health service research) without tight standardization by an artificial study protocol supports the results originating from randomized controlled clinical trials. PMID- 21063672 TI - [Total hip replacement for coxarthrosis following acetabular fracture. Significance of age and injury severity]. AB - AIM: this study analyzed factors influencing prosthetic hip function after total hip replacement surgery (THR) including the initial acetabular fracture type, patient age, and the acetabular reconstruction component. MATERIAL AND METHOD: a total of 45 patients with secondary arthritis due to acetabular fracture and THR were prospectively selected from our total hip arthroplasty register between July 1999 and December 2005. The initial acetabular fracture was classified according to the AO system and the statistical analysis of the preoperative and postoperative Harris hip score (HHS) was correlated with age, type of fracture and acetabular reconstruction component. RESULTS: of the fractures 44 could be classified and 39 patients were included in the study. Median follow-up period was 15 months. HHS increased on average from 35 to 91. Only type C fractures showed statistical relevance and age had no influence on the median increase in HHS (53-55). Most important was the preoperative HHS and the restoration of proper hip anatomy and rotational alignment. CONCLUSION: patient age and injury severity influenced the preoperative function and hence the HHS after THR; however, these factors had no influence on the individual increase in the HHS. PMID- 21063673 TI - Translationally controlled tumour protein (TCTP) is a novel glucose-regulated protein that is important for survival of pancreatic beta cells. AB - AIMS/HYPOTHESIS: This study used proteomics and biochemical approaches to identify novel glucose-regulated proteins and to unveil their role in pancreatic beta cell function. Translationally controlled tumour protein (TCTP) was identified to be one such protein, and further investigations into its function and regulation were carried out. METHODS: Global protein profiling of beta cell homogenates following glucose stimulation was performed using two-dimensional gel electrophoresis. Proteins were identified by mass spectroscopy analysis. Immunoblotting was used to investigate alterations in TCTP protein levels in response to glucose stimulation or cell stress induced by palmitate. To investigate the biological function of TCTP, immunolocalisation, gene knockdown and overexpression of Tctp (also known as Tpt1) were performed. Apoptosis was measured in Tctp knockdown or Tctp-overexpressing cells. Glucose-stimulated insulin secretion was carried out in Tctp knockdown cells. RESULTS: TCTP was identified as a novel glucose-regulated protein, the level of which is increased at stimulatory glucose concentration. Glucose also induced TCTP dephosphorylation and its partial translocation to the mitochondria and the nucleus. TCTP protein levels were downregulated in response to cell stress induced by palmitate or thapsigargin treatments. Gene knockdown by small interfering RNA led to increased apoptosis, whereas overproduction of TCTP prevented palmitate-induced cell death. CONCLUSIONS/INTERPRETATION: Regulation of TCTP protein levels by glucose is likely to be an important cyto-protective mechanism for pancreatic beta cells against damage caused by hyperglycaemia. In contrast, high concentration of palmitate causes cell stress, reduction in TCTP levels and consequently reduced cell viability. Our results imply that TCTP levels influence the sensitivity of beta cells to apoptosis. PMID- 21063674 TI - A qualitative study exploring the experiences of parents of children admitted to seven Dutch pediatric intensive care units. AB - PURPOSE: To explore parents' experiences during the admission of their children to a pediatric intensive care unit (PICU). METHOD: Qualitative method using in depth interviews. Thematic analysis was applied to capture parents' experiences. Thirty-nine mothers and 25 fathers of 41 children admitted to seven of the eight PICUs in university medical centers in The Netherlands were interviewed. RESULTS: Parents were interviewed within 1 month after their child's discharge from a PICU. Thematic analysis identified 1,514 quotations that were coded into 63 subthemes. The subthemes were categorized into six major themes: attitude of the professionals; coordination of care; emotional intensity; information management; environmental factors; parent participation. Most themes had an overarching relationship representing the array of experiences encountered by parents when their child was staying in a PICU. The theme of emotional intensity was in particular associated with all the other themes. CONCLUSIONS: The findings provided a range of themes and subthemes describing the complexity of the parental experiences of a PICU admission. The subthemes present a systematic and thematic basis for the development of a quantitative instrument to measure parental experiences and satisfaction with care. The findings of this study have important clinical implications related to the deeper understanding of parental experiences and improving family-centered care. PMID- 21063675 TI - From belief to knowledge: call it evidence if you prefer. PMID- 21063676 TI - Severe hyperkalemia induced by a short interruption of barbiturate coma. PMID- 21063677 TI - Comparison of patient-ventilator interfaces based on their computerized effective dead space. AB - PURPOSE: Non-invasive ventilation is largely used to treat acute and chronic respiratory failure. This ventilation encounters a non-negligible rate of failure related to the used interface/mask, but the reasons for this failure remain unclear. In order to shed light on this issue and to better understand the effects of the geometrical design of interfaces, we aimed to quantify flow, pressure and gas composition in terms of CO(2) and O(2) at the passage through different types of interface (oronasal mask, integral mask and helmet). In particular, we postulated that due to specific gas flow passing throughout the interface, the effective dead space added by the interface is not always related to the whole gas volume included in the interface. METHODS: Numerical simulations, using computational fluid dynamics, were used to describe pressure, flow and gas composition during ventilation with the different interfaces. RESULTS: Between the different interfaces the effective dead spaces differed only modestly (110-370 ml), whereas their internal volumes were markedly different (110-10,000 ml). Effective dead space was limited to half the tidal volume for the most voluminous interface, whereas it was close to the interface gas volume for the less voluminous interfaces. Pressure variations induced by the flow ventilation throughout the interface were negligible. CONCLUSIONS: Effective dead space is not related to the internal gas volume included in the interface, suggesting that this internal volume should not be considered as a limiting factor for their efficacy during non-invasive ventilation. Patient's comfort and synchrony have also to be taken into account. PMID- 21063678 TI - No impact of severe varus deformity on clinical outcome after posterior stabilized total knee arthroplasty. AB - PURPOSE: Severe varus deformity may lead to premature failure of total knee arthroplasties (TKAs) because of technical difficulties associated with satisfactory alignment and good ligament balance. The aim of the study was to assess whether preoperative varus severity would affect the longevity, clinical outcomes, and complication rates of TKAs. METHODS: From a prospectively collected database, we assessed outcomes in 168 knees that underwent primary TKAs using a single posterior stabilized design. These included 86 knees with mild preoperative deformity (varus mechanical tibiofemoral angle<=5 degrees ) and 82 knees with severe preoperative deformity (varus angle>=15 degrees ). Survivorship was analyzed by a life-table method. Clinical outcomes were also compared, including Knee Society knee and functional scores and complication rates. RESULTS: The postoperative tibiofemoral angle of the mild varus group was 7.1 degrees +/-2.5 degrees , whereas that of the severe varus group was 6.4 degrees +/-2.5 degrees (n.s.). There were no significant differences in terms of perioperative complications. Both groups showed the same cumulative survival rate, with absence of mechanical failure, of 98% at 7 years without difference (n.s.). There were no significant between-group differences of clinical parameters throughout the each follow-up period. CONCLUSION: The knees with preoperative severe varus deformity were achieved the results comparable to those in knees with mild varus deformity, as determined by survival rate and clinical results. These data suggest that preoperative severe varus deformities can be successfully managed and do not have any detrimental effect on the longevity and clinical outcomes after a modern posterior stabilized TKA. PMID- 21063679 TI - Arthroscopic patellar reinsertion of the MPFL in acute patellar dislocations. AB - PURPOSE: The objective of this study was to evaluate the mid-term results of a new technique for the arthroscopic repair of MPFL after an acute patellar dislocation (APD). MATERIALS: The series included 17 patients (11 men and 6 women) with a first episode of acute patellar dislocation; treated over a period of 6 years. Re-dislocation, subjective symptoms and functional limitations were evaluated at an average follow-up of 2.2 years (1-5.5). The patients were evaluated with the Lysholm and the Kujala scoring systems. RESULTS: At follow-up, no re-dislocation was reported. Only one patient referred an episode of patellar instability, without a distinct dislocation. The postoperative median Lysholm score was 90 (72-100). The median Kujala score was 92 (75-100). Fourteen out of 17 patients were able to return to sports at the same level as before. CONCLUSION: When the MPFL is avulsed from the patella, the proposed technique has the advantage of restoring tension of the ligament through reattachment at the patellar border with two trans-patellar sutures. The full-arthroscopic approach has the advantage of being less invasive and having a shorter recovery time. PMID- 21063680 TI - A comparison of the clinical outcome after anterior cruciate ligament reconstruction using a hamstring tendon autograft with special emphasis on the timing of the reconstruction. AB - PURPOSE: The aim of the study was to compare the results 2 years after anterior cruciate ligament (ACL) reconstruction using a hamstring tendon (HT) autograft in patients operated within 5 months after the injury (Group A) and patients operated more than 24 months after the injury (Group B). METHODS: Sixty-one patients (27 women, 34 men), with a unilateral ACL rupture, underwent reconstructive surgery using semitendinosus (ST) or semitendinosus and gracilis (ST/G) autografts. Thirty patients (Group A) were operated on within 5 months median 3 (2-5) after the injury, and 31 patients (Group B) were operated on more than 24 months median 30 (24-48) after the injury. The follow-up examination was performed after a median of 25 months (18-43) after the reconstruction. RESULTS: A significantly higher Lysholm score was registered in Group A 90 (58-100) than in Group B 81 (38-100), P = 0.01, as well as a higher Tegner activity level 6 (2 9) versus 5 (0-9), P = 0.01. The clinical assessments revealed no significant differences between the groups in terms of the one-leg-hop test, KT-1000 arthrometer laxity measurements, manual Lachman test and range of motion (ROM). Furthermore, there was no significant difference between the groups in terms of meniscal and cartilage damage at the index operation. CONCLUSION: At 2 years post operatively, patients who underwent subacute reconstruction had a significantly better outcome in terms of the Lysholm score and Tegner activity level than patients who underwent delayed reconstruction. The clinical relevance of the present study is that if the patient wishes to continue doing sports on a higher level, subacute reconstruction appears beneficial. PMID- 21063681 TI - Anatomical reconstruction of the anterior inferior tibiofibular ligament for chronic disruption of the distal tibiofibular syndesmosis. AB - PURPOSE: The purpose of this study was to investigate the clinical results of anatomical reconstruction of anterior inferior tibiofibular ligament (AITFL) for the chronic tibiofibular syndesmotic disruption after typical pronation external rotation (PER) stage 4 injury. METHODS: Six consecutive patients with chronic syndesmotic disruption after PER stage 4 injury were surgically treated. In all six patients, preoperative CT revealed remarkable opening of only the anterior part of the distal tibiofibular joint, and all six underwent anatomical reconstruction of the AITFL using autogenous gracilis tendon after confirmation of preserved tension of the posterior inferior tibiofibular ligament through intra-operative arthroscopic examination. Clinical evaluation was made using the American Orthopaedic Foot and Ankle Society Ankle-Hindfoot Scale (AOFAS)and visual analogue scale (VAS) just before reconstructive surgery and at the most recent follow-up (median: 38 months, range, 31-50). RESULTS: Median AOFAS score improved from preoperative 53 points (range, 47-74) to postoperative 95 points (range, 90-100) (P < 0.05), and median VAS score improved from preoperative 95 points (range, 55-100) to postoperative 4 points (range, 0-14) (P < 0.05). CONCLUSIONS: The procedure, which can achieve anatomical reconstruction of the AITFL easily, seems to be one possible treatment for chronic disruption of the distal tibiofibular syndesmosis after PER stage 4 injury including avulsion fracture of the posterior malleolus, which is most common in this type of injury. PMID- 21063682 TI - Dual reconstruction of the radial collateral ligament and lateral ulnar collateral ligament in posterolateral rotator instability of the elbow. AB - Recent studies have found that the radial collateral ligament (RCL) plays a key role in the lateral stability of the elbow joint, and there is no truly isometric location for LUCL tendon graft reconstruction tunnels using the original technique. However, no report has been issued on the treatment including RCL reconstruction and the modification of LUCL reconstruction in patients with posterolateral rotatory instability (PLRI). Three patients with PLRI were treated using two different ways and produced good results. First, dual reconstruction of the LUCL and RCL was performed, and second, the insertion of the reconstructed LUCL was shifted to the AL instead of to the original ulna to produce a more flexible isometric point setting. We want to report on the management of PLRI by dual reconstruction of the RCL and LUCL and a modification of the original technique of LUCL reconstruction. PMID- 21063683 TI - Percutaneous decompression for the treatment of Mueller-Weiss syndrome. AB - This report describes the case of a young athlete, who presented with a painful foot and was eventually diagnosed with early-stage Mueller-Weiss syndrome (spontaneous osteonecrosis of the navicular) by MRI. As non-operative management was unsuccessful, a percutaneous decompression of the navicular was performed. The patient made a full recovery and was able to return to her previous level of sporting activity. Subsequent imaging showed complete remodelling of the bony architecture of the affected navicular. PMID- 21063684 TI - Rs710521[A] on chromosome 3q28 close to TP63 is associated with increased urinary bladder cancer risk. AB - Single nucleotide polymorphism (SNP) rs710521[A], located near TP63 on chromosome 3q28, was identified to be significantly associated with increased bladder cancer risk. To investigate the association of rs710521[A] and bladder cancer by new data and by meta-analysis including all published data, rs710521 was studied in 1,425 bladder cancer cases and 1,740 controls that had not been included in previous studies. Blood samples were collected from 1995 to 2010 in Germany (n = 948/1,258), Hungary (n = 262/65), Venezuela (n = 112/190) and Pakistan (n = 103/227) supplemented by a meta-analysis of 5,695 cases and 40,187 controls. Detection of a A/G substitution (rs710521) on chromosome 3q28, position 191128627 was done via fast real-time polymerase chain reaction (rt-PCR). Rs710521[A] is associated with increased risk in the unadjusted analysis (OR = 1.21; 95% Cl = 1.04-1.40; P = 0.011) and in the recessive model adjusted for age, gender, smoking habits and ethnicity (OR = 1.23; 95% Cl = 1.05-1.44; P = 0.010). No difference between individuals occupationally exposed versus not occupationally exposed to urinary bladder carcinogens was observed concerning the relevance of rs710521[A]. Similarly, rs710521[A] did not confer different susceptibility in smokers and non-smokers. Performing a meta-analysis of 5,695 cases and 40,187 controls including all published studies on rs710521, a convincing association with bladder cancer risk was obtained (OR = 1.18; 95% Cl = 1.12-1.25; P < 0.0001). However, the odds ratio is relatively small. PMID- 21063685 TI - L-3-n-butyl-phthalide alleviates hydrogen peroxide-induced apoptosis by PKC pathway in human neuroblastoma SK-N-SH cells. AB - Alzheimer's disease (AD) is the most common form of dementia. Oxidative stress is one of the earliest events in the neurological and pathological changes of AD. L 3-n-butyl-phthalide (L-NBP), an anti-cerebral ischemia agent, has been shown a potential in AD treatment. In this study, we investigated the neuroprotective effect of L-NBP on hydrogen peroxide (H2O2)-induced apoptosis in human neuroblastoma SK-N-SH cells. H2O2 significantly reduced cell viability and increased the number of apoptotic-like cells, indicating that H2O2 induced neurotoxicity. In addition, real-time PCR and western blot studies showed that Bcl-2 and Bcl-w expressions were decreased, and Bax expression was increased with H2O2 treatment. Moreover, protein kinase C (PKC) alpha expression was down regulated after H2O2 treatment. All of these phenotypes induced by H2O2 were markedly reversed by L-NBP. Pretreatment with L-NBP significantly increased cell viability of H2O2-damaged cells, and reduced H2O2-induced neuronal apoptosis. L NBP treatment at dose of 10 MUM inhibited H2O2-induced down-regulation of Bcl-2, Bcl-w, and PKCalpha but also attenuated the overexpression of Bax. PKC inhibitor, calphostin C, significantly attenuated the protective effects of L-NBP. Our findings suggest that L-NBP may protect neurons against H2O2-induced apoptosis by modulating apoptosis-related genes and activating PKCalpha pathway. PMID- 21063686 TI - Extraction and determination of chloramphenicol in feed water, milk, and honey samples using an ionic liquid/sodium citrate aqueous two-phase system coupled with high-performance liquid chromatography. AB - A green, simple, non-toxic, and sensitive sample pretreatment procedure coupled with high-performance liquid chromatography (HPLC) was developed for the analysis of chloramphenicol (CAP) that exploits an aqueous two-phase system based on imidazolium ionic liquid (1-butyl-3-methylimidazolium tetrafluoroborate, [Bmim]BF(4)) and organic salt (Na(3)C(6)H(5)O(7)) using a liquid-liquid extraction technique. The influence factors on partition behaviors of CAP were studied, including the type and amount of salts, the pH value, the volume of [Bmim]BF(4), and the extraction temperature. Extraction efficiency of the CAP was found to increase with increasing temperature and the volume of [Bmim]BF(4). Thermodynamic studies indicated that hydrophobic interactions were the main driving force, although electrostatic interactions and salting-out effects were also important for the transfer of the CAP. Under the optimal conditions, 90.1% of the CAP could be extracted into the ionic liquid-rich phase in a single-step extraction. This method was practical when applied to the analysis of CAP in feed water, milk, and honey samples with a linear range of 2~1,000 ng mL(-1). The method yielded a limit of detection of 0.3 ng mL(-1) and a limit of quantification of 1.0 ng mL(-1). The recovery of CAP was 90.4-102.7% from aqueous samples of real feed water, milk, and honey samples by the proposed method. This novel process is much simpler and more environmentally friendly and is suggested to have important applications for the separation of antibiotics. PMID- 21063688 TI - Expert texpert--analytical chemists as legal witnesses. PMID- 21063687 TI - Pharmaceutical residues in environmental waters and wastewater: current state of knowledge and future research. AB - Pollution from pharmaceuticals in the aquatic environment is now recognized as an environmental concern in many countries. This has led to the creation of an extensive area of research, including among others: their chemical identification and quantification; elucidation of transformation pathways when present in wastewater-treatment plants or in environmental matrices; assessment of their potential biological effects; and development and application of advanced treatment processes for their removal and/or mineralization. Pharmaceuticals are a unique category of pollutants, because of their special characteristics, and their behavior and fate cannot be simulated with other chemical organic contaminants. Over the last decade the scientific community has embraced research in this specific field and the outcome has been immense. This was facilitated by advances in chromatographic techniques and relevant biological assays. Despite this, a number of unanswered questions exist and still there is much room for development and work towards a more solid understanding of the actual consequences of the release of pharmaceuticals in the environment. This review tries to present part of the knowledge that is currently available with regard to the occurrence of pharmaceutical residues in aquatic matrices, the progress made during the last several years on identification of such compounds down to trace levels, and of new, previously unidentified, pharmaceuticals such as illicit drugs, metabolites, and photo-products. It also tries to discuss the main recent findings in respect of the capacity of various treatment technologies to remove these contaminants and to highlight some of the adverse effects that may be related to their ubiquitous existence. Finally, socioeconomic measures that may be able to hinder the introduction of such compounds into the environment are briefly discussed. PMID- 21063689 TI - The role of spatial attention in attentional control over pain: an experimental investigation. AB - Distraction is a common method of pain control that is often found to be effective. However, it is still largely unexplored which components of distraction are responsible for its effects. This study investigated the role of the spatial location of task-relevant stimuli in the effectiveness of distraction. Two experiments were performed in which the spatial location of visual stimuli during nociceptive input was manipulated. In a first experiment, we tested whether the reaction to nociceptive information is slower when visual stimuli are presented at a different spatial location than at the same spatial location. In a second experiment, we examined whether the manipulation of spatial location affects the experience of pain. Overall, results indicated that directing attention away from the pain location results in a slower response to painful stimuli and a reduction in pain. It may be concluded that the analgesic effect of distraction is at least partly the result of the spatial location of the distracting information. PMID- 21063690 TI - Doing better than your best: loud auditory stimulation yields improvements in maximal voluntary force. AB - Could task performance be constrained by our ability to fully engage necessary neural processing through effort of will? The StartReact phenomenon suggests that this might be the case, as voluntary reaction times are substantially reduced by loud sounds. Here, we show that loud auditory stimulation can also be associated with an improvement in the force and speed of force development when 18 healthy subjects are repeatedly asked to make a maximal grip as fast and as strongly as possible. Peak grip force was increased by 7.2 +/- 1.4% (SEM) (P < 0.0001), and the rate of force development was increased by 17.6 +/- 2.0% (P < 0.00001), when imperative visual cues were accompanied by a loud auditory stimulus rather than delivered alone. This implies that loud auditory stimuli may allow motor pathways to be optimised beyond what can be achieved by effort of will alone. PMID- 21063691 TI - Discharge rate modulation of trapezius motor units differs for voluntary contractions and instructed muscle rest. AB - This study examined discharge rate modulation at respiratory (0-0.5 Hz) and beta (16-32 Hz) frequencies in trapezius motor units active during voluntary contractions and during periods of instructed rest under conditions of low and high psychosocial stress. In separate sessions, single motor unit activity was recorded from the trapezius muscle of healthy women during low-intensity voluntary contractions and during periods of instructed muscle rest that followed voluntary contractions. The level of psychosocial stress during periods of instructed muscle rest was manipulated using a verbal math task combined with social evaluative threat which increased perceived anxiety, heart rate, and blood pressure (P <= 0.002). Discharge rate modulation was quantified by the mean power of motor unit discharge rate profiles within frequency bands of interest. Under low stress conditions, motor units active during instructed rest had greater power at 0-0.5 Hz (P = 0.002) and less power at 16-32 Hz (P = 0.009) compared to those active during voluntary contraction. Exposure to the stressor increased the amount of motor unit activity during instructed rest (P = 0.021) but did not alter the power of discharge rate modulation at 0-0.5 Hz (P = 0.391) or 16-32 Hz (P = 0.089). These results indicate that sustained motor unit activity during periods of instructed muscle rest has a lesser contribution from inputs at beta frequencies and a greater contribution from inputs at respiratory frequencies than present during low-intensity voluntary contractions. Furthermore, increases in motor unit activity when exposed to stressors during periods of instructed rest are not caused by changes in inputs at respiratory or beta frequencies. PMID- 21063692 TI - Effects of cognitive function on gait and dual tasking abilities in patients with Parkinson's disease suffering from motor response fluctuations. AB - Recent studies have demonstrated that cognitive loading aggravates the gait impairments that are typically seen in Parkinson's disease (PD). To better understand the relationship between cognition and gait in PD, we evaluated 30 subjects with PD who suffer from motor response fluctuations. The subjects were clinically and cognitively assessed using standard clinical (e.g., Unified Parkinson's Disease Rating Scale) and cognitive tests while in the "ON" period of the medication cycle. In addition, the subjects wore force-sensitive insoles to quantify the timing of the gait cycles during 80-m walks at a self-selected, comfortable pace during three randomly presented gait conditions: (1) usual walking, (2) dual tasking (DT), performing serial 3 subtractions (DT_S3), and (3) DT_S7. Stride length, gait speed, gait variability and bilateral coordination of gait were affected by DT, compared to the usual-walking (P < 0.001) as was gait asymmetry (P = 0.024). Stepwise regression analyses showed that a subset of the cognitive performance scores accounted for the changes seen in the gait parameters during DT, e.g., set shifting capabilities as expressed by the Trial Making Test Scores (P < 0.001). Affect (e.g., anxiety) was not associated with DT related gait changes. For most gait features, DT had a large impact on the DT_S3 condition with only minimal additional effect in the DT_S7 condition. These results demonstrate that the complex cognitive-motor interplay in the control of gait in patients with PD who suffer from motor response fluctuations has a profound and marked effect during DT conditions on gait variability, asymmetry and bilateral coordination, even in the "ON" state when patients are likely to be most active, mobile and vulnerable to the negative effects of dual tasking. PMID- 21063693 TI - Interlimb coupling from the arms to legs is differentially specified for populations of motor units comprising the compound H-reflex during "reduced" human locomotion. AB - Recent experiments have identified neuromechanical interactions between the arms and legs during human locomotor movement. Previous work reported that during the rhythmic movement of all four limbs, the influence of the arms on reflex expression in the legs was superimposed on the dominant effect of the legs. This evidence was based upon studies using cutaneous and H-reflex modulation as indices of neuronal activity related to locomotion. The earlier H-reflex study was restricted to one phase of movement and to only a fixed H-reflex amplitude. Also, all four limbs were actively engaged in locomotor movement, and this led to the speculation that the effect from the arms could be underestimated by "swamping" of the conditioning during movement of the test limb. Work from the cat suggests that descending locomotor drive may be differentially specified for different motor unit populations in the hindlimb. Accordingly, details of interlimb coordination between the arms and legs in humans require further characterization and an examination of different populations of motor units as can be obtained from H-reflex recruitment curve (RC) parameters. Using modulation of H-reflex amplitudes across the entire ascending limb as neural probes for interlimb coupling, the present study evaluated the separated influences of rhythmic activity of the arms and leg on neuronal excitability of a stationary "test leg". This three-limb "reduced" locomotion approach was applied using a stepping ergometer during the performance of three rhythmic movement tasks: arms (A); contralateral leg (L); and arms and contralateral leg (AL). Data were sampled at four different phases of the stepping cycle (using the moving leg as reference): start power (SP); end power (EP); start recovery (SR); and end recovery (ER). The main result was a large and significant influence of rhythmic AL activity on RC parameters of the H-reflex at EP and SP phases. However, the parameters (and thus motor unit populations) were differentially affected at each phase and task. For instance, a significant contribution of arms movement was noticed for H (max) (largest motor units) at EP phase (P < 0.05), but no changes was observed for other parameters related to lower reflex amplitude (e.g., H reflex evoked with an input that elicited 50% of maximum reflex response during static condition; H@50%). On the other hand, at SR phase, the parameter H@50% was significantly affected during AL compared to L. It is suggested that the remote effect from arms rhythmic activity has been differentially manifested across motor unit populations for each phase of movement. These findings provide definitive evidence for interlimb coupling between cervical and lumbar oscillators in gating the excitability of reflex pathways to a leg muscle for different populations of motorneurons within the pool. This further supports the contention of similar functional organization for locomotor networks in the human when compared to other animals. Additionally, these data provide additional confirmation of the significant role of the output of neural control for rhythmic arm movement in modulating reflex excitability of the legs that is specifically adjusted according to the phase and task. PMID- 21063694 TI - Antidepressant utilization patterns and mortality in Swedish men and women aged 20-34 years. AB - PURPOSE: To compare antidepressant utilization patterns and mortality in relation to antidepressant use in men and women aged 20-34 years. METHODS: We used data from the Swedish Prescribed Drug Register to identify adults aged 20-34 years who purchased at least one antidepressant in 2006. Information on death and migration was obtained from the Total Population Register by record linkage. One-year prevalence and proportion of new users, amount of purchased antidepressants, concurrent use of other antidepressants, mood stabilizers and antipsychotics and mortality were assessed. RESULTS: The one-year prevalence of antidepressant use was 5.6% among all Swedes aged 20-34 years (n = 94,239) and was higher among women than men (7.2 vs. 4.0%, p < 0.001). Selective serotonin reuptake inhibitors were the most dominant class of antidepressants at baseline and were more common among women than men (78.7 vs. 71.7%, p < 0.001). Of the new users, 22.3% filled only one prescription during the study period, men more often than women (24.1 vs. 21.4%, p < 0.001). The mortality rate was higher in men than in women (24 vs. 14 per 10,000, p = 0.009). Concurrent use of mood stabilizers (48 vs. 16 per 10,000, p < 0.001) and antipsychotics (50 vs. 14 per 10,000, p < 0.001) was associated with increased mortality in men and women. CONCLUSIONS: Almost twice as many Swedish women than men aged 20-34 years purchased antidepressants in 2006. Differences in utilization patterns between sexes were rather small. Discontinuation rates were high, indicating that health care providers need to acquire an increased awareness on attitudes to treatment. In both sexes, mortality rates were elevated among those concurrently using mood stabilizers and antipsychotics, which needs further investigation. PMID- 21063696 TI - Urine cytology to evaluate urinary urothelial damage of shock-wave lithotripsy. AB - Our aim is to study the prospective trial where urine cytology was used to detect the acute urothelial mucosal damage in patients who undergo extracorporeal shock waves lithotripsy (SWL). The study included 48 consecutive patients (28 male, 20 female) with mean age of 49.02 years (range 18-66) who were treated with SWL due to renal stones (30 patients) or upper ureter stones (18 patients). The mean calculi diameter was 12.44 mm (range 5-20). Urinary cytologic examinations were done for all patients immediately before and after SWL therapy and 10 days latter. The average numbers of transitional cells, red blood cells and myocytes were counted under 40 * magnification. In overall patients the average numbers of transitional cells at the cytologic examinations done immediately before and after SWL therapy were 1.6 and 7.53 cell/field, respectively (p = 0.001). The increment in transitional cells at cytologic examination after SWL was significantly influenced only by number of shock waves applied (p = 0.003). No muscle cell was detected in all cytologic examinations. The cytologic examinations which were done after 10 days of SWL therapy showed recovery from all cytologic abnormalities. The acute increment in number of transitional cells after the SWL is not clinically important and it is a temporary change. Urothelial lesion is limited to mucosal layer and there is no evidence of damage to basal membrane or deeper muscle layer. SWL safety on urothelial and muscular layer was demonstrated. However, evaluation of larger series with use of other lithotripters is necessary before reaching any definitive conclusions. PMID- 21063697 TI - Simulation of the effects of cavitation and anatomy in the shock path of model lithotripters. AB - We report on recent efforts to develop predictive models for the pressure and other flow variables in the focal region of shock wave lithotripters. Baseline simulations of three representative lithotripters (electrohydraulic, electromagnetic, and piezoelectric) compare favorably with in vitro experiments (in a water bath). We proceed to model and investigate how shock focusing is altered by the presence of material interfaces associated with different types of tissue encountered along the shock path, and by the presence of cavitation bubbles that are excited by tensile pressures associated with the focused shock wave. We use human anatomical data, but simplify the description by assuming that the tissue behaves as a fluid, and by assuming cylindrical symmetry along the shock path. Scattering by material interfaces is significant, and regions of high pressure amplitudes (both compressive and tensile) are generated almost 4 cm postfocus. Bubble dynamics generate secondary shocks whose strength depends on the density of bubbles and the pulse repetition frequency (PRF). At sufficiently large densities, the bubbles also attenuate the shock. Together with experimental evidence, the simulations suggest that high PRF may be counterproductive for stone comminution. Finally, we discuss how the lithotripter simulations can be used as input to more detailed physical models that attempt to characterize the mechanisms by which collapsing cavitation models erode stones, and by which shock waves and bubbles may damage tissue. PMID- 21063698 TI - Comparison of the pathology of interstitial plaque in human ICSF stone patients to NHERF-1 and THP-null mice. AB - Extensive evidence now supports the role of papillary interstitial deposits Randall's plaques-in the formation of stones in the idiopathic, calcium oxalate stone former. These plaques begin as deposits of apatite in the basement membranes of the thin limbs of Henle's loop, but can grow to become extensive deposits beneath the epithelium covering the papillary surface. Erosion of this covering epithelium allows deposition of calcium oxalate onto this plaque material, and the transition of mineral type and organic material from plaque to stone has been investigated. The fraction of the papilla surface that is covered with Randall's plaque correlates with stone number in these patients, as well as with urine calcium excretion, and plaque coverage also correlates inversely with urine volume and pH. Two animal models--the NHERF-1 and THP-null mice--have been shown to develop sites of interstitial apatite plaque in the renal papilla. In these animal models, the sites of interstitial plaque in the inner medulla are similar to that found in human idiopathic calcium oxalate stone formers, except that the deposits in the mouse models are not localized solely to the basement membrane of the thin limbs of Henle's loop, as in humans. This may be due to the different morphology of the human versus mouse papillary region. Both mouse models appear to be important to characterize further in order to determine how well they mimic human kidney stone disease. PMID- 21063699 TI - Evidence for metabolic origin of absorptive hypercalciuria Type II. AB - The objective of this retrospective data analysis was to test the hypothesis that absorptive hypercalciuria Type II (AH-II) is a less severe variant of absorptive hypercalciuria Type I (AH-I), a common cause of calcareous stones. 24-h urinary calcium obtained on constant metabolic diets was retrieved from several data sources, including those of the authors and another group. On a low calcium diet (10 mmol calcium), 35 patients with AH-II were compared with 70 non-stone formers (NSF) and 76 patients with AH-I. On a high calcium diet (25 mmol calcium/day), 10 patients with AH-II were compared with 35 NSF and 32 with AH-I. On a low calcium diet for all participants, 24-h urinary calcium in AH-II (4.13 +/- 0.63 mmol/day) was significantly higher than in NSF (3.06 +/- 1.17 mmol/day), but significantly lower than in AH-I (6.11 +/- 1.14 mmol/day) (p < 0.001). In a smaller subset, fractional intestinal calcium absorption in AH-II (65.0 +/- 11.1%) was intermediate between NSF (50.0 +/- 6.4%) and AH-I (71.0 +/- 6.7%) (p < 0.001 between AH-II and other groups). On a high calcium diet, the rise in urinary calcium in AH-II was significantly higher than in NSF, but not as marked as in AH I. Estimated calcium balance in AH-II was similar to NSF, but significantly more positive than AH-I. In conclusion, AH-II shares with AH-I the same metabolic disturbance(s) stimulating intestinal absorption and renal excretion of calcium but to a lesser degree. Bone might be spared in AH-II. PMID- 21063700 TI - Genetically engineered microbial biosensors for in situ monitoring of environmental pollution. AB - Microbial biosensors are compact, portable, cost effective, and simple to use, making them seem eminently suitable for the in situ monitoring of environmental pollution. One promising approach for such applications is the fusion of reporter genes with regulatory genes that are dose-dependently responsive to the target chemicals or physiological signals. Their biosensor capabilities, such as target range and sensitivity, could be improved by modification of regulatory genes. Recent uses of such genetically engineered microbial biosensors include the development of portable biosensor kits and high-throughput cell arrays on chips, optic fibers, or other platforms for on-site and on-line monitoring of environmental pollution. This mini-review discusses recent advances in microbial biosensors and their future prospects, with a focus on the development and application of genetically modified microbial biosensors for in situ environmental monitoring. PMID- 21063701 TI - Absolute configuration-dependent epoxide formation from isoflavan-4-ol stereoisomers by biphenyl dioxygenase of Pseudomonas pseudoalcaligenes strain KF707. AB - Biphenyl dioxygenase from Pseudomonas pseudoalcaligenes strain KF707 expressed in Escherichia coli was found to exhibit monooxygenase activity toward four stereoisomers of isoflavan-4-ol. LC-MS and LC-NMR analyses of the metabolites revealed that the corresponding epoxides formed between C2' and C3' on the B-ring of each isoflavan-4-ol substrate were the sole products. The relative reactivity of the stereoisomers was found to be in the order: (3S,4S)-cis-isoflavan-4-ol > (3R,4S)-trans-isoflavan-4-ol > (3S,4R)-trans-isoflavan-4-ol > (3R,4R)-cis isoflavan-4-ol and this likely depended upon the absolute configuration of the 4 OH group on the isoflavanols, as explained by an enzyme-substrate docking study. The epoxides produced from isoflavan-4-ols by P. pseudoalcaligenes strain KF707 were further abiotically transformed into pterocarpan, the molecular structure of which is commonly found as part of plant-protective phytoalexins, such as maackiain from Cicer arietinum and medicarpin from Medicago sativa. PMID- 21063702 TI - Biotechnological production of mannitol and its applications. AB - Mannitol, a naturally occurring polyol (sugar alcohol), is widely used in the food, pharmaceutical, medical, and chemical industries. The production of mannitol by fermentation has become attractive because of the problems associated with its production chemically. A number of homo- and heterofermentative lactic acid bacteria (LAB), yeasts, and filamentous fungi are known to produce mannitol. In particular, several heterofermentative LAB are excellent producers of mannitol from fructose. These bacteria convert fructose to mannitol with 100% yields from a mixture of glucose and fructose (1:2). Glucose is converted to lactic acid and acetic acid, and fructose is converted to mannitol. The enzyme responsible for conversion of fructose to mannitol is NADPH- or NADH-dependent mannitol dehydrogenase (MDH). Fructose can also be converted to mannitol by using MDH in the presence of the cofactor NADPH or NADH. A two enzyme system can be used for cofactor regeneration with simultaneous conversion of two substrates into two products. Mannitol at 180 g l(-1) can be crystallized out from the fermentation broth by cooling crystallization. This paper reviews progress to date in the production of mannitol by fermentation and using enzyme technology, downstream processing, and applications of mannitol. PMID- 21063703 TI - Development and strategies of cell-culture technology for influenza vaccine. AB - Influenza is a pandemic contagious disease and causes human deaths and huge economic destruction of poultry in the world. In order to control and prevent influenza, mainly type A, influenza vaccine for human and poultry were available since the 1940s and 1920s, respectively. In the development of vaccine production, influenza viruses were cultured originally from chicken embryos to anchorage-dependent cell lines, such as MDCK and Vero. The anchorage-independent lines have also been used to produce influenza virus, such as PER.C6 and engineering modified MDCK and Vero. During the process of influenza vaccine production, the common problem faced by all producers is how to improve the titer of influenza virus. This paper focuses on the developments of cell culture for influenza virus vaccine production, limitations of cell culture, and relative strategies for improvement virus yields in cell-culture systems. PMID- 21063704 TI - Lower back pain after recently giving birth: postpartum sacral stress fractures. PMID- 21063705 TI - Test yourself-Knee pain after falling. PMID- 21063706 TI - Targeted multi-pinhole SPECT. AB - PURPOSE: Small-animal single photon emission computed tomography (SPECT) with focused multi-pinhole collimation geometries allows scanning modes in which large amounts of photons can be collected from specific volumes of interest. Here we present new tools that improve targeted imaging of specific organs and tumours, and validate the effects of improved targeting of the pinhole focus. METHODS: A SPECT system with 75 pinholes and stationary detectors was used (U-SPECT-II). An XYZ stage automatically translates the animal bed with a specific sequence in order to scan a selected volume of interest. Prior to stepping the animal through the collimator, integrated webcams acquire images of the animal. Using sliders, the user designates the desired volume to be scanned (e.g. a xenograft or specific organ) on these optical images. Optionally projections of an atlas are overlaid semiautomatically to locate specific organs. In order to assess the effects of more targeted imaging, scans of a resolution phantom and a mouse myocardial phantom, as well as in vivo mouse cardiac and tumour scans, were acquired with increased levels of targeting. Differences were evaluated in terms of count yield, hot rod visibility and contrast-to-noise ratio. RESULTS: By restricting focused SPECT scans to a 1.13-ml resolution phantom, count yield was increased by a factor 3.6, and visibility of small structures was significantly enhanced. At equal noise levels, the small-lesion contrast measured in the myocardial phantom was increased by 42%. Noise in in vivo images of a tumour and the mouse heart was significantly reduced. CONCLUSION: Targeted pinhole SPECT improves images and can be used to shorten scan times. Scan planning with optical cameras provides an effective tool to exploit this principle without the necessity for additional X-ray CT imaging. PMID- 21063707 TI - Bony landmarks in the sacral region: the posterior superior iliac spine and the second dorsal sacral foramina: a potential guide for sonography. AB - PURPOSE: Identification of the second dorsal sacral foramen (S2F) by sonographic imaging is a possible first step in localising the branches of the dorsal sacral rami. The aim of this investigation is to develop an imaging approach to assist the rapid identification of S2F using a well-known regional landmark, the posterior superior iliac spine (PSIS). METHODS: Twenty-seven skeletal specimens were digitally imaged. Repeated measurements were undertaken of the angle and distance (D(1)) between PSIS and S2F, maximum width of S2F (D(2)), interforaminal distance between contralateral S2F (D(3)), distance between S1F and S2F (D(4)), and distance between S2F and S3F (D(5)). Sonographically guided needle placement was further undertaken to validate these osseous measurements in five intact cadavers. RESULTS: In skeletal material, repeated measurements indicated high intraclass correlation coefficients. No statistically significant difference existed in any measure between sides. Combined measurements indicated that S2F was located 46.4 +/- 14.9 degrees from the PSIS in both sexes, with a statistical trend toward a greater angle in females. D(1) had a mean value 2.2 +/ 0.62 cm but was significantly shorter in females. The mean values of D(2) and D(3) were 0.75 +/- 0.18 cm and 2.98 +/- 0.27 cm, respectively, with no significant difference between sexes. The mean value of D(4) was 1.42 +/- 0.27 cm with a statistical trend toward a slightly smaller value in females. The mean value for D(5) was 1.28 +/- 0.15 cm. Sonographically guided needle placement in cadavers tended to validate these osseous measurements. CONCLUSIONS: S2F has a mean maximum width of 0.76 cm and lies approximately 2-3 cm from the PSIS, 45 degrees inferior to the horizontal. The medial left and right borders of S2F are approximately 3 cm apart. The upper three ipsilateral dorsal sacral foramina are 1-1.5 cm apart. These measurements may be useful for sonographers imaging the dorsal sacral region and eventually, for the potential identification of neurovascular branches of the dorsal sacral rami. PMID- 21063708 TI - Oxidative stress contributes to hemolysis in patients with hereditary spherocytosis and can be ameliorated by fermented papaya preparation. AB - In the present study, we questioned the role of oxidative stress in hereditary spherocytosis (HS), where red blood cells (RBC) have a shortened survival due to primary deficiency in membrane proteins. Using flow cytometry techniques, we showed that RBC derived from 17 HS patients of seven families generate more reactive oxygen species, membrane lipid peroxides, and less reduced glutathione than normal RBC. Following in vitro incubation of HS-RBC from seven patients with a fermentation bioproduct of Carica papaya (fermented papaya preparation (FPP)) with known antioxidative properties, oxidative stress markers were significantly reduced. Similar results were obtained following treatment with FPP for 3 months of 10 adult HS patients, as well as decreased tendency to undergo hemolysis. The hemoglobin levels increased by >1 g/dl, mean corpuscular hemoglobin concentration decreased by >1 g/dl, and the reticulocyte count decreased by 0.93%. Concomitantly, lactic dehydrogenase decreased by 17% and indirect bilirubin by 50%. A significant decrease in malonyldialdehyde was also detected. These data indicate that oxidative stress plays an important role in the pathophysiology of HS which can be ameliorated by an antioxidant such as FPP. Additional clinical trials with FPP and other antioxidants are warranted. PMID- 21063709 TI - Kinetic analysis of lesions without mass effect on breast MRI using manual and computer-assisted methods. AB - OBJECTIVE: To analyse the kinetic characteristics of lesions without mass effect in dynamic breast MRI using manual and computer assisted methods. METHODS: The enhancement pattern of 82 histopathologically verified lesions without mass effect (36 malignant, 46 benign) was evaluated on breast MRI using manual placement of a region of interest. Commercially available computer analysis software automatically assessed volume enhancement characteristics of a lesion voxelwise. Kinetic features evaluated included classification of the signal intensity time curve as washout, plateau or persistent enhancement. RESULTS: Unlike manual ROI placement, computer-aided analysis demonstrated a significant difference in enhancement pattern between benign (washout: 32.6%, plateau: 32.6%, persistent: 34.8%) and malignant lesions without mass effect (77.1%, 8.6%, 14.3% respectively, P < 0.01, two-sided Chi-squared test) following initial rapid signal increase. Mean percentage of washout voxel volumes within a lesion was significantly higher in malignant lesions than in benign lesions (11.9% +/-12.7 (SD) vs. 6.9% +/-11.3 (SD), P < 0.01, Mann-Whitney U Test). Conversely, the mean percentage of persistent voxel volumes was significantly lower in malignant lesions than in benign lesions (60.1% +/-21.1 (SD) vs. 79% +/-23 (SD), P < 0.01, Mann-Whitney U Test). CONCLUSION: Computer-assisted enhancement pattern analysis might have diagnostic benefit in the evaluation of lesions without mass effect. PMID- 21063710 TI - Staging of uterine cervical cancer with MRI: guidelines of the European Society of Urogenital Radiology. AB - OBJECTIVE: To design clear guidelines for the staging and follow-up of patients with uterine cervical cancer, and to provide the radiologist with a framework for use in multidisciplinary conferences. METHODS: Guidelines for uterine cervical cancer staging and follow-up were defined by the female imaging subcommittee of the ESUR (European Society of Urogenital Radiology) based on the expert consensus of imaging protocols of 11 leading institutions and a critical review of the literature. RESULTS: The results indicated that high field Magnetic Resonance Imaging (MRI) should include at least two T2-weighted sequences in sagittal, axial oblique or coronal oblique orientation (short and long axis of the uterine cervix) of the pelvic content. Axial T1-weighted sequence is useful to detect suspicious pelvic and abdominal lymph nodes, and images from symphysis to the left renal vein are required. The intravenous administration of Gadolinium chelates is optional but is often required for small lesions (<2 cm) and for follow-up after treatment. Diffusion-weighted sequences are optional but are recommended to help evaluate lymph nodes and to detect a residual lesion after chemoradiotherapy. CONCLUSIONS: Expert consensus and literature review lead to an optimized MRI protocol to stage uterine cervical cancer. MRI is the imaging modality of choice for preoperative staging and follow-up in patients with uterine cervical cancer. PMID- 21063711 TI - Assessment of coronary artery disease and calcified coronary plaque burden by computed tomography in patients with and without diabetes mellitus. AB - PURPOSE: To compare the coronary atherosclerotic burden in patients with and without type-2 diabetes using CT Coronary Angiography (CTCA). METHODS AND MATERIALS: 147 diabetic (mean age: 65 +/- 10 years; male: 89) and 979 nondiabetic patients (mean age: 61 +/- 13 years; male: 567) without a history of coronary artery disease (CAD) underwent CTCA. The per-patient number of diseased coronary segments was determined and each diseased segment was classified as showing obstructive lesion (luminal narrowing >50%) or not. Coronary calcium scoring (CCS) was assessed too. RESULTS: Diabetics showed a higher number of diseased segments (4.1 +/- 4.2 vs. 2.1 +/- 3.0; p < 0.0001); a higher rate of CCS > 400 (p < 0.001), obstructive CAD (37% vs. 18% of patients; p < 0.0001), and fewer normal coronary arteries (20% vs. 42%; p < 0.0001), as compared to nondiabetics. The percentage of patients with obstructive CAD paralleled increasing CCS in both groups. Diabetics with CCS <= 10 had a higher prevalence of coronary plaque (39.6% vs. 24.5%, p = 0.003) and obstructive CAD (12.5% vs. 3.8%, p = 0.01). Among patients with CCS <= 10 all diabetics with obstructive CAD had a zero CCS and one patient was asymptomatic. CONCLUSIONS: Diabetes was associated with higher coronary plaque burden. The present study demonstrates that the absence of coronary calcification does not exclude obstructive CAD especially in diabetics. PMID- 21063712 TI - Neuronal precision and the limits for acoustic signal recognition in a small neuronal network. AB - Recognition of acoustic signals may be impeded by two factors: extrinsic noise, which degrades sounds before they arrive at the receiver's ears, and intrinsic neuronal noise, which reveals itself in the trial-to-trial variability of the responses to identical sounds. Here we analyzed how these two noise sources affect the recognition of acoustic signals from potential mates in grasshoppers. By progressively corrupting the envelope of a female song, we determined the critical degradation level at which males failed to recognize a courtship call in behavioral experiments. Using the same stimuli, we recorded intracellularly from auditory neurons at three different processing levels, and quantified the corresponding changes in spike train patterns by a spike train metric, which assigns a distance between spike trains. Unexpectedly, for most neurons, intrinsic variability accounted for the main part of the metric distance between spike trains, even at the strongest degradation levels. At consecutive levels of processing, intrinsic variability increased, while the sensitivity to external noise decreased. We followed two approaches to determine critical degradation levels from spike train dissimilarities, and compared the results with the limits of signal recognition measured in behaving animals. PMID- 21063713 TI - Energetics in Liolaemini lizards: implications of a small body size and ecological conservatism. AB - Liolaemini lizards occur in southern South America in a variety of dietary habits across a broad latitudinal and altitudinal distribution. We studied standard metabolic rates of 19 Liolaemini species and analyzed these data using both conventional and phylogenetically informed statistics. Oxygen consumption showed a significant and positive relationship with body mass (SMR = 0.109 * body mass(0.876+/-0.023)), with a higher slope than that expected on the basis of the three-quarter power law model. After phylogenetically informed and conventional analyses, no significant differences in metabolic rates were found to be related to diet or elevation. We hypothesize that small body size, ecological conservatism and physiological compensation may explain the lack of differences in metabolic rates observed among these lizards. PMID- 21063714 TI - Electroacupuncture at ST-36 relieves visceral hypersensitivity and decreases 5 HT(3) receptor level in the colon in chronic visceral hypersensitivity rats. AB - PURPOSE: Visceral hypersensitivity is an important pathological mechanism of irritable bowel syndrome. Electroacupuncture (EA) could relieve chronic visceral hypersensitivity (CVH) in rats. However, little information is available about the mechanism. The aim of this study was to confirm the effects of EA at acupoint ST-36 (Zusanli) on CVH induced by the chemical colorectal irritation during postnatal development of rats, and to explore the possible 5-HT(3) receptor mechanism. METHODS: Rats were randomized into four groups, including the normal control group, CVH group, CVH with EA group, and CVH with sham EA group. The abdominal electromyogram (EMG) in response to colorectal distension was selected as the index for measurement of visceral hypersensitivity. 5-HT(3) receptors were analyzed through reverse transcription-polymerase chain reaction and western blot. RESULTS: EA at ST-36 significantly decreased evoked EMG. The expression of 5-HT(3) receptor in the colon was increased in rats with CVH, and decreased after EA treatment. CONCLUSIONS: EA at acupoint ST-36 attenuates CVH in rats and decreases 5-HT(3) receptor level in the colon. Decreased 5-HT(3) receptor level in the colon may mediate the beneficial effect of EA in rats with CVH. PMID- 21063715 TI - Inflammatory bowel disease in India--changing paradigms. AB - BACKGROUND: Inflammatory bowel disease is an emerging problem in Asia including India. Clinical data on this disease from eastern India and on its temporal trends in India is scarce. MATERIALS AND METHODS: Existing Indian data on inflammatory bowel disease were retrieved using PubMed and a comparison of earlier data (up to 1980s) was made with more recent data (1990s and later) and our own data to find any significant differences. Our data included clinical data of 40 and ten consecutive patients with ulcerative colitis and Crohn's disease, respectively, collected prospectively from January 2003 to June 2009. For those whose symptoms started before 2003, data collection was partly retrospective. It included demography, symptoms and duration at presentation, follow-up findings, course, extraintestinal manifestations, treatment outcome and complications. Data were analysed by descriptive and analytical statistics. RESULTS: Sixty-five percent of our ulcerative colitis patients presented with pancolitis, majority had severe clinical, endoscopic and histologic disease commensurate with other recent studies but in contrast to milder presentation in earlier studies. Of our patients, 27.5% developed pseudopolyposis of colon, two within 1 year of disease onset; 10% developed cancer colon for whom disease duration of 10 years or more was the only significant risk factor. Majority of our patients with Crohn's disease had mild inflammatory disease involving small gut which was controlled with aminosalicylates. Obscure lower GI bleed was an important mode of presentation. CONCLUSIONS: Ulcerative colitis is presenting with increasing severity and extent in recent times. Rapid development of colonic pseudopolyposis was observed. PMID- 21063716 TI - [the German Pacemaker Register]. AB - The German Pacemaker Register represents the oldest, still existing registry for cardiac rhythm devices worldwide and with nearly 1 million datasets also the largest register. It was founded by the Arbeitsgemeinschaft Herzschrittmacher e.V., and the first annual report presented data from 1982. Data were sent on a voluntary basis to the"Deutsches Zentralregister Herzschrittmacher" (German Central Register for Pacemakers) in Giessen, Germany, and were analyzed and published by Prof. Dr. Ing. Werner Irnich and his coworkers through 1999. Since the year 2000, the German Pacemaker Register represents the result of a cooperation between the German Cardiac Society, the"Bundesgeschaftsstelle Qualitatssicherung" (BQS, National Institute for Quality and Patient Safety; through 2009) and the AQUA Institute (since 2010), respectively. Since 2002, the German Pacemaker Register uses data from healthcare performance measurements. A new law is the basis of this data collection; thus, data collection is nearly 100%, which contributes to the improved data quality compared to the early years of the register. Although some targets still have not been reached, the German Pacemaker Register has contributed to a more comprehensive overview of pacemaker therapy in Germany by continuously publishing annual reports for roughly three decades. Many people have contributed to this tremendous work and they deserve our deepest respect and thanks. PMID- 21063717 TI - The echogenicity of the normal fetal kidneys during different stages of pregnancy determined objectively. AB - PURPOSE: To determine echogenicity of normal fetal kidneys during pregnancy by objective computerized method. METHODS: Computerized-based numerical method was developed, quantifying echogenicity of kidneys. 166 digital pictures of kidneys and liver were collected between 14 and 41 weeks of gestation. Calculating liver echogenicity was used to overcome gain problems. Women were healthy, delivered normal babies. Digital pictures were processed by software capable of identifying and labeling 256 shades of gray, numerically. In each picture, kidney was identified, region of interest was outlined. Average, standard deviation and entropy of pixel values were calculated and divided into three: 14-24, 24-36, 37 41 weeks of gestation: early, intermediate, late. RESULTS: Mean color intensities were 70.2 +/- 23, 50.6 +/- 17, 47.3 +/- 14 for early, intermediate, late groups, respectively (p < 0.0001, comparison between early and other groups). Standard deviation, which represents the echogenic homogenicity of the kidney, was 18 +/- 4, 16.5 +/- 3 and 17.2 +/- 3 pixels for early, intermediate, and late, respectively (p = 0.003, between early and intermediate groups; p = 0.03, between the intermediate and late). Liver echogenicity remained constant throughout pregnancy. CONCLUSIONS: Objective sonographic assessment of the echogenicity of the fetal kidney is presented here for the first time. It was found that kidneys are more echogenic during early pregnancy and more homogenous in appearance in mid-gestation. PMID- 21063718 TI - A systematic experimental neuropsychological investigation of the functional integrity of working memory circuits in major depression. AB - Verbal and visuospatial working memory (WM) impairment is a well-documented finding in psychiatric patients suffering from major psychoses such as schizophrenia or bipolar affective disorder. However, in major depression (MDD) the literature on the presence and the extent of WM deficits is inconsistent. The use of a multitude of different WM tasks most of which lack process-specificity may have contributed to these inconsistencies. Eighteen MDD patients and 18 healthy controls matched with regard to age, gender and education were tested using process- and circuit-specific WM tasks for which clear brain-behaviour relationships had been established in prior functional neuroimaging studies. Patients suffering from acute MDD showed a selective impairment in articulatory rehearsal of verbal information in working memory. By contrast, visuospatial WM was unimpaired in this sample. There were no significant correlations between symptom severity and WM performance. These data indicate a dysfunction of a specific verbal WM system in acutely ill patients with MDD. As the observed functional deficit did not correlate with different symptom scores, further, longitudinal studies are required to clarify whether and how this deficit is related to illness acuity and clinical state of MDD patients. PMID- 21063719 TI - Thyroid cancer incidence in Ukraine: trends with reference to the Chernobyl accident. AB - For the first time, a comparative analysis of thyroid cancer incidence in Ukraine after the Chernobyl accident was done in a cohort that is almost as large as the general population. On the basis of thyroid doses from radioactive iodine in individuals aged 1-18 years at the time of accident, geographic regions of Ukraine with low and high average accumulated thyroid doses were established and designated "low-exposure" and "high-exposure" territories, respectively. A significant difference of thyroid cancer incidence rates as a function of time between the two territories was found. That is, the increase in the incidence was higher in high-exposure regions than in low-exposure regions. The incidence rates varied substantially among the different attained age-groups, especially in the youngest one (up to 19 years old). The analysis that was adjusted for screening and technological effects also indicated that in the high-exposure regions, thyroid cancer incidence rates at the age of diagnosis of 5-9, 10-14 and 15-19 years were significantly higher in those born in 1982-1986 compared to those born in 1987-1991, while in the low-exposure regions, no significant difference was observed. The observed probable excess of radiation-induced thyroid cancer cases in adults exposed to radioactive iodine from the Chernobyl accident, especially in females, may be due to the high power of the present study. However, it should be noted that our investigation was not essentially free from ecological biases. PMID- 21063720 TI - Differential effects of genes of the Rb1 signalling pathway on osteosarcoma incidence and latency in alpha-particle irradiated mice. AB - Osteosarcoma is the most frequent secondary malignancy following radiotherapy of patients with bilateral retinoblastoma. This suggests that the Rb1 tumour suppressor gene might confer genetic susceptibility towards radiation-induced osteosarcoma. To define the contribution of the Rb1 pathway in the multistep process of radiation carcinogenesis, we evaluated somatic allelic changes affecting the Rb1 gene itself as well as its upstream regulator p16 in murine osteosarcoma induced by (227)Th incorporation. To distinguish between the contribution of germline predisposition and the effect of a 2-hit allelic loss, two mouse models harbouring heterozygote germline Rb1 and p16 defects were tested for the incidence and latency of osteosarcoma following irradiation. We could show that all tumours arising in BALB/c*CBA/CA hybrid mice (wild-type for Rb1 and for p16) carried a somatic allelic loss of either the Rb1 gene (76.5%) or the p16 gene (59%). In none of the tumours, we found concordant retention of heterozygosity at both loci. Heterozygote knock-out mice for Rb1 exhibit a significant increase in the incidence of osteosarcoma following (227)Th incorporation (11/24 [corrected] in Rb1+/- vs. 2/18 in Rb1+/+, p=4*10(-5)), without affecting tumour latency. In contrast, heterozygote knock-out mice for p16 had no significant change in tumour incidence, but a pronounced reduction of latency (LT(50%) =355 days in p16+/- vs. 445 days in p16+/+, p=8*10(-3)). These data suggest that Rb1 germline defects influence early steps of radiation osteosarcomagenesis, whereas alterations in p16 mainly affect later stages of tumour promotion and growth. PMID- 21063721 TI - Lysosomal accumulation of mTOR is enhanced by rapamycin. AB - The mammalian target of rapamycin (mTOR) is a key regulator of cell growth that integrates signals from growth factors and nutrients. Recent studies have shown that an mTOR-containing complex, mTORC1, is targeted to lysosomes in the presence of amino acids and activated by Rheb GTPase resident in that compartment. In this study, we found that treatment with the mTOR inhibitors rapamycin and Torin1 significantly enhanced lysosomal accumulation of mTOR and Raptor. This phenomenon was not observed in the absence of amino acids but was restored upon addition of L-leucine or protein synthesis inhibitors. mTOR was not concentrated in autophagosomes that were induced by rapamycin. These results suggest that the lysosome harbors both active and inactive forms of mTOR in the presence of amino acids. PMID- 21063722 TI - Comparison of methods of high-pressure freezing and automated freeze-substitution of suspension cells combined with LR White embedding. AB - In this study we present an optimized method of high-pressure freezing and automated freeze-substitution of cultured human cells, followed by LR White embedding, for subsequent immunolabeling. Also, the influence of various conditions of the freeze-substitution procedures such as temperature, duration, and additives in the substitution medium on the preservation of cryo-immobilized cells was analyzed. The recommended approach combines (1) automated freeze substitution for high reproducibility and minimizing human-derived errors; (2) minimal addition of contrasting and fixing agents; (3) easy-to-use LR White resin for embedment; (4) good preservation of nuclei and nucleoli which are usually the most difficult structures to effectively vitrify and saturate in a resin; and (5) preservation of antigens for sensitive immunogold labeling. PMID- 21063723 TI - Effects of sodium bicarbonate ingestion on EMG, effort sense and ventilatory response during intense exercise and subsequent active recovery. AB - To determine whether post-exercise ventilation is related to decrease in blood pH and also whether post-exercise ventilation, associated or not with decreased blood pH, involves an increase in central motor command during exercise, we examined the effects of NaHCO(3) ingestion on the ventilatory response ([Formula: see text]E), integrated electromyogram (iEMG) and effort sense of legs (ESL) during intense exercise (IE) and subsequent active recovery. Subjects performed two IE tests (105-110% of maximal work rate, 2 min) after ingestion of NaHCO(3) or CaCO(3). Subjects performed light load exercise (20 W) before and after IE for 6 min and 30 min, respectively. Although there was a significant difference in blood pH between the two conditions during and after IE, [Formula: see text]E, iEMG and ESL were similar. iEMG returned to the pre-IE level immediately after the end of IE, while ESL showed slow recovery. [Formula: see text]E decreased rapidly until about 50 s after the end of IE (fast phase) and then showed a slow recovery kinetics (slow phase). The ventilatory responses during the fast phase and during the slow phase were correlated with ESL at the end of IE and from 3 min after the end of IE, respectively. Moreover, there was no significant difference in the slopes and intercepts of regression lines between [Formula: see text]E and ESL under the two conditions in both phases. These results suggest that the ventilatory response after IE is associated with effort sense indirectly elicited by central motor command, but the effort sense-mediated response is not affected by blood pH. PMID- 21063724 TI - Comparing several equations that predict peak VO2 using the 20-m multistage shuttle run-test in 8-10-year-old children. AB - This study compared the validity of reported equations as predictors of peak VO(2) in 8-10-year-old children. Participants (90 boys and girls aged 8-10 years) performed the multistage-shuttle-run-test (MSRT) and peak VO(2) was measured in field using a portable gas analyser. The equations that estimated peak VO(2) from the MSRT performance were chosen according to the age range of this study. As follows, the FITNESSGRAM reports and the equations of Leger et al. (Can J Appl Sport Sci 5: 77-84, 1988), Barnett et al. (Pediatr Exerc Sci 5:42-50, 1993), Matsuzaka et al. (Pediatr Exerc Sci 16:113-125, 2004) and Fernhall et al. (Am J Ment Retard 102:602-612, 1998) were used to estimate the peak VO(2) and compared with the directly measured value. The equation of Leger et al. (Can J Appl Sport Sci 5: 77-84, 1988) provided a mean difference (d) of 4.7 ml kg(-1) min(-1) and a 1.0 slope. The equation of Matsuzaka et al. (Pediatr Exerc Sci 16:113-125, 2004)(a) using maximal speed (MS) showed a higher d (5.4) than the remaining using total laps d (4.2). The equation of Barnett et al. (Pediatr Exerc Sci 5:42 50, 1993)(a) that includes triceps skinfold and MS showed the highest d (6.1) but the smallest range (24.1) and slope (0.6). Data from the FITNESSGRAM had the smallest d (1.8 ml kg(-1) min(-1)), but also had the highest range between limits of agreement (28.6 ml kg(-1) min(-1)) and a 1.2 slope. The lowest slope (0.4) and range (22.2 ml kg(-1) min(-1)) were observed using the equation of Fernhall et al. (Am J Ment Retard 102:602-612, 1998). Log transformation of the data revealed that the equations of Matsuzaka et al. (Pediatr Exerc Sci 16:113-125, 2004)(a) (1.1*//1.25) and Fernhall et al. (Am J Ment Retard 102:602-612, 1998) (1.17*//1.25) showed the closest agreement among all, but they still yield unsatisfactory accuracy. PMID- 21063725 TI - Myocardial tolerance to ischemia-reperfusion injury, training intensity and cessation. AB - Training has been shown to induce cardioprotection. The mechanisms involved remain still poorly understood. Aims of the study were to examine the relevance of training intensity on myocardial protection against ischemia/reperfusion (I/R) injury, and to which extent the beneficial effects persist after training cessation in rats. Sprague-Dawley rats trained at either low (60% [Formula: see text]) or high (80% [Formula: see text]) intensity for 10 weeks. An additional group of highly trained rats was detrained for 4 weeks. Untrained rats served as controls. At the end of treatment, rats of all groups were split into two subgroups. In the former, rats underwent left anterior descending artery (LAD) ligature for 30 min, followed by 90-min reperfusion, with subsequent measurement of the infarct size. In the latter, biopsies were taken to measure heat-shock proteins (HSP) 70/72, vascular endothelial growth factor (VEGF) protein levels, and superoxide dismutase (SOD) activity. Training reduced infarct size proportionally to training intensity. With detraining, infarct size increased compared to highly trained rats, maintaining some cardioprotection with respect to controls. Cardioprotection was proportional to training intensity and related to HSP70/72 upregulation and Mn-SOD activity. The relationship with Mn-SOD was lost with detraining. VEGF protein expression was not affected by either training or detraining. Stress proteins and antioxidant defenses might be involved in the beneficial effects of long-term training as a function of training intensity, while HSP70 may be one of the factors accounting for the partial persistence of myocardial protection against I/R injury in detrained rats. PMID- 21063726 TI - Acute and cumulative effects of focused high-frequency vibrations on the endocrine system and muscle strength. AB - The purpose of this study was to evaluate the acute and long-term effects of local high-intensity vibration (HLV, f = 300 Hz) on muscle performance and blood hormone concentrations in healthy young men. Totally 18 subjects (cV group) were studied in two sessions, either without (control) or with HLV treatment. The protocol was the same on both control and test days, except that, in the second session, subjects underwent HLV treatment. Counter-movement jumping (CMJ), maximal isometric voluntary contraction (MVC) test, and hormonal levels were measured before the procedure, immediately thereafter, and 1 h later. To assess the long-term effects of HLV, the cV group was subjected to HLV on the leg muscles for 4 weeks, and a second group (cR group, n = 18) embarked upon a resistance training program. All subjects underwent an MVC test and an isokinetic (100 deg/s) test before training, 4 weeks after training, and 2 months after the end of training. The HLV protocol significantly increased the serum level of growth hormone (GH, P < 0.05) and creatine phosphokinase (CPK, P < 0.05), and decreased the level of cortisol (P < 0.05). None of GH, CPK or testosterone levels were altered in controls. There was a significant improvement in MVC (P < 0.05). After 4 weeks, both the cV and cR groups demonstrated significant improvement in MVC and isokinetic tests (P < 0.05). This increase persisted for at least 2 months. Our results indicate that HLV influences the levels of particular hormones and improves neuromuscular performance. Our results indicate that HLV has a long-term beneficial effect comparable to that of resistance training. PMID- 21063727 TI - Fatal group A Streptococcus purpura fulminans in a child receiving TNF-alpha blocker. AB - Inhibition of tumor necrosis factor alpha (TNF-alpha) is effective in the treatment of many pediatric autoimmune diseases and inflammatory conditions. Commonly available biologic agents blocking TNF-alpha are infliximab, etanercept, and adalimumab. These agents have changed the management of rheumatic diseases in the adult population and are being used more and more in pediatric patients as safety and efficacy have been demonstrated. Infections have been the most commonly reported adverse effects of TNF-alpha inhibition. Granulomatous infections such as tuberculosis are well-known complications, but serious bacterial infections are also reported. We describe a fatal case of purpura fulminans caused by group A Streptococcus in an 8-year-old child with systemic juvenile idiopathic arthritis treated with etanercept. This case highlights the clinical association of severe bacterial infection and TNF-alpha inhibition in children. Pediatricians should educate their patients who are treated with TNF alpha blockers regarding early warning symptoms and should also have a lower threshold for initiating antibiotic therapy in case of fever. PMID- 21063728 TI - Clinical practice: proteinuria. AB - Proteinuria detection in children is a challenge. Five percent to 15% and 0.4-1% of school children present either transient (benign) or persistent increased amount of protein in urine, respectively. Persistent proteinuria constitutes not only a sign of overt kidney disease but may also be the first indicator of silent renal damage. Proteinuria is a marker for hyperfiltration in individuals with reduced nephron mass and one of the most important independent risk factor for renal disease progression as well. It constitutes the single most important risk factor for future loss of kidney function, preceding glomerular filtration rate reduction. Further, proteinuria itself is diagnostic of cardiovascular disease with prognostic value and target organ involvement in high-risk populations such as diabetic, obese, hypertensive children, or those with known reduced renal mass or previous renal injury. Current strategies to prevent CKD progression, a concept known as renoprotection, are focused on reducing urinary protein excretion among other factors. Reversibility of organ damage in early stages is possible; therefore, pediatricians should screen children for proteinuria or microalbuminuria, mainly in high-risk groups. PMID- 21063729 TI - Neospora caninum: evaluation of vertical transmission in slaughtered beef cows (Bos indicus). AB - Neospora caninum is a protozoan parasite that causes the most important reproductive problems in cattle worldwide. The objective of this study was to evaluate the possibility of vertical transmission of N. caninum in zebus breed beef cows (Bos indicus) submitted for slaughter at an abattoir in the northern region of the State of Parana, southern Brazil. One hundred and fifty-nine cows were evaluated: 83 pregnant (in different stages of gestation) and 76 non pregnant. Serum determination of N. caninum was evaluated by indirect ELISA (Idexx). Blood (with EDTA) from pregnant cows and tissue samples (brain and heart) from their fetuses were collected and used for PCR analyses. Antibodies against N. caninum were observed in 14.6% (12/83) of pregnant and in 15.8% (12/76) of non-pregnant cows. Antibodies against the parasites were detected in one fetus (1.4%). The PCR analyses revealed that 6.0% (5/83) of cows and 4.8% (4/83) of fetuses evaluated were positive to specific N. caninum primers. These positive fetuses were between 4 and 6 months of age. Thus, considering PCR and serology as an indicative of vertical transmission in fetuses, 4.8% of fetuses were infected by N. caninum during gestation. PMID- 21063730 TI - Modifier locus of the skeletal muscle involvement in Emery-Dreifuss muscular dystrophy. AB - Autosomal dominant Emery-Dreifuss muscular dystrophy is caused by mutations in LMNA gene encoding lamins A and C. The disease is characterized by early onset joint contractures during childhood associated with humero-peroneal muscular wasting and weakness, and by the development of a cardiac disease in adulthood. Important intra-familial variability characterized by a wide range of age at onset of myopathic symptoms (AOMS) has been recurrently reported, suggesting the contribution of a modifier gene. Our objective was to identify a modifier locus of AOMS in relation with the LMNA mutation. To map the modifier locus, we genotyped 291 microsatellite markers in 59 individuals of a large French family, where 19 patients carrying the same LMNA mutation, exhibited wide range of AOMS. We performed Bayesian Markov Chain Monte Carlo-based joint segregation and linkage methods implemented in the Loki software, and detected a strong linkage signal on chromosome 2 between markers D2S143 and D2S2244 (211 cM) with a Bayes factor of 28.7 (empirical p value = 0.0032). The linked region harbours two main candidate genes, DES and MYL1 encoding desmin and light chain of myosin. Importantly, the impact of the genotype on the phenotype for this locus showed an overdominant effect with AOMS 2 years earlier for the homozygotes of the rare allele and 37 years earlier for the heterozygotes than the homozygotes for the common allele. These results provide important highlights for the natural history and for the physiopathology of Emery-Dreifuss muscular dystrophy. PMID- 21063731 TI - Autosomal recessive mental retardation: homozygosity mapping identifies 27 single linkage intervals, at least 14 novel loci and several mutation hotspots. AB - Mental retardation (MR) has a worldwide prevalence of around 2% and is a frequent cause of severe disability. Significant excess of MR in the progeny of consanguineous matings as well as functional considerations suggest that autosomal recessive forms of MR (ARMR) must be relatively common. To shed more light on the causes of autosomal recessive MR (ARMR), we have set out in 2003 to perform systematic clinical studies and autozygosity mapping in large consanguineous Iranian families with non-syndromic ARMR (NS-ARMR). As previously reported (Najmabadi et al. in Hum Genet 121:43-48, 2007), this led us to the identification of 12 novel ARMR loci, 8 of which had a significant LOD score (OMIM: MRT5-12). In the meantime, we and others have found causative gene defects in two of these intervals. Moreover, as reported here, tripling the size of our cohort has enabled us to identify 27 additional unrelated families with NS-ARMR and single-linkage intervals; 14 of these define novel loci for non-syndromic ARMR. Altogether, 13 out of 39 single linkage intervals observed in our cohort were found to cluster at 6 different loci on chromosomes, i.e., 1p34, 4q27, 5p15, 9q34, 11p11-q13 and 19q13, respectively. Five of these clusters consist of two significantly overlapping linkage intervals, and on chr 1p34, three single linkage intervals coincide, including the previously described MRT12 locus. The probability for this distribution to be due to chance is only 1.14 * 10(-5), as shown by Monte Carlo simulation. Thus, in contrast to our previous conclusions, these novel data indicate that common molecular causes of NS-ARMR do exist, and in the Iranian population, the most frequent ones may well account for several percent of the patients. These findings will be instrumental in the identification of the underlying genes. PMID- 21063732 TI - Silent aspiration risk is volume-dependent. AB - Clinical swallow protocols cannot detect silent aspiration due to absence of overt behavioral signs, but screening with a much larger bolus volume, i.e., 90 cc vs. 1-10 cc, may elicit a reflexive cough in individuals who might otherwise exhibit silent aspiration. A swallow screen that maintains high sensitivity to identify aspiration risk while simultaneously reducing the false-negative rate for silent aspiration would be beneficial. The purpose of this study was to investigate whether silent aspiration risk was volume-dependent by using a 3-oz. (90-cc) water swallow challenge to elicit a reflexive cough when silent aspiration occurred on smaller bolus volumes. A prospective, consecutive, referral-based sample of 4102 inpatients from the acute-care setting of a large urban tertiary-care teaching hospital participated. Silent aspiration was determined first by fiberoptic endoscopy and then each participant was instructed to drink 3 oz. of water completely and without interruption. Criteria for challenge failure were inability to drink the entire amount, stopping and starting, or coughing and choking during or immediately after completion. Improved identification of aspiration risk status occurred for 58% of participants who exhibited silent aspiration on smaller volumes, i.e., an additional 48% of liquid silent aspirators and 65.6% of puree silent aspirators coughed when attempting the 3-oz. water swallow challenge. A low false-negative rate was observed for the entire population sample, i.e., <=2.0%. A combined false-negative rate for participants who silently aspirated was 6.9%, i.e., 7.8% if silently aspirated liquid and 6.1% if silently aspirated puree consistency. Determination of silent aspiration risk was shown to be volume-dependent, with a larger volume eliciting a reflexive cough in individuals who previously silently aspirated on smaller volumes. A 3-oz. water swallow challenge's previously reported high sensitivity for identification of aspiration risk combined with the newly reported low false-negative rate mitigates the issue of silent aspiration risk during clinical swallow screening. PMID- 21063733 TI - Antiemetic research: future directions. AB - PURPOSE AND METHODS: As a part of reviewing the Multinational Association of Supportive Care in Cancer (MASCC) antiemetic guidelines in Perugia in 2009, an expert group identified directions for future antiemetic research. RESULTS AND CONCLUSIONS: In future trials, the prediction of nausea and vomiting may combine algorithms based on observed prognostic factors relating to the patient and the anticancer therapy, the identification of the genes that code for receptors, and pharmacogenetic studies of the metabolism of drugs. Design issues for future trials include standardising the emetic stimulus across studies and finding the minimum tolerated effective dose and schedule of an antiemetic. Also control of delayed emesis is not independent of the control of acute emesis. The full range of side effects and the impact on global quality of life scores should be part of the routine assessment of an antiemetic. With current high rates of control of acute vomiting, future trials will need to consider new primary endpoints such as nausea, a complex symptom, where improvement is needed. Economic endpoints should be incorporated to ascertain the cost benefit of antiemetic prophylaxis, taking into account the impact of nausea on work capacity. New antiemetic drugs may be targeted at different receptors, such as opioid, cannabinoid and peptide YY receptors. New research is needed into determining the extent of corticosteroid use. The emetic potential of a range of newer cytotoxics particularly when used in combinations and different scheduling, such as prolonged oral dosing of cytotoxics and use of targeted therapies, are all areas in need of research. More antiemetic studies are needed in niche areas such as in patients receiving high dose chemotherapy, radiation therapy or combined modality therapy. Further evidence of the efficacy of newer antiemetic agents is required in children. PMID- 21063734 TI - Solitary fibrous tumors of the central nervous system: report of five cases with unusual clinicopathological and outcome patterns. AB - BACKGROUND: This is a retrospective study of 11 patients harboring a solitary fibrous tumor (SFT) of the central nervous system (CNS), with special emphasis on unusual clinicopathological and outcomes patterns. METHOD: Between 2000 and 2008, 11 patients harboring CNS SFTs were treated at our institution. Patient charts were retrospectively reviewed and tumor location, clinical presentation, imaging characteristics, extent of resection, dural origin, pathological features, adjuvant treatment, and follow-up data were collected, focusing on five atypical cases (four intracranial and one within the spine). FINDINGS: One intracranial SFT arose from the sella turcica and relapsed threefold during the 6 years following partial removal. Disease progressed as successive isolated local recurrences treated by subsequent surgical interventions and gamma-knife radiosurgery. The MiB-1 labeling index analysis showed a steady increase in these sequential recurrences (ranging from less than 3% up to 6%) without obvious malignant transformation. The second SFT occurred in the cerebellopontine angle and exhibited a high MiB-1 index (10%) without noticeable features of malignancy. It relapsed twice during the 5 years following gross total resection without demonstrating a more aggressive histological pattern. The third SFT arose from the cerebellar tentorium, widely invaded the lateral sinus and adjacent bone, had a low MiB-1 index, and has not recurred within the 2 years after incomplete resection. The two remaining SFTs presented with unusual clinicoradiological features. We described a extremely rare case of intraventricular SFT, and a case of extradural SFT of the thoracic spine (T8-T9) radiologically consistent with a schwannoma. Immunohistochemistry confirmed that all tumors were SFTs. CONCLUSIONS: These atypical presentations gave us the opportunity to provide further information about the variability of the clinicoradiological patterns and natural histological course of CNS SFTs. PMID- 21063735 TI - Early and late plastid development in response to chill stress and heat stress in wheat seedlings. AB - Five-day-old etiolated wheat (Triticum aestivum L.) seedlings were transferred to 7 degrees C (chill stress), 25 degrees C (control), and 42 degrees C (heat stress) and were kept in the dark or light for different time periods. Plastids were isolated from the control and stressed seedlings, and their low-temperature (77 K) fluorescence emission spectra were monitored. Most of the Protochlorophyllide (Pchlide) present in heat-stressed etiolated seedlings were in nonphototransformable form. The phototransformable Pchlide (F657) rapidly decreased when 5-day-old etiolated seedlings were transferred to 42 degrees C in the dark for 24 h. A flash illumination of 0.2 s given to etiolated heat-stressed seedlings resulted in substantial arrest of Shibata shift, while in chill-stress conditions, it was only partially affected. In high temperature, due to disaggregation of polymeric Pchlide-Pchlide oxidoreductase (POR)-nicotinamide adenine dinucleotide phosphate (NADPH) molecules, the conversion of nonphototransformable Pchlide to its phototransformable form is substantially delayed resulting in impaired Shibata shift and belated development of the core antenna CP47 Photosystem II (PSII). Chill stress, however, did not disaggregate the polymeric Pchlide-POR-NADPH molecule-suppressed Pchlide and Chl synthesis and impaired of the assembly of PSII core antenna CP47 that emits F695 and PSI that emits F735. The decreased gene/protein expression and reduced posttranslational import of plastidic proteins, importantly POR in temperature-stressed plants, may be responsible for the delay in conversion of nonphototransformable to phototransformable form of Pchlide and plastid biogenesis. PMID- 21063736 TI - Plasmodesmata 2010: plasmodesmata down under. Conference report on plasmodesmata 2010, Sydney, Australia. AB - More than 60 attendees from more than a dozen countries attended the International Plasmodesmata Meeting (Plasmodesmata 2010) held in Sydney, Australia. The structure of plasmodesmata continued to attract interest, with particular focus on how technological progress is advancing our ability to identify and characterise proteins associated with plasmodesmata. Also of major research interest was the movement of proteins and RNAs through plasmodesmata and how this is controlled by host chaperones, cytoskeletal elements and callose. There was also much new information on viral movement through plasmodesmata, with a focus on the ways that viral movement proteins interact with host cell components to modify plasmodesmata. The conference, as a whole, provided a stimulating forum for the discussion of future directions in this expanding field. PMID- 21063737 TI - A role for PPARalpha in the regulation of arginine metabolism and nitric oxide synthesis. AB - The pleiotropic effects of PPARalpha may include the regulation of amino acid metabolism. Nitric oxide (NO) is a key player in vascular homeostasis. NO synthesis may be jeopardized by a differential channeling of arginine toward urea (via arginase) versus NO (via NO synthase, NOS). This was studied in wild-type (WT) and PPARalpha-null (KO) mice fed diets containing either saturated fatty acids (COCO diet) or 18:3 n-3 (LIN diet). Metabolic markers of arginine metabolism were assayed in urine and plasma. mRNA levels of arginases and NOS were determined in liver. Whole-body NO synthesis and the conversion of systemic arginine into urea were assessed by using (15)N(2)-guanido-arginine and measuring urinary (15)NO(3) and [(15)N]-urea. PPARalpha deficiency resulted in a markedly lower whole-body NO synthesis, whereas the conversion of systemic arginine into urea remained unaffected. PPARalpha deficiency also increased plasma arginine and decreased citrulline concentration in plasma. These changes could not be ascribed to a direct effect on hepatic target genes, since NOS mRNA levels were unaffected, and arginase mRNA levels decreased in KO mice. Despite the low level in the diet, the nature of the fatty acids modulated some effects of PPARalpha deficiency, including plasma arginine and urea, which increased more in KO mice fed the LIN diet than in those fed the COCO diet. In conclusion, PPARalpha is largely involved in normal whole-body NO synthesis. This warrants further study on the potential of PPARalpha activation to maintain NO synthesis in the initiation of the metabolic syndrome. PMID- 21063738 TI - Reproducibility and agreement of micro-CT and histomorphometry in human trabecular bone with different metabolic status. AB - The use of micro-computed tomography (micro-CT) to study bone microstructure is continuously increasing. Thus, it is important to ensure that micro-CT can differentiate healthy and pathological bone. This study aimed to determine whether the reproducibility of bone histomorphometry and micro-CT, and agreement between the techniques, vary in bone samples with different metabolic status. Iliac crest biopsies (n = 36) were obtained from healthy subjects (n = 10) and from patients with osteoporosis (OP) (n = 15) or renal osteodystrophy (ROD) (n = 11). Micro-CT and histomorphometry analyses were repeated twice. Results were analyzed in separate groups and after pooling the data. Bone histomorphometry detected generally known differences between the diseases, whereas micro-CT did not detect differences between normal and ROD samples as effectively. Repeated measurements for BV/TV, Tb.Th, Tb.N, and Tb.Sp exhibited linear correlation coefficients (rho) of 0.87-0.92 [coefficients of variations (CV), 8.3-27.2%] for histomorphometry and of 0.66-0.94 (CV, 4.4-23.4%) for micro-CT. There were no significant differences in reproducibility among samples from different study groups. Correlations between BV/TV (micro-CT) and mineralized bone volume (Md.V/TV, histomorphometry) were weaker than between BV/TV (micro-CT) and BV/TV (histomorphometry). When comparing the techniques, BV/TV, Tb.Th, and Tb.N displayed moderate correlations (rho = 0.39-0.62, P < 0.05), and the agreement for BV/TV was highest in OP samples. The agreement between the techniques using clinical bone samples was moderate. Especially, micro-CT was less effective than bone histomorphometry in differentiating ROD from normal samples. The reproducibility was not affected by the health status of bone. Histomorphometry is still needed in clinical practice to study the remodeling balance in bone, and the methods are complementary. PMID- 21063739 TI - Establishment of a new murine model of hypercalcemia with anorexia by overexpression of soluble receptor activator of NF-kappaB ligand using an adenovirus vector. AB - Hypercalcemia is a significant complication of certain human malignancies that is primarily caused by the release of calcium from bone due to marked bone resorption by osteoclast activation. Osteoclast differentiation and activation is mediated by receptor activator of NF-kappaB ligand (RANKL). Transgenic mice overexpressing murine soluble RANKL (sRANKL) that we generated previously exhibited severe osteoporosis accompanied with enhanced osteoclastogenesis, but never exhibited hypercalcemia. To analyze the relationship between serum concentration of sRANKL and hypercalcemia and generate a simple and quick hypercalcemia model, an adenovirus vector harboring murine sRANKL cDNA (Ad sRANKL) was injected i.p. into male C57BL/6 mice. Sera were collected to measure the levels of sRANKL, calcium and biochemical markers of bone turnover. Food intake and body weight were measured every 3 or 4 days. All the mice were killed 2 weeks after the injection, and femurs were collected to measure bone structure and bone mineral density (BMD). Serum sRANKL and calcium increased, peaking on day 7. Food intake and body weight significantly declined on day 7. These results indicated that the mice had anorexia as a symptom of hypercalcemia. Increases in bone resorption and formation markers with a marked decrease in BMD were observed on day 14. These results reflect accelerated bone formation following activation of osteoclasts, indicating coupling between bone formation and resorption. In conclusion, a new murine model of hypercalcemia with anorexia was established by overexpressing sRANKL. This model would be useful for studies of hypercalcemia and coupling between bone formation and resorption. PMID- 21063740 TI - Simvastatin induces estrogen receptor-alpha expression in bone, restores bone loss, and decreases ERalpha expression and uterine wet weight in ovariectomized rats. AB - We previously reported that simvastatin induces estrogen receptor-alpha (ERalpha) in murine bone marrow stromal cells in vitro. In this study, we investigated the effect of simvastatin on ERalpha expression in bone and uterus in ovariectomized (OVX) rats and evaluated bone mass, bone strength, and uterine wet weight. Three month-old Sprague-Dawley female rats received OVX or sham operation. Six weeks later, the rats were treated orally with simvastatin (5 or 10 mg/kg/day), or intraperitoneally with 17-beta-estradiol (E(2)) or a combination of simvastatin and E(2) for 6 weeks. Uterine wet weight, bone mineral density (BMD) of lumbar vertebrae, biomechanics of lumbar vertebrae, and induction of ERalpha expression in the bone and uterus were analyzed. The 6-week simvastatin treatment improved lumbar vertebral BMD and boosted biomechanical performance of the vertebral body compared to the OVX control, suggesting that simvastatin can treat osteoporosis caused by estrogen deficiency. More interestingly, simvastatin could increase ERalpha expression and synergy with estradiol in bone while antagonizing estradiol in the uterus, along with uterus atrophy and uterine wet weight decreases. In conclusion, these data suggest that simvastatin exert opposing modulatory effects on ERalpha expression on bone and uterus in ovariectomized rats, inducing ERalpha expression and synergy with estrogen to perform anabolic effects on the bones while decreasing E2 efficacy and uterine wet weight. This finding may be helpful to explain the mechanism of statin treatment in osteoporosis caused by estrogen deficiency. PMID- 21063741 TI - The crystal structure of the calcium-bound con-G[Q6A] peptide reveals a novel metal-dependent helical trimer. AB - The ability to form and control both secondary structure and oligomerization in short peptides has proven to be challenging owing to the structural instability of such peptides. The conantokin peptides are a family of gamma-carboxyglutamic acid containing peptides produced in the venoms of predatory sea snails of the Conus family. They are examples of short peptides that form stable helical structures, especially in the presence of divalent cations. Both monomeric and dimeric conantokin peptides have been identified and represent a new mechanism of helix association, "the metallozipper motif" that is devoid of a hydrophobic interface between monomers. In the present study, a parallel/antiparallel three helix bundle was identified and its crystal structure determined at high resolution. The three helices are almost perfectly parallel and represent a novel helix-helix association. The trimer interface is dominated by metal chelation between the three helices, and contains no interfacial hydrophobic interactions. It is now possible to produce stable monomeric, dimeric, or trimeric metallozippers depending on the peptide sequence and metal ion. Such structures have important applications in protein design. PMID- 21063742 TI - Semen cultures analysis: retrospective study during a 6-year period and interest in the management of infertility. AB - In the past decade, the number of couples consulting an assisted reproductive techniques (ART) center for infertility has increased in most European countries. In France, sperm bacterial examination must be performed every 6 months in couples undergoing in vitro fertilization (IVF) cycles, according to 2010 French ART Guidelines. The aim of this study was to analyze the results from such samples at Nantes University Hospital and to assess their potential value for infertile couples undergoing ART cycles. Between 2003 and 2008, semen cultures performed were analyzed according to bacterial enumeration, type and number of bacterial species, and their antibiotic resistance profile. A total of 14,119 semen cultures were performed, showing an annual increase of 45% from the start to the end of the study. The proportion of positive semen cultures was stable throughout the study period (40 to 45%). Many bacterial species were considered as contaminants (coagulase-negative staphylococci, alpha-hemolytic streptococci). For pathogen agents (in most cases, Enterobacteriaceae), the antibiotic resistance profile revealed mostly a susceptible phenotype. Finally, every positive bacterial result had direct consequences on the IVF cycle management, with subsequent reinforced advice on the hygiene procedure before sample collection and/or antibiotics prescription. PMID- 21063743 TI - Primitive neuroectodermal tumor/Ewing's sarcoma of the urinary bladder: a case report and its molecular diagnosis. AB - We report a rare case of primitive neuroectodermal tumor/Ewing's sarcoma (PNET/ES) arising from the urinary bladder. A 65-year-old man presented with hematuria and dysuria. Computed tomography revealed an enlarged invasive tumor at the base of the bladder. No additional abnormal findings were disclosed by other diagnostic imaging methods. The surgical specimens showed small round cell tumor with positive staining for MIC2 gene product (CD99). EWS-FLI1 fusion transcripts were detected by reverse transcriptase polymerase chain reaction and direct sequencing, confirming the diagnosis of PNET/ES. The patient developed swollen pelvic lymph nodes as well as multiple lung metastases at 8 months postoperatively. No effective results could be obtained even with systemic chemotherapy consisting of vincristine, ifosfamide, doxorubicin and etoposide (VIDE) based on the EUROpean Ewing tumour Working Initiative of National Groups 1999 (EURO-E.W.I.N.G. 99) multinational trial. The patient died of acute superior mesenteric artery thrombosis at 22 months postoperatively. PNET/ES could have been included in past cases of small cell carcinoma because of the difficulty in its differential diagnosis. Exact diagnosis is crucial for deciding the treatment strategy for rare bladder tumors consisting of small round cells. PMID- 21063745 TI - Intermittent high glucose promotes expression of proinflammatory cytokines in monocytes. AB - OBJECTIVE AND DESIGN: The aim of this study was to examine expression of proinflammatory cytokines in monocytes under fluctuating glucose conditions. MATERIAL AND TREATMENT: Monocytic cells (THP-1) were divided into four groups and cultured in the presence of 5 or 15 mmol/L glucose or in fluctuating conditions (12 h exposure to 15 mmol/L glucose or mannitol medium followed by 12 h exposure to 5 mmol/L glucose or mannitol medium) respectively. METHODS: Levels of interleukin (IL)-6 and tumor necrosis factor (TNF)-alpha in the supernatants and surface expression of CD11b in monocytes were measured after 72 h culture. Paired Student's t tests were used to compare two groups and ANOVA for multiple comparisons. RESULTS: Activation of monocytes was most pronounced in the fluctuating glucose conditions, as measured by concentrations of IL-6 and TNF alpha in cultured supernatants and surface expression of CD11b in monocytes (P < 0.05). Fluctuating mannitol also induced a proinflammatory profile, but to a lesser extent than fluctuating glucose. CONCLUSIONS: The results indicated that exposure to fluctuating glucose concentrations enhanced activation of monocytes compared with stable elevation of glucose concentrations. The effects were partly attributable to the inherent osmotic changes. PMID- 21063744 TI - Negative feedback regulation of microbe-associated molecular pattern-induced cytosolic Ca2+ transients by protein phosphorylation. AB - Microbe/pathogen-associated molecular patterns (MAMPs/PAMPs) often induce rises in cytosolic free Ca(2+) concentration ([Ca(2+)](cyt)) and protein phosphorylation. Though they are postulated to play pivotal roles in plant innate immunity, their molecular links and the regulatory mechanisms remain largely unknown. To investigate the regulatory mechanisms for MAMP-induced Ca(2+) mobilization, we have established a transgenic rice (Oryza sativa) cell line stably expressing apoaequorin, and characterized the interrelationship among MAMP induced changes in [Ca(2+)](cyt), production of reactive oxygen species (ROS) and protein phosphorylation. Oligosaccharide and sphingolipid MAMPs induced Ca(2+) transients mainly due to plasma membrane Ca(2+) influx, which were dramatically suppressed by a protein phosphatase inhibitor, calyculin A (CA). Hydrogen peroxide and hypo-osmotic shock triggered similar [Ca(2+)](cyt) elevations, which were not affected by CA. MAMP-induced protein phosphorylation, which is promoted by CA, has been shown to be required for ROS production and MAPK activation, while it negatively regulates MAMPs-induced Ca(2+) mobilization and may play a crucial role in temporal regulation of [Ca(2+)](cyt) signature. PMID- 21063747 TI - West vs. West like East vs. West? A comparison between Italian and US American context sensitivity and Fear of Isolation. AB - Easterners tend to process information more holistically than Westerners. Kim and Markman (J Exp Soc Psychol 42(3):350-364, 2006) suggest that these differences are rooted in higher chronic levels of Fear of Isolation (FOI) for those cultures that process information more holistically. The goal of this study was to determine if these differences and their suggested cause could be found with two different Western cultures. Testing Italian (IT) and US American (US) adults, we found that IT participants processed information more holistically and had a higher chronic level of FOI than US participants; furthermore, the manipulation of FOI affected context sensitivity more for IT than for US participants. The results demonstrate that IT participants were more similar to previous research with Eastern populations than with Western populations (Kim and Markman in J Exp Soc Psychol 42(3):350-364, 2006) and indicate a within-Western culture difference for reasoning styles and support the hypothesis that this difference is due to different chronic levels of FOI. PMID- 21063746 TI - Protective effect of Go6976, a PKD inhibitor, on LPS/D: -GalN-induced acute liver injury in mice. AB - OBJECTIVE: Protein kinase D (PKD) is a newly described serine/threonine protein kinase that plays a pivotal role in inflammatory response. In the present study, we examined the protective effect of Go6976, a PKD inhibitor, on lipopolysaccharide (LPS) and D: -galactosamine (D: -GalN)-induced acute liver injury in mice. MATERIALS AND METHODS: Mice were pretreated intraperitoneally with Go6976 30 min before LPS/D: -GalN administration . The mortality and degree of hepatic injury was subsequently assessed. RESULTS: The results indicated that LPS/D: -GalN administration markedly induced hepatic PKD activation, lethality and liver injury, while pretreatment of the PKD inhibitor Go6976 significantly inhibited LPS-induced PKD activation, improved the survival of LPS/D: -GalN administered mice and attenuated LPS/D: -GalN-induced liver injury, as evidenced by reduced levels of serum aminotransferases as well as reduced histopathological changes. In addition, the protective effects of Go6976 were paralleled by suppressed activation of mitogen-activated protein kinases (MAPKs), decreased expression of tumor necrosis factor-alpha (TNF-alpha) and adhesion molecules, and reduced apoptosis and myeloperoxidase (MPO) activity in liver. CONCLUSIONS: Our experimental data indicated that Go6976, a PKD inhibitor, could effectively prevent LPS/D: -GalN-induced acute liver injury by inhibition of MAPKs activation to reduce TNF-alpha production. This suggests the potential pharmacological value of PKD inhibitors in the intervention of inflammation-based liver diseases. PMID- 21063748 TI - Total fatty acid content of the plasma membrane of Saccharomyces cerevisiae is more responsible for ethanol tolerance than the degree of unsaturation. AB - The effect of change in unsaturated fatty acid composition on ethanol tolerance in Saccharomyces cerevisiae overexpressing ScOLE1 (?9 fatty acid desaturase gene of S. cerevisiae), CaFAD2 (?12 fatty acid desaturase gene of Candida albicans), or CaFAD3 (omega3 fatty acid desaturase gene of C. albicans) was examined. ScOLE1 over-expression increased the total unsaturated fatty acid content and enhanced ethanol tolerance, compared with a control strain. In contrast, overexpression of CaFAD2 and CaFAD3, which led to production of linoleic acid (18:2) and alpha linolenic acid (18:3), respectively, neither changed total unsaturated fatty acids nor enhanced ethanol tolerance. The total unsaturated fatty acid content rather than the degree of unsaturation is thus an important factor for ethanol tolerance. PMID- 21063749 TI - Mapping of quantitative trait loci controlling barley flour pasting properties. AB - Pasting properties are important characteristics of barley starch from a processing standpoint. A shorter time to peak viscosity and lower pasting temperature are favorable to both malting and food processing. This study was conducted to identify quantitative trait loci (QTLs) determining pasting properties of barley flour using a doubled haploid population of 177 lines from the cross between six-rowed Yerong and two-rowed Franklin. Yerong is a feed barley with a longer time to peak viscosity and a higher pasting temperature than the other parent Franklin which is a malting barley. Field trials were conducted in three different sites/years. Seven different parameters representing the pasting properties were measured using a Rapid Visco-analyser (RVA). DH lines showed significant differences in all seven parameters in most of the sites/years. For example, the pasting temperature of different DH lines ranged from 73.8 to 89.5 in 2006/2007 MTP field trial. Twenty one QTLs were associated with flour pasting properties. These QTLs were distributed on 11 chromosome regions. Genetic variance explained by these QTLs varies from 4.4 to 15.2%. The most important QTLs controlling the time to peak viscosity and pasting temperature were located on 1H, 2H, 3H and 7H. Results showed that some of the pasting properties can be effectively selected by the combination of several molecular markers. PMID- 21063750 TI - Application of eggshell waste for the immobilization of cadmium and lead in a contaminated soil. AB - Liming materials have been used to immobilize heavy metals in contaminated soils. However, no studies have evaluated the use of eggshell waste as a source of calcium carbonate (CaCO3) to immobilize both cadmium (Cd) and lead (Pb) in soils. This study was conducted to evaluate the effectiveness of eggshell waste on the immobilization of Cd and Pb and to determine the metal availability following various single extraction techniques. Incubation experiments were conducted by mixing 0-5% powdered eggshell waste and curing the soil (1,246 mg Pb kg-1 soil and 17 mg Cd kg-1 soil) for 30 days. Five extractants, 0.01 M calcium chloride (CaCl2), 1 M CaCl2, 0.1 M hydrochloric acid (HCl), 0.43 M acetic acid (CH3COOH), and 0.05 M ethylendiaminetetraacetic acid (EDTA), were used to determine the extractability of Cd and Pb following treatments with CaCO3 and eggshell waste. Generally, the extractability of Cd and Pb in the soils decreased in response to treatments with CaCO3 and eggshell waste, regardless of extractant. Using CaCl2 extraction, the lowest Cd concentration was achieved upon both CaCO3 and eggshell waste treatments, while the lowest Pb concentration was observed using HCl extraction. The highest amount of immobilized Cd and Pb was extracted by CH3COOH or EDTA in soils treated with CaCO3 and eggshell waste, indicating that remobilization of Cd and Pb may occur under acidic conditions. Based on the findings obtained, eggshell waste can be used as an alternative to CaCO3 for the immobilization of heavy metals in soils. PMID- 21063751 TI - Solidification of arsenic and heavy metal containing tailings using cement and blast furnace slag. AB - The objective of this study is to examine the solidification of toxic elements in tailings by the use of cement and blast furnace slag. Tailings samples were taken at an Au-Ag mine in Korea. To examine the best mixing ratio of tailings and the mixture of ordinary Portland cement (OPC) and blast furnace slag (SG) of 5:5, 6:6, 7:3, and 8:2, the 7:3 ratio of tailings and OPC+SG was adapted. In addition, the mixing ratios of water and OPC + SG were applied to 10, 20, and 30 wt%. After 7, 14, and 28 days' curing, the UCS test was undertaken. A relatively high strength of solidified material (137.2 kg cm-2 in average of 3 samples) at 28 days' curing was found in 20 wt% of water content (WC). This study also examined the leachability of arsenic and heavy metals (Cd, Cu, Pb, and Zn) under the Korean Standard Leaching Test, and it showed that the reductions in leachabilities of As and heavy metals of solidified samples were ranged from 76 to 99%. Thus, all the solidified samples were within the guidelines for special and hazardous waste materials by the Waste Management Act in Korea. In addition, the result of freeze-thaw cycle test of the materials indicated that the durability of the materials was sufficient. In conclusion, solidification using a 7:3 mixing ratio of tailings and a 1:1 mixture of OPC + SG with 20% of WC is one of the best methods for the remediation of arsenic and heavy metals in tailings and other contaminated materials. PMID- 21063752 TI - Potential application of sludge produced from coal mine drainage treatment for removing Zn(II) in an aqueous phase. AB - Various analyses of physico-chemical characteristics and batch tests were conducted with the sludge obtained from a full-scale electrolysis facility for treating coal mine drainage in order to find the applicability of sludge as a material for removing Zn(II) in an aqueous phase. The physico-chemical analysis results indicated that coal mine drainage sludge (CMDS) had a high specific surface area and also satisfied the standard of toxicity characteristic leaching procedure (TCLP) because the extracted concentrations of certain toxic elements such as Pb, Cu, As, Hg, Zn, and Ni were much less than their regulatory limits. The results of X-ray diffraction (XRD) and X-ray photoelectron spectroscopy (XPS) showed that the CMDS mainly consists of goethite (70%) and calcite (30%) as a weight basis. However, the zeta potential analysis represented that the CMDS had a lower isoelectric point of pH (pH(IEP)) than that of goethite or calcite. This might have been caused by the complexation of negatively charged anions, especially sulfate, which usually exists with a high concentration in coal mine drainage. The results of Fourier transform infrared (FT-IR) spectrometry analysis revealed that Zn(II) was dominantly removed as a form of precipitation by calcite, such as smithsonite [ZnCO3] or hydrozincite [Zn5(CO3)2(OH)6]. Recycling sludge, originally a waste material, for the removal process of Zn(II), as well as other heavy metals, could be beneficial due to its high and speedy removal capability and low economic costs. PMID- 21063753 TI - The phage therapy paradigm: pret-a-porter or sur-mesure? PMID- 21063754 TI - Galbanic acid isolated from Ferula assafoetida exerts in vivo anti-tumor activity in association with anti-angiogenesis and anti-proliferation. AB - PURPOSE: To investigate whether galbanic acid (GBA) exerts anti-angiogenic and anti-cancer activities. METHODS: Using human umbilical vein endothelial cell (HUVEC) model, we analyzed effects of GBA on cellular and molecular events related to angiogenesis. We tested its direct anti-proliferative action on mouse Lewis lung cancer (LLC) cells and established its in vivo anti-angiogenic and anti-tumor efficacy using LLC model. RESULTS: GBA significantly decreased vascular endothelial growth factor (VEGF)-induced proliferation and inhibited VEGF-induced migration and tube formation of HUVECs. These effects were accompanied by decreased phosphorylation of p38-mitogen-activated protein kinase (MAPK), c-jun N-terminal kinase (JNK), and AKT, and decreased expression of VEGFR targets endothelial nitric oxide synthase (eNOS) and cyclin D1 in VEGF-treated HUVECs. GBA also decreased LLC proliferation with an apparent G2/M arrest, but did not induce apoptosis. In vivo, inclusion of GBA in Matrigel plugs reduced VEGF-induced angiogenesis in mice. Galbanic acid given by daily i.p. injection (1 mg/kg) inhibited LLC-induced angiogenesis in an intradermal inoculation model and inhibited the growth of s.c. inoculated LLC allograft in syngenic mice. Immunohistochemistry revealed decreased CD34 microvessel density index and Ki-67 proliferative index in GBA-treated tumors. CONCLUSIONS: GBA exerts anti-cancer activity in association with anti-angiogenic and anti-proliferative actions. PMID- 21063755 TI - Application of physiologically based pharmacokinetic modeling and clearance concept to drugs showing transporter-mediated distribution and clearance in humans. AB - This review illustrates the concept of a rate-determining process in the overall hepatic elimination of anionic drugs that involves transporters in the uptake process. A kinetic study in rats has demonstrated that uptake is the rate determining process for most anionic drugs, and this is likely to hold true for the hepatic elimination of statins in humans. To simulate the effects of variations in the transporter activities on systemic and liver exposure, a physiologically based pharmacokinetic model was constructed for pravastatin, the overall elimination of which involves OATP1B1 and MRP2 in the hepatic uptake and canalicular efflux, respectively. The plasma concentrations of pravastatin in humans were successfully reproduced using the kinetic parameters extrapolated from in vitro data obtained using human hepatocytes and canalicular membrane vesicles and the scaling factors determined in rats. Sensitivity analyses showed that a variation in hepatic uptake altered the plasma concentration of pravastatin markedly, but had a small effect on the liver concentration, and vice versa for the canalicular efflux. Therefore, variation in the OATP1B1 activities will have small and large impacts on the therapeutic efficacy and adverse effect (myopathy) of pravastatin, respectively, whereas that affecting the MRP2 activities may have an opposite effect (i.e., large and small impacts on the therapeutic efficacy and side effect). This pharmacokinetic characteristics likely hold true for other anionic statins, i.e., variation of OATP1B1 is associated with the risk of adverse reactions, whereas that of sequestration mechanisms causes the variation of their pharmacological effect. PMID- 21063756 TI - The crystal structure of hexamer RraA from Pseudomonas aeruginosa reveals six conserved protein-protein interaction sites. AB - RNase E functions as the rate-limiting enzyme in the global mRNA metabolism as well as in the maturation of functional RNAs. The endoribonuclease, binding to the PNPase trimer, the RhlB monomer, and the enolase dimer, assembles into an RNA degradosome necessary for effective RNA metabolism. The RNase E processing is found to be negatively regulated by the protein modulator RraA which appears to work by interacting with the non-catalytic region of the endoribonuclease and significantly reduce the interaction between RNase E and PNPase, RhlB and enolase of the RNA degradosome. Here we report the crystal structure of RraA from P. aeruginosa to a resolution of 2.0 A. The overall architecture of RraA is very similar to other known RraAs, which are highly structurally conserved. Gel filtration and dynamic light scattering experiments suggest that the protein regulator is arranged as a hexamer, consistent with the crystal packing of "a dimer of trimer" arrangement. Structure and sequence conservation analysis suggests that the hexamer RraA contains six putative charged protein-protein interaction sites which may serve as binding sites for RNase E. PMID- 21063757 TI - Biophysical characterization of a recombinant alpha-amylase from thermophilic Bacillus sp. strain TS-23. AB - Environmental variables can significantly influence the folding and stability of a protein molecule. In the present study, the biophysical properties of a truncated Bacillus sp. TS-23 alpha-amylase (BACDeltaNC) were characterized in detail by glutaraldehyde cross-linking, analytical ultracentrifugation, and various spectroscopic techniques. With cross-linking experiment and analytical ultracentrifuge, we demonstrated that the oligomeric state of BACDeltaNC in solution is monomeric. Far-UV circular dichroism analysis revealed that the secondary structures of BACDeltaNC were significantly altered in the presence of various metal ions and SDS, whereas acetone and ethanol had no detrimental effect on folding of the enzyme. BACDeltaNC was inactive and unstable at extreme pH conditions. Thermal unfolding of the enzyme was found to be highly irreversible. The native enzyme started to unfold beyond ~0.2 M guanidine hydrochloride (GdnHCl) and reached an unfolded intermediate, [GdnHCl](0.5, N-U), at 1.14 M. BACDeltaNC was active at the concentrations of urea below 6 M, but it experienced an irreversible unfolding by >8 M denaturant. Taken together, this work lays a foundation for the future structural studies with Bacillus sp. TS-23 alpha amylase, a typical member of glycoside hydrolases family 13. PMID- 21063758 TI - Estimation of the electric plasma membrane potential difference in yeast with fluorescent dyes: comparative study of methods. AB - Different methods to estimate the plasma membrane potential difference (PMP) of yeast cells with fluorescent monitors were compared. The validity of the methods was tested by the fluorescence difference with or without glucose, and its decrease by the addition of 10 mM KCl. Low CaCl2 concentrations avoid binding of the dye to the cell surface, and low CCCP concentrations avoid its accumulation by mitochondria. Lower concentrations of Ba2+ produce a similar effect as Ca2+, without producing the fluorescence changes derived from its transport. Fluorescence changes without considering binding of the dyes to the cells and accumulation by mitochondria are overshadowed by their distribution between this organelle and the cytoplasm. Other factors, such as yeast starvation, dye used, parameters of the fluorescence changes, as well as buffers and incubation times were analyzed. An additional approach to measure the actual or relative values of PMP, determining the accumulation of the dye, is presented. PMID- 21063759 TI - Elevated cerebral glutamate and myo-inositol levels in cognitively normal middle aged adults with metabolic syndrome. AB - Metabolic syndrome (MetS) is a cluster of risk factors associated with significant cardiovascular morbidity and mortality and diminished cognitive function. Given that the cerebral mechanisms mediating the relationship between peripheral metabolic dysfunction and cognitive impairment are unknown, we set out to examine the relationship between diagnosis of metabolic syndrome and cerebral metabolism. Thirteen participants with MetS (aged 48 +/- 6 years) and 25 healthy adults (aged 51 +/- 6 years) underwent neuropsychological assessment, health screen and proton magnetic resonance spectroscopy ((1)H MRS) examining N-acetyl aspartate (NAA), myo-inositol (mI), creatine (Cr), choline (Cho), and glutamate (Glu) concentrations in occipitoparietal grey matter. Cerebral metabolite ratios (NAA/Cr, Cho/Cr, mI/Cr, and Glu/Cr) of participants with MetS, defined by the International Diabetes Federation criteria, were compared with controls matched for age, education, cognition, and emotional function. There were no significant differences in global cognitive function, memory, language, and psychomotor performance between the groups. Diagnosis of MetS was associated with significantly higher mI/Cr (F(1,36) = 5.02, p = 0.031) and Glu/Cr ratio (F(1,36) = 4.81, p = 0.035). Even in cognitively normal adults, MetS is related to cerebral metabolic disturbances, a possible indication of early brain vulnerability. Longitudinal studies that begin in mid-life can help validate the use of (1)H MRS markers as indicators of long-term cognitive outcomes. PMID- 21063760 TI - Changes in regional brain volumes in social anxiety disorder following 12 weeks of treatment with escitalopram. AB - It has been suggested that antidepressants, including the selective serotonin reuptake inhibitors have neurotrophic effects. Nevertheless, the impact of treatment with a selective serotonin re-uptake inhibitor on regional brain volumes in social anxiety disorder has not been studied. 11 subjects with social anxiety disorder completed magnetic resonance imaging both before and after 12 weeks of treatment with 20 mg/day escitalopram. No increases in structural grey matter were found, but there were decreases in bilateral superior temporal cortex, vermis and the left cerebellum volumes following 12 weeks of treatment with escitalopram. These preliminary findings require replication to determine their reliability, and extension to determine whether or not they are disorder specific. PMID- 21063761 TI - A new 3D mass diffusion-reaction model in the neuromuscular junction. AB - A three-dimensional model of the reaction-diffusion processes of a neurotransmitter and its ligand receptor in a disk shaped volume is proposed which represents the transmission process of acetylcholine in the synaptic cleft in the neuromuscular junction. The behavior of the reaction-diffusion system is described by a three-dimensional diffusion equation with nonlinear reaction terms due to the rate processes of acetylcholine with the receptor. A new stable and accurate numerical method is used to solve the equations with Neumann boundaries in cylindrical coordinates. The simulation analysis agrees with experimental measurements of end-plate current, and agrees well with the results of the conformational state of the acetylcholine receptor as a function of time and acetylcholine concentration of earlier investigations with a smaller error compared to experiments. Asymmetric emission of acetylcholine in the synaptic cleft and the subsequent effects on open receptor population is simulated. Sensitivity of the open receptor dynamics to the changes in the diffusion parameters and neuromuscular junction volume is investigated. The effects of anisotropic diffusion and non-symmetric emission of transmitter at the presynaptic membrane is simulated. PMID- 21063762 TI - Polymorphism of prion protein gene in sheep of Inner Mongolian, China. AB - Susceptibility to natural scrapie in sheep is associated with polymorphisms at codons 136, 154 and 171 of the prion protein (PrP) gene. To assess the risk of scrapie in sheep raised in China, DNA from 30 sheep of two breeds was isolated, amplified and sequenced for the PrP gene. The ovine PrP gene was found to be highly homogenous. The genotype associated with high susceptibility to scrapie (VRQ) was absent, whereas that associated with the resistance (ARR) was present in 6.7% of sheep examined. ARK was also rare (6.7%). ARQ that is associated with an intermediate susceptibility was the genotype observed in the most of sheep examined (86.6%). These data suggest that Chinese sheep of Mongolian sheep breed are susceptible to scrapie. PMID- 21063763 TI - Activation of NF-kappaB by nucleocapsid protein of the porcine reproductive and respiratory syndrome virus. AB - Nuclear factor kappa B (NF-kappaB) is a critical transcription factor in innate and adaptive immune response as well as cell proliferation and survival. Previous studies have demonstrated that porcine reproductive and respiratory syndrome virus (PRRSV) infection activated NF-kappaB pathways through IkappaB degradation in MARC-145 cells and alveolar macrophages. To evaluate the mechanisms behind this, we investigated the role of PRRSV structural proteins in the regulation of NF-kappaB. In this study, we screened the structural proteins of PRRSV by NF kappaB DNA-binding assay and luciferase activity assay and demonstrated that PRRSV nucleocapsid (N) protein could activate NF-kappaB in MARC-145 cells. Furthermore, we revealed that the region between aa 30 and 73 of N protein was essential for its function in the activation of NF-kappaB. These results presented here provide a basis for understanding molecular mechanism of PRRSV infection and inflammation response. PMID- 21063764 TI - There is no complete linkage between the polymorphisms N680S and T307A of the follicular stimulating hormone receptor gene in fertile women. AB - PURPOSE: We conducted a cross-sectional study to evaluate the linkage of FSHR T307A and N680S in a group of fertile women. METHODS: Peripheral blood was obtained from 51 fertile women. DNA extraction and isolation were performed. For the detection of the T307A polymorphism a set of primers (5_ TCTGAGCTTCATCCAATTTGCA-3_and 5_-GGGAAAGAGGGCA GCTGCAA-3) was used and then the product was further amplified by a second PCR-RFLP using another set of primers (5_-CAAATCTATTTTAAGGCAAGAAGTTGATTATATGCCTCAG-3_and 5_-GTAGATTCCAATGCAGA GATCA-3). For the N680S polymorphism the primers (5_-TTTGTGGTCATCTGTGGCTGC-3_ and 5_ CAAAGGCAAGGACTGAATT ATC ATT-3_) were used. Statistical analysis for the association between the polymorphisms was performed by the Spearman test. RESULTS: We calculated the association between the homozygosis at codon 307 and at codon 680 both for T/T-S/S and A/A-N/N. A significant association between the genotypic results at codon 680 with those at codon 307 was found (r = 0.6363, P = 0.001). However, a complete linkage between these two polymorphisms was rejected as there were 12 patients with discordant results from the expected A-N/T-S at codons 307 and 680, respectively. CONCLUSION: The current data demonstrated an association but failed to demonstrate a complete linkage between these two polymorphisms. PMID- 21063766 TI - Understanding interdisciplinary collaborations as social networks. AB - The dynamics of interdisciplinary collaboration invite further investigation if we are to make this endeavour more rewarding and productive. We are using social network analysis to track the development of a new interdisciplinary collaboration on complex interventions to improve population health. It involves nineteen scholars across four countries. We report the Baseline network of formal relationships among the scholars, along with the impact of the collaboration on these relationships in the first 18 months. We observed statistically significant increases in the density of six types of relationship networks: citing publications by other members of the collaboration, email contact, meeting with each other (outside of the formal annual meeting), visiting one another's institution, submitting research grants together and working on research projects together. The initial strategic role in the network of key 'gate keepers' has not altered substantially (betweenness centralization of the networks), but reciprocity has increased, that is, people are more likely to cite those who have cited them and work together. Increased collaboration is also reflected in the rise in number of subgroups over time and the increase in the average number of subgroup memberships. Use of social network analysis to understand the dynamics of interdisciplinary collaborations is a relatively new field. It invites reflection about what the optimal network structures for interdisciplinary collaborations would look like. PMID- 21063765 TI - Receiver operating characteristic (ROC) analysis of day 5 morphology grading and metabolomic Viability Score on predicting implantation outcome. AB - PURPOSE: Assessment of embryo viability is a key component of in vitro fertilization (IVF) and currently relies largely on embryo morphology and cleavage rate. In this study, we used receiver operating characteristic (ROC) analysis to compare the Viability Score (generated by metabolomic profiling of spent embryo culture media using near infrared (NIR) spectroscopy) to morphologic grading for predicting pregnancy in women undergoing single embryo transfer (SET) on day 5. METHODS: A total of 198 spent embryo culture media samples were collected in four IVF centers located in the USA, Europe and Australia. First, 137 samples (training set) were analyzed by NIR to develop an algorithm that generates a Viability Score predictive of pregnancy for each sample. Next, 61 samples (validation set) were analyzed by observers blinded to embryo morphology and IVF outcome, using the Day 5 algorithm generated with the training set. Pregnancy was defined as fetal cardiac activity (FCA) at 12 weeks of gestation. RESULTS: The Area Under the Curve (AUC) was greater for the metabolomic Viability Score compared to Morphology [Training set: 0.75 versus 0.55, p = 0.0011; Validation set: 0.68 versus 0.50, P = 0.021], and for a Composite score (obtained using a model combining Viability Score with morphologic grading), compared to morphology alone [0.74 versus 0.50, p = 0.004]. CONCLUSIONS: Our findings suggest that Viability Score alone or in combination with morphologic grading has the potential to be a better classifier for pregnancy outcome than morphology alone in women undergoing SET on day 5. PMID- 21063767 TI - From "Water Boiling in a Peruvian Town" to "Letting them Die": culture, community intervention, and the metabolic balance between patience and zeal. AB - While the concept of culture has long been central to community psychology research and intervention, it has most frequently referred to the communities in which such work occurs. The purpose of this paper is to reframe this discussion by viewing community interventions as instances of intercultural contact between the culture of science, reflected in community intervention research, and the culture of the communities in which those interventions occur. Following a brief discussion of the complexities of culture as a concept, two illustrative stories of failed community interventions are provided to highlight the centrality of cultural and contextual understanding as prelude to community intervention. These stories, set 50 years apart, reflect the depth and pervasive influence of both the culture of science and the culture of communities. Next, a series of propositions about the culture of social science as a partial reflection of the broader culture of the United States are offered, and their implications for the conduct of community interventions drawn. The paper concludes with a series of recommendations which, together, provide an ecological mind-set for taking culture seriously in community interventions. Central to this mind set are the importance of focusing on communities rather than programs and emphasizing the intervention goal of choice over change. PMID- 21063768 TI - Protective effects of YC-1 against glutamate induced PC12 cell apoptosis. AB - Glutamate, one of the major neurotransmitters in the central nervous system, is released into the synaptic spaces and bound to the glutamate receptors which facilitate normal synaptic transmission, synaptic plasticity, and brain development. Past studies have shown that glutamate with high concentration is a potent neurotoxin capable of destroying neurons through many signal pathways. In this research, our main purpose was to determine whether the specific soluble guanylyl cyclase activator YC-1 (3-(5'-hydroxymethyl-2'-furyl)-1-benzyl indazole) had effect on glutamate-induced apoptosis in cultured PC12 cells. The differentiated PC12 cells impaired by glutamate were used as the cell model of excitability, and were exposed to YC-1 or/and ODQ (1H-[1,2,4]oxadiazolo[4,3 a]quinoxalin-1-one) with gradient concentrations for 24 h. MTT (3-(4,5 dimethylthiazol-2-yl)-2,5-diphenyl) assay was used to detect the cellular viability. Radioimmunoassay (RIA) was used to detect the cGMP (cyclic guanosine monophosphate) concentrations in PC12 cells. Hoechst 33258 staining and flow cytometric analysis were used to detect the cell apoptosis. The cellular viability was decreased and the apoptotic rate was increased when PC12 cells were treated with glutamate. Cells treated with YC-1 or/and ODQ showed no significant differences in the cell viability and intracellular cGMP levels compared with those of control group. The specific soluble guanylyl cyclase (sGC) inhibitor ODQ showed an inhibitory effect on cGMP level and aggravated the apoptosis of PC12 cells induced by glutamate. YC-1 elevated cGMP level thus decreased PC12 cell apoptosis induced by glutamate, but this effect could be reversed by ODQ. These results revealed that YC-1 might attenuate glutamate-induced PC12 cell apoptosis via a sGC-cGMP involved pathway. PMID- 21063769 TI - Groundwater quality and water quality index at Bhandara District. AB - The present investigation reports the results of a monitoring study focusing on groundwater quality of Bhandara District of central India. Since, remediation of groundwater is very difficult, knowledge of the existing nature, magnitude, and sources of the various pollution loads is a prerequisite to assessing groundwater quality. The water quality index (WQI) value as a function of various physicochemical and bacteriological parameters was determined for groundwater obtained from a total of 21 locations. The WQI during pre-monsoon season varied from 68 to 83, while for post-monsoon, it was between 56 and 76. Significantly (P < 0.01) lower WQI for the post-monsoon season was observed, indicating deterioration of the groundwater overall in corresponding season. The study revealed that groundwater from only 19% locations was fit for domestic use, thus indicating the need of proper treatment before use. PMID- 21063770 TI - Medical educators' social acts of explaining passing underperformance in students: a qualitative study. AB - Passing underperformance in students is ubiquitous across health and social care educators and is intimately related to the subsequent welfare of patients: underperforming students may become underperforming practitioners. This paper aims to examine how medical educators construct passing underperformance through an analysis of their social act of explaining such behaviours in peer-group settings. Ten focus groups were conducted with 70 medical educators across two UK schools with different curricular/assessment styles (England, Scotland). A qualitative content analysis of how educators explained their behaviours of passing underperformance was undertaken using the psychological concepts of proximality and distalness according to: (1) Malle's F.Ex. coding framework for behavioural explanations, and (2) participants' use of pronouns. 149 explanations of passing underperformance were identified: 72 for participants' own behaviour, 77 for others' behaviour. When explaining their own behaviour, participants used the proximal pronoun I 37% (n = 27) of the time and the distancing pronouns we/you 51% (n = 37) of the time. More Causal History of Reasons (38%; n = 27) and Enabling Factors (29%; n = 21) than Reasons (33%; n = 24) were cited. A similar pattern was found for explaining others' behaviour. Thus, medical educators used linguistic form, explanation mode and informational content within peer-group discussions to distance themselves from intentionality for their action of passing underperformance and highlighted desirable characteristics of themselves and medical educators in general. Faculty development programmes should develop assessors' awareness of how implicit factors within their talk can legitimise a culture of passing underperformance and explore the steps for cultural change. PMID- 21063771 TI - Climate change: could it help develop 'adaptive expertise'? AB - Preparing health practitioners to respond to the rising burden of disease from climate change is emerging as a priority in health workforce policy and planning. However, this issue is hardly represented in the medical education research. The rapidly evolving wide range of direct and indirect consequences of climate change will require health professionals to have not only broad content knowledge but also flexibility and responsiveness to diverse regional conditions as part of complex health problem-solving and adaptation. It is known that adaptive experts may not necessarily be quick at solving familiar problems, but they do creatively seek to better solve novel problems. This may be the result of an acquired approach to practice or a pathway that can be fostered by learning environments. It is also known that building adaptive expertise in medical education involves putting students on a learning pathway that requires them to have, first, the motivation to innovatively problem-solve and, second, exposure to diverse content material, meaningfully presented. Including curriculum content on the health effects of climate change could help meet these two conditions for some students at least. A working definition and illustrative competencies for adaptive expertise for climate change, as well as examples of teaching and assessment approaches extrapolated from rural curricula, are provided. PMID- 21063772 TI - Suppressive effects of electrolyzed reduced water on alloxan-induced apoptosis and type 1 diabetes mellitus. AB - Electrolyzed reduced water, which is capable of scavenging reactive oxygen species, is attracting recent attention because it has shown improved efficacy against several types of diseases including diabetes mellitus. Alloxan produces reactive oxygen species and causes type 1 diabetes mellitus in experimental animals by irreversible oxidative damage to insulin-producing beta-cells. Here, we showed that electrolyzed reduced water prevented alloxan-induced DNA fragmentation and the production of cells in sub-G1 phase in HIT-T15 pancreatic beta-cells. Blood glucose levels in alloxan-induced type 1 diabetes model mice were also significantly suppressed by feeding the mice with electrolyzed reduced water. These results suggest that electrolyzed reduced water can prevent apoptosis of pancreatic beta-cells and the development of symptoms in type 1 diabetes model mice by alleviating the alloxan-derived generation of reactive oxygen species. PMID- 21063773 TI - A retrospective study on the epidemiology of foot-and-mouth disease in Bhutan. AB - A retrospective study on the outbreaks of foot-and-mouth disease (FMD) in Bhutan, between the years 1996 and 2008, based on the data collected through passive surveillance, was undertaken. A total of 230 outbreaks of FMD at sub-district level were recorded in 299 villages located in 19 out of the 20 districts in the country. There were no significant differences between the years (P = 0.998) or months (P = 0.989) on the incidence of FMD. The sub-districts in the north (altitude >1,000 m above mean sea level) had significantly (P = 0.008) higher incidences of outbreaks in winter than in summer. The sub-districts that shared border with India had significantly more outbreaks than those that didn't (P = 0.001). Cattle were the most predominant species affected being involved in all of the outbreaks reported. Serotype O, which constituted 70.6% of the outbreaks typed was the most predominant serotype prevalent in Bhutan followed by A (16.7%), Asia 1 (8.8%), and C (3.9%). Cattle density was significantly positively correlated (P = 0.023) with the incidence of disease. Three waves of outbreaks of epidemic proportions were reported in 1997/1998, 2002/2003, and 2007/2008 due to the PanAsia strain of the O serotype. The study highlights the incursion of the PanAsia strain of the O serotype into the country, possibly, through the transboundary movement of animals and the need for active surveillance of FMD, especially at the border areas. The study also highlights the significance of the O serotype and cattle as the main indicator species in the epidemiology of FMD in Bhutan. The findings from this study can be used as baseline epidemiological data for further research to understand the epidemiology of FMD in Bhutan. PMID- 21063775 TI - Sclerosing peritonitis after kidney transplantation: a not-so-silky cocoon. PMID- 21063774 TI - Domperidone treatment for gastroparesis: demographic and pharmacogenetic characterization of clinical efficacy and side-effects. AB - BACKGROUND: Domperidone is a useful alternative to metoclopramide for treatment of gastroparesis due to better tolerability. Effectiveness and side-effects from domperidone may be influenced by patient-related factors including polymorphisms in genes encoding drug-metabolizing enzymes, drug transporters, and domperidone targets. AIMS: The aim of this study was to determine if demographic and pharmacogenetic parameters of patients receiving domperidone are associated with response to treatment or side-effects. METHODS: Patients treated with domperidone for gastroparesis provided saliva samples from which DNA was extracted. Fourteen single-nucleotide polymorphisms (SNPs) in seven candidate genes (ABCB1, CYP2D6, DRD2, KCNE1, KCNE2, KCNH2, KCNQ1) were used for genotyping. SNP microarrays were used to assess single-nucleotide polymorphisms in the ADRA1A, ADRA1B, and ADRA1D loci. RESULTS: Forty-eight patients treated with domperidone participated in the study. DNA was successfully obtained from each patient. Age was associated with effectiveness of domperidone (p=0.0088). Genetic polymorphism in KCNH2 was associated with effectiveness of domperidone (p=0.041). The efficacious dose was associated with polymorphism in ABCB1 gene (p=0.0277). The side-effects of domperidone were significantly associated with the SNPs in the promoter region of ADRA1D gene. CONCLUSIONS: Genetic characteristics associated with response to domperidone therapy included polymorphisms in the drug transporter gene ABCB1, the potassium channel KCNH2 gene, and alpha1D--adrenoceptor ADRA1D gene. Age was associated with a beneficial response to domperidone. If verified in a larger population, this information might be used to help determine which patients with gastroparesis might respond to domperidone and avoid treatment in those who might develop side-effects. PMID- 21063776 TI - Prospective diary evaluation of unexplained abdominal pain and bowel dysfunction: a population-based colonoscopy study. AB - INTRODUCTION: Diagnostic criteria for irritable bowel syndrome (IBS) have not been validated by prospective symptom diary. We investigated the bowel patterns in community subjects with and without non-organic abdominal pain, and compared the symptoms with subjects fulfilling the Rome II criteria (IBS). METHODS: From the Swedish population register, a random sample completed an abdominal symptom questionnaire. Responders were subsequently invited for a clinical evaluation and offered a colonoscopy regardless of whether they had abdominal symptoms or not. A total of 268 subjects underwent colonoscopy, clinical evaluation by gastroenterologist, laboratory investigations, and completed the Rome questionnaire and prospective gastrointestinal (GI) symptom diaries for 1 week. Twenty-three subjects of 268 were excluded due to organic GI disease. RESULTS: Subjects recorded 2,194 bowel movements and 370 abdominal pain episodes on 1,504 days. Subjects with pain in the diary (n = 81) had higher stool frequency (P = 0.01), more urgency (P = 0.0002), feelings of incomplete evacuation (P = 0.0002), nausea (P = 0.0009), and abdominal bloating (P = 0.0005) than subjects without pain (n = 151). Twenty-eight subjects (12%) fulfilled the Rome II criteria for IBS. Together, they had 96 pain episodes but only 4% were improved by defecation; 29% of the pain episodes started or worsened after a meal. Subjects with IBS and other subjects with non-organic abdominal pain (n = 64) exhibited no differences in terms of the proportions of pain episodes improved by defecation, bloating, stool frequency, consistency, or defecatory symptoms. CONCLUSIONS: Current criteria for IBS that rely on recall of the relationship between abdominal pain and bowel disturbance may overcall this association when measured prospectively. PMID- 21063777 TI - Probing mechanical adaptation of neurite outgrowth on a hydrogel material using atomic force microscopy. AB - In this study, we describe the design and initial results of probing mechanical adaptation of neurite growth of lightly fixed neurons on a hydrogel substrate by using atomic force microscopy (AFM). It has been shown previously that cells are responsive to the physical conditions of their micro-environment, and that certain cells can adjust their own stiffness as part of the adaptation to the substrate. AFM, a powerful tool to probe micro- and nano-scale structures, has been utilized in assessing topography, morphology, and structural change of neuronal cells. We used AFM with a robust force analysis approach in this study to probe the mechanical properties of both neurites and the substrate at close proximity. We first confirmed the robustness and consistency of the approach specific to soft materials by comparing measurements made on the same reference material using different methods. Subsequently, it was found that the primary spinal cord neurons that were lightly fixed exhibited different stiffnesses between the cell body and neurites. Furthermore, in comparison to the rigidity of the substrate, the stiffness of the neurites was lower, whereas that of the neuronal cell body was higher. PMID- 21063778 TI - Effect of surfactant mixtures on skin structure and barrier properties. AB - We investigated the effect of two commonly studied surfactants, sodium dodecyl sulfate (SDS) and dodecyl trimethylammonium bromide (C(12)TAB), on skin barrier properties. Using skin conductivity, FT-IR of stratum corneum samples, and penetration of radiolabelled SDS, we determined that addition of C(12)TAB lowers the ability of SDS to perturb skin's barrier properties. Ultrafiltration experiments revealed that addition of C(12)TAB serves to decrease the concentration of monomers and sub-micellar aggregates. None of the measured skin properties including enhancement of skin conductivity, perturbation of lipid structure and skin concentration of SDS correlated with the total SDS concentration in the donor compartment (i.e., the total SDS concentration). However, all these parameters correlated well against the concentration of monomers and sub-micellar aggregates. These findings provide the evidence of the importance of monomer and sub-micellar components in altering skin barrier properties. PMID- 21063779 TI - Mental health, school problems, and social networks: modeling urban adolescent substance use. AB - This study tested a mediation model of the relationship with school problems, social network quality, and substance use with a primary care sample of 301 urban adolescents. It was theorized that social network quality (level of risk or protection in network) would mediate the effects of school problems, accounting for internalizing problems and relations with parents, on substance use. Results of path modeling with AMOS showed that the model provided a very good fit to the data and demonstrated partial mediation effects of social network quality on substance use. The standardized mediated effect of school problems on substance use, mediated by social network quality, was 0.13 (p < .01, 95% CI [.072, .189]). An effect size measure was applied to determine what proportion of the total effect was mediated by the intervening (social network quality) variable and produced a 0.34 effect size. The results highlight the potential preventive role of social network quality in addressing urban adolescent substance use. PMID- 21063780 TI - Doppler tissue imaging and catheter-derived measures are not independent predictors of rejection in pediatric heart transplant recipients. AB - The purpose of the study is to determine the association of Doppler tissue imaging (DTI) and catheter-derived measures with rejection in pediatric heart transplant (PHT) recipients and to determine any correlation between DTI and catheter-derived measurements. Sixty echocardiograms were prospectively performed in 37 PHT recipients at the time of surveillance cardiac biopsy. During right heart cardiac catheterization, sequential pressures of the right heart and pulmonary capillary wedge pressures (PCWP) were measured. DTI was performed to obtain peak systolic (S'), early (E') and late (A') diastolic velocities (cm/s) at tricuspid annulus, septum and mitral annulus. Septal S' and tricuspid annular A' were associated with rejection, but had low sensitivity and specificity. Elevated lateral mitral E/E' did not predict rejection. The mean pulmonary capillary wedge pressure (PCWP) and cardiac index were similar in those with and without rejection. The lateral mitral and septal E/E' did not correlate with PCWP. Some DTI-derived measures were altered during rejection, but were not clinically useful predictors of rejection. Catheter-derived measures were not significantly altered during rejection and did not correlate with DTI-derived measures. None of these measures can replace the current practice of performing cardiac biopsy for surveillance of rejection. PMID- 21063781 TI - Incidence of subclinical coronary atherosclerosis in patients with suspected embolic stroke using cardiac computed tomography. AB - The purpose of this study was to investigate the incidence of subclinical coronary artery disease (CAD) in patients with suspected acute embolic stroke or transient ischemic attack (TIA) using 64-row multi-slice computed tomography (MSCT) and to examine its association with conventional risk stratification. We consecutively enrolled 175 patients (66 +/- 13 years, 50% men) suspected to have had embolic stroke/TIA clinically or radiologically, and underwent 64-row MSCT to evaluate for a possible cardiac source of embolism. Both coronary artery calcium scoring (CACS) and coronary CT angiography (CCTA) were concurrently performed based on standard scanning protocols. Patients with a history of angina or documented CAD, and those with significant carotid stenosis were excluded. Atherosclerotic plaques were indentified in 105 (60%) individuals; 37 (21%) had occult CAD of >=50% diameter stenosis on CCTA. Subjects with and without >=50% occult CAD on CCTA had similar prevalence of cardiovascular risk factors. Thirty out of 175 (17%) individuals with >=50% occult CAD would have missed further cardiac testing based on the American Heart association and the American Stroke Association guideline. However, these numbers would be reduced to 2% (4/175) using CACS. In logistic regression analysis, only CACS independently predicted the presence >=50% occult CAD evidenced by CCTA. Subclinical CAD, including >=50% stenotic disease, is highly prevalent in patients who had suffered a suspected embolic stroke. The current guideline for further cardiac testing may have limited value to identify patients with >=50% CAD in this patient population, which can be improved by adopting CACS. PMID- 21063782 TI - Left ventricular volume: an optimal parameter to detect systolic dysfunction on prospectively triggered 64-multidetector row computed tomography: another step towards reducing radiation exposure. AB - In this study, we define the correlation between LV volumes (both LV end diastolic volume [LVEDV] and LV end-systolic volume [LVESV]) and ejection fraction (EF) on 64 slice multi-detector computed tomography (MDCT). We also determine the accuracy of all the LV volume (LVV) parameters to detect LV systolic dysfunction (LVSD) and investigate the feasibility of using LVV as a surrogate of LVSD on prospectively gated imaging to prevent the radiation exposure of retrospective imaging. 568 patients undergoing 64-detector MDCT were divided into 2 groups: Group 1-subjects without any heart disease and LVEF >= 50%; and Group 2-patients with coronary artery disease and LVEF < 50% (defined as LVSD). The LVV (LV cavity only) and Total LV volume (cavity + LV mass) at end systole and end-diastole (LVESV, Total LVESV, LVEDV and Total LVEDV) were measured. The upper limit values (mean + 2 SD) of all LVV parameters in Group 1 were used as the reference criterion to diagnose LVSD in Group 2. An exponential correlation was found between LVEF and all the LVV parameters. The specificity to detect LVSD in Group 2 was >90% and the sensitivity was 88.9, 83.3, 61.3 and 74.9% by using LVESV, Total LVESV, LVEDV and Total LVEDV, respectively. Systolic and diastolic LV volumes had a high correlation with LVEF and a high accuracy to detect LVSD. Thus, on prospectively triggered imaging, ventricular volumes can predict patients with reduced LVEF, and appropriate referrals can be made. PMID- 21063783 TI - A 3-D microfluidic combinatorial cell array. AB - We present the development of a three-dimensional (3-D) combinatorial cell culture array device featured with integrated three-input, eight-output combinatorial mixer and cell culture chambers. The device is designed for cell based screening of multiple compounds simultaneously on a microfluidic platform. The final assembled device is composed of a porous membrane integrated in between a Parylene 3-D microfluidic chip and a PDMS microfluidic chip. The membrane turned the cell culture chambers into two-level configuration to facilitate cell loading and to maintain cells in a diffusion dominated space during device operation. Experimentally, we first characterized the combined compound concentration profile at each chamber using a fluorescence method. We then successfully demonstrated the functionality of the quantitative cell-based assay by culturing B35 rat neuronal cells on this device and screening the ability of three compounds (1,5-dihydroxyisoquinoline, deferoxamine, and 3-aminobenzoic acid) to attenuate cell death caused by cytotoxic hydrogen peroxide. In another experiment, we assayed for the combinatorial effects of three chemotherapeutic compound exposures (vinorelbine, paclitaxel, and gamma-linolenic acid) on human breast cancer cells, MDA-MB-231. The same technology will enable the construction of inexpensive lab-on-a-chip devices with high-input combinatorial mixer for performing high-throughput cell-based assay and highly parallel and combinatorial chemical or biochemical reactions. PMID- 21063784 TI - Scalp dermatophyte carriage in pregnant, pre-, and postmenopausal women: a comparative study using the hairbrush and cytobrush methods of sample collection. AB - Tinea capitis is a dermatophyte infection of the scalp that is most often seen in prepubescent children. In this investigation, we examined the prevalence of tinea capitis and symptom-free colonization of the scalp with dermatophytes in 786 pre- and postmenopausal women aged 12-84 years. Scalp samples were collected from all participants by cytobrush or hairbrush, and cultures were then grown from these samples on Sabouraud glucose agar. No participant was diagnosed with tinea capitis; however, one 43-year-old patient (0.1%) was positive for a "scalp carriage" related to anthropophilic Trichophyton rubrum, as detected using a hairbrush. The internal transcribed spacer (ITS) regions of the isolate were sequenced, and the assembled DNA sequences were examined using the basic BLAST (nucleotide-nucleotide) software of the National Center for Biotechnology Information Web database. This patient was followed up without any antimycotic treatment, and after 4 weeks, mycological clearance was documented. In addition, the contacts and environment at home were screened, where all fungal cultures were sterile. To the best of our knowledge, this study is the first report of a "scalp carriage" related to a cosmopolitan fungus, T. rubrum. PMID- 21063785 TI - Gender differences among middle-aged Koreans for health-related quality of life related to metabolic syndrome. AB - PURPOSE: The influence of metabolic syndrome (MS) on quality of life has not been studied much among Asians, especially Koreans. This study compared the association between MS and health-related quality of life (HRQL) by gender for middle-aged Koreans. METHODS: We used data containing 2,264 adults between the ages of 40 and 59 years who participated in the 2005 Korean National Health and Nutrition Examination Surveys. The criteria for MS were defined by the National Cholesterol Education Program Adult Treatment Panel III. HRQL was measured by the EQ-5D preference score. Good health status was defined as having higher scores than the mean EQ5D preference score. We used logistic regression methods to adjust for socioeconomic covariates and survey sample design. RESULTS: The mean EQ-5D preference score was significantly lower in women with MS compared to women without MS (0.81 vs. 0.88), but this was not the case for men (0.92 vs. 0.92). These consistent results were observed after adjusting for socioeconomic covariates. In multiple logistic analyses, women with MS had a lower likelihood of having good health status compared to women without MS (OR = 0.60, 95% CI 0.38 0.96). For men, there was no difference. CONCLUSIONS: Our study identified a nationally representative health preference score for MS in Korean middle-aged men and women while highlighting a gender difference that merits more research (i.e., MS appeared to have a significant negative impact for women's HRQL, but not for men). At the very least, future studies should consider gender differences when addressing quality of life among patients with MS. PMID- 21063786 TI - EuroQol (EQ-5D) health utility scores for patients with migraine. AB - PURPOSE: Previous studies have reported health utilities for migraine patients as generally measured between migraine attacks, but health utility data for within a migraine attack are unavailable. We evaluated within-attack health utilities among acute migraine patients experiencing different grades of headache severity. METHODS: We examined data for 330 20-65-year-old adults, in good physical health, who had 1-6 moderate/severe migraine attacks per month in the 2 months prior to the screening visit. Data were collected from a multicenter, double-blind study of a treatment for acute migraine in the United States. The EQ-5D system was used to measure generic health status at baseline and 24 h post-treatment within an acute migraine attack, and patients were also asked to rate their pain level at these time points (no, mild, moderate, or severe pain). The D1 time-trade-off scoring algorithm for the U.S. population was applied. Confidence intervals were estimated by bootstrap methods. RESULTS: The study population was 88% women and 78% white ethnicity, with 60% of subjects over age 40. The disutility of mild migraine pain was estimated to be 0.140 (95% CI: 0.0848, 0.1940), with a disutility for moderate migraine pain of 0.186 (95% CI: 0.1645, 0.2053) and for severe migraine pain of 0.493 (95% CI: 0.4100, 0.5654). CONCLUSIONS: Within attack disutilities estimated for migraine in this study are much greater than those reported for migraine when evaluated as a chronic health condition (e.g., valuations collected at random time points). These data can be of value in adapting results from clinical trials of migraine interventions to cost-utility policy analyses. PMID- 21063787 TI - Current management practices for acromegaly: an international survey. AB - To determine whether peer-reviewed consensus statements have changed clinical practice, we surveyed acromegaly care in specialist centers across the globe, and determined the degree of adherence to published consensus guidelines on acromegaly management. Sixty-five acromegaly experts who participated in the 7th Acromegaly Consensus Workshop in March 2009 responded. Results indicated that the most common referring sources for acromegaly patients were other endocrinologists (in 26% of centers), neurosurgeons (25%) and primary care physicians (21%). In sixty-nine percent of patients, biochemical diagnoses were made by evaluating results of a combination of growth hormone (GH) nadir/basal GH and elevated insulin like growth factor-I (IGF-I) levels. In both Europe and the USA, neurosurgery was the treatment of choice for GH-secreting microadenomas and for macroadenomas with compromised visual function. The most widely used criteria for neurosurgical outcome assessment were combined measurements of IGF-I and GH levels after oral glucose tolerance test (OGTT) 3 months after surgery. Ninety eight percent of respondents stated that primary treatment with somatostatin receptor ligands (SRLs) was indicated at least sometime during the management of acromegaly patients. In nearly all centers (96%), the use of pegvisomant monotherapy was restricted to patients who had failed to achieve biochemical control with SRL therapy. The observation that most centers followed consensus statement recommendations encourages the future utility of these workshops aimed to create uniform management standards for acromegaly. PMID- 21063788 TI - MicroRNA profile indicates downregulation of the TGFbeta pathway in sporadic non functioning pituitary adenomas. AB - MicroRNAs (miRs) are small, 16-29 nucleotide long, non-coding RNA molecules which regulate the stability or translational efficiency of targeted mRNAs via RNA interference. MiRs participate in the control of cell proliferation, cell differentiation, signal transduction, cell death, and they play a role in carcinogenesis. The aims of our study were to analyse the expression profile of miRs in sporadic clinically non-functioning pituitary adenomas (NFPA) and in normal pituitary tissues, and to identify biological pathways altered in these pituitary tumors. MiR expression profiles of 12 pituitary tissue specimens (8 NFPA and 4 normal pituitary tissues) were determined using miR array based on quantitative real-time PCR with 678 different primers. Five overexpressed miRs and mRNA expression of Smads (Smad1-9), MEG and DLK1 genes were evaluated with individual Taqman assays in 10 NFPA and 10 normal pituitary tissues. Pathway analysis was performed by the DIANA-mirPath tool. Complex bioinformatical analysis by multiple algorithms and association studies between miRs, Smad3 and tumor size was performed. Of the 457 miRs expressed in both NFPA and normal tissues, 162 were significantly under- or overexpressed in NFPA compared to normal pituitary tissues Expression of Smad3, Smad6, Smad9, MEG and DLK1 was significantly lower in NFPA than in normal tissues. Pathway analysis together with in silico target prediction analysis indicated possible downregulation of the TGFbeta signaling pathway in NFPA by a specific subset of miRs. Five miRs predicted to target Smad3 (miR-135a, miR-140-5p, miR-582-3p, miR-582-5p and miR 938) were overexpressed. Correlation was observed between the expression of seven overexpressed miRs and tumor size. Downregulation of the TGFbeta signaling through Smad3 via miRs may have a possible role in the complex regulation of signaling pathways involved in the tumorigenesis process of NFPA. PMID- 21063789 TI - Interpretation of the C-statistic in the context of ACS-NSQIP models. PMID- 21063790 TI - Reacting to changes in staging designations in the 7th edition of the AJCC staging manual. PMID- 21063791 TI - Treatment and outcome of patients with gastric remnant cancer after resection for peptic ulcer disease. AB - BACKGROUND: To study the pathology, treatment, and outcome of patients with gastric remnant cancer (GRC) after resection for peptic ulcer disease (PUD). METHODS: Review of a prospective gastric cancer database identified patients with GRC after gastrectomy for PUD. Clinicopathologic and treatment-related variables were obtained. Multivariate analysis was performed for factors associated with disease-specific survival (DSS). RESULTS: From January 1985 to April 2010, 4402 patients with gastric adenocarcinoma were treated at our institution and 105 patients (2.4%) had prior gastrectomy for PUD. Prior resections were most often Billroth II (N = 97, 92%). The median time from initial resection to development of GRC was 32 years (3-60 years), and the majority of tumors were located at the gastrointestinal anastomosis (N = 72, 69%). Median DSS was 1.3 years (0.6-2.1 years). Patients who had resection had a significantly better outcome than patients who did not have resection (median DSS 5 vs 0.35 years, P < .0001). Factors associated with DSS on multivariate analysis included advanced T-stage (HR 16.5 (CI 2.2-123.4), P = .0006) and lymph node metastasis (HR 1.1 (CI 1.0 1.2), P < .0001). Stage-specific survival following R0 resection was similar to patients with conventional gastric cancer. CONCLUSIONS: Patients have a lifetime risk for the development of GRC following resection for PUD. As with conventional gastric cancer, determinants of survival of patients with GRC include advanced T stage and nodal metastasis. Patients with GRC amenable to curative resection exhibit the best DSS and have stage-specific outcomes similar to patients with conventional gastric cancer. PMID- 21063792 TI - Preoperative chemotherapy with cisplatin and docetaxel followed by surgery and clip-oriented postoperative chemoradiation in patients with localized gastric or gastroesophageal junction adenocarcinoma: results from a phase II feasibility study. AB - BACKGROUND: We conducted a phase II feasibility study using preoperative chemotherapy with cisplatin and docetaxel followed by surgical resection and postoperative chemoradiation in patients with gastric or gastroesophageal cancer. METHODS: Preoperative chemotherapy (two or three cycles) consisted of 50 mg/m(2) docetaxel and 50 mg/m(2) cisplatin. Surgical resection was planned 4 weeks after the last chemotherapy cycle. Patients underwent postsurgical chemoradiation, receiving a total dose of 39.6 Gy and 5-fluorouracil (5-FU) continuous infusion (350 mg/m(2)/day). The primary end-points were feasibility, overall response rate and R0 resectability rate after preoperative chemotherapy. The secondary end points were tolerability, treatment-associated complications, disease-free survival and overall survival. RESULTS: Between 2002 and 2004, 15 patients were enrolled in this study. After neoadjuvant treatment, two patients (13%) experienced progressive disease, four patients (27%) showed partial remission and nine patients (60%) showed stable disease. In 11 patients (73%) R0 resectability could be achieved. Six of these patients (54%) were able to undergo postoperative chemoradiation. Notably, five (83%) of these patients were disease free and alive at median follow-up of 72 months. Chemotherapy-associated neutropaenia and neutropaenic fever, anastomotic dehiscence, pulmonary embolism and acute pancreatitis were observed. CONCLUSIONS: The combination of preoperative chemotherapy and postoperative chemoradiation is feasible in a significant subset of gastric cancer patients. PMID- 21063793 TI - Combined oral appliance and positive airway pressure therapy for obstructive sleep apnea: a pilot study. AB - BACKGROUND: The high efficacy of continuous positive airway pressure (CPAP) in treating obstructive sleep apnea (OSA) is limited by poor compliance often related to pressure intolerance. Mandibular advancement devices (MADs) are proven alternative therapy although not universally effective. A combination of nasal CPAP and MAD may provide another option for CPAP-intolerant patients with incomplete response to MAD. METHODS: Ten patients with residual apnea/hypopnea events on MAD who were intolerant to CPAP were recruited prospectively from the sleep clinic. After a washout period of 1 week off MAD, subjects were asked to use an auto-CPAP unit along with their prescribed MAD for three consecutive nights. Oxygen desaturations were obtained from overnight oximetry. Efficacy of the combination therapy was evaluated by the Epworth Sleepiness Scale and Smartcard data recordings. RESULTS: The combination of MAD and nasal CPAP was well tolerated by all participants. Compared to CPAP alone, the optimal CPAP pressure required to eliminate all obstructive events on the combination therapy was reduced from 9.4 +/- 2.3 to 7.3 +/- 1.4 cm H2O (p = 0.001). The residual apnea hypopnea index on the MAD decreased from 11.2 +/- 3.9 to 3.4 +/- 1.5 on the combination therapy (p < 0.001). The number of oxygen desaturations was also less with the combination therapy than with MAD (p < 0.001). Both the MAD and the combination therapy were effective in reducing daytime sleepiness from 12.7 +/- 2.1 at baseline to 9.7 +/- 3.1 (p = 0.04) and 7.5 +/- 4.1 (p = 0.007), respectively. CONCLUSIONS: Combination therapy of MAD and nasal CPAP is effective in normalizing respiratory disturbances of sleep apnea in selected OSA patients who are intolerant to CPAP. PMID- 21063794 TI - Repeating administration of Epworth Sleepiness Scale is clinically useful. AB - PURPOSE: We aimed to verify whether it is clinically useful to repeat the Epworth Sleepiness Scale (ESS) in individuals with suspected sleep-disordered breathing (SDB). METHODS: In this cross-sectional, prospective study, results of the repeated administration of the ESS were analyzed. In 929 consecutive patients, ESS was obtained as usual in the laboratory routine, immediately before the sleep study (ESS1) and was repeated in the morning, after the polysomnography (ESS2). ROC curve, classical psychometry, and item response theory (IRT) Rasch analysis were used to assess measurement properties of ESS. RESULTS: The ESS1 score was (mean +/- SD), 11 +/- 5.1, and the ESS2, 13 +/- 4.7 (p < 0.001). Change in ESS score is explained in multivariate analysis by age, but not by gender, educational level, change in Stanford Sleepiness Scale, symptoms of sleep disorder, or polysomnography results. Accuracy of an ESS score >10 to predict apnea-hypopnea index >=5 increased from 56% (ESS1) to 72% (ESS2). IRT psychometric properties (unidimensionality, invariance, local independence) were maintained in ESS2. CONCLUSIONS: Repeating the administration of the Epworth Sleepiness Scale in a clinical setting increases its score and diagnostic accuracy and correlation with SDB variables, without changing the psychometric properties of the scale. This experiment indicates the clinical usefulness of repeating the ESS. The scale can be repeated at a negligible cost, before dismissing individual patients on the basis of a low ESS score, discontinuing a potentially lifesaving diagnostic and therapeutic process. PMID- 21063795 TI - Effects of obstructive sleep apnea severity on serum lipid levels in Greek children with snoring. AB - BACKGROUND: Although obstructive sleep apnea (OSA) is related to dyslipidemia in adults, limited data are available regarding its effects on serum lipids during childhood. Aim of this study was to assess the potential relationships between severity of OSA and cholesterol or triglyceride levels in a cohort of Greek children. METHODS: Data from children with snoring who underwent polysomnography and complete serum lipids measurements during a specified study period were analyzed retrospectively. RESULTS: Overall, obese children (n = 261) had lower HDL cholesterol levels than non-obese subjects (n = 113) (49.6 +/- 10.5 vs. 53.9 +/- 11.4 mg/dL; p = 0.001) and higher triglyceride concentrations (69.8 +/- 32.2 vs. 63.2 +/- 27 mg/dL; p = 0.041). Non-obese subjects with moderate-to-severe OSA did not differ in triglycerides, total, and LDL cholesterol concentrations but had lower HDL cholesterol, when compared to non-obese children with primary snoring/mild OSA (50.4 +/- 13.1 vs. 54.9 +/- 10.7 mg/dL; p = 0.008). The risk for having low HDL cholesterol (<=40 mg/dL) was threefold higher in non-obese subjects with moderate-to-severe OSA than in those with primary snoring/mild OSA, even after adjustment for age and gender [OR = 3.44 (95% CI 1.44 to 8.24; p = 0.006)]. Concentrations of serum lipids in obese children were not associated with severity of OSA. HDL cholesterol was 48.5 +/- 8.7 mg/dL in subjects with moderate-to-severe OSA and 50.0 +/- 11.1 mg/dL in children with primary snoring/mild OSA (p = 0.519). CONCLUSIONS: HDL cholesterol levels are inversely related to severity of OSA in non-obese children with snoring. PMID- 21063796 TI - Trace analysis of persistent toxic substances in the main stream of Jiangsu section of the Yangtze River, China. AB - BACKGROUND, AIM, AND SCOPE: The Jiangsu section of the Yangtze River is the downstream of the whole river, serving as an important drinking water source. Persistent toxic substances (PTS), from the industries such as automobile, textile, chemical, and electronic production, are not listed in the National Standard yet and not monitored and controlled. However, pollution of PTS can threaten the environment and human health. In order to understand the pollution status of the PTS contamination and recommend future rationalization of countermeasure, the PTS including organochlorine pesticides (OCPs), polyaromatic hydrocarbons (PAHs), polychlorobiphenyls (PCBs), and phthalates (PAEs) were investigated. MATERIALS AND METHODS: Samples were collected at 15 sites from five main stream sections of the Yangtze River in Jiangsu Province. PTS were extracted using solid phase extract method. The analysis of OCPs, PCBs was performed using GC/ECD and PAHs, PAEs was performed by GC/MS. RESULTS AND DISCUSSIONS: The method detection limits are low and the recoveries are from 58.1% to 110.3%. The total concentrations of OCPs, PCBs, PAHs, and PAEs were 0.27~2.15 ng l(-1), <0.21~44.4 ng l(-1), 0.012~3.576 MUg l(-1) and 0.178~1.474 MUg l(-1), respectively. gamma HCH, PCB28, PCB101, phenanthrene, anthracene, di-n-butyl phthalate, diethyl phthalate, and di-(2-ethylhexyl) phthalate are the major detected PTS. The concentration of most of the PTS was below the environmental quality standards for surface water of China. CONCLUSIONS: The pollution status of OCPs, PCBs, PAHs, and PAEs were analyzed. PTS contamination levels of the five main stream sections were relatively low. PMID- 21063797 TI - Influence of hydraulic retention time in a two-phase upflow anaerobic sludge blanket reactor treating textile dyeing effluent using sago effluent as the co substrate. AB - PURPOSE: Textile dyeing and sago industries are the most polluting industries in South India, especially in industrial cities like Salem, Tamil Nadu, where textile dyeing and sago industries are clumped together geographically. Conventional physicochemical treatment followed by biological processes for the effluent generated from these industries are ineffective, costlier and produce huge quantities of hazardous sludge and harmful by-products which requires further treatment and safe disposal. Hence, the development of an alternative treatment method will become important. The main objective of this investigation is to establish a sustainable biotreatment technology for the treatment of textile dyeing effluent using sago effluent as co-substrate in a two-phase upflow anaerobic sludge blanket (UASB) reactor. METHODS: In this study, influence of hydraulic retention time (HRT) in a two-phase UASB reactor treating textile dyeing effluent using sago effluent as co-substrate was investigated with different HRTs (36, 30, 24 and 18 h) with an optimum mixing ratio of 70:30 (sago to textile dye wastewaters). RESULTS: The results revealed that the HRT had a high influence on the chemical oxygen demand (COD) and colour removal. The maximum COD removal efficiency of 39.4% and 88.5% and colour removal efficiency of 43.7% and 84.4% in the acidogenic and methanogenic reactors, respectively was achieved at 24 h of HRT. The biogas production was 312 L/day. CONCLUSION: The biphasic UASB reactor could be a very feasible alternative, cost-effective, eco friendly and sustainable treatment system for textile dyeing effluent with sago effluent as a co-substrate. PMID- 21063798 TI - Lipid analysis reveals quiescent and regenerating liver-specific populations of lipid droplets. AB - The mammalian liver, a key organ in lipid homeostasis, can accumulate increased amounts of lipids in certain physiological conditions including liver regeneration. Lipid droplets (LD), the lipid storage organelles in the cytoplasm, are composed of a core of neutral lipids (mainly triacylglycerols and cholesteryl esters) surrounded by a monolayer of phospholipids and cholesterol with associated proteins. It is recognized that LD lipid composition is cell- and environment-specific and enables LD to carry out specific functions, but few descriptive studies aiming to interpret such differences have been published. We characterized eight density fractions of LD isolated from quiescent (control) and regenerating liver after partial hepatectomy, and grouped populations according to their lipid composition. LD from quiescent liver resembled the cholesteryl ester storage LD found in steroidogenic tissues, whereas in the regenerating tissue they were similar to adipocyte LD. Specifically, there were large, light LD with increased triacylglycerol content, the hallmark of liver regeneration. The apparent volume of the dense LD was, however, lower than in the quiescent density-matched populations, concomitant with increased phosphatidylcholine and phosphatidylethanolamine and decreased neutral lipid content. Analysis of the lipid profile of LD populations from quiescent and regenerating tissue leads us to define four physiological LD phenotypes for rat liver. PMID- 21063799 TI - Primary care and primary care training: mirror images. PMID- 21063801 TI - Statistical power, the Belmont report, and the ethics of clinical trials. AB - Achieving a good clinical trial design increases the likelihood that a trial will take place as planned, including that data will be obtained from a sufficient number of participants, and the total number of participants will be the minimal required to gain the knowledge sought. A good trial design also increases the likelihood that the knowledge sought by the experiment will be forthcoming. Achieving such a design is more than good sense-it is ethically required in experiments when participants are at risk of harm. This paper argues that doing a power analysis effectively contributes to ensuring that a trial design is good. The ethical importance of good trial design has long been recognized for trials in which there is risk of serious harm to participants. However, whether the quality of a trial design, when the risk to participants is only minimal, is an ethical issue is rarely discussed. This paper argues that even in cases when the risk is minimal, the quality of the trial design is an ethical issue, and that this is reflected in the emphasis the Belmont Report places on the importance of the benefit of knowledge gained by society. The paper also argues that good trial design is required for true informed consent. PMID- 21063800 TI - The diagnostic performance of multi-slice coronary computed tomographic angiography: a systematic review. AB - BACKGROUND: The use of coronary computed tomographic angiography (CCTA) for evaluation of patients with suspected coronary artery disease (CAD) is growing rapidly, yet questions remain regarding its diagnostic accuracy and its impact on clinical decision-making and patient outcomes. METHODS: A systematic literature review was conducted to identify studies examining (a) CCTA's diagnostic accuracy; and (b) the impact of CCTA on clinical decision-making and/or patient outcomes. Diagnostic accuracy estimates were limited to patient-based analyses of occlusion; outcome studies were eligible for inclusion if they involved patients at low-to-intermediate risk of CAD. Pooled accuracy estimates were derived using bivariate random effects models; non-diagnostic CCTA results were conservatively assumed to be false positives. RESULTS: A total of 42 diagnostic accuracy studies and 11 patient outcome studies were identified. The pooled mean sensitivity for CCTA in primary analyses was 98% (95% CI: 96%, 99%); specificity was 85% (81%, 89%). A small number of outcome studies set primarily in the emergency department found triage of low-risk patients using CCTA produced no serious adverse outcomes and was time-saving relative to standard triage care. Outcome studies in the outpatient setting were limited to four case series that did not directly compare patient care or outcomes with those of contemporaneous patients evaluated without CCTA. CONCLUSIONS: CCTA appears to have high diagnostic accuracy in patients with suspected CAD, but its potential impact on clinical decision-making and patient outcomes is less well-understood, particularly in non-emergent settings. PMID- 21063802 TI - Anatomists and geometers: 16th Samuel Haughton Lecture of the Royal Academy of Medicine in Ireland. AB - This paper is concerned with the interactions between medics and biologists on the one hand, the 'anatomists' of the title, and 'geometers', or engineers and physicists, on the other. It was delivered as the 16th annual Samuel Haughton Lecture on 23rd January 2010 at the Bioengineering in Ireland conference in Malahide. The paper begins with Samuel Haughton, the father of Irish biomechanics, and then discusses how anatomists and geometers have cooperated to solve problems in the areas of bone adaptation, fatigue microdamage, osteoporosis, third-level education and even art. PMID- 21063803 TI - Acute liver failure following recreational use of psychotropic "head shop" compounds. AB - The recreational use of the so-called "legal-highs" has been in both the medical and political arena over the last year as a result of the appearance of "head shops" in many towns in Ireland. These shops specialized in selling new psychotropic compounds that circumvented established drug legislation. Little is known about the potentially harmful effects of these substances but case reports suggest a plethora of harmful psychological and physical effects. Our case describes for the first time acute liver failure associated with the ingestion of two of these amphetamine type compounds. PMID- 21063804 TI - Anti-glycolipid GM2-positive Guillain-Barre syndrome due to hepatitis E infection. AB - BACKGROUND: Hepatitis E infection is most prevalent in developing countries with poor sanitation, but can also occur apparently sporadically in more developed areas. CASE: We here report a second European case of Guillain-Barre syndrome due to hepatitis E infection in association with anti-glycolipid GM2 antibody. INTERPRETATION: This is likely to be a specific association involving molecular mimicry, and further European cases can therefore be expected. PMID- 21063805 TI - Indications for admission, treatment and improved outcome of paediatric haematology/oncology patients admitted to a tertiary paediatric ICU. AB - BACKGROUND: Overall survival in paediatric cancer has improved significantly over the past 20 years. Treatment strategies have been intensified, and supportive care has made substantial advances. Historically, paediatric oncology patients admitted to an intensive care unit (ICU) have had extremely poor outcomes. METHODS: We conducted a retrospective cohort study over a 3-year period in a single centre to evaluate the outcomes for this particularly vulnerable group of patients admitted to a paediatric ICU. RESULTS: Fifty-five patients were admitted a total of 66 times to the ICU during the study period. The mortality rate of this group was 23% compared with an overall ICU mortality rate of 5%. 11/15 patients who died had an underlying haematological malignancy. Twenty-eight percent of children with organism-identified sepsis died. CONCLUSIONS: While mortality rates for paediatric oncology patients admitted to a ICU have improved, they are still substantial. Those with a haematological malignancy or admitted with sepsis are most at risk. PMID- 21063806 TI - Affinity covalent immobilization of glucoamylase onto rho-benzoquinone-activated alginate beads: II. Enzyme immobilization and characterization. AB - A novel affinity covalent immobilization technique of glucoamylase enzyme onto rho-benzoquinone-activated alginate beads was presented and compared with traditional entrapment one. Factors affecting the immobilization process such as enzyme concentration, alginate concentration, calcium chloride concentration, cross-linking time, and temperature were studied. No shift in the optimum temperature and pH of immobilized enzymes was observed. In addition, K (m) values of free and entrapped glucoamylase were found to be almost identical, while the covalently immobilized enzyme shows the lowest affinity for substrate. In accordance, V (m) value of covalently immobilized enzyme was found lowest among free and immobilized counter parts. On the other hand, the retained activity of covalently immobilized glucoamylase has been improved and was found higher than that of entrapped one. Finally, the industrial applicability of covalently immobilized glucoamylase has been investigated through monitoring both shelf and operational stability characters. The covalently immobilized enzyme kept its activity over 36 days of shelf storage and after 30 repeated use runs. Drying the catalytic beads greatly reduced its activity in the beginning but recovered its lost part during use. In general, the newly developed affinity covalent immobilization technique of glucoamylase onto rho-benzoquinone-activated alginate carrier is simple yet effective and could be used for the immobilization of some other enzymes especially amylases. PMID- 21063807 TI - Lactate-to-pyruvate ratio as a marker of propofol infusion syndrome after subarachnoid hemorrhage. AB - BACKGROUND: Propofol infusion syndrome (PRIS) is a rare but frequently fatal condition. It is characterized by cardiovascular collapse and metabolic derangement due to propofol exposure. The pathophysiology of PRIS is poorly understood, and its study has previously been limited to animal models and clinical observations. We present the first in vivo brain biochemical data in a patient with PRIS. METHODS: We report the case of a 37-year-old woman with PRIS following aneurysmal subarachnoid hemorrhage who was monitored by cerebral microdialysis (CMD). A CMD catheter was inserted into the brain and provided near real-time monitoring of brain energy-related metabolites, including lactate and pyruvate, during the time period surrounding the diagnosis of PRIS. We recorded propofol exposure, clinical manifestations, and relevant laboratory measurements. RESULTS: CMD revealed a temporal association between propofol exposure and the cerebral lactate-to-pyruvate ratio (LPR). The LPR increased linearly after propofol was restarted following an off period, and the LPR decreased linearly after propofol was discontinued. Serum lactate correlated with clinical worsening after the onset of PRIS, whereas cerebral LPR correlated with propofol exposure. CONCLUSIONS: Cerebral LPR may be a sensitive marker of PRIS. Increases in LPR following propofol exposure should alert clinicians to the possibility of PRIS and might prompt early discontinuation of propofol thereby avoiding fatal complications. PMID- 21063808 TI - Combined effects of FTO rs9939609 and MC4R rs17782313 on obesity and BMI in Chinese Han populations. AB - Genetic variants of FTO and MC4R have been linked with obesity and T2DM in populations of Europeans. In this study, we have investigated the association of FTO rs9939609 and MC4R rs17782313 with obesity and T2DM in the Chinese population and analyzed the relationship between rs9939609 and rs17782313. 2351 individuals were recruited. We tested the rs9939609 and rs17782313 by sequences retrieval method. Clinical and biochemical characteristics were measured. The rs9939609 per A allele and rs17782313 per-C allele increases of OR for obesity was 1.42 (95% CI 1.39-3.74) and 1.39 (95% CI 1.21-3.53).The genotypic OR for obesity was 1.92 (95% CI 1.81-4.67) for AA genotype, 1.71 (95% CI 1.47-4.54) for AT genotype, 1.87 (95% CI 1.72-4.00) for CC genotype, and 1.44 (95% CI 1.20-3.18) for CT genotype. BMI of participants carrying neither FTO nor MC4R risk allele was 25.9 +/- 4.9, one risk allele was 26.4 +/- 5.1, two risk alleles was 28.1 +/- 5.5, and there or four risk alleles was 33.2 +/- 6.3. We found no association between FTO and MC4R and the Chinese population with T2DM (P > 0.05). Our data support that the rs9939609 and rs17782313 are strongly associated with obesity and BMI. Their combined effects were significant in Chinese population. No association between FTO and MC4R and Chinese population with T2DM was found. PMID- 21063810 TI - Primary tuberculosis of oral cavity: a rare entity revisited. AB - Oral lesions of tuberculosis though uncommon, are seen both in the primary as well as the secondary stages of the disease. In secondary tuberculosis, the oral manifestations are accompanied by lesions in the lung, lymph nodes, or any other organ system of the body. This can be detected by the usual clinical history and systemic examination. Primary oral tuberculosis may thus present as a diagnostic challenge to the clinician. Amongst the oral lesions also, tongue is the usual site for tubercular infection, with the upper lip and soft palate being the least affected. The authors report two rare cases of children with isolated primary tuberculosis of the lip and uvula. Interestingly, the first case presenting just as a diffuse swelling of the upper lip, and the second as pain in throat with congestion and granulations on the uvula. We suggest a distinct Indian social habit which predisposes to primary lip and uvular tuberculosis, sites not common in the rest of the world. The habit of doing "datoon" i.e. brushing of the teeth with neem twigs in rural India, which at times causes trauma on the palate and thus predisposing to seedling of the wound with mycobacterium tuberculosis. PMID- 21063809 TI - Staphylococcal toxins in sudden unexpected death in infancy: experience from a single specialist centre. AB - Around two thirds of sudden unexpected deaths in infancy (SUDI) remain unexplained following post-mortem examination. It has been postulated that a subset of unexplained SUDI may be caused by toxigenic Staphylococcus aureus. The aim of this study was to compare the prevalence of toxigenic S aureus strains in unexplained and explained SUDI (those in whom a cause of death is determined at autopsy). A retrospective review was performed of 546 SUDI autopsies as part of a larger review of >1,500 pediatric autopsies over a 10-year period, 1996-2005 inclusive. SUDI was defined as the sudden and unexpected death of an infant aged 7-365 days, and categorized into unexplained, explained with histological evidence of infection (bacterial infection group) or explained due to non infective causes. Toxin gene profiling was carried out by PCR in cases in whom S aureus was isolated as part of clinical investigation. Of the 507 SUDI included in this analysis, bacteriological investigations were performed in 470, and S aureus was isolated on post-mortem culture from at least one site in 173 (37%). There were significantly more cases with S aureus isolated in unexplained SUDI (40%) compared to non-infective SUDI (21%; difference 19.0%, 95% CI 5.4% to 29.3%, P = 0.006). 46% of all cases with S aureus isolated underwent routine testing for a panel of staphylococcal toxin genes (including SEA to SEE, SEG to SEJ, TSST-1, and exfoliative toxins A and B). There were more cases with at least one toxigenic strain of S aureus in the unexplained SUDI (81%) and bacterial infection groups (77%) than in the non-infection group (63%), but these differences were not statistically significant (Fisher exact test, P = 0.44). Toxin gene-carrying S aureus is commonly detected at autopsy in SUDI, accounting for 78% of S aureus isolates submitted for toxin gene profiling in this series. There is a significantly higher prevalence of S aureus in unexplained SUDI compared to non-infective SUDI, but no significant difference in the proportion with toxigenic S aureus strains isolated between the groups. These data are consistent with the hypothesis that a subset of otherwise unexplained SUDI may be related to the presence of S aureus colonization/infection, but do not indicate routine testing for toxin-associated genotypes. PMID- 21063811 TI - Umbilical vascular catheter associated portal vein thrombosis detected by ultrasound. AB - OBJECTIVE: To determine catheter-associated thrombosis by color Doppler ultrasound and to detect duration of catheter placement as a risk factor for thrombosis. METHODS: All newborn infants with umbilical vascular catheterization for more than 6 h duration were included in this study. Color Doppler ultrasound examination was performed within 24-48 h of catheter insertion, 48-72 h after its withdrawal and weekly until hospital discharge or clot resolution. RESULTS: Portal vein thrombosis (PVT) was determined in five cases (3.04%) of 164 infants received umbilical vascular catheterization. The mean duration of catheter placement in patients with PVT was 3.4 +/- 1.94 days, which was not significantly different from infants without thrombosis (3.5 +/- 2.03). Thrombosis was completely recanalized and resolved after 3-6 weeks in three survived neonates. There was history of exchange transfusion for hyperbilirubinemia via umbilical vein in two neonates with PVT. CONCLUSIONS: Catheter-associated portal venous thrombosis was uncommon in our study. The duration of catheter placement was not longer in patients with portal vein thrombosis than those without thrombosis. PMID- 21063812 TI - CT coronary calcification: What does a score of "0" mean? AB - Calcium score (CS) is a useful tool in evaluating the risk of cardiovascular events in asymptomatic patients. The absence of detectable calcification determines excellent cardiovascular prognosis, with event rates lower than that of negative stress studies, probably due to the latter's inability to detect nonobstructive coronary artery disease (CAD). There are few primary prevention medications that would be cost-effective in such a low-risk patient population. The interval for retesting patients with zero CS is still open for debate but it should not be in less than 4 to 5 years. CS should not be used to rule out obstructive CAD in symptomatic patients, as its correlation with coronary stenosis is poor and obstructive CAD is commonly found among symptomatic zero CS patients. Most studies have found very low specificity values for CS to detect obstructive CAD in symptomatic patients, meaning it has limited ability to detect the true negative cases (ie, zero CS without obstructive CAD). PMID- 21063813 TI - Resonance assignments and secondary structure prediction of the As(III) metallochaperone ArsD in solution. AB - ArsD is a metallochaperone that delivers As(III) to the ArsA ATPase, the catalytic subunit of the ArsAB pump encoded by the arsRDABC operon of Escherichia coli plasmid R773. Conserved ArsD cysteine residues (Cys(12), Cys(13) and Cys(18)) construct the As(III) binding site of the protein, however a global structural understanding of this arsenic binding remains unclear. We have obtained NMR assignments for ArsD as a starting point for probing structural changes on the protein that occur in response to metalloid binding and upon formation of a complex with ArsA. The predicted solution structure of ArsD is in agreement with recently published crystallographic structural results. PMID- 21063814 TI - Big changes are coming in hepatitis C. AB - The story of hepatitis C virus (HCV) therapy is about to add one of its biggest chapters. From the serendipitous beginnings in the 1980s when investigators noted that interferon improves liver enzymes in non-A, non-B hepatitis, to the discovery and naming of the hepatitis virus, to the addition of ribavirin, to the pegylation of interferon, and now to the first direct-acting antivirals (DAA), the history of HCV is an intriguing one that continues to unfold. Along with the first DAAs, other important findings have helped explain long-observed differences between various ethnic groups, as well as new predictive information that can be gleaned from some of the observed adverse events. PMID- 21063815 TI - Comparative study on the effects of some polyoxyethylene alkyl ether and sorbitan fatty acid ester surfactants on the performance of transdermal carvedilol proniosomal gel using experimental design. AB - The aim of this work was to investigate the effects of formulation variables on development of carvedilol (CAR) proniosomal gel formulations as potential transdermal delivery systems. Different non-ionic surfactants; polyoxyethylene alkyl ethers, namely Brij 78, Brij 92, and Brij 72; and sorbitan fatty acid esters (Span 60) were evaluated for their applicability in preparation of CAR proniosomal gels. A 2(3) full factorial design was employed to evaluate individual and combined effects of formulation variables, namely cholesterol content, weight of proniosomes, and amount of CAR added on performance of proniosomes. Prepared proniosomes were evaluated regarding entrapment efficiency (EE%), vesicle size, and microscopic examination. Also, CAR release through cellulose membrane and permeation through hairless mice skin were investigated. Proniosomes prepared with Brij 72 and Span 60 showed better niosome forming ability and higher EE% than those prepared with Brij 78 and Brij 92. Higher EE% was obtained by increasing both weight of proniosomes and amount of CAR added, and decreasing cholesterol content. Release rate through cellulose membrane was inversely affected by weight of proniosomes. In Span 60 proniosomes, on increasing percent of cholesterol, a decrease in release rate was observed. While in Brij 72 proniosomes, an enhancement in release rate was observed on increasing amount of CAR added. Permeation experiments showed that skin permeation was mainly affected by weight of proniosomes and that Span 60 proniosomal gels showed higher permeation enhancing effect than Brij 72. Proniosomal gel could constitute a promising approach for transdermal delivery of CAR. PMID- 21063816 TI - Application of in situ polymerization for design and development of oral drug delivery systems. AB - Although preformed polymers are commercially available for use in the design and development of drug delivery systems, in situ polymerization has also been employed. In situ polymerization affords the platform to tailor and optimize the drug delivery properties of polymers. This review brings to light the benefits of in situ polymerization for oral drug delivery and the possibilities it provides to overcome the challenges of oral route of administration. PMID- 21063817 TI - What is the best femoral fixation of hamstring autografts in anterior cruciate ligament reconstruction?: a meta-analysis. AB - BACKGROUND: Several methods are available for fixing the femoral side of a hamstring autograft in ACL reconstruction and the best method is unclear. Biomechanical studies have shown varying results with regard to fixation failure. QUESTIONS/PURPOSES: We asked whether there were any differences with regard to graft failures and functional outcome measures with differing methods of femoral fixation of hamstring autografts in ACL reconstruction. METHODS: We systematically reviewed the literature using PubMed, MEDLINE, Scopus, and Cochrane Controlled Trial Register databases with regard to interference screw fixation (aperture fixation) versus noninterference screw fixation (fixation away from the joint line). A meta-analysis was performed of those studies reporting on surgical failures and postoperative International Knee Documentation Committee score. Eight studies met our inclusion criteria of Level I or II evidence. RESULTS: Use of interference screws for femoral fixation resulted in a trend toward decreased risk of surgical failure (relative risk = 0.57; confidence interval, 0.1678-1.0918). When only Level I trials were evaluated, the same trend was noted toward a decreased risk of surgical failures using femoral interference screws (relative risk = 0.52; confidence interval, 0.1794-1.3122). There was no difference in postoperative International Knee Documentation Committee score with Level I and II studies (relative risk = 0.9940; confidence interval, 0.6230 1.5860) or only Level I studies (relative risk = 1.0380; confidence interval, 0.6381-1.6886). CONCLUSIONS: The literature suggests a trend toward decreased surgical failures with femoral fixation at the joint line with an interference screw. However, there is no difference when postoperative functional outcomes are compared. Future studies are needed with standardized fixation methods and outcomes assessment to determine the importance of femoral fixation. PMID- 21063818 TI - Locating the epidural space in obstetric patients-ultrasound a useful tool: continuing professional development. AB - PURPOSE: The objective of this continuing professional development module is to describe the role of ultrasound for spine demarcation before placement of neuraxial blocks and to provide a comprehensive systematic approach towards ultrasound scanning in obstetric patients. PRINCIPAL FINDINGS: Ultrasound imaging of the lumbar spine has been shown to be effective in improving the success rate of neuraxial blocks in obstetric patients. The success rate of ultrasound-guided epidural insertions at first attempt was found to be 30-60% greater when compared with the traditional epidural method. Pre-puncture ultrasound scanning can provide reliable and accurate information on several critical aspects needed for successful epidural placement, such as the interspace level, the midline of the spine, the optimal puncture point, the optimal angle for needle insertion, and the depth to the epidural space. Ultrasound scanning of the lumbar spine can generate images in two distinct patterns - one in the transverse plane represented by a "flying bat" and the other in the paramedian longitudinal plane represented by a "saw-tooth" configuration. Both approaches allow the visualization of the ligamentum flavum and the dura mater in addition to other adjacent bony landmarks. A stepwise scanning approach can serve as a useful guide to facilitate the successful placement of epidural needles in patients with both normal and abnormal spinal anatomy. CONCLUSIONS: Incorporating the use of lumbar spine ultrasound scanning into day-to-day clinical practice may improve the ease of performing epidurals as well as add to patient safety and comfort. PMID- 21063823 TI - IUGA guidelines for training in female pelvic medicine and reconstructive pelvic surgery (FPM-RPS). Updated guidelines 2010. PMID- 21063824 TI - [10 years of treatment with TNF inhibitors--are we on the safe side?]. PMID- 21063825 TI - The risk of malignancies in RA patients treated with biologics. AB - Ever since biologics were introduced in the treatment of RA around 10 years ago, concerns about their safety profiles, including cancer, have been raised. In the case of cancer, these concerns are based on our incomplete understanding of the full effects of these drugs, or the pathways that they inhibit, and their relation to cancer. Thus, it has been difficult to formulate specific hypotheses regarding what to expect (Which cancer types? In which patients? When?), and it will take time until we feel confident that all relevant risks are well characterized. Through RCT meta-analyses and observational studies including the biologics registers, some data have emerged. So far, but with exceptions both in terms of risks observed and absence of data, the emerging picture is reassuring rather than alarming. PMID- 21063826 TI - [Biologics during pregnancy and breast--feeding]. AB - Over the past years, biological therapies, especially anti-TNF-alpha antibody therapy has emerged as a treatment approach in patients who have failed to achieve or maintain remission with tradional DMARDs. Women suffering from inflammatory arthritis may need to continue therapy throughout pregnancy and/or in the lactation period, hence the increased concern over the safety of antirheumatic drugs during pregnancy. Anti-TNF agents fall within the US FDA category B concerning fetal risk, indicating that no adequate and well-controlled studies have been conducted in pregnant or lactating women. However, in the last decade, numerous case series and registry data of pregnancies exposed to anti-TNF therapy have accumulated in the literature. According to these data, TNF inhibitor therapies appear to be safe in pregnancy, since no increased risk of malformations has been demonstrated. Ceasing therapy after conception should be considered, but treatment may be continued during pregnancy when indicated.The use of these agents is likely compatible with breast-feeding. The extent of fetal risk is not clarified for exposure to other biologics, such as Rituximab. PMID- 21063827 TI - [The safety of biologics : a risk-benefit assessment of treating rheumatoid arthritis with biologics based on registry data on mortality]. AB - The aim of this study is a risk-benefit assessment of treating rheumatoid arthritis with biologics based on registry data on mortality.UK, Sweden and Spain have published evaluable data on mortality. A parallel control group was conducted in the UK. Sweden and Spain used an historical cohort for comparison.Central registries supported British and Swedish research by sending details on all deaths. The variety of possible confounders prevents direct comparisons of the registers and safe predictions for individual patients.The death rate in TNF-inhibitor-treated patients is higher than in the general population but lower than in the control groups with RA. Thus comorbidities are not balanced, the weighted mortality rate scaled down the difference between exposed patients and controls. When TNF-inhibitors are given for the usual indication, mortality is reduced compared to conventional therapy. PMID- 21063828 TI - [The importance of patient perspective in drug surveillance systems]. AB - AIMS: using data from the German biologics register RABBIT we investigated which gain in information can be achieved by integrating patient-reported adverse drug reactions (ADRs) into drug surveillance systems. METHODS: patients with rheumatoid arthritis enrolled in the longitudinal cohort of the German biologics register between May 2001 and September 2006 who had undergone at least one follow-up were included in the study. All ADRs reported to the register either by the treating rheumatologists or the patients were coded with the same coding system (MedDRA(r)). The agreement between patients and physicians was analysed for the most frequently reported ADRs using the patient as gold standard. RESULTS: data from 4246 patients with a mean observation time of 2 years were analysed. Patients reported on average 1.2 ADRs per patient year (PY), while physicians indicated 1 ADR per PY (p<0,001). The ADR most frequently reported by patients was nausea (93.8 per 1000 PY), followed by fatigue (72.5 per 1000 PYs) and alopecia (60.6 per 1000 PYs). These ADRs were significantly less often reported by physicians. Agreement between patients and physicians was higher in more objective symptoms, such as injection site reaction (in 60.0% of cases where the patient reported this symptom, the physician did so too) or rash (53.0%), than in more subjective symptoms such as fatigue (17.4%). Agreement was highest in life-threatening events. CONCLUSIONS: patients report a higher number of ADRs than their treating physicians. Patients report subjective symptoms impacting on quality of life more frequently than physicians. Patient-physician agreement on known or clinically relevant ARDs is high. Integration of patient reports on ADRs into clinical routine could enhance the patient-physician partnership and improve compliance as well as awareness of signs and symptoms of possible ADRs. PMID- 21063829 TI - [Vaccinations in rheumatology]. AB - Patients with autoimmune or rheumatic diseases are at increased risk for infectious complications due to immunosuppressive therapy and/or the underlying immunological disease itself. To date, the consistent use of vaccinations in this patient group has been limited due to concerns about flair-ups or lack of efficacy. In prospective studies neither an increased risk of disease flair-ups nor of initiation of autoimmune disorders was found as yet; however, the data is still considered insufficient (small studies including only patients in remission). Vaccination with non-live vaccines can generally be regarded as safe and relatively effective, even in patients on immunosuppressive therapy. Since the immune response to vaccination may be markedly impaired depending on the medication used and the underlying autoimmune disease, monitoring of both serum titers and of patients' vaccination schedules should form an integral part of rheumatological care. PMID- 21063830 TI - [Regulatory B cells and their role in maintaining peripheral tolerance]. AB - The immune system is regulated by a variety of mechanisms that prevent overwhelming immune responses and a break in tolerance. There have been indications for some time that populations of B cells are also a part of this network and able to exert regulatory functions. Here we summarize current knowledge on this previously unrecognized B cell function and their potential role in protection against autoimmunity. PMID- 21063832 TI - [Rheumatism - LIGA comments on plans of the federal government]. PMID- 21063833 TI - Effect of insulin on the differential expression of VLDL receptor isoforms of SGC7901 cell and its biological implication. AB - This study examined the effect of insulin on the expression of very low density lipoprotein receptor (VLDLR) subtypes of SGC7901 cells and discussed its biological implication. In vitro, moderately or poorly-differentiated human gastric adenocarcinoma cell line SGC7901 was incubated with insulin for different lengths of time, and then the expression of protein and RNA level in VLDLR subtypes were detected by Western blotting and real-time PCR, respectively. The results showed that, at certain time interval, insulin could down-regulate expression of type I VLDLR and up-regulate the expression of type II VLDLR in SGC7901 cells, at both protein and RNA level. We are led to conclude that insulin serves as a regulator in maintaining the balance between glucose and lipid metabolism in vivo, possibly through its effect on the differential expression of VLDLR subtypes. PMID- 21063834 TI - Establishment of a functional cell line expressing both subunits of H1a and H2c of human hepatocyte surface molecule ASGPR. AB - To better understand the effect of a new split variant of human asialoglycoprotein receptor (ASGPR H1b) on ASGPR ligands' binding ability, we established a functional cell line which expresses ASGPR. The full lengths of ASGPRH1a and H2c fragments from human liver were amplified by reverse transcript PCR (RT-PCR) and inserted into eukaryotic expression vector pIRES2EGFP, pCDNA3.1 (Zeo+) respectively. The recombinants were co-transfected into HeLa cells. After selection by using Neocin and Zeocin, a stably transfected cell line was established, which was designated 4-1-6. The transcription and expression of ASGPRH1a and H2c in 4-1-6 were confirmed by RT-PCR, Western blotting and immunofluorescence. The endocytosis function of the artificial "ASGPR" on the surface of 4-1-6 was tested by FACS. It was found that the cell line 4-1-6 could bind ASGPR natural ligand molecular asialo-orosomucoid (ASOR). After the eukaryotic plasmid H1b/pCDNA3.1 (neo) was transfected into cell line 4-1-6, H1b did not down-regulate the ligand binding ability of ASGPR. The eukaryotic expression plasmid H1b/pcDNA3.1 (neo) and H2c/pcDNA3.1 (neo) were co-transfected transiently into Hela cell. Neither single H1b nor H1b and H2c could bind ASOR. In conclusion, a functional cell line of human asialoglycoprotein receptor (ASGPR) which expresses both H1a and H2c stably was established. The new split variant H1b has no effect on ASGPR binding to ASOR. ASGPRH1b alone can't bind to ASOR, it yet can't form functional complex with ASGPRH2c. PMID- 21063835 TI - Inhibitive effect of cremophor RH40 or tween 80-based self-microemulsiflying drug delivery system on cytochrome P450 3A enzymes in murine hepatocytes. AB - This study examined the effect of self-microemulsiflying drug delivery system (SMEDDS) containing Cremophor RH40 or Tween 80 at various dilutions on cytochrome P450 3A (CYP3A) enzymes in rat hepatocytes, with midazolam serving as a CYP3A substrate. The particle size and zeta potential of microemulsions were evaluated upon dilution with aqueous medium. In vitro release was detected by a dialysis method in reverse. The effects of SMEDDS at different dilutions and surfactants at different concentrations on the metabolism of MDZ were investigated in murine hepatocytes. The cytotoxicity of SMEDDS at different dilutions was measured by LDH release and MTT technique. The effects of SMEDDS on the CYP3A enzymes activity were determined by Western blotting. Our results showed that dilution had less effect on the particle size and zeta potential in the range from 1:25 to 1:500. The MDZ was completely released in 10 h. A significant decrease in the formation of 1'-OH-MDZ in rat hepatocytes was observed after treatment with both SMEDDS at dilutions ranging from 1:50 to 1:250 and Cremophor RH 40 or Tween 80 at concentrations ranging from 0.1% to 1% (w/v), with no cytotoxicity observed. A significant decrease in CYP3A protein expression was observed in cells by Western blotting in the presence of either Cremophor RH40 or Tween 80-based SMEDDS at the dilutions ranging from 1:50 to 1:250. This study suggested that the excipient inhibitor-based formulation is a potential protective platform for decreasing metabolism of sensitive drugs that are CYP3A substrates. PMID- 21063836 TI - Effects of transfection of ICAP-1alpha and its mutants on adhesion and migration of 2H-11 cells. AB - This study examined the effect of integrin cytoplasmic domain-associated protein 1alpha (ICAP-1alpha) and its mutatants T38A and I138A on the adhesion, migration and tube formation of 2H-11 cells. rAAV-ICAP-1alpha, rAAV-T38A and rAAV-I138A were constructed. After infection, the expression of ICAP-1alpha and p-ERK1/2, p c-Jun protein was measured by Western blotting. Adhesion ability was evaluated by using MTT. Cell migration was determined by using Boyden chamber method. Tube formation test was conducted on Matrigel. The results showed that in ICAP-1alpha, T38A and I138A groups, ICAP-1alpha protein expression was increased. In T38A and I138A groups, phospho-ERK1/2, phospho-c-Jun protein expressions were significantly increased as compared with the control group and the GFP group. ICAP-1alpha group protein expression was obviously decreased when compared with the control group and the GFP group. Cell adhesion ratio was 0.1429+/-0.0080 in control group, 0.1434+/-0.0077 in GFP group and the ratio in T38A and I138A groups increased to 0.3210+/-0.0082 and 0.3250+/-0.0079, respectively. In ICAP 1alpha group, the ratio was decreased to 0.1005+/-0.0073. In T38A and I138A groups, the number of migrating 2H-11 cells was increased to 31.45+/-3.20 and 33.10+/-5.40 against 18.51+/-2.80 in control group and 20.47+/-3.12 in GFP group. In ICAP-1alpha group, the number was decreased to 12.06+/-1.72. The number of tube-like structures was increased to 20.41+/-2.54 in T38A and to 22.26+/-3.07 in I138A groups as compared to those of control group 12.45+/-1.84 and GFP group 13.63+/-2.71. In ICAP-1alpha group, the number of tube-like structures was decreased to 8.32+/-1.24. It was suggested that rAAV-T38A and rAAV-I138A transfection can substantially increase 2H-11 cell adhesion, migration and angiogenisis, while rAAV-ICAP-1alpha can greatly inhibit the effect. These effects might be correlated with ERK1/2 and c-Jun protein phosphorylation. PMID- 21063837 TI - Expression and significance of fgl2 prothrombinase in cardiac microvascular endothelial cells of rats with type 2 diabetes. AB - Microthrombosis may be involved in the pathogenesis of cardiac microangiopathy due to diabetes. Recent studies have shown that fibrinogen-like protein 2 (fgl2) plays a pivotal role in microthrombosis in viral hepatitis, acute vascular xenograft rejection and cytokine-induced fetal loss syndrome. The current study was designed to examine the expression of fgl2 in microvascular endothelial cells and investigate the effects of microthrombi due to fgl2 on cardiac function and structure in rats with type 2 diabetes. Following induction of type 2 diabetes, 24 rats were observed dynamically. Fgl2 expression and related cardiac microthrombosis were examined. Local or circulating TNF-alpha was measured. Coronary flow (CF) per min was calculated as an index of cardiac microcirculation. Cardiac function and morphology were evaluated. It was found that Fgl2 was highly expressed in cardiac microvascular endothelial cells of rats with type 2 diabetes, which was promoted by local or circulating TNF-alpha. The Fgl2 expression was associated with cardiac hyaline microthrombosis. In parallel with the fgl2 expression, CF per min, cardiac diastolic or systolic function and cardiac morphology were aggravated to some extent. It was concluded that in rats with type 2 diabetes, microthrombosis due to fgl2 contributes to the impairment of cardiac diastolic or systolic function and morphological changes. PMID- 21063838 TI - Induction of cardiomyocyte apoptosis by anti-cardiac myosin heavy chain antibodies in patients with acute myocardial infarction. AB - Autoimmune is involved in the pathogenesis of ventricular remodeling in acute myocardial infarction (AMI). In the present study, we investigated the effect of anti-cardiac myosin heavy chain antibodies (AMHCA) from patients with AMI on rat cardiomyocyte apoptosis. Cardiomyocyte apoptosis was observed and measured by DNA end labeling and Annexin-V/PI double-staining assay. The expression of apoptosis related p53 and Bcl-2 protein and the second messenger calcium were detected respectively by Western blotting, patch clamp and confocal calcium imaging. The results showed that AMHCA was able to induce cardiomyocyte apoptosis in a dose dependent manner. Apoptosis-accelerating nucleoprotein p53 was up-regulated, while apoptosis-inhibiting cytoplasmic protein Bcl-2 was down-regulated. In parallel, cytoplasmic calcium concentration was elevated. There was no effect on L-type calcium currents. It is concluded that AMHCA in patients with AMI as a novel triggering factor can induce cardiomyocyte apoptosis, which contributes to ventricular remodeling. PMID- 21063839 TI - Roles of human epicardial adipose tissue in coronary artery atherosclerosis. AB - This study examined the adipocytokine-vascular interactions and link between epicardial adipose tissue and coronary artery atherosclerosis. Thirty-four patients undergoing open heart surgery were chosen randomly, and divided into group I (non-coronary artery disease group) and group II (coronary artery disease group). Blood samples were taken through peripheral vein prior to surgery. Plasma levels of a panel of proteins (adiponectin, IL-10, TNF-alpha) were detected by using ELISA. Epicardial adipose tissue was taken near the proximal tract of the right coronary artery and subcutaneous adipose was taken from the leg before cardiopulmonary bypassing, adiponectin and CD68 + were detected by using RT-PCR and immunohistochemistry. Our results showed that plasma adiponectin level was significantly lower in the group II as compared with group I (P<0.05). There were no differences in plasma concentration (IL-10, TNF-alpha, tatal-chol, HDL-chol, LDL-chol) between group I and group II. The number of CD68+ cells in epicardial adipose tissue of group II was significantly higher than that in subcutaneous adipose tissue. Adiponectin mRNA expression was 6 fold higher in subcutaneous adipose tissue than in epicardial adipose tissue of group II (P<0.01). Furthermore, the level of adiponectin mRNA in the epicardial adipose tissue in group II was also significantly lower than in group I (P<0.05). We are led to conclude that inflammation that occurs locally in epicardial adipose tissue of CAD contributes to the pathogenesis of coronary artery disease. PMID- 21063840 TI - IL-10 enhances promoter activity of ILT4 gene and up-regulates its expression in THP-1 cells. AB - This study examined the effect of IL-10 on immunoglobulin-like transcript (ILT4) expression of human monocytic leukemic cell line THP-1, especially the role of the ILT4 promoter activity. ILT4 promoter area was amplified by PCR, and was cloned into the eukaryotic expressing vector pGL3-Basic. The pGL3-ILTP obtained was tested by double endonuclease digestion and sequencing. Then, the recombinant plasmid was transfected into THP-1 cells by using lipofectamine. After culture with IL-10 for 12 h, the mRNA extracted from THP-1 cells was detected by RT-PCR and the protein was detected by FACS. The dual-luciferase reporter assay system was employed to detect the activity of ILT4 promoter with or without IL-10. The results showed that the activity of pGL3-ILTP was significantly increased and was more than ten times that of pGL3-Basic cells. After culture with IL-10 for 12 h, the expression of ILT4 protein and its mean fluorescence intensity (MFI) were increased. Moreover, the mRNA was remarkably higher than that of the control group. Dual-luciferase reporter assay revealed that ILT4 promoter was much more activated after being treated with IL-10. We were led to conclude that pGL3-ILTP containing ILT4 promoter was constructed successfully. The expression of ILT4 could be up-regulated by IL-10 both at the transcriptional and translational level. Furthermore, ILT4 promoter could be much more active after addition of IL 10. This study suggests that IL-10 up-regulates ILT4 expression on monocytes via increasing ILT4 gene promoter activity, which may have implication for inducing transplantation tolerance in clinical practice. PMID- 21063841 TI - Primary tracheobronchial amyloidosis in China: analysis of 64 cases and a review of literature. AB - Primary tracheobronchial amyloidosis (TBA) is a rare pulmonary disease. A systematic review was performed on 64 cases of primary TBA in China and progress in the diagnosis and treatment of this disease is discussed. The Chinese biological and medical databases from 1970 to 2010 were searched and 75 cases of complete clinical and pathological data were identified. The clinical characteristics of the disease were summarized and longitudinal comparisons were made of diagnostic and treatment methods over time. The results showed that the morbidity associated with primary TBA has increased over recent years. The clinical manifestations were non-specific. Progressive dyspnea, cough and sputum were the most common symptoms. The percentage of patients undergoing computed tomography (CT) scan has increased over the years. The bronchoscopy and transbrochial lung biopsy (TBLB) were usually sufficient to establish the diagnosis. Treatment was reported for a total of 44 cases. Bronchoscopic Nd:YAG laser irradiation, argon plasma coagulation (APC) and drugs administration such as steroids and colchicines were reported to be effective in some patients. It is concluded that the demographic characteristics and clinical manifestations of primary TBA patients in China are largely consistent with findings reported in other countries. Dramatically more cases were reported in recent years, mainly due to the extensive application of bronchoscopy since 1990s. Chest CT scan provides important clues for the diagnosis of the disease. The definite diagnosis was confirmed by bronchoscopic findings and Congo red staining of biopsy specimen. Bronchoscopic Nd:YAG laser irradiation, argon plasma coagulation (APC) and drugs administration, such as steroids and colchicines were reported to be effective in some patients. PMID- 21063842 TI - Prevalence and risk factors associated with chronic kidney disease in a Uygur adult population from Urumqi. AB - Evaluating the prevalence of kidney damage according to population-based studies in different communities has been limited in developing countries. We conducted a population-based screening study in Uygur people of Urumqi, aiming to identify the prevalence and associated risk factors of chronic kidney disease (CKD) in Uygur populations. A total of 2576 residents (>18 years) from four districts of Urumqi were interviewed from June 2007 to January 2009 and tested for haematuria, albuminuria and reduced renal function. Associations between age, gender, smoking, diabetes mellitus, hypertension, hyperuricaemia and kidney damage were examined. There were 2576 subjects enrolled in this study. After age correction, the prevalence of albuminuria, haematuria and reduced estimated glomerular filtration rate (eGFR) was 3.58%, 2.26% and 1.03%, respectively. Approximately 5.65% of the sample population had at least one indicator of kidney damage. Age, diabetes mellitus, hypercholesteremia, hyperuricaemia and hyperlipidaemia were independently associated with CKD. In the general Uygur adult population from Urumqi, 5.65% had either proteinuria, haematuria or reduced eGFR, indicating the presence of kidney damage, with an awareness of only 1.05%. The high prevalence and low awareness of CKD in this population suggest an urgent need for CKD prevention programs in Uygur people. PMID- 21063843 TI - Effects of Ad-p27mt gene transfer on the expression of Bax, Bcl-2, VEGF and MMP-9 in the transplanted liver tumors in nude mice. AB - In this study, the mechanism by which Ad-p27mt inhibits the growth, invasion and metastasis of transplanted liver tumor was studied by examining the effects of Ad 27mt gene transfer on the expression of Bax, Bcl-2, VEGF and MMP-9 in the transplanted liver tumors in nude mice. The model of transplanted hepatic tumor was established in nude mice. The mice were then divided into three groups, which were injected with PBS, Ad-LacZ and Ad-p27mt and the growth of the transplanted liver tumor was observed. The expressions of P27, Bax and Bcl-2 proteins were detected by Western blotting and the expressions of VEGF and MMP-9 were immunohistochemically determined. Our result showed that the tumor size, expressions of Bax, Bcl-2 proteins, VEGF and MMP-9 were all lower than those in PBS and Ad-LacZ groups and the differences were statistically significant (P<0.05). Our study suggested that Ad-p27mt could inhibit the growth, invasion and metastasis of hepatic cancer by lowering the expressions of VEGF and MMP-9. PMID- 21063844 TI - Expression of Toll-like receptor 4 in neonatal cord blood mononuclear cells in patients with preeclampsia. AB - The expression of Toll-like receptor 4 (TLR4) in neonatal cord blood mononuclear cells (MNCs) and serum TNF-alpha were investigated in order to explore the roles of TLR4 in the pathogenesis of preeclampsia. The study enrolled 27 patients suffering from preeclampsia (experimental group) and 21 normal pregnancy patients (control group). After MNCs were separated, the expression of TLR4 mRNA and protein was detected by using real-time quantitative PCR and Western blotting respectively, and the expression of TNF-alpha by using ELISA. The results showed the TLR4 mRNA level in cord blood MNCs (2(-DeltaCT): 0.07+/-0.17), TLR4 protein expression level (absorbance ratio: 0.81%+/-0.15%) and TNF-alpha level (9.5+/ 1.73 pg/mL) were all increased in experimental group as compared with control group with the differences being statistically significant (P<0.05). There was a positive correlation between the expression of TLR4 mRNA and TNF-+/- in both experimental group and control group (r=0.54 and 0.53, respectively, P<0.05). It was concluded that TLR4 expression in the experimental group of cord blood MNCs was increased and there was a positive correlation between the expression of TLR4 mRNA and TNF-alpha in both groups. TLR4-mediated release of inflammatory cytokines may be one of the important reasons leading to preeclampsia. PMID- 21063845 TI - Effect of Mad2 on paclitaxel-induced cell death in ovarian cancer cells. AB - In this article, the status of spindle assembly checkpoint and the alteration of its major component, Mad2 protein level were examined in A2780 and SKOV3 ovarian cancer cell lines. Recombinant eukaryotic expression plasmid pEGFP-Mad2 was transfected into paclitaxel-resistant SKOV3 cells and Mad2 protein was knocked down by Mad2-specific siRNA in paclitaxel-sensitive A2780 cells. Then the expression level of Mad2 gene was detected by Western blotting. Flow cytometry revealed that SKOV3 cells were not fully arrested in G(2)/M phase in contrast to A2780 cells in the presence of paclitaxel. However, paclitaxel sensitivity assay showed that sensitivity to paclitaxel was reversed after the transfection in both cell lines in terms of number of cells arrested at G(2)/M phase and the expression of Bcl-2 was significantly changed. These results suggest that weakened spindle checkpoint with reduced expression of Mad2 is associated with resistance to paclitaxel in ovarian cells and Bcl-2 may be involved in this process. PMID- 21063846 TI - Clinicopathological features and prognosis of small cell carcinoma of the cervix. AB - Small cell carcinoma of cervix (SCCC) is a rare disease with highly aggressive behaviour and is pathologically hard to diagnose. In this study, the clinicopathological features, diagnosis, treatment and prognosis of the condition were examined. Clinical records and follow-up data of 7 cases of SCCC were retrospectively studied. Our results showed that five non-recurrent cases initially presented irregular vaginal bleeding or increased apocenosis of varying degrees. Pathological examination revealed that the stroma was diffusely infiltrated with small monomorphous cells ranging from round to oval shape. Three cases were immunohistochemically confirmed. One case was accompanied with squamous cell cancer. Of the 7 cases, one case was classified as stage I b1, two stage I b2, one stage IIa, one stage IIb, and one stage IIIb. On the basis of their stages of condition, one subject with stage III b underwent chemotherapy, and one with stage Ib2 received extensive hysterectomy plus pelvic lymphadenectomy, while the other 5 cases were treated by extensive hysterectomy and pelvic lymphadenectomy in combination with pre- and/or post-operative adjuvant chemotherapy and radiotherapy. Of the 7 patients, 4 had relapse-free survival of 14, 14, 16 and 28 months respectively. It is concluded that SCCC is an aggressive tumor with propensity for early pelvis lymph node metastases. Early stage patients should be treated by extensive hysterectomy and pelvic lymphadenectomy in combination with pre- and/or post-operative adjuvant chemotherapy and radiotherapy. PMID- 21063847 TI - Insulin in endometrial carcinoma chemotherapy: a beneficial addition and not a problem. AB - The effects of insulin or insulin in combination with chemotherapeutic drugs on the proliferation and apoptosis of endometrial carcinoma cells were examined with an aim to determine the efficacy and safety of insulin in endometrial cancer therapy. Ishikawa and Hec-1A cells were treated with insulin and/or paclitaxel. Cell proliferation was assessed by MTT assay. Cell cycle and cell apoptosis were determined by flow cytometry (FCM). Survivin gene expression was detected by RT PCR. Our results showed that in a certain range of working concentrations and action time, insulin could mildly augment cell proliferation and the percentage of S phase cells in endometrial cancer (Ishikawa/Hec-1A) cells. Insulin plus paclitaxel (combination group) could significantly inhibit cell proliferation (69.38%+/-2.32% vs 40.31%+/-4.52% with Ishikawa; 64.11%+/-6.33% vs 45.89%+/-3.27% with Hec-1A) and increase cell apoptosis compared with treatment with paclitaxel alone (paclitaxel group). Survivin gene expression was also significantly decreased in combination group as compared with paclitaxel group. We are led to conclude that insulin can mildly augment cell proliferation and present chemotherapy sensitivity in endometrial cancer cells. Insulin can be to used safely and efficiently in endometrial cancer therapy. PMID- 21063848 TI - Serum adiponectin and resistin levels in patients with polycystic ovarian syndrome and their clinical implications. AB - In this study, serum adiponectin and resistin levels were determined in 46 patients with polycystic ovarian syndrome (PCOS), and their correlation with serum sexual hormones and insulin resistance (IR) were examined. The subjects included 26 obese patients with body mass index (BMI)>25 and 20 non-obese patients with BMI[Symbol: see text]25, with 25 obese and 25 non-obese healthy volunteers without PCOS serving as controls. Serum adiponectin and resistin levels in all subjects were measured, and endocrinal and metabolic indices were also analysed. Our results showed that the serum adiponectin levels in both obese and non-obese PCOS groups were significantly lower than their controls, while the serum resistin levels in obese and non-obese PCOS group were significantly higher than in their controls (P<0.001). The serum adiponectin level was significantly lower and serum resistin level significantly higher in the non-obese PCOS group as compared with the obese control group (P<0.05). Serum adiponectin level was negatively correlated with FIN, HOMA-IR, LH and LH/FSH (P<0.05), but serum resistin level was positively correlated with FIN, HOMA-IR, LH and LH/FSH (P<0.05). We are led to conclude that PCOS patients have obvious IR, low serum adiponectin and high serum resistin, and adiponectin and resistin might play important roles in the pathogenesis of IR in PCOS patients. PMID- 21063849 TI - Elevated homocysteine and C-reactive protein levels independently predict worsening prognosis after stroke in Chinese patients. AB - Increased plasma total homocysteine (tHcy) and high sensitivity C-reactive protein (hsCRP) levels are independent risk factors for cardiovascular disease. However, the predictive value of tHcy in combination with hsCRP in patients with stroke is not known. To determine the relationship between tHcy and hsCRP, we enrolled 291 patients with first-onset stroke (196 ischemic and 95 hemorrhagic). Plasma tHcy and hsCRP levels were measured and subsequent vascular events and deaths were determined over a 5-year period. Using the arbitrary cutoff for tHcy (<18 MUmol/L and >=18 MUmol/L) and hsCRP (<1 mg/L, 1-3 mg/L and >3 mg/L), the patients were divided into 6 groups. Survival analysis showed that the probability of death or new vascular events during a 5-year follow-up increased according to tHcy and hsCRP levels (P<0.01). The relative risk (RR) of death or new vascular events was 4.67 (95% CI, 1.96 to 11.14, P=0.001) in patients with high tHcy (>=18 MUmol/L) and hsCRP (>3 mg/L) compared with those with low tHcy (<18 MUmol/L) and hsCRP (<1 mg/L). The increased tHcy level (>=18 MUmol/L) combined with increased hsCRP level (>3 mg/L) was still significantly associated with the risk of death or new vascular events (RR, 4.10, 95% CI, 1.61 to 10.45, P=0.003) even when adjusted for other risk factors at inclusion. The combination of increased tHcy and hsCRP levels had a stronger predictive value than increased hsCRP alone or increased tHcy level alone. Further studies are required to evaluate the potential decrease in risks associated with lowering both Hcy and hsCRP levels in patients that present with both increased tHcy and hsCRP. PMID- 21063850 TI - The role of high-sensitivity C-reactive protein, interleukin-6 and cystatin C in ischemic stroke complicating atrial fibrillation. AB - This study examined the role of high-sensitivity C-reactive protein (hsCRP), interleukin-6 (IL-6) and cystatin C in ischemic stroke complicating atrial fibrillation (AF) and the relationship of systemic inflammation with this disease in order to identify AF patients who are at high risk of stroke and need optimal anticoagulant therapy. A total of 103 AF patients, simple (n=75) or complicated by ischemic stroke (n=28), and 112 control subjects were recruited. IL-6 level was detected by using enzyme linked immunosorbent assay. Cystatin C and hsCRP levels were measured by means of a particle-enhanced immunonephelometric assay. The results showed that the AF patients had higher levels of hsCRP (P=0.004), IL 6 (P=0.000), and cystatin C (P=0.000) than control subjects. Plasma hsCRP level was increased in the AF patients with ischemic stroke as compared to the patients with simple AF (P=0.036). The AF patients who had the level of hsCRP exceeding 3.83 mg/L were at a higher risk than those with hsCRP level lower than 3.83 mg/L (P=0.030). After adjusting for other factors, cystatin C remained positively associated with IL-6 (r=0.613) and hsCRP (r=0.488). It was concluded that hsCRP is positively correlated with ischemic stroke complicating AF and may be a risk factor independent of other risk factors for AF. Elevated cystatin C level is also indicative of the increased risk of AF. PMID- 21063851 TI - A meta-analysis of mood stabilizers for Alzheimer's disease. AB - The objective of this study was to assess the clinical evidence for or against mood stabilizers as a treatment for Alzheimer's disease (AD). We searched 5 databases from their inception to January 2010. Five randomized clinical trials of mood stabilizers to treat human patients suffering from AD were included. These trials assessed the effectiveness of mood stabilizers as an adjunct treatment to conventional anti-dementia drugs on behavioral and psychological symptoms, especially on agitation. Methodological quality was assessed using the Jadad score. The results suggested a significant effect in favor of placebo on the Mini-Mental Status Examination [n=270, weight mean difference (WMD), -0.89; 95% confidence intervals (CIs) -1.69 to -0.09, P=0.03] and on the Neuropsychiatric Inventory total (NPI total) (n=51, WMD, 3.71; 95% CIs 0.15 to 7.26, P=0.04). There were no significant differences in change scores on total Brief Psychiatric Rating Scale (BPRS total), NPI/BPRS agitation, Cohen-Mansfield Agitation Inventory total and Physical Self Maintenance Scale between mood stabilizers and placebo. Only one of these studies was free of methodological limitations (Jadad score=5). In conclusion, based on the existing evidence, mood stabilizers are ineffective or even harmful as a treatment for AD. PMID- 21063853 TI - Hybrid procedure for thoracic aortic disease. AB - Form 2008 to 2009, four patients with complex thoracic aortic disease, including aortic aneurysms and dissections, were successfully treated in our department with a new treatment approach: hybrid procedure. Combined open surgery and endovascular repair were performed in these patients without deep hypothermia or circulatory arrest. Compared to those who underwent traditional open surgery in the same period, time of mechanical ventilation and ICU stay was decreased in these four patients. All of them were discharged soon after operation without postoperative complications or death. The result suggests that this new approach could be an option for thoracic aortic disease, but long-term and large population studies are still required to demonstrate the safety and validity. PMID- 21063852 TI - Blockade of the sonic hedgehog signalling pathway inhibits choroidal neovascularization in a laser-induced rat model. AB - Sonic hedgehog (Shh) signaling has recently been shown to be involved in the pathological angiogenesis in response to tissue hypoxia and ischemic injury. Hypoxia/ischemia is considered to play an important role in the development of choroidal neovascularization (CNV). This study was aimed to examine the effect of blockade of the Shh signaling pathway on CNV and the underlying mechanism. A total of 64 male Brown-Norway (BN) rats were used in this study. One eye of each rat underwent laser photocoagulation. The other eye served as normal control. After the laser treatment, the 64 rats were divided into four groups (n=16 in each group): Blank control group, in which no intravitreal administration was given; cyclopamine group, recombinant Shh N-terminals protein (rShh) group and phosphate-buffered saline (PBS) group, in which cyclopamine (a Shh inhibitor), rShh (a Shh activator) and PBS were intravitreally injected into the laser treated eyes respectively every other day for a total of four intravitreal injections immediately after the laser treatment. Fourteen days after the intravitreal administration, the changes of CNV-related variables, including positive CNV lesion percentage, CNV membrane area and CNV membrane thickness, were evaluated by fluorescein angiography, indocyanine green angiography and pathological examinations. The mRNA and protein expression of PTCH1, Gli1, HIF 1(alpha), VEGF and DLL4 in each group on 14 days of CNV model was detected by real-time quantitative PCR and western blot analysis, and the relationship between the Shh cascade and the HIF-1(alpha)-VEGF-DLL4 cascade in CNV was analyzed. The results showed that the CNV membrane area and the CNV membrane thickness were decreased by 62.5% and 41.9% in the cyclopamine group and increased by 85.7% and 64.3% in the rShh group in comparison to those in the blank control group (P<0.01 for each). There was no significant difference in the CNV membrane area and thickness between the blank control group and PBS group (P=0.102 and P=0.063, respectively). Real-time quantitative PCR revealed a 5.23-, 4.14-, 2.97-, 2.78- and 2.39-fold up-regulation of the mRNA expression of PTCH1, Gli1, HIF-1(alpha), VEGF and DLL4 genes in the laser-treated eyes compared with the normal control eyes in the control group. In the cyclopamine group, the mRNA and protein expression of Gli1, HIF-1(alpha), VEGF and DLL4 was significantly down-regulated (P<0.05 for each) while the expression of PTCH1 showed no significant changes at the mRNA (P=0.293) and protein level (P=0.304). The mRNA expression and protein expression (P=0.001 and P=0.021, respectively) of PTCH1, Gli1, HIF-1(alpha), VEGF and DLL4 was significantly increased in the rShh group when compared with the control group. The expression level of these genes was related to the severity of the CNV. It was concluded that intravitreal administration of cyclopamine can effectively inhibit the formation of laser induced experimental CNV by down-regulating the expression of the HIF-1(alpha) VEGF-DLL4 cascade in CNV. The Shh signaling pathway as an upstream signaling pathway of HIF-1(alpha)-VEGF-DLL4 cascade is implicated in the development of experimental CNV. PMID- 21063854 TI - Retroperitoneoscopic microwave ablation of renal hamartoma: middle-term results. AB - The safety and efficacy of retroperitoneoscopic microwave ablation (MWA) in the treatment of renal hamartoma were evaluated. From July 2007 to July 2009, a total of 16 cases of renal hamartoma were treated with retroperitoneoscopic MWA. Peri- and post-operative findings were observed. Middle-term efficacy was assessed by contrast-enhanced computerized tomography (CT) in follow-up period. All patients received MWA of 1-5 points. The mean operative time was 85 min and the mean blood loss was 65 mL. During a median follow-up of 16 months, no evidence of disease recurrence was observed despite of incomplete ablation in 1 case. Retroperitoneoscopic MWA is a relatively simple procedure with less impact to renal function and less complication. The outcome of middle-term follow-up is satisfactory. Thus, retroperitoneoscopic MWA appears to be a safe and effective technique for renal hamartoma in selected patients. PMID- 21063855 TI - Wave intensity analysis of carotid artery: a noninvasive technique for assessing hemodynamic changes of hyperthyroid patients. AB - This study examined the wave intensity (WI) of the carotid artery in patients with hyperthyroid in order to assess the hemodynamic changes of hyperthyroid patients. A total of 86 hyperthyroid patients without cardiac morphological changes and arrhythmia, and 80 healthy control subjects were enrolled in the study. Right common carotid artery (RCCA) was selected for ultrasonic imaging to obtain WI indices, including amplitude of the peak during early systole (W1), amplitude of the peak during late systole (W2), area of the negative wave during mid-systole (NA), interval between R wave of electrocardiogram and W1 (R-1st), interval between W1 and W2 (1st-2nd). The levels of serum thyroid hormones, consisting of free triiodothyronine (FT3), free thyroxin (FT4) and thyroid stimulating hormone (TSH), were measured in hyperthyroid patients. Echocardiographic indices including left ventricular ejection fraction (LVEF) and left ventricular fraction shortening (LVFS) were determined in each subject. The results showed that the W1, W2, NA, and (1st-2nd*HR) in hyperthyroid patients were significantly higher than those in healthy controls. There was no significant difference in LVEF and LVFS between the two groups. FT3 was correlated with W1, W2, NA, (1st-2nd*HR), pulse pressure (PP) and heart rate (HR) in hyperthyroid patients. Several abnormal waves on WI curves were present in 19 hyperthyroid patients during mid-systole. It was concluded that WI technique may prove a real-time, noninvasive, sensitive and convenient tool for assessing the cardiac function and hemodynamic alterations in hyperthyroid patients. PMID- 21063856 TI - Use of dentritic cells pulsed with HLA-A2-restricted MAGE-A1 peptide to generate cytotoxic T lymphocytes against malignant glioma. AB - This study developed a novel approach of targeting malignant glioma with pMAGE A1(278-286)-specific cytotoxic T lymphocytes (CTLs) induced from the peripheral blood mononuclear cells of healthy donors by multiple stimulations with human leukocyte antigen (HLA)-A2-restricted pMAGE-A1(278-286) peptide-pulsed dentritic cells. Cytotoxic assays were performed by the colorimetric CytoTox 96 assay to analyze cytotoxic activity of the induced CTLs against various target cells. The induced CTLs showed approximately 45% specific lysis against T2pMAGE-A1(278-286) (pMAGE-A1(278-286) peptide pulsed T2 cells) and U251 (HLA-A2(+), MAGE-A1(+)) at an effector:target ratio of 40:1, and approximately 5% cytolysis against T2pHIV, A172 (HLA-A2(-), MAGE-A1(+)), K562 and T2 cells without being pulsed with peptide at any effector:target ratio. The specific killing activity of the induced CTLs against T2pMAGE-A1(278-286) and U251 was much more obvious than in any other control group (P<0.05). The cytotoxic activity against the T2pMAGE-A1(278-286) and U251 was significantly eliminated by anti-HLA class I mAb W6/32. These results suggest that pMAGE-A1(278-286) epitope may serve as a surrogate tumor antigen target of specific immunotherapy for treating HLA-A2 patients with malignant glioma. PMID- 21063857 TI - Time-dependent changes in CT of radiation-induced liver injury: a preliminary study in gastric cancer patients. AB - In this study, the time-dependent changes on dynamic computed tomograph (CT) of radiation-induced liver injury in gastric cancer patients was examined. The CT images of 52 gastric cancer patients who had received chemoradiotherapies were reviewed on the PACS system. Dynamic CT scan was performed in all the subjects. Our results showed that 18 patients were found to have radiation-induced liver injury. The CT findings of radiation-induced liver injury in gastric cancer patients tend to show up one month after radiation treatment. The damaged area was of low density on all three phases, and then it was enhanced on portal vein phase or delay phase. The focal radiation reaction of liver without basic disease vanished 9-11 months later after treatment. We are led to conclude that dynamic CT is of help in the diagnosis of CRT-induced liver injury, and it may be the method of choice for following up the whole course of the CRT-induced liver injury, i.e., form hepatic damage to healing. The classification of CT findings we recommend can avoid the influence of technological factors, and thereby serve as a better guide for treatment of CRT-induced liver injury. PMID- 21063858 TI - Acute mesenteric ischemia. AB - OPINION STATEMENT: Acute mesenteric ischemia is caused by a critical reduction in intestinal blood flow that frequently results in bowel necrosis and is associated with a high mortality. Clinicians must maintain a high index of suspicion because a prompt diagnosis and early aggressive treatment before the onset of bowel infarction results in reduced mortality. Medical management includes aggressive rehydration and the use of antibiotics, anticoagulation, vasodilators, and inhibitors of reperfusion injury. If acute mesenteric ischemia is suspected, early angiography is imperative, as it permits accurate diagnosis and possible therapeutic intervention. Therapeutic options during angiography depend on the cause of ischemia and include administering intra-arterial vasodilators and/or thrombolytic agents and angioplasty with or without stent placement. If interventional techniques are not possible or if the patient presents with suspicion of bowel infarction, surgery is warranted. Surgical techniques include superior mesenteric artery embolectomy or visceral artery bypass, which should be used before bowel resection to ensure only resection of nonviable bowel. PMID- 21063859 TI - Opioid-induced bowel dysfunction. AB - OPINION STATEMENT: Opioid analgesics are commonly prescribed for moderate to severe pain. Opioids exert effects via receptors in the central and enteric nervous systems. Thus, central opioid analgesia can be limited by side effects involving the gastrointestinal tract, particularly by gastrointestinal motility delay. Opioid-induced bowel dysfunction is commonly treated with bulking agents, stimulant laxatives, lubiprostone, and tegaserod (removed from the market in March 2007). However, these treatments' efficacy in opioid bowel dysfunction has not been proven. Recent research has focused on developing peripheral MU opioid antagonists such as methylnatrexone and alvimopan. These drugs selectively block MU opioid receptors in the enteric nervous system without penetrating the blood brain barrier and can avert adverse gastrointestinal symptoms of opioids without reducing central analgesia. Methylnaltrexone and alvimopan also reduce hospitalization duration in surgical patients with postoperative ileus. A second line of research has focused on peripheral kappa opioid agonists that modulate nociception in the enteric nervous system without producing central nervous system side effects. Asimadoline and fedotozine reduce nociceptive reflexes caused by gut distention and improve pain symptoms in patients with irritable bowel syndrome. ADL 10-0101 (Adolor Corp., Exton, PA) is another peripheral kappa opioid agonist that lowers pain scores in patients with chronic pancreatitis. Although peripheral kappa opioid agonists are promising, clinical studies are needed to assess their efficacy in treating opioid-induced bowel dysfunction. PMID- 21063860 TI - Lactose malabsorption. AB - OPINION STATEMENT: Lactose malabsorption is a syndrome producing constellation of symptoms, including abdominal pain, bloating, flatulence, diarrhea, and sometimes nausea and/or vomiting. Primary causes of lactose malabsorption due to loss of intestinal lactase activity include genetic/racial lactase nonpersistence, congenital lactase deficiency, and developmental lactase deficiency. Secondary lactose malabsorption can be caused by any disorder that injures the small intestinal mucosa, such as viral gastroenteritis, celiac disease, allergic (eosinophilic) gastroenteritis, and radiation enteritis. The diagnosis depends on careful clinical evaluation and is customarily confirmed with a lactose breath hydrogen test. As the symptoms are nonspecific, many adults diagnosed with lactose malabsorption actually have irritable bowel syndrome. Treatment consists of a trial of eliminating lactose-containing dairy foods, with supplementation of alternative calcium and protein sources. Commercial enzyme products containing beta-galactosidases can be prescribed to help patients digest dietary lactose. Long-term lactose restriction usually is not necessary and can lead to reduced bone mineral density. PMID- 21063861 TI - Nocturnal acid breakthrough on proton pump inhibitor therapy: to treat or not to treat. AB - OPINION STATEMENT: Nocturnal acid breakthrough is misunderstood and infrequently requires treatment in everyday practice. There are important subgroups of patients in whom esophageal reflux occurs in the presence of nocturnal acid breakthrough in which treatment may be needed. PMID- 21063862 TI - On-demand PPI therapy in GERD. AB - OPINION STATEMENT: Considerable clinical experience with proton pump inhibitors (PPIs) attests to their efficacy and safety in short-and long-term therapy for gastroesophageal reflux disease (GERD) in erosive esophagitis and endoscopy negative (NERD) patients. One alternative to continuous PPI maintenance is PPI therapy administered noncontinuously (eg, "on demand"). Qualities of good PPI therapy for such a modality should include fast and sustained acid suppression. The newer-generation PPI esomeprazole has provided greater acid inhibition. Randomized controlled clinical trials comparing on-demand PPI versus placebo or versus continuous PPI therapy in GERD patients have shown that on-demand therapy with currently available PPIs (esomeprazole in particular) appears to be effective in the long-term management of patients with NERD or mild esophagitis and uninvestigated forms of GERD, who make up the overwhelming majority of patients with GERD. This is because it is safe and provides adequate symptom control and sustains quality of life. However, it is not indicated in patients with severe erosive esophagitis or with complicated esophagitis (ie, Barrett's esophagus or stricture). On-demand PPI therapy is important for economic reasons, as it may save up to two thirds of daily doses of the drugs, with a considerable containment of drug expenses. For a long-term disease whose treatment is aimed primarily at symptom control, it is a sensible and cost-effective approach. PMID- 21063863 TI - Intestinal interposition for benign esophageal disease. AB - OPINION STATEMENT: Various options exist for intestinal interposition for benign, but debilitating, end-stage esophageal disorders. Principally, the stomach, colon, or jejunum is used for esophageal replacement. Much debate exists regarding the ideal esophageal replacement option. The conduit choice must be tailored to the individual patient. Unlike malignant processes, the conduit choice for benign disorders must be sufficiently durable and functional. Colonic interposition meets both criteria. However, this operative procedure's technical difficulty increases the complexity of this already challenging clinical problem, as seemingly small errors in judgment and technique can significantly impact graft viability and long-term function. Using a gastric tube also provides durability and functionality, but with an operative procedure that is less technically demanding. A minimally invasive laparoscopic transhiatal esophagectomy offers the patient even more benefit in terms of shorter operative times and intensive care unit and recovery periods. However, the advent of surgical robotic technology augments these benefits even further. Robotic technology arms the surgeon with improved dexterity and three-dimensional visualization. These revolutionary improvements allow the surgeon to overcome many of the operative limitations that exist with the open and minimally invasive approaches to esophagectomy, thus potentially offering patients reduced morbidity and mortality rates. PMID- 21063864 TI - Medical management of iatrogenic esophageal perforations. AB - OPINION STATEMENT: Esophageal perforation is an uncommon, potentially disastrous occurrence with high mortality rates even when managed with surgery. Over the past few decades, several case series have shown that nonoperative management is a feasible option in some patients, although the criteria for selecting such patients are neither firmly established nor accepted by all those who manage these critical patients. The decision to manage a patient without surgery should be made collaboratively with a surgeon. No single criterion, with the possible exception of sepsis and shock, mandates surgical management. Randomized, prospective studies comparing surgical and nonsurgical therapy have not been performed. Factors that can affect the decision to proceed nonoperatively include the perforation's site and size, the patient's underlying comorbidities, and the patient's hemodynamic status on presentation. Healthy patients with small, contained perforations who present without sepsis tend to be the best candidates for nonoperative management. Intravenous antibiotics and cessation of oral intake should be instituted immediately, even before confirming the diagnosis. Mediastinal fluid collections and pleural effusions often coexist with esophageal perforations and must be managed concomitantly. Percutaneously placed drains are an important adjunct to therapy when collections are identified. Endoscopic stenting has been introduced as a means to seal the perforation. After embarking on a nonoperative course, patients still may deteriorate and require surgery, so close follow-up is warranted for every patient. When proper nonoperative management strategies are followed, outcomes have been shown to be at least equivalent to those of surgical management in most series. In this review, the principles of patient selection and medical therapy for iatrogenic esophageal perforations are discussed. PMID- 21063865 TI - Esophageal disease in progressive systemic sclerosis. AB - OPINION STATEMENT: Progressive systemic sclerosis (PSS) or scleroderma is characterized by fibrosis of the skin and visceral organs. Gastrointestinal disease occurs in up to 90% of patients, with the esophagus being the most commonly affected organ. Heartburn, dysphagia, and regurgitation occur in most patients. Esophageal manometry aids in diagnosing PSS. Endoscopy rules out complications, such as Barrett's esophagus, Candida esophagitis, and cancer. Lifestyle modifications should be implemented, including avoidance of alcohol, nicotine, and NSAIDs. Proton pump inhibitor therapy should be instituted, although it is unclear whether the dose should be adjusted according to symptoms or to 24-hour pH monitoring. Prokinetic agents are useful in the early stages of PSS when gastrointestinal musculature is still intact. Metoclopramide improves reflux, lower esophageal sphincter pressure, and gastric emptying but has an inconsistent effect on esophageal peristalsis. A decision on when to perform antireflux surgery, if at all, is controversial. Esophageal disease in PSS is a common and difficult-to-treat problem. PMID- 21063866 TI - QTL consistency and meta-analysis for grain yield components in three generations in maize. AB - Grain yield is the most important and complex trait in maize. In this study, a total of 258 F(9) recombinant inbred lines (RIL), derived from a cross between dent corn inbred Dan232 and popcorn inbred N04, were evaluated for eight grain yield components under four environments. Quantitative trait loci (QTL) and their epistatic interactions were detected for all traits under each environment and in combined analysis. Meta-analysis was used to integrate genetic maps and detected QTL across three generations (RIL, F(2:3) and BC(2)F(2)) derived from the same cross. In total, 103 QTL, 42 pairs of epistatic interactions and 16 meta-QTL (mQTL) were detected. Twelve out of 13 QTL with contributions (R(2)) over 15% were consistently detected in 3-4 environments (or in combined analysis) and integrated in mQTL. Only q100GW-7-1 was detected in all four environments and in combined analysis. 100qGW-1-1 had the largest R(2) (19.3-24.6%) in three environments and in combined analysis. In contrast, 35 QTL for 6 grain yield components were detected in the BC(2)F(2) and F(2:3) generations, no common QTL across three generations were located in the same marker intervals. Only 100 grain weight (100GW) QTL on chromosome 5 were located in adjacent marker intervals. Four common QTL were detected across the RIL and F(2:3) generations, and two between the RIL and BC(2)F(2) generations. Each of five important mQTL (mQTL7-1, mQTL10-2, mQTL4-1, mQTL5-1 and mQTL1-3) included 7-12 QTL associated with 2-6 traits. In conclusion, we found evidence of strong influence of genetic structure and environment on QTL detection, high consistency of major QTL across environments and generations, and remarkable QTL co-location for grain yield components. Fine mapping for five major QTL (q100GW-1-1, q100GW-7-1, qGWP-4-1, qERN-4-1 and qKR-4-1) and construction of single chromosome segment lines for genetic regions of five mQTL merit further studies and could be put into use in marker-assisted breeding. PMID- 21063867 TI - An uncertain role for Cu(II) in stimulating Mn(II) oxidation by Leptothrix discophora SS-1. AB - In an effort to improve understanding of the role of Cu(II) in bacterial Mn(II) oxidation, a model Mn(II)-oxidizing bacterium, Leptothrix discophora SS-1, was grown in presence of toxic and non-toxic concentrations of Cu(II), Cd(II) and Mn(II). Mn(II)-oxidizing activity increased by 40% when cells were grown in the presence of 0.05 microM of Cu(II) and increased twofold at 0.18 microM Cu(II). Toxic levels of Cd(II) did not stimulate Mn(II) oxidizing activity, indicating that Mn(II) oxidation is not a response to metal toxicity. Stimulation by Cu(II) confirms the specific role of Cu(II) in Mn(II) oxidation. Comparison of transcript levels of the multicopper oxidase mofA gene in the presence and absence of added Cu(II) do not indicate a statistically significant change in mofA transcript levels in cultures supplemented with Cu(II). Thus, the exact role of Cu(II) in Mn(II) oxidation and its affect on mofA gene expression remain uncertain. PMID- 21063868 TI - Positive effects of Red Bull(r) Energy Drink on driving performance during prolonged driving. AB - BACKGROUND: The purpose of this study was to examine if Red Bull(r) Energy Drink can counteract sleepiness and driving impairment during prolonged driving. METHODS: Twenty-four healthy volunteers participated in this double-blind placebo controlled crossover study. After 2 h of highway driving in the STISIM driving simulator, subjects had a 15-min break and consumed Red Bull(r) Energy Drink (250 ml) or placebo (Red Bull(r) Energy Drink without the functional ingredients: caffeine, taurine, glucuronolactone, B vitamins (niacin, pantothenic acid, B6, B12), and inositol) before driving for two additional hours. A third condition comprised 4 h of uninterrupted driving. Primary parameter was the standard deviation of lateral position (SDLP), i.e., the weaving of the car. Secondary parameters included SD speed, subjective driving quality, sleepiness, and mental effort to perform the test. RESULTS: No significant differences were observed during the first 2 h of driving. Red Bull(r) Energy Drink significantly improved driving relative to placebo: SDLP was significantly reduced during the 3rd (p < 0.046) and 4th hour of driving (p < 0.011). Red Bull(r) Energy Drink significantly reduced the standard deviation of speed (p < 0.004), improved subjective driving quality (p < 0.0001), and reduced mental effort to perform the test (p < 0.024) during the 3rd hour of driving. Subjective sleepiness was significantly decreased during both the 3rd and 4th hour of driving after Red Bull(r) Energy Drink (p < 0.001 and p < 0.009, respectively). Relative to uninterrupted driving, Red Bull(r) Energy Drink significantly improved each parameter. CONCLUSION: Red Bull(r) Energy Drink significantly improves driving performance and reduces driver sleepiness during prolonged highway driving. PMID- 21063869 TI - Inhibition of cation channels in human erythrocytes by spermine. AB - In erythrocytes, spermine concentration decreases gradually with age, which is paralleled by increases of cytosolic Ca2+ concentration, with subsequent cell shrinkage and cell membrane scrambling. Cytosolic Ca2+ was estimated from fluo-3 fluorescence, cell volume from forward scatter, cell membrane scrambling from annexin V binding and cation channel activity with whole-cell patch-clamp in human erythrocytes. Extracellular spermine exerted a dual effect on erythrocyte survival. At 200 MUM spermine blunted the increase of intracellular Ca2+, cell shrinkage and annexin V binding following 48 h exposure of cells at +37 degrees C. In contrast, short exposure (10-30 min) of cells to 2 mM spermine was accompanied by increased cytosolic Ca2+ and annexin binding. Intracellular addition of spermine at subphysiological concentration (0.2 MUM) significantly decreased the conductance of monovalent cations (Na+, K+, NMDG+) and of Ca2+. Moreover, spermine (0.2 MUM) blunted the stimulation of voltage-independent cation channels by Cl- removal. Spermine (0.2 and 200 MUM) added to the extracellular bath solution similarly inhibited the cation conductance in Cl- containing bath solution. The effect of 0.2 MUM spermine, but not the effect of 200 MUM, was rapidly reversible. Acute addition (250 MUM) of a naphthyl acetyl derivative of spermine (200 MUM) again significantly decreased basal cation conductance in NaCl bath solution and inhibited voltage-independent cation channels. Spermine is a powerful regulator of erythrocyte cation channel cytosolic Ca2+ activity and, thus, cell survival. PMID- 21063870 TI - Species diversity, distribution, and genetic structure of endophytic and epiphytic Trichoderma associated with banana roots. AB - Selective isolation, molecular identification and AFLP were used to investigate the distribution of the various species of endophytic and epiphytic Trichoderma associated with banana roots and to compare and contrast their genetic structure. Three specific groups of Trichoderma were observed in the roots of banana. Group one, which made up the largest population, comprised T. asperellum, T. virens, and Hypocrea lixii, which were isolated from both inside and on the surface of the banana roots, while group two, made up of T. atroviride and T. koningiopsis, existed on the surface only. Group three, comprising only T. brevicompactum was isolated from the inside of the roots. The AFLP analysis revealed Nei's diversity indices of 0.15 and 0.26 for epiphytic T. asperellum and T. virens, respectively. The index values of 0.11 and 0.11 were obtained for endophytic T. asperellum and T. virens, respectively. The genetic diversity within endophytic T. asperellum and T. virens was lower than that within the epiphytes. This suggests that endophytic Trichoderma has a higher genetic conservation and is compatible with the relatively stable microenvironments inside roots. PMID- 21063871 TI - Prophylactic embolization of the cystic artery before radioembolization: feasibility, safety, and outcomes. AB - PURPOSE: To evaluate the safety and efficacy of two different methods of proximal cystic artery embolization in patients undergoing yttrium-90 radioembolization. MATERIALS AND METHODS: Forty-six patients had cystic artery embolization performed immediately before yttrium-90 radioembolization, either by using Gelfoam pledgets (n = 35) or coils (n = 11). Clinical symptomatology during the admission and angiographic findings at 1-month follow-up were retrospectively reviewed. Rates of collateralization or recanalization of the cystic artery were compared, as well as the frequency of postprocedural abdominal pain and need for cholecystectomy. RESULTS: Technical success was achieved in all patients, and there were no procedural complications related to cystic artery embolization. Of the 11 coil-embolized patients, 5 (45%) demonstrated collateralization of the cystic artery at 1 month, and 1 (9%) demonstrated recanalization of the cystic artery. Of the 35 Gelfoam-embolized cases, 2 (6%) had collateralized at 1 month, and 14 (40%) had recanalized. Two patients (one from each group) had self-limited right upper quadrant pain after the procedure, and one patient in the coil embolization group required cholecystectomy. CONCLUSION: Proximal cystic artery embolization is safe and feasible and may be performed during liver-directed embolotherapy to minimize the exposure of the gallbladder to particulate, chemoembolic, or radioembolic agents. PMID- 21063872 TI - Percutaneous transumbilical portal vein embolization in a patient with a ruptured hepatocellular carcinoma supplied by the portal vein. AB - We describe a case of a ruptured hepatocellular carcinoma supplied by the portal vein that was successfully treated with portal vein embolization via a percutaneous transumbilical approach. A contrast material-enhanced computed tomographic (CT) scan showed the presence of a large hypervascular tumor on portal venous phase as well as right hepatic vein thrombosis and hemoperitoneum that prevented portal vein embolization by the use of the percutaneous and transjugular transhepatic approach. The use of percutaneous transumbilical portal vein embolization can be an alternative option in this situation. PMID- 21063873 TI - A general model for the dynamics of cell volume, global stability, and optimal control. AB - Cell volume and concentration regulation in the presence of changing extracellular environments has been studied for centuries, and recently a general nondimensional model was introduced that encompassed solute and solvent transmembrane flux for a wide variety of solutes and flux mechanisms. Moreover, in many biological applications it is of considerable interest to understand optimal controls for both volume and solute concentrations. Here we examine a natural extension of this general model to an arbitrary number of solutes or solute pathways, show that this system is globally asymptotically stable and controllable, define necessary conditions for time-optimal controls in the arbitrary-solute case, and using a theorem of Boltyanski prove sufficient conditions for these controls in the commonly encountered two-solute case. PMID- 21063874 TI - Olmesartan reduces arterial stiffness and serum adipocyte fatty acid-binding protein in hypertensive patients. AB - Adipocyte fatty acid binding protein (A-FABP) has been reported to be involved in insulin resistance, lipid metabolism, and atherosclerosis; however, little is known about the effect of medication on the change in circulating A-FABP in human subjects. We evaluated the effects of angiotensin II type 1 receptor blocker (ARB) on arterial stiffness and its association with serum A-FABP in patients with hypertension. Thirty patients newly diagnosed with essential hypertension were treated with olmesartan (20 mg/day), an ARB, for 6 months. Serum levels of A FABP and high-sensitivity C-reactive protein (hsCRP) were examined and the cardio ankle vascular index (CAVI), which is a marker of arterial stiffness, was also determined. Serum A-FABP at baseline was significantly correlated with the body mass index (r = 0.45, P = 0.01), homeostasis model assessment as a marker of insulin resistance (r = 0.53, P < 0.01), and systolic blood pressure (r = 0.37, P = 0.047), and tended to be correlated with low-density lipoprotein cholesterol, triglyceride, and CAVI. Olmesartan treatment resulted in a significant decrease in CAVI, serum A-FABP levels, and hsCRP, besides a significant reduction of blood pressure. Multiple regression analysis revealed that the change in CAVI was independently correlated with the change in serum A-FABP. Olmesartan ameliorated arterial stiffness in patients with hypertension, which may be involved in the reduction of serum A-FABP. PMID- 21063875 TI - Prognostic importance of comorbidities in heart failure with preserved left ventricular ejection fraction. AB - The relative impact of comorbidities and parameters of left ventricular diastolic function on clinical outcome has not been thoroughly investigated in patients who are hospitalized for heart failure decompensation and found to have preserved ejection fraction. We identified 98 HFpEF patients among 1452 patients admitted with acute heart failure. Clinical characteristics, hemoglobin levels, estimated glomerular filtration rate (eGFR), B-type natriuretic peptide (BNP) and Doppler echocardiographic parameters were analyzed. The primary end point of the study combined death and rehospitalization for decompensated heart failure after the index hospitalization. Mean age was 76 +/- 9 years. LV ejection fraction, E/E (a) ratio, and estimated systolic pulmonary artery pressure were 61 (55-67)%, 12.9 (9.4-15.1), 40 (32-46) mmHg, respectively. BNP values, hemoglobin and eGFR were 287 (164-562) pg/mL, 11.3 (10.4-12.4) g/dL and 45 (37-74) mL/min/m(2), respectively. During a mean follow-up of 17 +/- 11 months, 56% reached the primary endpoint of the study: 31 died and 24 were re-hospitalised for heart failure. Diabetes [HR = 1.76 (1.03-3.00), P = 0.039], lower systolic blood pressure [HR = 0.99 (0.97-0.99), P = 0.016], hemoglobin [HR = 0.62 (0.49-0.76), P < 0.0001], and eGFR [HR = 0.98 (0.97-0.99), P = 0.004] were associated with a poor outcome. Neither BNP nor echocardiographic parameters were correlated with outcome. Comorbidities primarily correlate with outcome in patients with HFpEF. PMID- 21063876 TI - Effects of various doses of aspirin on platelet activity and endothelial function. AB - Although aspirin has become an established medicine for cardiac and cerebrovascular diseases, the optimal dose remains unknown. We evaluated the optimal dose of aspirin on platelet activity and endothelial function by administering 11 healthy male volunteers (32 +/- 6 years of age) doses of aspirin that were increased in a stepwise manner (0, 81, 162, 330 and 660 mg/day) every 3 days. Platelet activity was assessed as surface P-selectin expression (%) measured by flow cytometry and the platelet aggregation ratio. Endothelial function in the brachial artery was assessed by measuring flow-mediated dilation (FMD) before and after reactive hyperemia. Platelet aggregation and P-selectin expression were significantly and dose-dependently suppressed (81-660 mg), and the FMD ratio tended to increase from 0 to 162 mg, but decreased significantly at 660 mg. In conclusion, although aspirin suppressed platelet activity and even surface P-selectin expression, higher doses worsened endothelial-mediated arterial dilation. PMID- 21063877 TI - Administration of angiotensin-converting enzyme inhibitors is associated with slow progression of mild aortic stenosis in Japanese patients. AB - It is almost unknown which demographic factors or medications affect the progression of aortic stenosis (AS) in Japanese patients with mild AS. We identified a total of 194 patients with native tricuspid valvular AS, defined as a continuous-wave Doppler determined peak aortic valve jet velocity of >= 2.0 m/s, in whom echo Doppler studies were repeated at an interim of at least 6 months. Annualized change in peak jet velocity was calculated, and effects of age, sex, diabetes mellitus, blood pressure, serum low-density lipoprotein cholesterol, high-density lipoprotein cholesterol, and triglyceride levels, and use of statins and antihypertensive agents on the progression of AS were retrospectively evaluated. Peak aortic valve jet velocity was 2.36 +/- 0.79 m/s (mean +/- SD) and annualized increase in peak aortic valve jet velocity was 0.17 +/- 0.32 m/s/year for all the studied patients. The increase in peak aortic valve jet velocity was lower in patients taking angiotensin-converting enzyme inhibitors (ACE-Is) than in those not taking ACE-Is (0.04 +/- 0.22 vs. 0.20 +/- 0.32 m/s/year, P < 0.05). Such protective associations were not observed for other first-line antihypertensive agents and statins. Multiple linear regression analysis revealed that ACE-I treatment, decrease in left ventricular ejection fraction, and higher peak aortic valve jet velocity at the first echocardiogram were associated with slower progression of AS. Administration of ACE-Is was associated with the slow progression of mild AS in Japanese patients. Prospective study to assess this hypothesis is needed. PMID- 21063878 TI - Primary percutaneous coronary intervention for acute myocardial infarction due to possible sequelae of Kawasaki disease in young adults: a case series. AB - Experience of primary percutaneous coronary intervention (PCI) for young adults with acute myocardial infarction (AMI) due to sequelae of Kawasaki disease (KD) has been extremely limited. In the present report on three young adults (two males and one female; age 20-35 years) with AMI, we performed primary PCI and intravascular ultrasound imaging (IVUS). Case 1 underwent thrombectomy alone in the proximal left circumflex coronary artery, and subsequent IVUS depicted a large aneurysm with an asymmetrically intimal thickening and a residual thrombus in the culprit. Case 2 underwent balloon dilation with adjunctive intracoronary thrombolysis in the proximal left anterior descending coronary artery (LAD), and IVUS during follow-up coronary angiography (CAG) delineated a regressed giant aneurysm with a markedly intimal thickening in the culprit. Case 3, with past history highly suggesting KD, underwent balloon dilation in the proximal LAD, and follow-up CAG as well as IVUS revealed a neoaneurysmal formation in the culprit. In all of the patients, PCI was angiographically effective at the acute phase without complication. Follow-up CAG performed 3-6 months after the procedure revealed no restenosis in all three cases, but a new coronary aneurysm still remained in case 3. Although case 1 and case 2 had no obvious history of KD, the vessel wall morphology from IVUS closely resembled the coronary sequelae after KD, suggesting that they might have antecedent incomplete KD. These cases suggest that primary PCI against coronary sequelae of KD in young AMI patients might be safe and effective in the short term. PMID- 21063879 TI - Effects of hemodialysis on the cardiovascular system: quantitative analysis using wave intensity wall analysis and tissue velocity imaging. AB - Cardiovascular disease is the leading cause of death in patients with end-stage renal disease (ESRD). The aim of this study was to investigate the changes in cardiovascular function induced by a single session of hemodialysis (HD) by the analysis of cardiovascular dynamics using wave intensity wall analysis (WIWA) and of systolic and diastolic myocardial function using tissue velocity imaging (TVI). Gray-scale cine loops of the left common carotid artery, conventional echocardiography, and TVI images of the left ventricle were acquired before and after HD in 45 patients (17 women, mean age 54 years) with ESRD. The WIWA indexes, W(1) and preload-adjusted W(1), W(2) and preload-adjusted W(2), and the TVI variables, isovolumic contraction velocity (IVCV), isovolumic contraction time (IVCT), peak systolic velocity (PSV), displacement, isovolumic relaxation velocity (IVRV), isovolumic relaxation time (IVRT), peak early diastolic velocity (E'), and peak late diastolic velocity (A'), were compared before and after HD. The WIWA measurements showed significant increases in W(1) (P < 0.05) and preload adjusted W(1) (P < 0.01) after HD. W(2) was significantly decreased (P < 0.05) after HD, whereas the change in preload-adjusted W(2) was not significant. Systolic velocities, IVCV (P < 0.001) and PSV (P < 0.01), were increased after HD, whereas the AV-plane displacement was decreased (P < 0.01). For the measured diastolic variables, E' was significantly decreased (P < 0.01) and IVRT was significantly prolonged (P < 0.05), after HD. A few correlations were found between WIWA and TVI variables. The WIWA and TVI measurements indicate that a single session of HD improves systolic function. The load dependency of the diastolic variables seems to be more pronounced than for the systolic variables. Preload-adjusted wave intensity indexes may contribute in the assessment of true LV contractility and relaxation. PMID- 21063880 TI - Timing of continuous veno-venous hemodialysis in the treatment of acute renal failure following cardiac surgery. AB - The purpose was to study optimum timing of continuous veno-venous hemodialysis (CVVHD) for acute renal failure (ARF) after cardiac surgery. CVVHD was performed in two groups [elapsed time between urine output (UO) <0.5 ml/kg/h and dialysis of no more than 12 h in group A and >12 h in group B] with a total of 58 adult patients. Survivors in groups A and B were entered into groups A1 and B1, respectively. Compared to group A, the acute physiology and chronic health evaluation III score, peak values of urea and creatinine before CVVHD, major complications, period of ICU and hospitalization were significantly higher in group B. In-hospital mortality in group B was significantly higher than that in group A (37.5 vs. 8.8%, p = 0.02). Kaplan-Meier curves confirmed significantly better postoperative survival in group A (chi2 = 6.966, p = 0.008). Time elapse from UO < 0.5 ml/kg/h until dialysis among the survivors was significantly lower than that among the dead (12.0 +/- 6.2 vs. 20.8 +/- 9.1 h, p = 0.0002). Additionally, duration of dialysis, length of ICU stay, duration of ventilator support and time elapse from dialysis until UO > 1 ml/kg/h were significantly higher in group B1 as compared to those in group A1. All of them correlated positively with the time elapse from UO < 0.5 ml/kg/h until dialysis. Early beginning of CVVHD is extremely important. PMID- 21063881 TI - Surgical repair of complicated coronary arteriovenous fistula and coronary artery aneurysm in an elderly patient after 26 years of conservative therapy. AB - We describe a rare case of surgical repair of a coronary artery aneurysm with arteriosclerotic changes accompanied by coronary arteriovenous fistula (CAVF) after 26 years of conservative therapy. A 71-year-old woman, diagnosed with CAVF 26 years previously, was admitted to our hospital for general fatigue and dyspnea on exertion. Physical examinations revealed that the CAVF originated from the distal portion of the left circumflex artery (LCX), draining into the coronary sinus (CS); it affected the coronary artery aneurysm with arteriosclerotic changes and was calcified from the left coronary main trunk to the distal portion of the LCX. Treatment without resection of the calcified coronary aneurysm was suggested because of fear of excessive bleeding. The CAVF was closed directly from inside the dilated coronary sinus under cardiopulmonary bypass. The dilated ostium of the left coronary artery was closed using a Xenomedica patch. Coronary artery bypass grafting was performed in the left anterior descending artery (LAD) and posterolateral branch (PL) of the LCX using saphenous vein grafts. Postoperatively, the coronary aneurysm was spontaneously thrombosed for low blood flow. The bleeding might have been uncontrolled if the arteriosclerotic and calcified coronary aneurysm had been incised. Therefore, we successfully thrombosed the calcified coronary aneurysm without resection, after reducing the systemic blood flow to the coronary aneurysm and sustaining the coronary blood flow, performed with CABG. PMID- 21063882 TI - Cell membrane stretch activates intermediate-conductance Ca2+-activated K+ channels in arterial smooth muscle cells. AB - The aim of this study is to determine the signal transduction of membrane stretch on intermediate-conductance Ca(2+)-activated K(+) (IKca) channels in rat aorta smooth muscle cells using the patch-clamp technique. To stretch the cell membrane, both suction to the rear end of patch pipette and hypotonic shock were used. In cell-attached and inside-out patch configurations, the open probability of IKca channels increased when 20- to 45-mmHg suction was applied. Hyposmotic swelling efficiently increased IKca channel current. When the Ca(2+)-free solution was superfused, the activation of IKca current by the hyposmotic swelling was reduced. Furthermore, gadolinium (Gd(3+)) attenuated the activation of IKca channels induced by hyposmotic swelling, whereas nicardipine did not. In the experiments with Ca(2+)-free bath solution, pretreatment with GF109203X, a protein kinase C (PKC) inhibitor, completely abolished the stretch-induced activation of IKca currents. The stretch-induced activation of IKca channels was strongly inhibited by cytochalasin D, indicating a role for the F-actin in modulation of IKca channels by changes in cell stretching. These data suggest that cell membrane stretch activates IKca channels. In addition, the activation is associated with extracellular Ca(2+) influx through stretch-activated nonselective cation channels, and is also modulated by the F-actin cytoskeleton and the activation of PKC. PMID- 21063883 TI - Effects of low-energy NMR on posttraumatic osteoarthritis: observations in a rabbit model. AB - OBJECTIVE: To evaluate a possible beneficial effect of low-energy nuclear magnetic resonance (NMR) on cartilage in moderate and severe posttraumatic osteoarthrosis in the rabbit using a macroscopic and a histological grading system. DESIGN: Following transection of the anterior cruciate ligament of both knees in 24 skeletally mature New Zealand White rabbits, we observed different stages of osteoarthrosis (OA) 6 and 12 weeks postoperatively. Animals were randomized into four groups: Group 1 (eight animals) was treated after 6 weeks by NMR (magnetic field: 20-40 G, interference field: 2.35 mT, 100 kHz; MBST Device, MedTec, Germany), with 1 h of treatment for seven consecutive days. Group 2 was treated in the same pattern after 12 weeks. The sham-operated groups 3 and 4 received no treatment. Seven days after the last treatment, OA was macroscopically graded and hyaline cartilage of the load bearing area was evaluated histologically according to the Mankin scale. RESULTS: Macroscopically, there was less OA in group 1 (p < 0.01), but did not reveal significance in group 2 (p = 0.11) compared to the sham groups. There was no significant difference in the Mankin score in both of the treated groups compared to the control groups (group 1: p = 0.36; group 2: p = 0.81). CONCLUSIONS: The results showed some beneficial macroscopic effect in mild OA with less macroscopic OA signs in the treated animals but without a histological effect in the Mankin scale. There was no effect found in the pattern later OA. On behalf of these results, NMR for the treatment of posttraumatic OA cannot be recommended at this point of time. PMID- 21063884 TI - Maximum surgical blood ordering schedules for revision lower limb arthroplasty. AB - BACKGROUND: Effective utilisation of blood products is fundamental. The introduction of maximum surgical blood ordering schedules (MSBOS) for operations has been shown to improve transfusion services. A retrospective analysis was undertaken to establish an evidence-based MSBOS for revision total hip replacement (THR) and total knee revision (TKR). The impact of this schedule on blood conservation was analysed. METHODS: A retrospective analysis was undertaken on 397 patients who underwent revision THR and TKR over a 4-year period. The cross-match-to-transfusion ratio (CTR) and transfusion index (TI) were calculated. A MSBOS protocol was created based on the TIs and its' impact on transfusion services was assessed prospectively on 125 patients by comparing CTRs. RESULTS: In revision THR, TI was 1.19 for elective cases, 1.55 for emergency cases and 2.35 for infected cases. There was no difference in TI for revisions of cemented and uncemented components. Single component THR revision required less transfusion. In revision TKR, TI was 0.31 for elective cases, 2.0 for emergency cases and 1.23 for cases with infection. The introduction of the MSBOS protocol had resulted in a considerable improvement in blood ordering. Reductions in the CTR were seen for all types of revision surgery, but most evident in elective revision THR (3.24-2.18) and elective revision TKR (7.95 1.2). CONCLUSIONS: Analysis confirmed that excessive cross-matching occurred for revision lower limb arthroplasty. The introduction of our MSBOS protocol promoted blood conservation and compliance with established national guidelines. PMID- 21063885 TI - The low-profile Roman bridge technique for knotless double-row repair of the rotator cuff. AB - With advances in arthroscopic surgery, many techniques have been developed to increase the tendon-bone contact area, reconstituting a more anatomic configuration of the rotator cuff footprint and providing a better environment for tendon healing. We present a low-profile arthroscopic rotator cuff repair technique which uses suture bridges to optimize rotator cuff tendon-footprint contact area and mean pressure. A 5.5 mm Bio-Corkscrew suture anchor (Arthrex, Naples, FL, USA), double-loaded with No. 2 FiberWire sutures (Arthrex, Naples, FL, USA), is placed in the anteromedial aspect of the footprint. Two suture limbs from a single suture are both passed through a single anterior point in the rotator cuff. One suture limb is retrieved from the cannula. The second suture limb is passed through a single posterior point in the rotator cuff producing two points of fixation in the tendon, with a tendon bridge between them. The same suture limb is retrieved through the lateral portal, and then inserted into the bone by means of a Pushlock (Arthrex, Naples, FL, USA), placed approximately 1.5 2 cm posterior to the first anchor. This second suture is passed again in the posterior aspect of the cuff. The limbs of the first suture are pulled to compress the tendon in the medial aspect of the footprint. The two free suture limbs are used to produce suture bridges over the tendon by means of a Pushlock (Arthrex, Naples, FL, USA), placed 1 cm distal to the lateral edge of the footprint relative to the medially placed suture anchors anterior to posterior. This technique allows us to perform a low-profile (single pulley-suture bridges) repair for knotless double-row repair of the rotator cuff. PMID- 21063886 TI - The perception of strabismus by children and adults. AB - BACKGROUND: Visible strabismus has been shown to have adverse psychosocial consequences. It remains controversial if esotropia or exotropia is perceived more negatively. The aim of this study was to determine if esotropia or exotropia and the eye (side) in which strabismus is present are perceived differently. We also asked our adult participants: (1) if they thought visible strabismus should be corrected by surgery, (2) if they thought that strabismus surgery should only be to improve the cosmesis, and (3) if they thought that the surgery should be paid for by health insurance. METHODS: One hundred adults and 61 children rated four photographs of a digitally altered picture of a boy and four of a girl, showing a large-angle esotropia or exotropia either in the left or on the right eye. The adults were additionally asked if a squint should be operated, if they considered strabismus surgery to be a cosmetic procedure, if in their opinion strabismus surgery should be covered by compulsory health insurance, and if children with strabismus are disadvantaged. Comparisons were performed using ANOVA and regression analysis. RESULTS: Adults perceived a squinting right eye as more disturbing than a squinting left eye p < 0.001). The direction of strabismus, the age, gender, and the number of persons with a squint among family and friends of the respondents did not influence the perception of strabismus by adults (p > 0.1 for each). Children also found that a squinting right eye is more disturbing (p < 0.001) than a left one. Additionally, children ranked esotropia worse than exotropia (p < 0.001). Neither age nor gender had an impact on the perception of strabismus by children. Of the adults, 94% would recommend surgery for all forms of strabismus, 18% thought that surgery is only cosmetic, and 94% found that health insurance should cover strabismus surgery for everybody. Problems of squinting children named by the adults included: being made fun of by other children (53%), problems with eyesight (39%), people looking strangely at them (21%), less acceptance by peers (17%), less self confidence (6%), problems judging distances (4%), and that they are perceived as less intelligent (3%). CONCLUSIONS: Adults and children rated a squinting right eye as worse compared to a left one. Children perceived esotropia as more disturbing than exotropia. Neither age, nor gender, nor the fact that the respondents have friends or family members with a squint, had an impact on this ranking. Almost all adults would correct all forms of strabismus, and think that surgery should be covered by compulsory health insurance. PMID- 21063887 TI - Environmentally induced programmed cell death in leaf protoplasts of Aponogeton madagascariensis. AB - Within plant systems, two main forms of programmed cell death (PCD) exist: developmentally regulated and environmentally induced. The lace plant (Aponogeton madagascariensis) naturally undergoes developmentally regulated PCD to form perforations between longitudinal and transverse veins over its leaf surface. Developmental PCD in the lace plant has been well characterized; however, environmental PCD has never before been studied in this plant species. The results presented here portray heat shock (HS) treatment at 55 degrees C for 20 min as a promising inducer of environmental PCD within lace plant protoplasts originally isolated from non-PCD areas of the plant. HS treatment produces cells displaying many characteristics of developmental PCD, including blebbing of the plasma membrane, increased number of hydrolytic vesicles and transvacuolar strands, nuclear condensation, terminal deoxynucleotidyl transferase-mediated dUTP nick-end labeling positive nuclei, as well as increased Brownian motion within the vacuole. Results presented here for the first time provide evidence of chloroplasts in the vacuole of living protoplasts undergoing environmentally induced PCD. Findings suggest that the mitochondria play a critical role in the cell death process. Changes in mitochondrial dynamics were visualized in HS treated cells, including loss of mitochondrial mobility, reduction in DeltaPsi(m), as well as the proximal association with chloroplasts. The role of the mitochondrial permeability transition pore (PTP) was examined by pre treatment with the PTP agonist cyclosporine A. Overall, HS is depicted as a reliable method to induce PCD within lace plant protoplasts, and proves to be a reliable technique to enable comparisons between environmentally induced and developmentally regulated PCD within one species of plant. PMID- 21063889 TI - Are the eucalypt and non-eucalypt components of Australian tropical savannas independent? AB - Eucalypts (Eucalyptus and Corymbia spp.) dominate (>60%) the tree biomass of Australia's tropical savannas but account for only a fraction (28%) of the tree diversity. Because of their considerable biomass and adaptation to environmental stressors, such as fire, the eucalypts may drive tree dynamics in these savannas, possibly to the exclusion of non-eucalypts. We evaluated whether the eucalypt and non-eucalypt components in tropical savannas are dependent so that changes in one component are matched by opposite trends in the other. Using tree inventory data from 127 savanna sites across the rainfall and fire frequency gradients, we found that eucalypt and non-eucalypt basal area and species richness had a negative relationship. This relationship was maintained across the rainfall gradient, with rainfall having a positive effect on the basal area and species richness of both components, but with a greater effect in non-eucalypts. Fire frequency negatively affected basal area, but not species richness, although basal area and species richness of eucalypts and non-eucalypts did not differ in their response to fire. Rainfall appears to set the upper bounds to woody biomass in these mesic savannas, while fire maintains woody biomass below carrying capacity and facilitates coexistence of the components. The magnitude of the component responses, particularly for non-eucalypts, is determined by rainfall, but their dependence is likely due to their differential response to both rainfall and fire, but not to competition for resources. Thus, while eucalypts dominate biomass overall, at high rainfall sites non-eucalypt basal area and diversity are highest, especially where fire frequency is low. PMID- 21063888 TI - The laccase multigene family in Arabidopsis thaliana: towards addressing the mystery of their gene function(s). AB - While laccases, multi-copper glycoprotein oxidases, are often able to catalyze oxidation of a broad range of substrates, such as phenols and amines in vitro, their precise physiological/biochemical roles in higher plants remain largely unclear, e.g., Arabidopsis thaliana contains 17 laccases with only 1 having a known physiological function. To begin to explore their roles in planta, spatial and temporal expression patterns of Arabidopsis laccases were compared and contrasted in different tissues at various development stages using RT-PCR and promoter-GUS fusions. Various cell-specific expressions were noted where specific laccases were uniquely expressed, such as LAC4 in interfascicular fibers and seed coat columella, LAC7 in hydathodes and root hairs, LAC8 in pollen grains and phloem, and LAC15 in seed coat cell walls. Such specific cell-type expression patterns provide new leads and/or strategies into determining their precise physiological/biochemical roles. In addition, there was an apparent redundancy of gene expression patterns for several laccases across a wide variety of tissues, lignified and non-lignified, perhaps indicative of overlapping function(s). Preliminary evidence, based on bioinformatics analyses, suggests that most laccases may also be tightly regulated at both transcriptional (antisense transcripts, histone and DNA methylation) and posttranscriptional (microRNAs) levels of gene expression. PMID- 21063890 TI - Impact of arbuscular mycorrhizal fungi on the allergenic potential of tomato. AB - Arbuscular mycorrhizal (AM) fungi influence the expression of defence-related genes in roots and can cause systemic resistance in plants probably due to the induced expression of specific defence proteins. Among the different groups of defence proteins, plant food allergens were identified. We hypothesized that tomato-allergic patients differently react to tomatoes derived from plants inoculated or not by mycorrhizal fungi. To test this, two tomato genotypes, wild type 76R and a nearly isogenic mycorrhizal mutant RMC, were inoculated with the AM fungus Glomus mosseae or not under conditions similar to horticultural practice. Under such conditions, the AM fungus showed only a very low colonisation rate, but still was able to increase shoot growth of the wild-type 76R. Nearly no colonisation was observed in the mutant RMC, and shoot development was also not affected. Root fresh weights were diminished in AM-inoculated plants of both genotypes compared to the corresponding controls. No mycorrhizal effects were observed on the biomass and the concentration of phosphate and nitrogen in fruits. Real-time quantitative polymerase chain reaction analysis revealed that six among eight genes encoding for putative allergens showed a significant induced RNA accumulation in fruits of AM-colonised plants. However, human skin reactivity tests using mixed samples of tomato fruits from the AM-inoculated and control plants showed no differences. Our data indicate that AM colonisation under conditions close to horticultural practice can induce the expression of allergen-encoding genes in fruits, but this does not lead necessarily to a higher allergenic potential. PMID- 21063891 TI - Psychosocial risk and protective factors for postpartum depression in the United Arab Emirates. AB - Limited research has been conducted in the United Arab Emirates in relation to postpartum depression. The purpose of this study was to investigate the risk and protective factors of postpartum depression in women in Sharjah, United Arab Emirates. We carried out a prospective study in which we followed women from the second trimester of pregnancy until 4 months postpartum. Data were collected during the second and third trimesters and then at 2- and 4- months postpartum. The risk/protective factors that were investigated included: depression and anxiety during pregnancy, stressful life events, breastfeeding, employment status following delivery, religiosity, and socio-demographic variables. The Edinburgh Postnatal Depression Scale (screening) and the Mini International Neuropsychiatric Inventory (diagnostic) were used as outcome variables. Using the Mini International Neuropsychiatric Inventory (diagnostic), 10% of the 137 participants in the study were diagnosed with postpartum depression. The following variables were found to be predictive of postpartum depression: depression during pregnancy in both the second and third trimesters: number of children, religion, and use of formula for feeding. Several factors were of borderline significance including educational level of mother, lack of breastfeeding, personal stressful life events, and employment status following delivery. These risk factors are important as they indicate potential areas for early identification. Screening of pregnant women during pregnancy and in the postpartum phase would be important. This study forms the foundation for further research and development related to prevention and intervention for postpartum depression in this Arab context. PMID- 21063892 TI - Micturation related swelling of the scrotum. AB - Unlike small inguinal and femoral bladder hernias, massive bladder hernias into the scrotum, also named scrotal cystoceles, are rare. We describe and discuss the clinical appearance and management of a patient with a micturation related unilateral swelling of the scrotum. PMID- 21063894 TI - Identification of Treg-like cells in Tetraodon: insight into the origin of regulatory T subsets during early vertebrate evolution. AB - CD4(+)CD25(+)Foxp3(+) regulatory T cells (Treg cells) are critical for the maintenance of peripheral tolerance, and the suppression of autoimmune diseases and even tumors. Although Treg cells are well characterized in humans, little is known regarding their existence or occurrence in ancient vertebrates. In the present study, we report on the molecular and functional characterization of a Treg-like subset with the phenotype CD4-2(+)CD25-like(+)Foxp3-like(+) from a pufferfish (Tetraodon nigroviridis) model. Functional studies showed that depletion of this subset produced an enhanced mixed lymphocyte reaction (MLR) and nonspecific cytotoxic cell (NCC) activity in vitro, as well as inflammation of the intestine in vivo. The data presented here will not only enrich the knowledge of fish immunology but will also be beneficial for a better cross-species understanding of the evolutionary history of the Treg family and Treg-mediated regulatory networks in cellular immunity. PMID- 21063895 TI - Functional impact of PTP1B-mediated Src regulation on oxidative phosphorylation in rat brain mitochondria. AB - Given the presence of Src and PTP1B within rat brain mitochondria, we have investigated whether PTP1B regulates Src activity in mitochondria as in the cytosol. Results showed that Src was stimulated by in vitro addition of ATP to mitochondria, and this stimulation was reversed by a membrane-permeable allosteric inhibitor of PTP1B and by a potent selective Src inhibitor. They also indicated a direct action of PTP1B on phosphorylated tyrosine 527 residue of Src, thus implicating a role for PTP1B in the modulation of Src activity in mitochondria. Putative Src and PTP1B substrates were identified by liquid chromatography tandem mass spectrometry and two-dimensional blue native/SDS-PAGE. Both inhibitors inhibited ADP-stimulated respirations concurrently with Src activation and complex IV activation by ATP, while having no effect or increasing the activity of the other complexes. Our analysis emphasizes the regulatory function of Src and its modulation by PTP1B on oxidative phosphorylation in mitochondria. PMID- 21063893 TI - Biology of HLA-G in cancer: a candidate molecule for therapeutic intervention? AB - Although the expression of the non-classical HLA class I molecule HLA-G was first reported to be restricted to the fetal-maternal interface on the extravillous cytotrophoblasts, the distribution of HLA-G in normal tissues appears broader than originally described. HLA-G expression was found in embryonic tissues, in adult immune privileged organs, and in cells of the hematopoietic lineage. More interestingly, under pathophysiological conditions HLA-G antigens may be expressed on various types of malignant cells suggesting that HLA-G antigen expression is one strategy used by tumor cells to escape immune surveillance. In this article, we will focus on HLA-G expression in cancers of distinct histology and its association with the clinical course of diseases, on the underlying molecular mechanisms of impaired HLA-G expression, on the immune tolerant function of HLA-G in tumors, and on the use of membrane-bound and soluble HLA-G as a diagnostic or prognostic biomarker to identify tumors and to monitor disease stage, as well as on the use of HLA-G as a novel therapeutic target in cancer. PMID- 21063896 TI - Primary intracranial leiomyosarcoma in an immunocompetent patient: case report. AB - We report a case of intracranial leiomyosarcoma (LMS) arising after resection of neurofibroma at the cerebellopontine angle. A 45-year-old immunocompetent woman presented with recurrence of a tumor 9 years after resection performed in another hospital. Magnetic resonance imaging demonstrated a heterogeneously enhancing, dura-based mass at the left cerebellopontine angle. The tumor was subtotally removed via lateral suboccipital craniotomy. LMS was diagnosed based on histological and immunohistochemical findings. Postoperatively, although the patient was treated using local radiotherapy, she died due to rapid regrowth of the tumor. Reevaluation of the specimen obtained in the first operation led to a diagnosis of neurofibroma. Both LMS and neurofibroma rarely occur intracranially. LMS is generally thought to arise from smooth muscle cells of the blood vessels or pluripotent mesenchymal cells. In this case, LMS might also have originated from smooth muscle cells of the vessels in the neurofibroma, possibly associated with mechanical and/or heat stimulation during the previous surgery. PMID- 21063897 TI - Impaired hippocampal synaptic plasticity in C6 glioma-bearing rats. AB - For many glioblastoma multiforme patients, cognitive deficits are part of the disease process. In this study we attempted to determine the role of synaptic plasticity and glutamate (Glu) in C6 glioma-bearing rats. Male Sprague-Dawley (SD) rats were subjected to tumor implantation in the right caudate putamen nucleus. At 17 days after tumor implantation, animals were exposed to an open field test. The numbers of crossings and rearings were used as measures of exploration processes. An input/output (I/O) curve was first determined using the measurements of field excitatory postsynaptic potential (fEPSP) slope in response to a series of stimulation intensities. The short-term potentiation (STP) and long-term potentiation (LTP) induced by high-frequency stimulation (HFS) in the CA1 region of the contralateral hippocampus to the tumor were recorded. The glutamate and gamma-aminobutyric acid (GABA) content of contralateral hippocampus were quantified by high-performance liquid chromatography (HPLC). C6 glioma bearing rats showed a trend for a rightward shift of input/output relationship and significant deficits in maintenance of STP and LTP. Quantitative analysis by HPLC of glutamate and gamma-aminobutyric acid revealed that Glu concentration and Glu/GABA ratio were increased significantly in contralateral hippocampus, suggesting impairment of excitatory and inhibitory synaptic transmission. The results suggest that the neurocognitive deficits in C6 glioma-bearing rats may be mediated via profound changes in neuroplasticity and elevated Glu concentration and Glu/GABA ratio in hippocampus area of the brain. PMID- 21063900 TI - Modulation of lupus phenotype by adiponectin deficiency in autoimmune mouse models. AB - Adiponectin is an adipocyte-derived cytokine with anti-inflammatory properties. Paradoxically, circulating adiponectin levels are increased in a number of inflammatory diseases. Thus, we sought to define the role of adiponectin deficiency in mouse models of autoimmunity. Adiponectin-deficient mice on a C57BL/6 background do not develop an autoimmune phenotype. Autoimmunity was also not observed in adiponectin-deficient mice generated on the permissive MRL background. However, adiponectin deficiency exacerbated the autoimmune phenotype of MRL-lpr mice. Compared with MRL-lpr mice, MRL-lpr.apn(-/-) mice displayed greater lymphadenopathy and splenomegaly, as well as increased anti-nuclear antibody and anti-dsDNA production. In addition, evaluation of the kidney revealed larger glomerular tuft size, crescent formation, increased IgG and C3 deposits, and mesangial expansion in the MRL-lpr.apn(-/-) mice. The effects of adiponectin deficiency on the autoimmune phenotypes were more pronounced in female versus male mice. These data show that, while adiponectin deficiency is not sufficient to confer autoimmunity, adiponectin acts as a negative modulator of the autoimmune phenotype in a murine model of lupus. PMID- 21063899 TI - Effect of lignin-derived lignophenols on vascular oxidative stress and inflammation in streptozotocin-induced diabetic rats. AB - Lignophenols (LP) are the derivatives of native lignin, which is an abundant organic polymer in the plant kingdom. This study investigated whether LP can attenuate vascular oxidative stress and inflammation in streptozotocin (STZ) induced diabetic rats. The diabetic rats induced by a single intravenous injection of STZ were randomly divided into two groups fed either 0 or 1.0% LP containing diet. After 5 weeks of treatment, the superoxide (O(2)(-)) production, mRNA expression levels of nicotinamide adenine dinucleotide (phosphate) (NAD(P)H) oxidase subunits, monocyte chemoattractant protein-1 (MCP-1) and its receptor C-C chemokine receptor 2 (CCR2), and protein expression level of inducible nitric oxide synthase (iNOS) were examined in the aorta of vehicle-injected control and diabetic rats treated with or without LP. The increased O(2)(-) production and mRNA expression levels of NAD(P)H oxidase subunits Nox4 and p47phox were found to be significantly reduced in the aorta of diabetic rats treated with LP. The mRNA expression of MCP-1 and CCR2, and the protein expression of iNOS were found to be increased in the aorta of untreated diabetic rats, whereas these levels were significantly lower in the LP-treated group. These findings suggest that LP could attenuate vascular oxidative stress and/or inflammation via inhibition of NAD(P)H oxidase. This may lead to an improvement in the vascular impairment of diabetes. PMID- 21063898 TI - PI3Kinase signaling in glioblastoma. AB - Glioblastoma (GBM) is the most common primary tumor of the CNS in the adult. It is characterized by exponential growth and diffuse invasiveness. Among many different genetic alterations in GBM, e.g., mutations of PTEN, EGFR, p16/p19 and p53 and their impact on aberrant signaling have been thoroughly characterized. A major barrier to develop a common therapeutic strategy is founded on the fact that each tumor has its individual genetic fingerprint. Nonetheless, the PI3K pathway may represent a common therapeutic target to most GBM due to its central position in the signaling cascade affecting proliferation, apoptosis and migration. The read-out of blocking PI3K alone or in combination with other cancer pathways should mainly focus, besides the cytostatic effect, on cell death induction since sublethal damage may induce selection of more malignant clones. Targeting more than one pathway instead of a single agent approach may be more promising to kill GBM cells. PMID- 21063902 TI - American Indian family caregivers' experiences with helping elders. AB - In recent years, a vast literature has accumulated on the negative effects on family caregivers of providing care to elders, while relatively little research has explored caregiving as a positive experience. Only a handful of studies have examined any aspect of informal caregiving among American Indians. This mixed methods study explores the negative and positive aspects of providing elder care among 19 northern plains American Indian family members. These caregivers described low levels of burden and high levels of reward, attributable to cultural attitudes toward elders and caregiving, collective care provision, strong reciprocal relationships with elders, enjoyment of elders, and relatively low levels of care provision. Caregiving manifested as part of a complex exchange of assistance rather than a unidirectional provision of assistance from the family member to the elder. That caregiving emerged as such an overwhelmingly positive experience in a community faced with poverty, alcohol disorders, trauma, and cultural traumatization is testimony to the important roles that elders often continue to play in these communities. PMID- 21063901 TI - CD8(+) T cells in facioscapulohumeral muscular dystrophy patients with inflammatory features at muscle MRI. AB - Facioscapulohumeral muscular dystrophy (FSHD) is an inherited disease, and although strongly suggested, a contribution of inflammation to its pathogenesis has never been demonstrated. In FSHD patients, we found by immunohistochemistry inflammatory infiltrates mainly composed by CD8(+) T cells in muscles showing hyperintensity features on T2-weighted short tau inversion recovery magnetic resonance imaging (T2-STIR-MRI) sequences. Therefore, we evaluated the presence of circulating activated immune cells and the production of cytokines in patients with or without muscles showing hyperintensity features on T2-STIR-MRI sequences and from controls. FSHD patients displaying hyperintensity features in one or more muscles showed higher CD8(+)pSTAT1(+), CD8(+)T-bet(+) T cells and CD14(+)pSTAT1(+), CD14(+)T-bet(+) cells percentages and IL12p40, IFNgamma and TNFalpha levels than patients without muscles displaying hyperintense features and controls. Moreover, the percentages of CD8(+)pSTAT1(+), CD8(+)T-bet(+) and CD14(+)pSTAT1(+) cells correlated with the proportion of muscles displaying hyperintensity features at T2-STIR sequences. These data indicate that circulating activated immune cells, mainly CD8(+) T cells, may favour FSHD progression by promoting active phases of muscle inflammation. PMID- 21063903 TI - Short- and long-term relationship orientation and 2D:4D finger-length ratio. AB - Recent studies have shown that preferences for close relationships (Long-Term Relationship Orientation) are independent of preferences for various sexual partners (Short-Term Relationship Orientation). In the current studies, we hypothesized that Short-Term Relationship Orientation would be negatively related to 2D:4D finger-length ratio (i.e., the more masculine, the higher Short-Term Relationship Orientation). Study 1 found a negative relationship between Short Term Relationship Orientation and right, but not left, hand 2D:4D among 91 male participants. Study 2 found a negative relationship between Short-Term Relationship Orientation and left, but not right, hand 2D:4D among 65 male participants, even after controlling for age, relationship status, social desirability, and sex drive. Female participants (n = 142) did not show this relationship in Study 2. This sex difference was discussed in terms of flexible female sexual strategies, which are supposed to be contingent on the local environment or menstrual cycle variations. PMID- 21063904 TI - Recalled separation anxiety and gender atypicality in childhood: a study of Canadian heterosexual and homosexual men and women. AB - The current study tested the hypothesis that elevated childhood separation anxiety is associated with female-typical childhood behavior and identity by comparing retrospective reports of heterosexual and homosexual men and women (N = 399). Participants completed measures of recalled childhood separation anxiety and childhood gender-atypical behavior and identity. Heterosexual men reported significantly less childhood separation anxiety relative to all other groups. Childhood gender atypicality was significantly positively correlated with childhood separation anxiety among homosexual men, but not among members of other participant groups. Discussion focused on the implications of these findings for the proposed hypothesis as well as future directions for research examining the bases of developmental associations among sex, sexual orientation, gender atypicality, and childhood separation anxiety. PMID- 21063905 TI - Inhibition of the c-fms proto-oncogene autocrine loop and tumor phenotype in glucocorticoid stimulated human breast carcinoma cells. AB - The c-fms proto-oncogene encoded CSF-1 receptor and its ligand represent a feedback loop, which in a paracrine manner, is well known to promote spread of breast cancers. The role of the autocrine feedback loop in promotion of breast tumor behavior, in particular in vitro, is less well understood. The physiologic stimulation of c-fms expression by glucocorticoids (GCs) in vitro and in vivo magnifies the tumor promoting effect seen in these cells from activated c-fms signaling by CSF-1. Targeted molecular therapy against c-fms could therefore abrogate both complementary feedback loops. Using breast cancer cells endogenously co-expressing receptor and ligand, we used complementary approaches to inhibit c-fms expression and function within this autocrine pathway in the context of GC stimulation. Silencing RNA (shRNA), antisense oligonucleotide therapy (AON), and inhibition of c-fms signaling, were all used to quantitate inhibition of GC-stimulated adhesion, motility, and invasion of human breast cancer cells in vitro. shRNA to c-fms downregulated GC-stimulated c-fms mRNA by fourfold over controls, correlating with over twofold reduction in cellular invasiveness. AON therapy was also able to inhibit GC stimulation of c-fms mRNA, and resulted in threefold less invasiveness and 1.5 to 2-fold reductions in adhesion and motility. Finally, the small-molecule c-fms inhibitor Ki20227 was able to decrease in a dose-response manner, breast cancer cell invasion by up to fourfold. Inhibition of this receptor/ligand pair may have clinical utility in inhibition of the autocrine as well as the known paracrine interactions in breast cancer, thus further supporting use of targeted therapies in this disease. PMID- 21063907 TI - Crystallization of the photosystem II core complex and its chlorophyll binding subunit CP43 from transplastomic plants of Nicotiana tabacum. AB - Photosystem II from transplastomic plants of Nicotiana tabacum with a hexahistidine tag at the N-terminal end of the PsbE subunit (alpha-chain of the cytochrome b(559)) was purified according to the protocol of Fey et al. (BBA 12:1501-1509, 2008). The protein sample was then subjected to two additional gel filtration runs in order to increase its homogeneity and to standardize the amount of detergent. Large three dimensional crystals of the core complex were obtained. Crystals of one of its chlorophyll binding subunits (CP43) in isolation grew in very similar conditions that differed only in the concentration of the detergent. Diffraction of Photosystem II and CP43 crystals at various synchrotron beamlines was limited to a resolution of 7 and 14 A, respectively. In both cases the diffraction quality was insufficient for an unambiguous assignment of the crystallographic lattice or space group. PMID- 21063906 TI - Effects of feeding with corn gluten meal on trypsin activity and mRNA expression in Fugu obscurus. AB - The molecular regulation of the trypsin activity and the effects of corn gluten meal (CGM) on the trypsin activity and gene expression in Fugu obscurus were examined. The fish were fed with diets containing 0, 50, 100, 150, and 200 g kg-1 CGM for 60 days. The trypsin activity and mRNA levels in the intestine, stomach, and hepatopancreas were measured before and after the experimental feeding. Enzyme activity was highest in the intestine, and mRNA expression was highest in the hepatopancreas prior to feeding the experimental diets. The effect of dietary CGM on the trypsin activity was dependent on the concentration. Enzyme activity was significantly lower in the hepatopancreas and stomach of the 200 g kg-1 treatment group than in control group. In contrast, activity was enhanced in the stomach of the 100 g kg-1 treatment group. Different amounts of CGM in the diets also resulted in significant changes in the trypsin mRNA levels in all three tissues. The trypsin mRNA expression in stomach, hepatopancreas, and intestine increased with increasing CGM from 0 to 10% and decreased with increasing CGM from 10 to 20%. The trypsin mRNA expression was highest in the 100 g kg-1 treatment group, which was significantly higher than that of in the control. In addition, the changes in the trypsin mRNA expression levels were not reflected by changes in the trypsin activity. Our results suggest that the trypsin activity is regulated not only at the transcription level but also during translation and posttranslational modification. PMID- 21063908 TI - Prebiotic synthesis of methionine and other sulfur-containing organic compounds on the primitive Earth: a contemporary reassessment based on an unpublished 1958 Stanley Miller experiment. AB - Original extracts from an unpublished 1958 experiment conducted by the late Stanley L. Miller were recently found and analyzed using modern state-of-the-art analytical methods. The extracts were produced by the action of an electric discharge on a mixture of methane (CH(4)), hydrogen sulfide (H(2)S), ammonia (NH(3)), and carbon dioxide (CO(2)). Racemic methionine was formed in significant yields, together with other sulfur-bearing organic compounds. The formation of methionine and other compounds from a model prebiotic atmosphere that contained H(2)S suggests that this type of synthesis is robust under reducing conditions, which may have existed either in the global primitive atmosphere or in localized volcanic environments on the early Earth. The presence of a wide array of sulfur containing organic compounds produced by the decomposition of methionine and cysteine indicates that in addition to abiotic synthetic processes, degradation of organic compounds on the primordial Earth could have been important in diversifying the inventory of molecules of biochemical significance not readily formed from other abiotic reactions, or derived from extraterrestrial delivery. PMID- 21063909 TI - Professional values, aesthetic values, and the ends of trade. AB - Professionalism is initially understood as a historical process, through which certain commercial services sought to improve their social status (and economic reward) by separating themselves from mere crafts or trades. This process may be traced clearly with the aspiration of British portrait painters (headed by Sir Joshua Reynolds), in the eighteenth century, to acquire a social status akin to that of already established professionals, such as clerics and doctors. This may be understood, to a significant degree, as a process of gentrification. The values of the professional thereby lie as much in the etiquette and other social skills with which they deal with their clients, than with any distinctive form of skill or value. Professionalisation as gentrification seemingly says little about the nature of modern professionalism. However, if this process is also construed as one in which the goals and achievements of the profession come to be subject to radical reflection, then something significant about professional values emerges. On this account, the profession is distinguished from craft or trade on the grounds that the goals of the profession, and the effectiveness of any attempt to realise them, are not transparent to the client. While a lay person will typically have the competence necessary to judge whether or not a craft worker has achieved their goal, that person will not necessarily be able to recognise the values that determine the success of a medical operation. It will be concluded that the values of a profession are articulated intrinsically to the profession, in terms of the contested understanding that the professionals themselves have of the meaning of the profession and the narratives within which its history is to be told. PMID- 21063910 TI - Two BRCA1/2 founder mutations in Jews of Sephardic origin. AB - Founder mutations in BRCA1/2 genes have been detected in several Jewish communities in Israel, including in Ashkenazi Jews and Jews who immigrated to Israel from Iraq, Yemen, Iran and Afghanistan. We analyzed DNA samples of patients of Sephardic origin (descendents of Jews from the Iberian Peninsula) with breast cancer (BC) and/or ovarian cancer (OC) and additional family history of these cancers. In this study we identified 2 mutations: p.A1708E in BRCA1 and c.67 + 1G > A (IVS2 + 1G > A) in BRCA2, each in 3 unrelated patients. The frequency of the two mutations was 26-31% among Sephardic high risk families and about 3% among the full cohort of 177 patients of this origin who were tested in our center. Based on haplotype analysis we concluded that these mutations are most probably founder mutations in Sephardic Jews. We recommend testing the two mutations in women of Sephardic origin who apply for BRCA testing because of personal and/or family history of BC and/or OC. Furthermore, we suggest adding them to the 5 mutations included in "The Jewish panel" of BRCA1/2 mutations that are being tested in Israel. PMID- 21063911 TI - Investigation of foot plantar pressure: experimental and numerical analysis. AB - The analysis of interaction phenomena occurring between the plantar region of the foot and insole was investigated using a combined experimental-numerical approach. Experimental data on the plantar pressure for treadmill walking of a subject were obtained using the Pedar((r)) system. The plantar pressure resultant was monitored during walking and adopted to define the loading conditions for a subsequent static numerical analysis. Geometrical configuration of the foot model is provided on the basis of biomedical images. Because the mechanical behaviour of adipose tissues and plantar fascia is the determinant factor in affecting the paths of the plantar pressure, specific attention was paid to define an appropriate constitutive model for these tissues. The numerical model included sole and insole, providing for friction contact conditions between foot-insole and insole-sole pairs as well. Two different numerical analyses were performed with regards to different loading conditions during the gait cycle. The plantar pressure peaks predicted by the numerical model for the two loading conditions are 0.16 and 0.12 MPa, and 0.09 and 0.12 MPa in the posterior and anterior regions of the foot, respectively. These values are in agreement with experimental evidence, showing the suitability of the model proposed. PMID- 21063913 TI - The use of a compression device as an alternative to hand-sewn and stapled colorectal anastomoses: is three a crowd? AB - BACKGROUND: The NiTi CARTM 27 is a newer device that uses compression to create an anastomosis. An analysis of this device in the creation of colorectal anastomoses in humans has yet to be reported in the USA. METHODS: A non randomized, prospective pilot study of the NiTi CARTM 27 device in patients undergoing a left-sided colectomy between March 2008 and August 2009 was performed. RESULTS: Twenty-three patients (9 men and 14 women) underwent a left sided colectomy and compression anastomosis with the CARTM 27 device. Minor morbidities, 3 of 23 (13%) patients, included one small postoperative abscess requiring antibiotics alone and two postoperative anastomotic strictures requiring balloon dilation. Major morbidities, 1 of 23 (4%) patients, included a partial anastomotic dehiscence/leak requiring surgical dismantling of the anastomosis and diversion. CONCLUSION: The CARTM 27 device shows promise as a safe and effective alternative for the creation of colorectal anastomoses. However, studies in a larger patient population are warranted to demonstrate equivalence of this device. PMID- 21063912 TI - Transmembrane potential generated by a magnetically induced transverse electric field in a cylindrical axonal model. AB - During the electrical stimulation of a uniform, long, and straight nerve axon, the electric field oriented parallel to the axon has been widely accepted as the major field component that activates the axon. Recent experimental evidence has shown that the electric field oriented transverse to the axon is also sufficient to activate the axon, by inducing a transmembrane potential within the axon. The transverse field can be generated by a time-varying magnetic field via electromagnetic induction. The aim of this study was to investigate the factors that influence the transmembrane potential induced by a transverse field during magnetic stimulation. Using an unmyelinated axon model, we have provided an analytic expression for the transmembrane potential under spatially uniform, time varying magnetic stimulation. Polarization of the axon was dependent on the properties of the magnetic field (i.e., orientation to the axon, magnitude, and frequency). Polarization of the axon was also dependent on its own geometrical (i.e., radius of the axon and thickness of the membrane) and electrical properties (i.e., conductivities and dielectric permittivities). Therefore, this article provides evidence that aside from optimal coil design, tissue properties may also play an important role in determining the efficacy of axonal activation under magnetic stimulation. The mathematical basis of this conclusion was discussed. The analytic solution can potentially be used to modify the activation function in current cable equations describing magnetic stimulation. PMID- 21063915 TI - Variations in daily expression of the circadian clock protein, PER2, in the rat limbic forebrain during stable entrainment to a long light cycle. AB - The circadian clock in the mammalian suprachiasmatic nucleus (SCN) can be entrained by light cycles longer than the normal 24-h light/dark (LD) cycle, but little is known about the effect of such cycles on circadian clocks outside the SCN. Here we examined the effect of exposure to a 26-h T cycle (T26, 1 h:25 h LD) on patterns of expression of the clock protein, PERIOD2 (PER2), in the SCN and in four regions of the limbic forebrain known to exhibit robust circadian oscillations in PER2: the oval nucleus of the bed nucleus of the stria terminalis (BNSTov), central nucleus of the amygdala (CEA), basolateral amygdala (BLA), and dentate gyrus (DG). All rats showed stable entrainment of running wheel activity rhythms to the T26 cycle. As previously shown, PER2 expression in the SCN was stably entrained, peaking around the onset of locomotor activity. In contrast, exposure to the T26 cycle uncoupled the rhythms of PER2 expression in the BNSTov and CEA from that of the SCN, whereas PER2 rhythms in the BLA and DG were unaffected. These results show that exposure to long light cycles can uncouple circadian oscillators in select nuclei of the limbic forebrain from the SCN clock and suggest that such cycles may be used to study the functional consequences of coupling and uncoupling of brain circadian oscillators. PMID- 21063914 TI - Aberrant expression of miR-203 and its clinical significance in gastric and colorectal cancers. AB - BACKGROUND: MicroRNAs (miRNAs) are small, non-coding RNAs of endogenous origin, they have been increasingly shown to have aberrant expression in many tumor types. miR-203 has not been comprehensively investigated in gastric and colorectal cancers. METHODS: Total RNA was extracted from tissues of 212 patients with gastric or colorectal cancer as well as from seven gastric and colorectal cell lines. We determined the expression of miR-203 by real-time PCR and calculated using the 2-DeltaDeltaCt method. Then, we assessed miR-203 expression and clinicopathologic characteristics. Finally, we studied the effect of miR-203 on cell proliferation in SGC-7901 cells by MTT. RESULTS: miR-203 has significantly low expression in colorectal cancer tissues (p < 0.001, paired t test) and cancer cell lines compared to non-tumor counterparts. Moreover, low expression of miR-203 was correlated with tumor size (p = 0.015, non-parametric test) and pT stage (p = 0.005) in colorectal cancer. Although expression of miR 203 was not significant in gastric cancer tissues (p = 0.124), interestingly, miR 203 was correlated with tumor size (p = 0.023), macroscopic type (p = 0.045), and pT stage (p = 0.013). Furthermore, we found miR-203 can inhibit the cell proliferation in SGC-7901 cells. CONCLUSION: miR-203 may be related to the proliferation and invasion of gastric and colorectal cancers. PMID- 21063916 TI - Continual expression throughout the cell cycle and downregulation upon adipogenic differentiation makes nucleostemin a vital human MSC proliferation marker. AB - Nucleostemin (NS) is a nucleolar protein expressed in stem and cancer cells. In combination with nuclear/nucleolar proteins, NS has been demonstrated to be involved in cell-cycle regulation and telomere maintenance. NS expression reflects the cell's proliferation state indicating that the cell is active in the cell cycle, whereas NS signals disappear upon differentiation. This study analyzes the spatio-temporal (nucleolar/nuclear localization during interphase and M-phase) NS remodeling in two distinct human mesenchymal stem cell (MSC) populations to discriminate the NS differences, if any, throughout their stem cell and differentiation states. Beside its prominent multilobular nucleolar localization in interphase cells, coexistence of NS with chromosome arms during mitosis was also observed. Disruption of mitotic microtubules induced dissociation of NS from the chromosome arms and scattered it into the cytoplasm. Compared to deciduous dental pulp MSCs, NS mRNA expression gradually decreased upon aging in umbilical cord stroma-derived MSCs as culture time increased. Following adipogenic differentiation of the latter, NS signals gradually disappeared in both dividing and non-dividing cells, even before the morphological and functional signs of adipogenic transformation appeared. Quantitative NS mRNA measurements showed that MSCs from two sources exhibit a strong nucleostemin expression similar to embryonic stem cells. In conclusion, apart from its novel chromosomal localization shown in this study, nucleolar NS can be considered as a marker that indicates the proliferation/differentiation states in human MSCs. Moreover, differences in the relative NS protein and mRNA levels may reflect the degree of proliferation and can be used to characterize in vitro expansion capabilities. PMID- 21063917 TI - Complementary and alternative medicine for pain: an evidence-based review. AB - Pain is one of the most prevalent conditions for which patients seek medical attention. Additionally, the number of patients who utilize complementary and alternative medicine as a treatment of pain either in lieu of, or concurrent with, standard conventional treatments continues to grow. While research into the mechanisms, side effect profiles, and efficacies of these alternative therapies has increased in recent years, much more remains unknown and untested. Herein, we review the literature on complementary and alternative medicine for pain, with particular emphasis on evidence-based assessments pertinent to the most common alternative therapies, including acupuncture, herbal therapy, massage therapy, hypnosis, tai chi, and biofeedback. PMID- 21063918 TI - Chronic migraine: epidemiology and disease burden. AB - Chronic migraine is a common and disabling complication of migraine with a population prevalence of about 2%. Emerging evidence suggests that episodic migraine and chronic migraine differ not only in degree, but also in kind. Compared with patients with episodic migraine, those with chronic migraine have worse socioeconomic status, reduced health-related quality of life, increased headache-related burden (including impairment in occupational, social, and family functioning), and greater psychiatric and medical comorbidities. Each year, approximately 2.5% of patients with episodic migraine develop new-onset chronic migraine (ie, chronification). Understanding the natural disease course, improving treatment and management, and preventing the onset could reduce the enormous individual and societal burden of chronic migraine, and thus, have become important goals of headache research. This review provides a summary of the history of nomenclature and diagnostic criteria, as well as recent studies focusing on the epidemiology, natural history, and burden of chronic migraine. PMID- 21063919 TI - Nonarteritic anterior ischemic optic neuropathy. AB - OPINION STATEMENT: Currently there is no generally accepted, well-proven treatment for nonarteritic anterior ischemic optic neuropathy (NAION). Most proposed treatments are empirical and include antithrombotics, vasodynamic agents, treatments aimed at reducing optic disc edema, and various neuroprotective strategies. Most potential treatments have been inadequately studied, prematurely embraced, or prematurely discarded. Evidence for antithrombotic agents is lacking, and small vessel arterial occlusion has never been demonstrated in NAION. Antiplatelet agents have not been studied in acute NAION, but they are often prescribed for acute treatment because of their proven role in stroke prevention. Because NAION is an ischemic disorder occurring more often after the age of 50 in patients with vascular risk factors, I recommend aggressive risk-factor management and antiplatelet therapy. The evidence that aspirin can help to prevent NAION in the fellow eye is divided. I recommend aspirin for secondary prevention, mostly for its proven role in stroke prevention. NAION occurs in patients with physiologically crowded optic nerves and small cup-to-disc ratios. Disc edema may contribute to a "compartment syndrome," which compresses the fine capillary blood supply of the optic nerve head, resulting in ischemia and axonal damage. There is some limited and debatable evidence that oral steroids may shorten the duration of disc edema and improve visual outcome in NAION. I discuss this evidence with patients who present acutely with NAION, and although I consider prescribing oral steroids on a case-by-case basis, I will not routinely recommend oral steroids until a properly randomized clinical trial is performed. Some neuroprotective strategies have been studied, but none have proven to be helpful. Although some (eg, brimonidine) are probably not harmful, I do not recommend these treatments. Early referral to low vision services may help to improve functional visual outcome. PMID- 21063920 TI - Herpes zoster ophthalmicus. AB - OPINION STATEMENT: The management of herpes zoster (HZ) usually involves a multidisciplinary approach aiming to reduce complications and morbidity. Patients with herpes zoster ophthalmicus (HZO) are referred to ophthalmologists for prevention or treatment of its potential complications. Without prompt detection and treatment, HZO can lead to substantial visual disability. In our practice, we usually evaluate patients with HZO for corneal complications such as epithelial, stromal, and disciform keratitis; anterior uveitis; necrotizing retinitis; and cranial nerve palsies in relation to the eye. These are acute and usually sight threatening. We recommend oral acyclovir in conjunction with topical 3% acyclovir ointment, lubricants, and steroids for conjunctival, corneal, and uveal inflammation associated with HZO. Persistent vasculitis and neuritis may result in chronic ocular complications, the most important of which are neurotrophic keratitis, mucus plaque keratitis, and lipid degeneration of corneal scars. Postherpetic complications, especially postherpetic neuralgia (PHN), are observed in well over half of patients with HZO. The severe, debilitating, chronic pain of PHN is treated locally with cold compresses and lidocaine cream (5%). These patients also receive systemic treatment with NSAIDs, and our medical colleagues cooperate in managing their depression and excruciating pain. Pain is the predominant symptom in all phases of HZ disease, being reported by up to 90% of patients. Ocular surgery for HZO-related complications is performed only after adequately stabilizing pre-existing ocular inflammation, raised intraocular pressure, dry eye, neurotrophic keratitis, and lagophthalmos. Cranial nerve palsies are common and most often involve the facial nerve, although palsy of the oculomotor, trochlear, and abducens nerves may occur in isolation or (rarely) simultaneously. In our setting, complete ophthalmoplegia is seen more often than isolated palsies, but recovery is usually complete. Vasculitis within the orbital apex (orbital apex syndrome) or brainstem dysfunction is postulated to be the cause of cranial nerve palsies. A vaccine of a lyophilized preparation of the oka strain of live, attenuated varicella-zoster virus is suggested for patients who are at risk of developing HZ and has been shown to boost immunity against HZ virus in older patients. PMID- 21063921 TI - Idiopathic intracranial hypertension. AB - OPINION STATEMENT: Idiopathic intracranial hypertension (IIH) is a disorder of raised intracranial pressure of unknown etiology. For overweight or obese patients with IIH, weight reduction of 5% to 10% of total body weight at diagnosis is a long-term treatment strategy. Though not proven, the initiation of acetazolamide can assist in symptom reduction and resolution. In patients with either fulminant IIH or those on maximal medical management with progressive vision loss, intravenous steroids and acetazolamide can be initiated while surgical options are urgently arranged. Because of its lower complication rate, I prefer to use optic nerve sheath fenestration in settings of precipitous visual decline, but I have used cerebrospinal fluid diversion surgery in settings of vision loss with severe, intractable headache. Often, the choice of surgical intervention is individualized for the patient and the available expertise. In the future, results from the ongoing multicenter, double-blind, placebo controlled Idiopathic Intracranial Hypertension Treatment Trial (IIHTT) will provide important data regarding the efficacy of acetazolamide and the utility of diet and exercise. PMID- 21063922 TI - Leber's Hereditary Optic Neuropathy. AB - OPINION STATEMENT: Leber's hereditary optic neuropathy (LHON) is a maternally inherited blinding disease with variable penetrance. Three primary mitochondrial DNA mutations, affecting the respiratory complex I, are necessary but not sufficient to cause blindness. Reduced efficiency of ATP synthesis and increased oxidative stress are believed to sensitize the retinal ganglion cells to apoptosis. Different therapeutic strategies are considered to counteract this pathogenic mechanism. However, potential treatments for the visual loss are complicated by the fact that patients are unlikely to benefit after optic atrophy occurs. There is no proven therapy to prevent or reverse the optic neuropathy in LHON. Results from a recent trial with idebenone hold promise to limit neurodegeneration and improve final outcome, promoting recovery of visual acuity. Other therapeutic options are under scrutiny, including gene therapy, agents increasing mitochondrial biogenesis, and anti-apoptotic drugs. PMID- 21063923 TI - High incidence and frequency of LOH are associated with aggressive features of high-grade HER2 and triple-negative breast cancers. AB - BACKGROUND: Basal-like and HER2-overexpressing breast carcinomas are histologically undifferentiated, high-grade tumors with a high proliferation rate and associated with a poor outcome. Most basal-like tumors lack the expression of ER, PR, and HER2 (triple-negative; TN). Loss of heterozygosity (LOH) is thought to reflect random chromosomal instability, and recent studies have shown that DNA copy number alterations or LOH occur with a high frequency in basal-like and HER2 amplified tumors. METHODS: The levels and patterns of LOH were analyzed by the microsatellite alteration analysis using fluorescence-labeled primers and an automated DNA sequencer at 5 randomly selected loci in 246 Japanese primary breast cancers. Associations between the level of LOH and breast cancer subtypes and tumor aggressiveness were investigated. RESULTS: The incidence and frequency of LOH was significantly higher in HER2 (56.3, 26.7%) and TN groups (44.4, 27.1%) than in luminal A (ER-positive and/or PR-positive and HER2-negative) groups (32.0, 12.2%). The incidence and frequency of LOH increased as nuclear grade was elevated. There were significantly more grade 3 tumors in the HER2 (80.0%) and TN (68.2%) subgroups (p < 0.0001). Even in HER2 and TN cases, the incidence and frequency of LOH was significantly higher in nuclear grade 3 cases than in grade 1 or 2 cases. Relapse-free survival of patients with LOH was significantly shorter than for those without LOH. In addition, the survival time was shorter as the frequency of LOH elevated. The incidence of LOH was an independent prognostic factor for relapse-free survival by multivariate analysis. CONCLUSION: High incidence and frequency of LOH, which indicate increased genetic instability, were found to be associated with the aggressive features of high-grade HER2 and TN breast cancers. PMID- 21063925 TI - Implementation and evaluation of the SAEM algorithm for longitudinal ordered categorical data with an illustration in pharmacokinetics-pharmacodynamics. AB - Analysis of longitudinal ordered categorical efficacy or safety data in clinical trials using mixed models is increasingly performed. However, algorithms available for maximum likelihood estimation using an approximation of the likelihood integral, including LAPLACE approach, may give rise to biased parameter estimates. The SAEM algorithm is an efficient and powerful tool in the analysis of continuous/count mixed models. The aim of this study was to implement and investigate the performance of the SAEM algorithm for longitudinal categorical data. The SAEM algorithm is extended for parameter estimation in ordered categorical mixed models together with an estimation of the Fisher information matrix and the likelihood. We used Monte Carlo simulations using previously published scenarios evaluated with NONMEM. Accuracy and precision in parameter estimation and standard error estimates were assessed in terms of relative bias and root mean square error. This algorithm was illustrated on the simultaneous analysis of pharmacokinetic and discretized efficacy data obtained after a single dose of warfarin in healthy volunteers. The new SAEM algorithm is implemented in MONOLIX 3.1 for discrete mixed models. The analyses show that for parameter estimation, the relative bias is low for both fixed effects and variance components in all models studied. Estimated and empirical standard errors are similar. The warfarin example illustrates how simple and rapid it is to analyze simultaneously continuous and discrete data with MONOLIX 3.1. The SAEM algorithm is extended for analysis of longitudinal categorical data. It provides accurate estimates parameters and standard errors. The estimation is fast and stable. PMID- 21063927 TI - Tetrofosmin early time gated post-stress single-photon emission computed tomography imaging: feasibility and potential benefits. AB - BACKGROUND: The purpose of this study is to evaluate the feasibility, the image quality, and the clinical relevance of an early gated post-stress (GPS) single photon emission computed tomography (SPECT) tetrofosmin (MyoviewTM-GE Healthcare) acquisition protocol. Time delay between myocardial technetium-labeled tracer administration and SPECT acquisition is usually about 30 minutes after stress, and 45 to 60 minutes at rest: because of the absence of significant redistribution, perfusion images are related to stress even 30 minutes after stress injection, while function and thickening data obtained with gated acquisition 30 minutes after stress are mainly related to rest conditions. METHODS: 194 patients were prospectively included and analyzed, in a multicenter registry. Three gated-SPECT 99(m)Tc-Tetrofosmin studies were performed per patient: GPS-SPECT, 30 minutes post-stress (GS30), and at rest (GR30). RESULTS: GPS image quality was excellent/good (93.9%), and similar to GS30 images (96.6%). The presence of adjacent myocardial sub-diaphragmatic activity on GPS images was similar to GS30 images (24% vs 22%), and less frequent than on GR30 images (31%). For perfusion, thickening, and motion scores, there was no significant difference between early and 30 minute post-stress in the global patient population, but significant differences were observed between GPS and GS30 for LVEF (65% +/- 15% vs 63% +/- 14%). In the ischemic patients, with the stress-rest protocol, the perfusion score was 14.2 on GPS images and 12.4 on GS30 images (P = .002). CONCLUSIONS: Tetrofosmin early GPS-SPECT is feasible without impairment of image quality (better count rate). Ischemic defect size on early post-stress images is slightly more pronounced than at 30 minutes: this could modify therapeutic decision. This technique produces reliable function information during early post stress period, and might be useful for disclosing transient motion abnormalities. PMID- 21063929 TI - Neuromodulation and the role of electrodiagnostic techniques. AB - Electrodiagnostic techniques have been utilized in surgery since the early 1960s. These techniques have been primarily used in neurosurgery; however, with the introduction of neuromodulation for voiding dysfunction, these techniques have now found their way into the field of female pelvic medicine. This article will review techniques applicable to evaluate pelvic floor function as it relates to neuromodulation. It will also review the literature describing how these techniques are used to help determine appropriate candidates as well as improve surgical outcomes. A PubMed search was conducted using the terms neuromodulation, Interstim, electrodiagnosis, electrodiagnostic techniques, electromyography with limits to the pelvic floor, and voiding dysfunction. Eight articles and three abstracts were found that directly related to the use of electrodiagnostic techniques as they apply to neuromodulation. Electrodiagnostic techniques may play a role in helping predict appropriate candidates for neuromodulation as well as improve surgical outcomes. PMID- 21063930 TI - Biomarkers in the management of heart failure. AB - OPINION STATEMENT: Biomarkers, especially natriuretic peptides such as B-type natriuretic peptide (BNP) and N-terminal-proBNP (NT-proBNP), are a valuable addition to standard clinical assessment in the diagnosis and prognosis of heart failure (HF). Furthermore, there is an increasing amount of evidence suggesting that natriuretic peptide-guided HF management may improve mortality, morbidity, and cost effectiveness. This work focuses on the use of BNP or NT-proBNP for the outpatient management of patients with chronic HF. PMID- 21063931 TI - Management of anemia and iron deficiency in heart failure. AB - OPINION STATEMENT: Anemia is independently associated with an increased risk of mortality and morbidity in patients with heart failure (HF). The diagnosis of anemia should prompt assessment of the underlying cause(s), first by using routine laboratory measurements (i.e., serum creatinine and estimated glomerular filtration rate [eGFR], serum iron, transferrin saturation, ferritin, vitamin B12, folic acid, and thyroid stimulating hormone). In clinical practice, it remains unclear whether using levels of the soluble transferrin receptor in HF patients to assess iron deficiency is warranted. Further investigation should follow these simple tests when judged appropriate (e.g., if occult gastrointestinal blood losses are suspected). Hemodilution may contribute significantly to anemia in patients with advanced HF and may be suspected when signs of hypervolemia are present. Euvolemia should be the first goal in such cases (as always), followed by optimization of the disease-modifying therapies used in HF (angiotensin-converting enzyme inhibitors or angiotensin receptor blockers, beta-blockers, or aldosterone antagonists and cardiac resynchronization therapy in selected cases). Erythropoiesis-stimulating agents (ESA) can be used to improve functional capacity in patients with significant chronic kidney disease (CKD), a frequent comorbidity in HF patients. ESA and iron therapy is recommended in patients with moderate-to-severe CKD (eGFR < 60 mL/min/1.73 m(2)), with a target hemoglobin level of 11.0 g/dL. In a recent randomized, placebo controlled clinical trial, weekly administration of intravenous iron significantly improved symptoms, New York Heart Association class, quality of life, and exercise capacity in both anemic and non-anemic HF patients. A trend toward fewer hospitalizations was seen in the group treated with intravenous iron. The rates of adverse events were similar in the treatment and the placebo groups. Larger-scale and longer-term studies are needed to establish the safety and efficacy profile of intravenous iron in non-CKD HF patients and in HF patients without anemia. Studies designed to further unravel the pathophysiology of anemia in HF are essential in order to determine 1) novel treatment targets and 2) whether and how the treatment of anemia could improve outcomes. PMID- 21063932 TI - Mechanical circulatory support for advanced heart failure. AB - OPINION STATEMENT: Both acute and chronic systolic heart failure can progress to an advanced phase, resulting in stage D heart failure and even cardiogenic shock. Despite significant progress in the treatment of systolic heart failure using medical and device therapies, this terminal phase continues to be prevalent and associated with unacceptably high morbidity and mortality. Given the inability to offer cardiac transplantation to the majority of those presenting with advanced heart failure, alternative strategies for cardiac replacement therapy are often required. Although there has been interest in using mechanical devices to support the circulation since the advent of cardiopulmonary bypass, it is only in the past 20 years that ventricular assist devices (VAD) have become viable options for therapy. Indeed, we are now entering an era where circulatory assist devices are being used not only to temporarily support patients with post-cardiotomy shock, but also as a long-term treatment in ambulatory heart failure patients. Furthermore, we are now able to utilize data from multicenter trials and registries to guide treatment decisions. These data have clearly shown that VADs improve survival and quality of life in patients with advanced heart failure when implanted as a temporary measure (bridge to recovery and bridge to transplant) or as long-term support (destination therapy). However, with a growing heart failure population there is much work to be done to improve VAD technology, patient selection, post-implantation management, and to define the optimal role for assist devices in the management of systolic heart failure. We are also in the nascent stages of fully understanding the impact of mechanical support on the failing myocardium, and developing research methodologies to study novel therapies in tandem with VADs to facilitate ventricular recovery. These important questions are currently being addressed in ongoing clinical trials, registry analyses, and translational research endeavors. PMID- 21063934 TI - Disease management programs for heart failure. AB - OPINION STATEMENT: The impact of the very significant advances in the management of heart failure over the past several decades had been limited by a lack of appropriate infrastructure for heart failure care delivery in the community. The development of disease management programs has brought about significant advances in ensuring improved care of the wider heart failure population, allowing for effective prescription of proven strategies, structured follow-up, and education of patients and families to encourage involvement in self-care. The impact of these programs on reduction in hard cardiovascular endpoints, including death and heart failure rehospitalization, has been substantial. Continued research aims to optimize this strategy in terms of what additional aspects are necessary to enhance this approach. From recent work, it is clear that heart failure patients may derive incremental benefit from exercise programs as an adjunctive therapy; additional work is required to address how we should use the rapidly developing home telemonitoring technologies. PMID- 21063933 TI - Use of genetics in the clinical evaluation and management of heart failure. AB - OPINION STATEMENT: Inherited forms of cardiomyopathy are common causes of heart failure. Applications of genetics in the evaluation and management of heart failure include the determination of inheritance patterns within families with cardiomyopathy, the evaluation of affected patients for syndromic features, the determination of people within families who are at risk of heart failure, and the identification of responsible gene mutations. Family planning may also be assisted by determination of a clear mutation that predisposes to heart failure. Genetic counseling is critical, and it should accompany the use of genetic testing in cardiovascular diseases. With the rapid pace of growth in technology that is used to determine DNA sequence, costs have declined and clinical application of genetic testing has expanded. This is particularly relevant for heart failure, because each of the familial forms of cardiomyopathy may be caused by a mutation in many different genes. Most families share a unique gene mutation, and appropriate interpretation of novel DNA variants is essential for proper use. The evaluation of risk of arrhythmia in familial forms of heart failure may benefit from genetic testing, as mutations in the genes encoding lamin A/C, desmin, and cardiac troponin T are associated with increased risk of sudden cardiac death. Because of its complexity and the rapid rate of change in available genetic testing options, the genetic evaluation of heart failure is best suited to tertiary referral centers with specific expertise in this area. PMID- 21063935 TI - Evolving indications for tricuspid valve surgery. AB - OPINION STATEMENT: More attention has been paid to the mitral valve (MV) than the tricuspid valve (TV), and this relative paucity of data has led to confusion regarding the timing of TV surgery. We review the American College of Cardiology/American Heart Association and European Society of Cardiology guidelines to identify areas of concordance (severe tricuspid regurgitation [TR] in a patient undergoing mitral valve surgery); discordance (less than severe TR but with markers for late TR recurrence such as pulmonary hypertension, a dilated TV annulus, atrial fibrillation, permanent transtricuspid pacing wires and others); and disagreement (surgery for primary TR). We provide our perspective from Northwestern University on these issues and where the guidelines are silent (TR in patients undergoing non-mitral valve operations). Finally, we review recent publications on the results of TV repair and replacement. Although there have been scant publications in the past, there have been more useful publications in recent years to guide our decision making. PMID- 21063936 TI - Arrhythmogenic right ventricular dysplasia. AB - OPINION STATEMENT: Arrhythmogenic right ventricular dysplasia (ARVD) is a genetic disorder that is characterized by ventricular arrhythmias and structural abnormalities of the right ventricle. Due to significant heterogeneity in its manifestation, the diagnosis of ARVD is challenging and requires a multifaceted approach to patient evaluation. It is important to not rush and diagnose ARVD prematurely, as the implications both for the patient and also for family members are enormous. Similarly, it is important for clinicians to be aware of this condition because it is potentially life threatening. There are three keys aspects to treatment once a diagnosis is established. The first issue concerns risk stratification and deciding whether to implant an implantable cardioverter defibrillator (ICD). We currently advise ICD implantation for probands who meet the full criteria for the disease, especially if they have experienced cardiac syncope, sustained ventricular tachycardia, or have severe right ventricular or left ventricular dysfunction. In addition, we feel there are sufficient observational clinical data and scientific data from animal models to advise that both competitive sports and high-level athletics be prohibited. We advise our patients to generally limit their activity to activities such as walking and golf. Finally, it is our opinion that most patients with ARVD should be treated with both a beta-blocker as well as an angiotensin-converting enzyme inhibitor, provided these drugs are well tolerated. PMID- 21063937 TI - Current and future status of stem cell therapy in heart failure. AB - OPINION STATEMENT: As heart transplantation and mechanical assist technology are inadequate solutions for the growing clinical epidemic of heart failure, myocardial regeneration has moved to the forefront. Multiple laboratories using a variety of cell types have demonstrated myocardial repair in different animal models. Translating these results into clinical practice through clinical trial research has thus far proved challenging. Amassing clinical evidence suggests that cell therapy is safe and offers a modest clinical benefit, but the long-term effect of such therapy as well as the overall impact on the natural progression of heart failure and, ultimately, survival are unknown. Furthermore, cost-benefit analysis of such therapy, which will likely become increasingly important as health care reform takes shape, has not been examined to any degree. Although scientific competition has driven this field with remarkable speed, it is also responsible for its fragmentation, with multiple avenues of pursuit happening in parallel. Consensus opinion is absent with respect to mechanism of action, effectiveness of cell type or delivery method, timing and dosing of cell therapy, adjunctive medication or therapies, and optimum cell type or combination of cell types. Nevertheless, in the arena of clinical medicine, ease of cell availability and cell delivery has proved paramount to cell type selection. The flourish of clinical trials investigating bone marrow-derived stem cells (BMSCs) delivered via direct intracoronary injection testifies to this opinion. The modest improvements in cardiac function demonstrated in trials to date will likely not have a significant clinical impact. We expect, however, that scientific competition will make continued contributions over the next decade that will propel the field forward, resulting in more pronounced clinical benefits in future trials. The authors further believe that the realization of true cardiac regeneration will require the use of autologous cells more capable of retention and differentiation to cardiac cell lineages. We believe that endogenous cardiac progenitor cells have superior regenerative potential to current cell types in this regard. The difficulty in accessing, isolating, and expanding these cells has resulted in less preclinical and clinical interest. Ongoing investigation will better define the capabilities of these cardiac progenitor cells. PMID- 21063938 TI - Instruments and methods in proteomics. AB - In the past decade, major developments in instrumentation and methodology have been achieved in proteomics. For proteome investigations of complex biological samples derived from cell cultures, tissues, or whole organisms, several techniques are state of the art. Especially, many improvements have been undertaken to quantify differences in protein expression between samples from, e.g., treated vs. untreated cells and healthy vs. control patients. In this review, we give a brief insight into the main techniques, including gel-based protein separation techniques, and the growing field of mass spectrometry. PMID- 21063939 TI - In-depth protein characterization by mass spectrometry. AB - Within this chapter, various techniques and instructions for characterizing primary structure of proteins are presented, whereas the focus lies on obtaining as much complete sequence information of single proteins as possible. Especially, in the area of protein production, mass spectrometry-based detailed protein characterization plays an increasing important role for quality control. In comparison to typical proteomics applications, wherein it is mostly sufficient to identify proteins by few peptides, several complementary techniques have to be applied to maximize primary structure information and analysis steps have to be specifically adopted. Starting from sample preparation down to mass spectrometry analysis and finally to data analysis, some of the techniques typically applied are outlined here in a summarizing and introductory manner. PMID- 21063940 TI - Analysis of phosphoproteomics data. AB - Regulation of protein phosphorylation plays an important role in many cellular processes, particularly in signal transduction. Diseases such as cancer and inflammation are often linked to aberrant signaling pathways. Mass spectrometry based methods allow monitoring the phosphorylation status in an unbiased and quantitative manner. The analysis of this data requires the application of advanced statistical methods, some of which can be borrowed from the gene expression analysis field. Nevertheless, these methods have to be enhanced or complemented by new methods. After reviewing the key concepts of phosphoproteomics and some major data analysis methods, these tools are applied to a real-world data set. PMID- 21063941 TI - The origin and early reception of sequence databases. AB - Emerging areas of scientific research never arise in a social or intellectual vacuum, but must establish themselves in relation to well-established disciplines. This necessity poses challenges for scientists who must not only create a new disciplinary identity, but must also defend their research from criticism and even condescension from other scientists. The early use of sequence databases provides an excellent case study for examining the challenges facing novel sciences. The need for sequence databases grew out of protein sequencing in biochemistry beginning in the late 1950s. The rapid increase in the number of sequences made databases an attractive resource, but protein biochemists often considered building, managing, and doing research with databases a "second-rate" science. Similarly, computational biologists who used databases and digital computers to study evolutionary phenomena faced criticism from more traditional evolutionary biologists. In retrospect, one can see this early computational biology as laying important foundations for the bioinformatics, molecular evolution, and molecular systematics of today. However, within the context of the 1960s, establishing a scientific identity posed serious challenges for Margaret Dayhoff, Walter Fitch, and Russell Doolittle and other computational biologists who used computers and databases to investigate evolutionary problems. PMID- 21063942 TI - Laboratory data and sample management for proteomics. AB - Proteomic experiments can be difficult to handle because of the large amount of data in different formats that is generated. Samples need to be managed and generated, data needs to be integrated with samples and annotation information. A laboratory information management system (LIMS) can be used to overcome some of the data handling problems. In this chapter, we discuss the role of a LIMS in the proteomics laboratory, and show two step-by-step examples of usage of the Proteios Software Environment (ProSE) to handle two different proteomics workflows. PMID- 21063943 TI - PRIDE and "Database on Demand" as valuable tools for computational proteomics. AB - The Proteomics Identifications Database (PRIDE, http://www.ebi.ac.uk/pride ) provides users with the ability to explore and compare mass spectrometry-based proteomics experiments that reveal details of the protein expression found in a broad range of taxonomic groups, tissues, and disease states. A PRIDE experiment typically includes identifications of proteins, peptides, and protein modifications. Additionally, many of the submitted experiments also include the mass spectra that provide the evidence for these identifications. Finally, one of the strongest advantages of PRIDE in comparison with other proteomics repositories is the amount of metadata it contains, a key point to put the above mentioned data in biological and/or technical context. Several informatics tools have been developed in support of the PRIDE database. The most recent one is called "Database on Demand" (DoD), which allows custom sequence databases to be built in order to optimize the results from search engines. We describe the use of DoD in this chapter. Additionally, in order to show the potential of PRIDE as a source for data mining, we also explore complex queries using federated BioMart queries to integrate PRIDE data with other resources, such as Ensembl, Reactome, or UniProt. PMID- 21063944 TI - Analysing proteomics identifications in the context of functional and structural protein annotation: integrating annotation using PICR, DAS, and BioMart. AB - For many species, there is a wealth of detailed annotation of individual proteins available to the proteomics researcher. Accessing and making the best use of this annotation can be problematic in the absence of suitable bioinformatics support. This chapter explores some of the technologies and tools that allow protein annotation to be accessed and collated from multiple sources. The intended audience is the proteomics scientist who has limited or no access to bioinformatics/programming support and wishes to make the best use of existing resources to annotate sets of protein identifications derived from mass spectrometry and related techniques. PMID- 21063945 TI - Tranche distributed repository and ProteomeCommons.org. AB - Tranche is a distributed repository designed to redundantly store and disseminate data sets for the proteomics community. It has several important features for researchers, including support for large data files, prepublication access controls, licensing options, and ensuring both data provenance and integrity. Tranche tightly integrates with ProteomeCommons.org, an online community resource that offers a variety of useful tools for proteomics researchers, including project management and data annotation. In this chapter, we discuss the development of Tranche and ProteomeCommons.org, paying particular attention to why it is desirable that data be publicly available and unrestricted as well as the challenges facing data archiving and open access. We then provide a technical overview of Tranche and ProteomeCommons.org as well as step-by-step instructions for using these resources, including the graphical user interface (GUI ), command line tools, and Application Programmer Interface (API). We end with a brief discussion of current and future development efforts and collaborations. PMID- 21063946 TI - Data standardization by the HUPO-PSI: how has the community benefitted? AB - The groundwork allowing the systematic capture of proteomics data has now largely been completed, with the design and publication of exchange formats and interchange standards by the Human Proteome Organisation Proteomics Standards Initiative (HUPO-PSI). Our focus can now shift to gathering the ever-increasing amounts of generated data, and finding novel ways to catalog and present it so that a deeper understanding of basic science, health, and disease can be gained by scientists mining these increasingly rich resources. PMID- 21063947 TI - mzIdentML: an open community-built standard format for the results of proteomics spectrum identification algorithms. AB - To deal with the data flood of current mass spectrometry methods, standard data formats are needed. The Proteomics Standards Initiative (PSI) of the Human Proteome Organisation (HUPO) develops open storage and transfer standards for and with the community. The Proteomics Informatics work group of the PSI has recently released an XML-based format to store the parameters and results of spectrum identification algorithms (the so-called search engines), which identify peptides and/or proteins from mass spectra. Here, this format called "mzIdentML" is described by giving principle design concepts and presenting examples of important use cases. PMID- 21063948 TI - Spectra, chromatograms, Metadata: mzML-the standard data format for mass spectrometer output. AB - This chapter describes Mass Spectrometry Markup Language (mzML), an XML-based and vendor-neutral standard data format for storage and exchange of mass spectrometer output like raw spectra and peak lists. It is intended to replace its two precursor data formats (mzData and mzXML), which had been developed independently a few years earlier. Hence, with the release of mzML, the problem of having two different formats for the same purposes is solved, and with it the duplicated effort of maintaining and supporting two data formats. The new format has been developed by a broad-based consortium of major instrument vendors, software vendors, and academic researchers under the aegis of the Human Proteome Organisation (HUPO), Proteomics Standards Initiative (PSI), with full participation of the main developers of the precursor formats. This comprehensive approach helped mzML to become a generally accepted standard. Furthermore, the collaborative development insured that mzML has adopted the best features of its precursor formats. In this chapter, we discuss mzML's development history, its design principles and use cases, as well as its main building components. We also present the available documentation, an example file, and validation software for mzML. PMID- 21063949 TI - imzML: Imaging Mass Spectrometry Markup Language: A common data format for mass spectrometry imaging. AB - Imaging mass spectrometry is the method of scanning a sample of interest and generating an "image" of the intensity distribution of a specific analyte. The data sets consist of a large number of mass spectra which are usually acquired with identical settings. Existing data formats are not sufficient to describe an MS imaging experiment completely. The data format imzML was developed to allow the flexible and efficient exchange of MS imaging data between different instruments and data analysis software.For this purpose, the MS imaging data is divided in two separate files. The mass spectral data is stored in a binary file to ensure efficient storage. All metadata (e.g., instrumental parameters, sample details) are stored in an XML file which is based on the standard data format mzML developed by HUPO-PSI. The original mzML controlled vocabulary was extended to include specific parameters of imaging mass spectrometry (such as x/y position and spatial resolution). The two files (XML and binary) are connected by offset values in the XML file and are unambiguously linked by a universally unique identifier. The resulting datasets are comparable in size to the raw data and the separate metadata file allows flexible handling of large datasets.Several imaging MS software tools already support imzML. This allows choosing from a (growing) number of processing tools. One is no longer limited to proprietary software, but is able to use the processing software which is best suited for a specific question or application. On the other hand, measurements from different instruments can be compared within one software application using identical settings for data processing. All necessary information for evaluating and implementing imzML can be found at http://www.imzML.org . PMID- 21063950 TI - Tandem mass spectrometry spectral libraries and library searching. AB - Spectral library searching in the field of proteomics has been gaining visibility and use in the last few years, primarily due to the expansion of public proteomics data repositories and the large spectral libraries that can be generated from them. Spectral library searching has several advantages over conventional sequence searching: it is generally much faster, and has higher specificity and sensitivity. The speed increase is primarily, due to having a smaller, fully indexable search space of real spectra that are known to be observable. The increase in specificity and sensitivity is primarily due to the ability of a search engine to utilize the known intensities of the fragment ions, rather than just comparing with theoretical spectra as is done with sequence searching. The main disadvantage of spectral library searching is that one can only identify peptide ions that have been seen before and are stored in the spectral library. In this chapter, an overview of spectral library searching and the libraries currently available are presented. PMID- 21063951 TI - Inter-lab proteomics: data mining in collaborative projects on the basis of the HUPO brain proteome project's pilot studies. AB - Several projects were initiated by the Human Proteome Organisation (HUPO) focusing on the proteome analysis of distinct human organs. The initiative dedicated to the brain, its development and correlated diseases is the HUPO Brain Proteome Project (HUPO BPP). An objective data submission, storage, and reprocessing strategy have been established with the help of the results gained in a pilot study phase and within subsequent studies. The bioinformatic relevance of the data is drawn from the inter-laboratory comparisons as well as from the recalculation of all data sets submitted by the different groups. In the following, results of the single groups as well as the centralised reprocessing effort are summarised, demonstrating the added-value of this concerted work. PMID- 21063953 TI - Statistics in experimental design, preprocessing, and analysis of proteomics data. AB - High-throughput experiments in proteomics, such as 2-dimensional gel electrophoresis (2-DE) and mass spectrometry (MS), yield usually high-dimensional data sets of expression values for hundreds or thousands of proteins which are, however, observed on only a relatively small number of biological samples. Statistical methods for the planning and analysis of experiments are important to avoid false conclusions and to receive tenable results. In this chapter, the most frequent experimental designs for proteomics experiments are illustrated. In particular, focus is put on studies for the detection of differentially regulated proteins. Furthermore, issues of sample size planning, statistical analysis of expression levels as well as methods for data preprocessing are covered. PMID- 21063952 TI - Data management and data integration in the HUPO plasma proteome project. AB - The Human Plasma Proteome Project (HPPP) is an international collaboration coordinated by the Human Proteome Organisation (HUPO). Its Pilot Phase generated the 2005 Proteomics special issue "Exploring the Human Plasma Proteome" (Omenn et al. Proteomics 5:3226-3245, 2005) and a book with the same title (Omenn GS (ed) (2006) Exploring the human plasma proteome. Wiley-Liss, Weinheim, pp 372). Data management for that Pilot Phase included collection, integration, analysis, and dissemination of findings from participating laboratories and data repositories. Many investigators face the same challenges of integration of data from complex, dynamic serum, and plasma specimens. The PPP workflow assembled a representative Core Dataset of 3,020 protein identifications, overcoming ambiguity and redundancy in the heterogeneous contributed identifications and redundancy and updates in the protein sequence databases. The results were made available with alternative thresholds from the University of Michigan, yielding a range of numbers of protein identifications. Data were submitted to EBI/PRIDE and to ISB/PeptideAtlas. The current phase of the PPP employs Proteome Xchange to link submission of well-annotated primary datasets to EBI/PRIDE, distributed file sharing by Tranche/Proteome Commons.org, and reanalysis from the primary raw spectra at ISB/PeptideAtlas. Such human plasma proteome datasets are available for data mining comparisons with the proteomes of other organs and biofluids in health and disease. PMID- 21063954 TI - The evolution of protein interaction networks. AB - The availability of high-throughput methods to detect protein interactions made construction of comprehensive protein interaction networks for several important model organisms possible. Many studies have since focused on uncovering the structural principles of these networks and relating these structures to biological processes. On a global scale, there are striking similarities in the structure of different protein interaction networks, even when distantly related species, such as the yeast Saccharomyces cerevisiae and the fruit fly Drosophila melanogaster, are compared. However, there is also considerable variance in network structures caused by the gain and loss of genes and mutations which alter the interaction behavior of the encoded proteins. Here, we focus on the current state of knowledge on the structure of protein interaction networks and the evolutionary processes that shaped these structures. PMID- 21063955 TI - Cytoscape: software for visualization and analysis of biological networks. AB - Substantial progress has been made in the field of "omics" research (e.g., Genomics, Transcriptomics, Proteomics, and Metabolomics), leading to a vast amount of biological data. In order to represent large biological data sets in an easily interpretable manner, this information is frequently visualized as graphs, i.e., a set of nodes and edges. Nodes are representations of biological molecules and edges connect the nodes depicting some kind of relationship. Obviously, there is a high demand for computer-based assistance for both visualization and analysis of biological data, which are often heterogeneous and retrieved from different sources. This chapter focuses on software tools that assist in visual exploration and analysis of biological networks. Global requirements for such programs are discussed. Utilization of visualization software is exemplified using the widely used Cytoscape tool. Additional information about the use of Cytoscape is provided in the Notes section. Furthermore, special features of alternative software tools are highlighted in order to assist researchers in the choice of an adequate program for their specific requirements. PMID- 21063956 TI - Text mining for systems modeling. AB - The yearly output of scientific papers is constantly rising and makes it often impossible for the individual researcher to keep up. Text mining of scientific publications is, therefore, an interesting method to automate knowledge and data retrieval from the literature. In this chapter, we discuss specific tasks required for text mining, including their problems and limitations. The second half of the chapter demonstrates the various aspects of text mining using a practical example. Publications are transformed into a vector space representation and then support vector machines are used to classify papers depending on their content of kinetic parameters, which are required for model building in systems biology. PMID- 21063957 TI - Identification of alternatively spliced transcripts using a proteomic informatics approach. AB - We present the protocol for the identification of alternatively spliced peptide sequences from tandem mass spectrometry datasets searched using X!Tandem against our modified ECgene resource with all potential translation products and then matched with the Michigan Peptide to Protein Integration (MPPI) scheme. This approach is suitable for human and mouse datasets. Application of the method is illustrated with a study of the Kras activation-Ink4/Arf deletion mouse model of human pancreatic ductal adenocarcinoma. PMID- 21063958 TI - Distributions of ion series in ETD and CID spectra: making a comparison. AB - Databases which capture proteomic data for subsequent interrogation can be extremely useful for our understanding of peptide ion behaviour in the mass spectrometer, leading to novel hypotheses and mechanistic understanding of the underlying mechanisms determining peptide fragmentation behaviour. These, in turn, can be used to improve database searching algorithms for use in automated and unbiased interpretation of peptide product ion spectra. Here, we examine a previously published dataset using our established methods, in order to discover differences in the observation of product ions of different types, following ion activation and unimolecular dissociation either by collisional dissociation or the ion/ion reaction, electron transfer dissociation. Using a target-decoy database searching strategy, a large data set of precursor ions, were confidently predicted as peptide sequence matches (PSMs) at either a 1% or 5% peptide false discovery rate, as reported in our previous study. Using these high quality PSMs, we have conducted a more detailed and novel analysis of the global trends in observed product ions present/absent in these spectra, examining both CID and ETD data. We uncovered underlying trends for an increased propensity for the observation of higher members of the ion series in ETD product ion spectra in comparison to their CID counterparts. Such data-mining efforts will prove useful in the generation of new database searching algorithms which are well suited to the analysis of ETD product ion spectra. PMID- 21063959 TI - Evaluation of peak-picking algorithms for protein mass spectrometry. AB - Peak picking is an early key step in MS data analysis. We compare three commonly used approaches to peak picking and discuss their merits by means of statistical analysis. Methods investigated encompass signal-to-noise ratio, continuous wavelet transform, and a correlation-based approach using a Gaussian template. Functionality of the three methods is illustrated and discussed in a practical context using a mass spectral data set created with MALDI-TOF technology. Sensitivity and specificity are investigated using a manually defined reference set of peaks. As an additional criterion, the robustness of the three methods is assessed by a perturbation analysis and illustrated using ROC curves. PMID- 21063960 TI - OpenMS and TOPP: open source software for LC-MS data analysis. AB - Proteomics experiments based on state-of-the-art mass spectrometry produce vast amounts of data, which cannot be analyzed manually. Hence, software is needed which is able to analyze the data in an automated fashion. The need for robust and reusable software tools triggered the development of libraries implementing different algorithms for the various analysis steps. OpenMS is such a software library and provides a wealth of data structures and algorithms for the analysis of mass spectrometric data. For users unfamiliar with programming, TOPP ("The OpenMS Proteomics Pipeline") offers a wide range of already implemented tools sharing the same interface and designed for a specific analysis task each. TOPP thus makes the sophisticated algorithms of OpenMS accessible to nonprogrammers. The individual TOPP tools can be strung together into pipelines for analyzing mass spectrometry-based experiments starting from the raw output of the mass spectrometer. These analysis pipelines can be constructed using a graphical editor. Even complex analytical workflows can thus be analyzed with ease. PMID- 21063961 TI - LC/MS data processing for label-free quantitative analysis. AB - In this chapter, we describe the use of SuperHirn and MSight, two complementary tools developed to the processing of label-free LC/MS data in view of the quantitation of proteomics samples. While MSight is mainly dedicated to the visualisation and navigation into LC/MS data, SuperHirn is specialised in peak detection, normalisation and alignment of LC/MS runs. These two tools can be used in a complementary way and one of the possible usages is described here. PMID- 21063962 TI - Spectral properties of correlation matrices--towards enhanced spectral clustering. AB - This chapter compiles some properties of eigenvalues and eigenvectors of correlation and other matrices constructed from uncorrelated as well as systematically correlated Gaussian noise. All results are based on simulations. The situations depicted in the settings are found in time series analysis as one extreme variant and in gene/protein profile analysis with micro-arrays as the other extreme variant of the possible scenarios for correlation analysis and clustering where random matrix theory might contribute. The main difference between both is the number of variables versus the number of observations. To what extent the results can be transferred is yet unclear. While random matrix theory as such makes statements about the statistical properties of eigenvalues and eigenvectors, the expectation is that these statements, if used in a proper way, will improve the clustering of genes for the detection of functional groups. In the course of the scenarios, the relation and interchangeability between the concepts of time, experiment, and realizations of random variables play an important role. The mapping between a classical random matrix ensemble and the micro-array scenario is not yet obvious. In any case, we can make statements about pitfalls and sources of false conclusions. We also develop an improved spectral clustering algorithm that is based on the properties of eigenvalues and eigenvectors of correlation matrices. We found it necessary to rehearse and analyse these properties from the bottom up starting at one extreme end of scenarios and moving to the micro-array scenario. PMID- 21063963 TI - Standards, databases, and modeling tools in systems biology. AB - Modeling is a means for integrating the results from Genomics, Transcriptomics, Proteomics, and Metabolomics experiments and for gaining insights into the interaction of the constituents of biological systems. However, sharing such large amounts of frequently heterogeneous and distributed experimental data needs both standard data formats and public repositories. Standardization and a public storage system are also important for modeling due to the possibility of sharing models irrespective of the used software tools. Furthermore, rapid model development strongly benefits from available software packages that relieve the modeler of recurring tasks like numerical integration of rate equations or parameter estimation. In this chapter, the most common standard formats used for model encoding and some of the major public databases in this scientific field are presented. The main features of currently available modeling software are discussed and proposals for the application of such tools are given. PMID- 21063964 TI - Modeling of cellular processes: methods, data, and requirements. AB - Systems biology is a comprehensive quantitative analysis how the components of a biological system interact over time which requires an interdisciplinary team of investigators. System-theoretic methods are applied to investigate the system's behavior. Using known information about the considered system, a conceptual model is defined. It is transferred in a mathematical model that can be simulated (analytically or numerically) and analyzed using system-theoretic tools. Finally, simulation results are compared with experimental data. However, assumptions, approximations, and requirements to available experimental data are crucial ingredients of this systems biology workflow. Consequently, the modeling of cellular processes creates special demands on the design of experiments: the quality, the amount, and the completeness of data. The relation between models and data is discussed in this chapter. Thereby, we focus on the requirements on experimental data from the perspective of systems biology projects. PMID- 21063965 TI - [Investigation of Panton-Valentine leukocidin gene, SCCmec gene cassette types and genotypes of methicillin-resistant Staphylococcus aureus strains isolated from outpatients]. AB - The identification of community-acquired methicillin-resistant Staphylococcus aureus (MRSA) is becoming a hard task since colonization with MRSA is lasting for years and the number of the health care facilities other than hospitals is continuously increasing. In this study we aimed to investigate the genetic properties and health-care association of MRSA strains isolated from skin and soft tissue infections of outpatients admitted to Akdeniz University Hospital. Thirty strains were phenotypically identified as MRSA and after assessing the risk factors, 28 (93.3%) of them were classified as health-care associated (HCA) and 2 (6.7%) of them as community-acquired (CA). All of the isolates were positive for nuc and mecA genes by polymerase chain reaction. Antimicrobial resistance rates of HCA-MRSA and CA-MRSA isolates were found as follows, respectively; 89.3% and 0% for rifampin, 89.3% and 50% for ciprofloxacin, 89.3% and 0% for gentamicin, 50% and 50% for erythromycin, 28.6% and 0% for clindamycin, whereas all of the isolates were susceptible to vancomycin, linezolid and trimethoprim-sulfamethoxazole. SCCmec type III was detected in 24 (85.7%) of HCA-MRSA strains. SCCmec type IV was detected in 1 (3.6%) of HCA-MRSA and in 2 (100%) of CA-MRSA strains. Panton-Valentin leucocidin (PVL) gene positivity was detected in only CA-MRSA isolates (2/2; 100%). MRSA isolates were grouped into 17 different genotypes (from A to R) of which pulsotype A was predominant among HCA isolates and CA-MRSA isolates were found to be clonally related with each other. This is the first study which investigated the genetic properties of MRSA strains in Antalya (a province located at Mediterranean Region, Turkey). In this study HCA risk factors were investigated and CA-MRSA rate was only 6.7% among all MRSA strains isolated from outpatients. As a result of detailed investigation of HCA risk factors, it was possible to detect the exact rate of CA-MRSA among outpatients. Thus it is of clinical and epidemiological importance to know the origin of MRSA isolates since this will affect the empirical treatment choice. Genetic studies supplied by appropriate demographic data will help to clarify the evolution and epidemiology of MRSA in the community and in the hospital setting. PMID- 21063966 TI - [Investigation of presence of class 1 integrons in clinical isolates of carbapenem-resistant Acinetobacter baumannii]. AB - Acinetobacter species, particularly Acinetobacter baumannii, are important opportunistic pathogens responsible for nosocomial infections. They are often resistant to a wide range of antibiotics, including broad-spectrum beta-lactams, aminoglycosides and quinolones. This study was aimed to investigate the presence of class 1 integrons in nosocomial A.baumannii isolates. Eighty-nine carbapenem resistant nosocomial A.baumannii strains recovered from various clinical samples at Ankara Numune Teaching and Research Hospital during September 2006-August 2007, were included in the study. To determine the presence of integrons in Acinetobacter isolates, a chromosomal DNA region that consists of internal variable gene sequences restricted to two conserved regions, was amplified by using 5'CS and 3'CS primers. Class 1 integrons were demonstrated in 93.3% (83/89) of the strains. The range of inserted gene cassette sizes detected varied from 100 to 3000 base pairs. Recent studies have shown that the majority of integrons belong to class 1 among Acinetobacter species. This study also indicated that class I integrons were present in 93.3% of the A.baumannii isolates. The isolates were genotyped by pulsed-field gel electrophoresis (PFGE) and found to be distributed into 13 different groups, two of the groups predominated the isolates (group A: 29, group C: 21 isolates). Five of 6 isolates that did not have the class 1 integron (6/89; 6.7%) exhibited the same PFGE pattern (group C). Since integrons are important for the dissemination of antibiotic-resistance genes among nosocomial Acinetobacter species, the investigation of integrons by polymerase chain reaction method seems to be a rapid and simple technique for revealing the epidemic potential of A.baumannii isolates. PMID- 21063967 TI - [Evaluation of Quantiferon-TB Gold and tuberculin skin test in patients with tuberculosis, close contact of patients, health care workers and tuberculosis laboratory personnel]. AB - Tuberculin skin test (TST) has been used effectively for a long time, despite inherent sensitivity and specificity limitations. Patients with a positive TST without active tuberculosis are identified as having latent tuberculosis infection. Identifying patients with latent tuberculosis infection with this test is an important part of control of the disease. A whole-blood inferferon gamma (IFN-gamma) assay, the Quantiferon TB Gold test (QTG; Cellestis, Australia) which is a promising in vitro diagnostic test for the identification of latent tuberculosis infection (LTBI), has potential advantages over the TST. This test includes Myobacterium tuberculosis specific ESAT- 6 and CFP-10 antigens. The aim of this study was to compare the results obtained by QTG and TST in active tuberculosis (TB) patients, close contacts of patients, health care workers and tuberculosis laboratory personel. Twenty-six patients with active pulmonary TB, 6 close contacts of those patients, 11 health care workers with contact to TB patients and 8 TB reference laboratory personnel were included in the study. Prior to administration of the TST, blood samples were drawn from each participant for QTG test. All subjects were asked for BCG vaccination history and examined for a BCG scar. All individuals had a BCG scar. The QTG assay was performed in whole blood samples according to manufacturer's instructions. The agreement between TST and QTG was measured with kappa statistical analysis. In active TB patients (true-infected cases) TST (PPD) positivity was found 34.6% (9/26) while QTG positivity was 65.3% (17/26). Although the positivity rate was higher in QTG test, this difference was not found statistically significant (p > 0.001). TST and QTG positivity rates for health care workers, close house contact of TB patients and TB laboratory staff were as follows, respectively; 36% (4/11) and 27% (3/11); 16.6% (1/6) and 83% (5/6); 37.5% (3/8) and 75% (6/8). The mean PPD diameter was 11 mm in QTG negative group and 14 mm in QTG positive group with a statistically significant difference (p < 0.001). However, there was no statistical significance between QTG positive and negative groups by means of age (p >= 0.05) and gender (p < 0.001). In conclusion, QTG assay was superior to TST in its ability to detect LTBI and active TB infection, not to be affected with BCG vaccination, to discriminate responses due to non-tuberculous mycobacteria, and to avoid variability and subjectivity associated with application and reading the TST. Besides, QTG assay needs only one visit to the test unit. However, its being expensive than TST and requirement for special equipments and skilled laboratory personnel, are among the disadvantages of QTG assay. PMID- 21063968 TI - [Quality assessment of microscopic examination in tuberculosis diagnostic laboratories: a preliminary study]. AB - Recently, the diagnosis of pulmonary tuberculosis (TB) has based on smear microscopy in the Direct Observed Treatment Strategy (DOTS) programme which provides the basis of treatment worldwide. Microscopic detection of AFB (Acid Fast Bacilli) is one of the main components in the National TB Control Programmes (NTCP). Precision level in microscopy procedures and evaluations are the most important steps for accurate diagnosis of the disease and to initiate proper treatment. Therefore, the external quality assessment (EQA) is the most important implement to provide the reliability and validity of tests. In countries where NTCP are performed, this task is fulfilled by the National Reference Laboratories (NRL) according to the guidelines of the World Health Organization (WHO). For this purpose a pilot study was initiated by the central NRL of Turkey for EQA of AFB smear microscopy as part of the NTCP on January 1, 2005. A total of 5 laboratories of which 2 were district TB laboratories (A, B), 2 were tuberculosis control dispensaries (C, D), 1 was a national reference laboratory (E), participated in this study. Blind re-checking method (re-examination of randomly selected slides) was used for the evaluation, and the slides were sent to the central NRL with 3 months interval, four times a year, selected according to LQAS (Lot Quality Assurance Sampling) guides. In the re-evaluation of the slides, false positivity (FP), false negativity (FN) and quantification errors (QE) were noted. Laboratory A, sent totally 525 slides between January 1, 2005 and April 1, 2008. In the result of re-checking, 514 (97.9%) slides were found concordant, and 11 (2.1%) were discordant (10 FP, 1 FN). Laboratory B, participated in the study between October 1, 2005 and July 1, 2006 and of the 67 re-examined slides, 60 (89.5%) were concordant and 7 (10.5%) were discordant (2 FP, 0 FN, 5 QE). Laboratory C, sent 235 slides between January 1, 2005 and April 1, 2006; of them 218 (92.8%) were detected as compatible and 17 (7.2%) slides were incompatible (4 FP, 9 FN, 4 QE). Laboratory D, participated in QC for only once between January 1, 2008 and April 1, 2008; and all the 50 slides were found compatible, with no FP, FN and QE. Laboratory E, was included in the study between January 1, 2005 and January 1, 2008 and of the 696 re-checked slides, 690 (99.1%) were reported as compatible and 6 (0.9%) were incompatible (3 FN, 3 QE). Following EQA, on-site evaluation of the laboratories with major errors, was performed and necessary adjustments and training were done. In conclusion, external quality control measures for AFB microscopy is crucial and essential for the tuberculosis laboratory performances for accurate and reliable results. PMID- 21063969 TI - [Investigation of rotavirus, adenovirus and astrovirus frequencies in children with acute gastroenteritis and evaluation of epidemiological features]. AB - Viral agents are the most common causes of childhood gastroenteritis over the world. Rotaviruses, the main causative agents of viral gastroenteritis in infant and young children, are followed by other viruses, namely adenoviruses, astroviruses, noroviruses and caliciviruses. The aims of this study were to determine the frequencies of rotavirus, adenovirus and astrovirus infections in children with acute gastroenteritis in our region, and to evaluate these frequencies according to age, gender and seasonal features. A total of 363 stool specimens obtained from 182 female and 181 male children (age range: 0-6 years) who were admitted to hospital with diarrhea, during January-December 2008 in Mersin (a province located at Mediterranean coast of Turkey), were included to the study. The presence of rotavirus, adenovirus and astrovirus antigens in the samples were investigated by ELISA method (R-Biopharm RIDASCREEN, Germany). Viral antigen positivity was detected in 44.4% (161/363) of the samples, and the positivity rates of rotavirus, adenovirus and astrovirus were 32.2% (117/363), 10.5% (38/363) and 1.7% (6/363), respectively. Rotavirus was the most frequently detected agent in children with viral gastroenteritis (117/161; 72.6%), while adenovirus was found in 23.6% (38/161) and astrovirus in 3.7% (6/161) of the cases. Two of the patients (0.6%) yielded triple viral antigen positivity in their stool samples, and 35 (9.6%) of the patients yielded two at a time. Rotavirus + adenovirus (26/363; 7.2%) associations were the most frequently detected coinfections. The difference between the rates of viral antigen positivities in males and females was statistically insignificant (p > 0.05). Rotavirus antigen positivity was detected as 23.7% in 0-12 months group (n = 97), 44.9% in 13-24 months group (n = 69), 40.3% in 25-36 months group (n = 62), 35.4% in 37-48 months group (n= 48), 30.3% in 49-60 months group (n = 33), and 20.4% in 61-72 months group (n = 54). These rates were 7.2%, 18.8%, 8.1%, 16.7%, 6.1% and 5.6%, respectively for adenovirus positivity. Of astrovirus antigen positive children, two were 0-12 months, three were 13-24 months and one was 25-36 months old. No astrovirus positivity was detected in 135 children older than 3 years. The difference between the rates of rotavirus positivities in age groups was found statistically significant (p = 0.0016); however there was no significant differences between the rates of adenovirus and astrovirus positivities (p > 0.05) according to age groups. Rotavirus infections were mainly detected in winter season, namely december (n = 17; 50%), january (n= 22; 46.8%), february (n = 21; 41.2%) and march (n = 12; 31.6%), reduced during the summer, and started to rise in november (n = 14; 38.9%). Comparatively adenovirus and astrovirus positive cases were also seen especially in fall and winter months, while no cases were detected between may to august. In conclusion, since nearly half of the childhood gastroenteritis cases (44.4%) were due to viral agents in our region, testing for the viral antigens may guide the clinical approach to the patients with acute diarrhea especially in 1-3 years old children and in winter season. PMID- 21063970 TI - [Investigation of anti-HTLV I/II seroprevalence in healthy blood donors in Izmir region, Turkey]. AB - Almost 10-20 million people in the world are thought to be infected by human deltaretroviruses, namely human T-cell lymphotropic virus (HTLV) type I and II, recently. HTLV-I is endemic in southwestern Japan, the Caribbean and sub-Saharan Africa, whereas HTLV-II is more prevalent in intravenous drug addicts, and in American indian populations, endemically. HTLV-I is mainly responsible for adult T-cell leukemia (ATL) and HTLV-I-associated myelopathy/tropical spastic paraparesis (HAM/TSP), however, HTLVII is not clearly associated with a known clinical disease. Both viruses may be transmitted by sexual contact, parenteral route, whole blood transfusion and breast-feeding. In most of the countries [USA, Canada, South America, Caribbean, Japan, Taiwan and some Europe countries (France, UK, Ireland, Sweden, Denmark, The Netherlands, Portugal, Romania, Greece)] routine screening of anti-HTLV-I/II in blood donors is mandatory, however, there is no such practice in Turkey since seroepidemiologic data on HTLVI/II infections is insufficient. In this study, the seroprevalence of HTLV I/II in healthy blood donors admitted to the blood bank of Ege University Medical Faculty Hospital, Izmir (located at Aegean region), was investigated to support data on the decision making process on routine screening of anti-HTLV-I/II in blood centers. Serum samples from 10.000 healthy blood donors (mean age: 32.6 years; 87.8% were male), who succeeded the donor history questionnaire, were included to the study, and HTLV-I/II antibodies were screened by a commercial enzyme immunoassay (ELISA) (Murex HTLVI-II, Murex Diagnostics, UK) method. Serum samples which were yielded reactive and borderline results were retested by ELISA, and repeated reactive/borderline results were then confirmed by HTLV-I/II confirmation test (INNO-LIA HTLV-I/II, Innogenetics, Belgium). Seven samples yielded reactive/borderline reactive results by both ELISA lots, however, all of them were found negative by confirmatory test. According to our data HTLV-I/II infections are not endemic in Izmir region, and anti-HTLV-I/II screening of blood donors is not required in our blood center currently. Nevertheless, screening HIV which is very rare in prevalence among the donor population, is mandatory for blood donors in our country. Thus, even its prevalence is very low, much more comprehensive and multi-centered studies are necessary for making the decision of integrating HTLV-I/II in routine blood bank screening tests in Turkey. PMID- 21063971 TI - [Seroprevalence of tick-borne encephalitis virus (TBEV) among the residents of rural areas in Sinop, central Black-Sea region, Turkey]. AB - Tick-borne encephalitis (TBE), caused by tick-borne encephalitis virus (TBEV) is one of the serious neurological infections seen especially in the Asian and North European countries. The principal vectors of TBEV are hard ticks belonging to Ixodes genus. The major vector of European TBEV subtype is I.ricinus and the major vector of Far-Eastern and Siberian subtypes is I.persulcatus. I.ricinus exists in many climatic regions of Turkey, especially in the coastal areas. The aim of this study was to investigate the TBEV seroprevalence among the residents of rural areas in Sinop (a province located at the coast of Central Black-Sea region of Turkey). A total of 273 blood samples have been collected from the subjects (age range: 11-83 years) inhabiting in 12 villages of the central district of Sinop, during the months of May and June in 2006 and 2007. The presence of TBEV IgG antibodies in serum samples were searched by a commercial indirect fluorescent antibody kit (Euroimmun, Deutschland). TBEV IgG positivity was detected in 2.9% (8/273) of the subjects at a screening titer (1/10) and 7 (2.6%) of them also yielded positive results at further dilutions (1/100). The rates of TBEV seropositivity were not found statistically significant (p > 0.05), with respect to gender (141 of the subjects were male), age (142 of the subjects were between 21-50 years old), occupation (17 foresters, 57 were shepherds, 199 were farmers/stockbreeders) and history of tick bite (169 of the subjects had been bitten by ticks). Presence of IgG antibodies against Borrelia burgdorferi, another agent which is transmitted by the same vector, were also investigated in TBEV seropositive 8 subjects by a commercial ELISA kit (Zeus Scientific, The Netherlands). Four of these subjects yielded B.burgdorferi IgG positivity, so the TBEV - B.burgdorferi coinfection rate was estimated as 1.5% (4/273). However, since the results obtained by the tests used in this study (TBEV IgG IFA and B.burgdorferi IgG ELISA) have not been confirmed by additional confirmational tests, these subjects were referred as "probable cases". In recent years the detection rates of vector-borne viral infections is in an increment trend in Turkey due to the developments in diagnostic tests and awareness for emerging infections. In conclusion since Sinop, which is placed in the northernmost point of Turkey, is located close to TBEV endemic areas, the presence of TBEV in Sinop and the Black Sea region should always be considered. PMID- 21063972 TI - [Neonatal Candida infections and the antifungal susceptibilities of the related Candida species]. AB - Among nosocomial infections in the newborns, the incidence of fungal infections has been rising over the last decades. Fluconazole has been a new option for treatment however, expanded use of the drug brought up the development of resistance. In this study, species of the Candida isolates from neonates with candida infections, their antifungal susceptibilities and the effectiveness of the therapy were evaluated. All the species of Candida isolates from blood, urine and sterile body fluids of 54 neonates and their antifungal susceptibilities were evaluated retrospectively over the 13-year period. Demographic characteristics, risk factors, infection foci, Candida species causing infection and their in vitro susceptibilities for fluconazole (FCZ) and amphotericin B (AMB) and treatment responses were analyzed. The antifungal susceptibility testing of isolates was performed by microdilution technique. The median birth weight and gestational age of the study groups were 1735 (660-3990) g and 33 (24-40) weeks, respectively. Among the patients, 19 (35%) were term, while 35 (65%) were preterm [< 32 weeks n = 20 (37%), < 28 weeks n = 7 (13%)]. The percentage of low birth weight infants was 65% (42% was < 1500 g, 13% was < 1000 g). Candida spp. were isolated mostly from blood samples (63%), followed by urine (46%), cerebrospinal fluid (CSF; 5%), peritoneal fluid (3%) and endotracheal aspirate (2%). Multifocal growth was determined in 10 (18%) cases. The isolated species were C.albicans (n =36) as being the most common isolate followed by C.parapsilosis (n = 12), C.tropicalis (n = 1), C.kefyr (n = 1), C.lusitaniae (n = 1), C.pelluculosa (n = 1) and Candida spp. (n = 2). Prior antibiotic use, long term hospitalization, total parenteral nutrition and use of lipid solutions, prematurity and catheter use were determined as the most frequently associated factors causing candidal infections. A congenital abnormality, mainly myeloschisis and hydrocephaly, was detected in 18 (33%) of the cases. Overall FCZ resistance rate was 5.5% and the rate of resistance according to the species was 2.8% for C.albicans and 11% for non-albicans isolates. No resistance was observed to AMB. Initial treatment was FCZ for 78% and AMB for 22% of the newborns. The treatment was switched to AMB in 15 (28%) cases because of no clinical or laboratory response to FCZ although only three of these babies showed resistance to FCZ (MIC >= 64 mcg/ml). Among the cases with no clinical/microbiological response, C.albicans was the most frequently (66%) isolated species followed by non-albicans species (33%). All of the isolates in the study group were susceptible to AMB and the rate of FCZ resistance was 5.5%. However, it was noted that the clinical treatment failure was higher than the resistance rate when FCZ was considered. Although antifungal susceptibility tests are helpful for guiding the therapy, in vivo and in vitro differences should be taken into account in case of treatment failure encountered with the use of in vitro effective agents. PMID- 21063973 TI - [Morphotyping, genotyping and investigation of some virulence factors in different morphotypes of Candida parapsilosis clinical isolates]. AB - In recent years there is an increase in frequency of systemic candidiasis cases caused by Candida parapsilosis. However there isn't any standardized genotyping method to be used in epidemiology of those infections. In this study we aimed to determine utility of morphotyping instead of genotyping to study the epidemiology of 53 C.parapsilosis strains isolated from blood (n= 40) and urine (n= 13) cultures of inpatients at Marmara University Hospital, Istanbul, Turkey. The isolates were morphotyped according to their surface and color properties on Sabouraud-triphenyltetrazolium agar (STTZ) and surface characteristics on malt extract agar (MA) media and 10 different subgroups were obtained. In order to genotype the strains, RAPD-PCR (Random Amplified Polymorphic DNA-Polymerase Chain Reaction) method was used and three different genotypes were obtained comprising mostly type II (90.6%). One of the putative virulence factors investigated in the isolates was phospholipase activity. Phospholipase production was not detected in any of the strains on egg-yolk agar (pH: 4.2). Esterase activity of the strains on Tween-80 agar was negative except for one. In order to observe acid proteinase activity, bovine serum albumin containing (pH: 5.0) agar was used and in 11.3% of the strains no acid proteinase activity was seen while in 75.5% moderate (+), in 13.2% strong (++) activity was detected. Slime production was investigated in 8% glucose containing Sabouraud broth (SB) medium and 67.9 % of the strains were found to be negative; while 20.7% were weakly (+), 7.5% were moderately (++) and 3.7% were strongly (+++) positive. Hydrophobicity of the strains was evaluated by using hexadecane hydrocarbon adherence assay and one isolate was weakly hydrophobic while the remaining strains were found to be moderately hydrophobic. We could not observe superiority of morphotyping over genotyping. Additionally no property was found to associate with a certain morphotype, in terms of virulence factors. PMID- 21063974 TI - [Comparison of two different methods for the investigation of in vitro susceptibilities of planktonic and biofilm forming Candida species to antifungal agents]. AB - Microdilution method that determines the minimum inhibitory concentrations (MIC) of antifungal agents against Candida spp. is still the only method used in laboratories for both biofilm and planktonic forms. However, it was determined in several studies that there were susceptibility differences between the biofilm and planktonic forms of the same microorganism. The aims of this study were the determination of in vitro susceptibilities of planktonic and biofilm forms of Candida strains against antifungal agents, the comparison of the data obtained from planktonic and biofilm forms and the evaluation of two different methods used for the detection of susceptibilities of biofilm forms. Candida albicans ATCC 10231, Candida parapsilosis ATCC 90028 and Candida krusei ATCC 6258 were used as reference strains together with clinical isolates of one of each C.albicans, C.parapsilosis and Candida tropicalis. Microdilution method was used to determine the susceptibilities of planktonic forms of the strains according to CLSI M27-A3 standards, and MIC values of fluconazole, itraconazole, flucytosine, amphotericin B and nystatin were determined. For the detection of antifungal susceptibilities of Candida spp. biofilm forms, Calgary biofilm method (CBM) and BioTimer assay (BTA) were used, and minimum biofilm eradication concentration (MBEC) and minimum biofilm inhibition concentration (MBIC) values of the same antifungals were determined. The difference between MIC and CBM-MBEC, CBM-MBEC and BTA-MBEC, CBM-MBEC and BTAMBIC values were found statistically significant (p < 0.05). In general CBM-MBEC values were found to be higher than MIC values. However, MBEC values were not always very reliable since the exact number of the microorganisms in biofilm can not be determined. BTA-MBIC values were also generally lower than the MBEC values and higher than the MIC values. Statistically significant difference between two methods was determined only for the MBEC values of flucytosine (p= 0.002) and itraconazole (p = 0.025). For flucytosine (p = 0.001) and itraconazole (p = 0.001), there was also a significant difference between CBM-MBEC and BTA-MBIC values, however, the difference was not significant (p > 0.05) for the other antifungal agents. These findings supported that antifungal susceptibilities of biofilm forming Candida strains should also be investigated. However, MBEC and MBIC of the antifungal agents should not always be expected to be higher than the MIC values since the mechanism of action of the specific antifungal agents and the first inoculum concentration of the microorganisms might differ. PMID- 21063975 TI - [Investigation of antifungal activity of Ononis spinosa L. ash used for the therapy of skin infections as folk remedies]. AB - Traditional folk remedies used for centuries come up focus of interest in recent years, due to the trend of use of herb-derived natural products. In addition, increasing morbidity and mortality rates of opportunistic fungal infections and accelerating antifungal resistance rates of fungi lead to the use of alternative therapies with herb-derived preparations as novel antifungals. Ononis spinosa L. (spiny restharrow), which is classified in Leguminosae family, is one of the plants used in herbal medicine as folk remedies for the treatment of skin lesions and/or infections as well as many other disorders. Antibacterial, antifungal, anti-inflammatory and analgesic effects of Ononis spinosa (OS) have already been supported by different studies. The roots and aerial sections of OS are the mainly employed parts for application, however local communities inhabiting at southeastern parts of Anatolia, Turkey, employ the ashes of OS widely to heal the skin infections. There have been no reports about the antifungal activity of OS ashes as far as the current literature is concerned. The aim of this study was to investigate the antifungal activity of ashes of OS, collected from a rural area located at Southeast Anatolia. Ashes of OS have been obtained by burning the plant samples at 400 degrees C, and extracted in sterile distilled water and ethanol. The efficacy of aqueous and ethanol extracts of OS ashes were tested against 10 fungi, of which one was a Candida albicans standard strain (ATCC 95071) and the others were clinical isolates (C.albicans, Candida glabrata, Candida tropicalis, Candida krusei, Candida guilliermondii, Candida parapsilosis, Candida pelliculosa, Trichosporon asahii, Trichophyton rubrum). Antifungal susceptibility test was performed by disc diffusion (DD) method and the results were confirmed with minimum inhibitory concentration (MIC) and minimal fungicidal concentration (MFC) values determined by microdilution method. The results indicated that both aqueous and ethanol extracts of OS ash showed antifungal activity against C. Albicans ATCC 95071 (DD inhibition zones were 16 and 15 mm, respectively; MIC = 1.25 ug/ml, MFC = 1.25 ug/ml), whereas against C.glabrata clinical isolate only ethanol extract exhibited antifungal activity (DD inhibition zone = 10 mm, MIC = 5.00 ug/ml, MFC = 40.00 ug/ml). No antifungal effect was detected against the other clinical Candida spp, T.asahii and T.rubrum isolates. In conclusion, since our results emphasize that extracts of OS ash that traditionally used for skin disorders, showed promising degrees of antifungal activity against some of Candida strains, these preliminary data should be supported by further large-scale studies. PMID- 21063976 TI - [In vitro tigecycline and carbapenem susceptibilities of clinical Acinetobacter baumannii isolates]. AB - Acinetobacter baumannii is a frequent cause of nosocomial infections in most hospitals. Management of infections caused by these strains is difficult, as the strains often display multiple drug resistance, including carbapenem. Tigecycline which is a glycylcycline derivative has antimicrobial activity against many gram positive and gram-negative organisms. In this study, in vitro activity of tigecycline and carbapenems against clinical isolates of A.baumannii strains were investigated. A total of 100 A.baumannii isolates were collected from hospitalized patients with documented nosocomial infections [pneumonia (n = 39), surgical wound infection (n = 32), bacteremia (n = 16), catheter infection (n = 6), urinary tract infection (n = 5), peritonitis (n = 1), eye infection (n = 1)] between October 2006 and June 2007. Only one isolate per patient was included to the study. Minimum inhibitory concentrations (MIC) of tigecycline were determined by E-test (AB Biodisk, Sweden). Carbapenem resistance of A.baumannii strains were determined by disk diffusion method. All of the 100 A.baumannii isolates (100%) were found susceptible to tigecycline (MIC values <= 2 ug/ml; MIC ranges: 0.032 1.5 ug/ml). Imipenem susceptibility test was performed for 95 strains, and 36 (37.9%) were found sensitive, 18 (18.9%) were intermediate sensitive, and 41 (43.2%) were resistant. Meropenem susceptibility test was performed for 87 strains, and 22 (25.3%) were found sensitive, 9 (10.3%) were intermediate sensitive, and 56 (64.4%) were resistant. Since tigecycline is found quite effective on nosocomial A.baumannii isolates, it may be considered as a treatment alternative in infections caused by carbapenem-resistant Acinetobacter spp. PMID- 21063977 TI - [Hepatitis C virus genotypes in a province of western Black-Sea region, Turkey]. AB - Hepatitis C virus (HCV) is one of the significant causes of hepatitis, cirrhosis and hepatocellular carcinoma all throughout the world. There are six genotypes and more than 50 subtypes of HCV. HCV genotyping is of crucial importance in the determination of the treatment protocols and the follow-up of the clinical course since treatment success is low and the duration of treatment is longer in HCV genotype 1 infected cases. The aim of the present study was to evaluate the HCV genotype profiles of the patients with chronic hepatitis C in Zonguldak, providing the first data about HCV genotypes from western Black-Sea region, Turkey. The HCV genotypes of 44 patients (26 female, 18 male; age range: 29-89 years, mean age: 60.05 +/- 10.81 years) with positive anti-HCV antibody and HCV RNA results, admitted to the hospital between May 2007 and July 2009, were retrospectively evaluated and included in the study. Alanine aminotransferase (ALT) levels of the patients were between 8-160 IU/L (mean 63.99 +/- 37.15 IU/L) and the aspartate aminotransferase (AST) levels were between 17-160 IU/L (mean 62.77 +/- 36.75 IU/L). HCV antibody was determined by ELISA method (Abbott Laboratories, USA), and HCV-RNA was determined by two commercial real-time polymerase chain reaction systems [Cobas Taqman (Roche Diagnostic, USA) and Rotor Gene 6000 (Corbett Research, USA)]. The genotyping was performed by a reverse hybridization based method, Versant(r) HCV Genotype Assay (LiPA) 2.0 (Bayer Health Care, Belgium). HCV genotypes could not be determined for 5 (11.4%) patients since HCV-RNA levels were low. Genotyping could be performed for 39 (88.6%) patients and 38 (97.4%) had genotype 1b and one (2.6%) patient had genotype 1a. In conclusion, in concordance with the other studies conducted in our country, genotype 1b was found to be the most prevalent genotype in patients from our region. PMID- 21063978 TI - [A case of cerebral abscess due to methicillin-resistant Staphylococcus aureus which is treated with linezolid + rifampin combination]. AB - Methicillin-resistant Staphylococcus aureus (MRSA) is a rare cause of cerebral abscesses, however it is a relatively more common etiologic agent in post neurosurgical abscesses and the main antibacterial therapy option is vancomycin. In this report, a case of brain abscess due to MRSA which did not respond neither to moxifloxacin + vancomycin nor vancomycin + rifampin combination therapies, and merely treated by linezolid + rifampin combination, has been presented. Fifty-one years old female patient who was operated 40 days ago for subarachnoid bleeding and aneurysm in middle cerebral artery bifurcation, was hospitalized due to purulent leakage from the operation area. She did not have fever and her physical examination, including the neurologic system, was normal. Computerized tomography revealed an approximately 1 cm lesion compatible with subdural empyema and cerebral abscess in the right frontoparietal area in supratentorial sections. The patient was operated for wound revision and moxifloxacin was initiated. Since the operation materials revealed MRSA growth, vancomycin (4 x 500 mg, IV) was added to the treatment. The isolate was identified by conventional methods, and antibiotic susceptibility test performed by disk diffusion method showed that it was susceptible to levofloxacin, linezolid, rifampin, vancomycin and teicoplanin. Since no clinical response was obtained in two weeks, moxifloxacin was switched to rifampin (300 mg 1 x 2). On the 10th day of vancomycin + rifampin therapy, radiological findings showed development of cerebritis and therefore vancomycin was changed with linezolid (2 x 600 mg, IV). The control CT of the patient revealed regression of the brain lesion and linezolid + rifampin treatment continued for six weeks. The patient did not develop any hematological, liver or renal toxicity during the therapy and the radiological findings regressed. No relapse were detected in the one year follow-up period. This case suggested that linezolid might be a treatment alternative in the therapy of vancomycin refractory MRSA brain abscess. PMID- 21063979 TI - [An oropharyngeal tularemia case diagnosed by the isolation of Francisella tularensis on human blood agar]. AB - Tularemia which is a multisystem disease of humans and some animals, is endemic in North America, some parts of Europe and Asia. The causative agent, Francisella tularensis, is a fastidious gram-negative, intracellular bacterium which requires supplementation with sulphydryl compounds (cysteine, cystine, thiosulphate, isoVitaleX) for growth on common laboratory media. In this report, a case of oropharyngeal tularemia diagnosed by the isolation of the causative agent on non selective-common microbiological agar, has been presented. The patient was from Yozgat located in central Anatolia where tularemia has not been reported so far. Forty-two years old male was admitted to the hospital with two weeks history of sudden onset fever, headache, generalized aches, sore throat, and cervical tender lump on the left. Physical examination revealed bilateral exudative tonsillitis and tender posterior cervical lymphadenopathy. He has been empirically treated with amoxicilin-clavulanic acid for 7 days with initial diagnosis of acute tonsillopharyngitis. However, he was admitted to the hospital since the symptoms persisted and swelling increased despite antibiotic therapy. Microscopical examination of the Gram and Ehrlich-Ziehl-Neelsen stained smears prepared from the surgically drained lymph node revealed PMNL, with no evidence of bacteria. Routine cultures of the lymph node material yielded growth of gram-negative coccobacilli only on human blood agar and the cultures were negative for pyogenic bacteria, acid-fast organisms and fungi. Pathologic examination of the drainage material revealed suppurative inflammation. Lymph node aspirate and serum samples of the patient together with the isolated strain were sent to reference laboratory for further investigation in accordance to the clinical and laboratory findings compatible with tularemia. The isolate was confirmed as F.tularensis by slide agglutination and direct immunofluorescence antibody tests, and identified as F.tularensis subsp. holarctica by polymerase chain reaction. Microagglutination test performed on patient's serum yielded positive with an antibody titer of 1/5120. Gentamicin (5 mg/kg/day) was initiated, and the therapy was completed for two weeks. The patient recovered completely without sequela. This case was presented in order to call attention to the strain of F.tularensis which failed to demonstrate a requirement for cysteine and enriched medium on primary isolation, but grew well on conventional laboratory medium. Tularemia should be considered in the differential diagnosis of related infectious diseases since cases of tularemia have been reported from several parts of Turkey after the year 2004. PMID- 21063980 TI - [Posttraumatic primary cutaneous aspergillosis with Candida guilliermondii infection in a healthy host]. AB - Opportunistic fungal infections are usually seen in immunocompromised patients. While Candida is the most prevalent agent in such infections, Aspergillus is at the second order. Primary cutaneous aspergillosis is most common in immunocompromised patients but can rarely be seen in healthy hosts as well. We report a case of posttraumatic primary cutaneous aspergillosis and Candida guilliermondii coinfection in a 70-years-old healthy man. The patient had an ulcerous lesion which developed in the site of a trauma on the middle finger of the right hand. Histopathological examination of the biopsy specimens revealed septate hyphae with dichotomous branching small circular blastospores. The cultures of the biopsy specimen yielded yellow-green colored, granular mold colonies and creamy white yeast colonies. Microscopic examination of the lactophenol cotton blue stained mold colonies indicated long conidiophores with vesicles surrounded by uniseriate phialides, compatible with Aspergillus flavus. Yeast colonies were identified as Candida guilliermondii by ID32C (BioMerieux, France) and by their microscopical morphology detected in corn meal-Tween 80 agar incubated at 25 degrees C for 72 hours. The patient was treated properly with surgical debridement and itraconazole therapy. Since the immune system is compressed as a consequence of aging, cutaneous opportunistic fungal infections should be considered in the differential diagnosis of posttraumatic necrotic ulcers and black eschar in aged patients. PMID- 21063981 TI - [An adult case of visceral leishmaniasis in a province of Black-Sea region, Turkey]. AB - Visceral leishmaniasis (VL) which is a chronic disease caused by the protozoon, Leishmania, occurs widely worldwide and it is widespread in most of the countries in the Mediterranean basin. The infection which is transmitted by a sandfly (Phlebotomus) vector, has a prolonged incubation period and insidious onset. VL generally affects children and may be fatal if not treated. In this report, a 31 years old male patient, who was the first adult VL case from Zonguldak (a province located at western Black-Sea region of Turkey) was presented. He was admitted to the hospital with two-months history of fever, chills, sweating and weight loss. There was no history of travel outside the city nor insect bites, however, he indicated that there would be unnoticed sandfly bites since sandflies were very common in the coal mines he worked. His physical examination revealed body temperatue of 39.2 degrees C and hepatosplenomegaly, while laboratory findings yielded anemia, leucopenia, hypoalbuminemia and hypergamaglobulinemia. Erythrocyte sedimentation rate was 62 mm/h, C-reactive protein was 113 mg/L and liver transaminases were 2 to 5 folds higher than the reference values. The only pathological finding was hepatosplenomegaly in the abdominal ultrasound and computerized tomography. He was further examined to rule out infections with similar signs and symptoms, connective tissue diseases and malignancies and all were found negative. Hypercellular bone marrow were detected in the aspiration material. Bone marrow smears, bone marrow samples inoculated in NNN medium and serum samples of the patient were sent to the reference parasitology laboratory of Refik Saydam National Public Health Agency for evaluation in terms of VL. The diagnosis was confirmed by the detection of Leishmania IgG titer as 1/512 with in house indirect immunofluorescence antibody test, by positivite rK39 Dipstick (InBios, USA) test and by the observation of Leishmania amastigote forms in the bone marrow smears. Bone marrow culture in NNN medium also revealed positive result by the determination of Leishmania promastigote forms on the 7th day. The treatment was initiated by pentavalent antimony [glucantime 1 x 10 mg/kg/day intramuscular (IM)] however, due to severe adverse effects it has switched to liposomal amphotericin B (3 mg/kg/day). The patient completely recovered without complication. In conclusion VL should be considered in the differential diagnosis of patients, even adults, with persistent fever, hepatosplenomegaly and pancytopenia, in endemic countries such as Turkey. PMID- 21063982 TI - [Microsporidium spp. infection in an immunocompromised child diagnosed by polymerase chain reaction]. AB - Microsporidium spp. may lead to a variety of clinical pictures like sinusitis, keratoconjunctivitis, hepatitis, myositis, peritonitis, nephritis, encephalitis and pneumonia in case of immune deficiencies. In this report, a case of diarrhea due to Microsporidium spp. has been presented. A four years old male patient who was followed with the diagnosis of myotonic dystrophia, was admitted to the hospital with the complaints of respiratory distress and fever. Due to the history of recurrent infections, further investigations was carried out to clarify the immunological status of the patient, and the total IgA and IgM levels were found as 14 mg/dl and 30 mg/dl, respectively (normal values were; 18-160 and 45-200 mg/dl, respectively). Following bronchoscopy done to enlighten respiratory distress, the patient developed high fever and watery diarrhea. Since bacteriological cultures of the stool yielded Shigella spp., antimicrobial therapy with ciprofloxacin was initiated. Parasitological examination of the stool done by Weber's modified trichrome dye, yielded Microsporidium spp. microscopically and albendazole was added to the treatment. Presence of Microsporidium spp. was confirmed by polymerase chain reaction with the use of C1 and C2 primers (Metabion, Germany) targeted to Microsporidium spp. and besides a 270 bp band specific for Encephalitozoon intestinalis was also obtained. This case emphasized that in case of diarrhea the stool samples of the immunocompromised patients should be evaluated in terms of Microsporidium spp. in addition to the routine parasitologic examinations. PMID- 21063983 TI - [Evaluation of rabies-suspected bites in Giresun, eastern Black-Sea region, Turkey]. AB - This study was conducted to retrospectively evaluate a total of 4390 cases (1712 female, mean age: 25 years old; 2678 male, mean age: 35 years old) admitted to the rabies vaccine center of Giresun State Hospital, a province located at eastern Black-Sea region of Turkey, with the history of animal bite between the years of 2005-2009. It was determined that 74.5% of the cases were bitten by dogs, 22% by cats and 3.5% by wild animals and others. The most frequently bitten area was the lower extremities (n= 2678, 61%) (buttocks, legs and foot in order of decreasing frequency), followed by upper extremities (n= 1200, 27%) (hands, arms, head and neck area) and other areas (n=512, 11.6%) (back, abdomen, groin). According to the "Rabies Protection and Control Guidelines" of the Turkish Ministry of Health, 3210 cases (98.8%) were only vaccinated against rabies and 38 cases (1.2%) were both vaccinated and applied rabies antiserum according to the risk factors related to the suspected bite. Ten days follow-up of the suspected animal was recommended to 1142 (26%) cases and since no death were detected among these animals, no vaccination were applied. In conclusion, since this specific area with mountains and forests is suitable for the inhabitance of reservoir animals, risk groups such as workers in the forest should receive pre-exposure prophylaxis and specific precautions should be undertaken for the vaccination and/or care of dogs for effective rabies control. PMID- 21063984 TI - [Epidemiological and molecular characteristics of hospital-acquired methicillin resistant Staphylococcus aureus strains isolated in Hacettepe University Adult Hospital in 2004-2005]. AB - The aim of this study was to determine the epidemiological and molecular characteristics of hospital-acquired (HA)-methicillin-resistant Staphylococcus aureus (MRSA) isolates by investigating the distribution of clinical samples according to the hospital wards, antibiotic susceptibility patterns, staphylococcal chromosome cassette mec (SCCmec) types and the presence of Panton Valentine Leukocidin (PVL) genes. A total of 110 MRSA isolates obtained from various clinical samples of inpatients at Hacettepe University Adult Hospital between January 2004 and December 2005 were included in the study. The identification of the isolates was done by BD Sceptor automated system (Becton Dickinson, USA). The mecA gene, SCCmec types and PVL genes were detected by polymerase chain reaction (PCR). Pulsed field gel electrophoresis (PFGE) was performed to examine the clonal relatedness. The susceptibility testing was performed for some antibiotics by E-test (AB Biodisk, Sweden) and for the others by disk diffusion methods according to the Clinical and Laboratory Standards Institute (CLSI) recommendations. The clinical samples (35 blood, 37 pus, 23 deep tracheal aspiration, 5 catheter, and 10 other samples) that yielded the MRSA strains were isolated from patients (71.5%) at intensive care units and surgical wards. All the isolates were positive for mecA gene. Of the isolates, 68 (61.8%) were harboring SCCmec type III, 38 (34.5%) SCCmec variant IIIB, and 3 (2.7%) SCCmec type IV. One isolate which was mecA gene positive could not be classified in any of the SCCmec types. PVL was positive in 14 (12.7%) of the isolates. All MRSA strains were susceptible to tigecycline, linezolid, vancomycin and teicoplanin; however, exhibited high rates (> 90%) of resistance to gentamicin, ciprofloxacin and rifampin. Susceptibility rates to trimethoprim/sulfamethoxazole was 90%, clindamycin 53% and erythromycin 32%. Eight pulsotypes were distinguished on the basis of PFGE (A, B, C, D, K, L, N, O). Of the total isolates, 92.7% belonged to pulsotype A. HA-MRSA strains predominantly isolated from pus and blood samples of inpatients at intensive care units and surgical wards in our hospital were multi-resistant. Majority of these isolates were SCCmec III, or variant IIIB type. Although PVL is known as a common virulence factor of community-acquired MRSA, HA-MRSA isolates in our center have a considerable rate of PVL positivity pointing out the importance of surveillance of the changing epidemiology of MRSA. PMID- 21063985 TI - [Comparison of teicoplanin and linezolid therapies in patients with methicillin resistant Staphylococcus aureus pneumonia acquired from respiratory intensive care unit]. AB - Methicillin-resistant Staphylococcus aureus (MRSA) is one of the high-risk and potential multi-drug resistant microorganisms that leads to infection in intensive care unit (ICU). Although standard antibiotics used for its treatment are glycopeptides, linezolid is considered as an alternative treatment especially in hospital-acquired pneumonia (HAP). The aim of this retrospective study was to compare the results of linezolid and teicoplanin treatments in patients with MRSA isolated from their respiratory samples in ICU. In our respiratory ICU, 41 consecutive patients (28 males, mean age 66.0 +/- 16.0 years) diagnosed as HAP due to MRSA were included in the study. Teicoplanin was used in 22 patients and linezolid treatment was given to 19 patients. In the linezolid group, mean age and Acute Physiology Assessment and Chronic Health Evaluation (APACHE) II score were found higher (68.9 +/- 12.5 vs. 63.5 +/- 18.5 and 25.7 +/- 6.4 vs. 23.2 +/- 4.9, respectively), and PaO2/FiO2 ratio was lower (176.4 +/- 58.2 vs. 191.6 +/- 91.3) however, the differences between the two groups were not statistically significant. There was no difference between the two groups in terms of hospitalization indications, co-morbid diseases, other baseline findings and risk factors for development of HAP caused by MRSA. Invasive mechanical ventilation was applied to 86.4% of the patients in teicoplanin group and 84.2% in linezolid group (p> 0.05). The rates of bacteremia were found as 22.7% and 31.6% in teicoplanin and linezolid groups, respectively (p>0.05). Bacteriological eradication was achieved in all patients given linezolid, whereas this rate was 72.7% in patients on teicoplanin therapy (p= 0.048). There was no difference with regards to durations of ICU and hospital stay between the two groups. The mortality rate was found lower in the linezolid group than the teicoplanin group (42.1% vs. 63.6%), however this difference was not found statistically important (p> 0.05). In conclusion; the present study demonstrated that better microbiological eradication was achieved by linezolid therapy in pneumonia caused by MRSA in ICU, however, the clinical efficacy and survival rates were similar to teicoplanin therapy. PMID- 21063986 TI - [Investigation of ESBL types in community acquired urinary Escherichia coli isolates by isoelectric focusing and polymerase chain reaction]. AB - The aim of this study was to determine the extended-spectrum beta-lactamase (ESBL) types by isoelectric focusing (IEF) and polymerase chain reaction (PCR) methods in 56 Escherichia coli strains isolated from urine samples of patients with community-acquired urinary tract infection and determined as ESBL positive with the phenotypic screening tests (E test and combined disk method). IEF revealed that most of the strains produced 1 to 3 different bands, mostly at the isoelectric points 8.2 (n= 44, 79%) compatible with CTX-M. Twenty four (43%) isolates had CTX-M and TEM enzyme bands together, 16 (29%) isolates had only CTX M enzyme bands, 3 (5%) isolates had CTX-M, TEM, SHV bands, one had CTX-M and SHV enzyme bands together, and one had only TEM band. Eleven E.coli strains did not yield any enzyme bands. PCR analysis revealed that 93% (n= 52) of the isolates had CTX-M, 64% (n= 36) had TEM and 11% (n= 6) had SHV, while 29 (52%) had CTX-M + TEM, three had CTX-M + SHV, and three had CTX-M + TEM + SHV genes together. PER-1 type beta-lactamases were not detected by PCR method. PCR analysis of the eleven strains that yielded no band in IEF showed that 5 strains had CTX-M + TEM, 3 had CTX-M and 3 had TEM enzyme genes. The consistency between IEF and PCR methods for the determination of CTX-M, TEM and SHV enzymes was 85%, 78% and 67%, respectively. Genes encoding ESBL's are usually located on transferrable plasmids that may also carry other resistance determinants. Thus detection of beta lactamase enzyme types in ESBL positive bacteria is important for the choice of appropriate antimicrobial agents for treatment. PMID- 21063987 TI - [Three step MIRU-VNTR for routine mycobacteriology laboratory practice]. AB - Several methods are available for the molecular typing of Mycobacterium tuberculosis complex isolates. The results of the recent research demonstrated that Mycobacterial Interspersed Repetitive Unit (MIRU)-Variable Number Tandem Repeats (VNTR) method has high discriminatory power and reproducibility, is easy to perform, and available for multi-center studies and automation. However, there is insufficient data about the MIRU-VNTR profiles in Turkey. The aim of this study was to determine the most appropriate MIRU-VNTR combinations to distinguish cross contaminations and nosocomial infections in routine mycobacteriology laboratory practice. Following molecular typing of 152 clinical isolates which were consecutively isolated from different patients in two years period (August 2004-July 2006) in our laboratory, a retrospective analysis of MIRU-VNTR data of 12 loci primers was performed by an "in-house" computer based programme. The programme was prepared by using Microsoft QuickBASIC programming language and all of the data were calculated by the help of this programme. The best combinations to differentiate the clusters and to identify the unique isolates were determined out of 4095 possible results of 12 different primer pairs. According to our 152 MIRU-VNTR results, to determine cross contaminations and nosocomial infections in routine mycobacteriology laboratory practice, we recommend to use primers 26, 40, 16, 10 and 23 in the first step; primers 31, 27, 20 and 2 in the second step, and primers 4, 24 and 39 in the third step. The created software is user friendly, fast and meets the requirements of routine clinical mycobacteriology laboratories. Besides its discriminatory power, the speed and cost-effectiveness of a typing method is also considerable. According to the results of this study it was suggested that for more rapid and economic molecular typing of M.tuberculosis and related epidemiological investigations, MIRU-VNTR should be performed in a stepwise manner. PMID- 21063988 TI - [Evaluation of 694 tuberculous lymphadenitis cases reported from Turkey between 1997-2009 period by pooled analysis method]. AB - Tuberculosis which mainly involves the lungs, can also cause infection in almost all other organs and tissues in the body. One of the most common forms of extrapulmonary tuberculosis is tuberculous lymphadenitis. In this study, tuberculous lymphadenitis cases reported from Turkey in national and international journals in the last 12 years, were reviewed systematically with pooled-analysis method. Related articles were retrieved by search of three national (Ulakbim Turkish Medical literature databases, http://www.turkishmedline.com, http://medline.pleksus.com.tr) and two international databases [PubMed and Science Citation Index (SCI)]. Between the years 1997-2009, tuberculous lymphadenitis cases have been published in a total of 44 articles (13 international, 31 national data base). These articles included a total of 694 tuberculous lymphadenitis cases (62.4% women, 37.6% men; mean age 37.5 years). The distribution of the lymph nodes involved was determined in 528 cases and the most commonly involved areas were cervical (61.4%), mediastinal (20.5%) and axillary (6.4%) areas. The most common complaints of patients were fever (15.8%), weight loss (14.5%), malaise-fatigue (13.1%) and sweating (12.4%). Tuberculous lymphadenitis was identified in 10.6% (51/479) of the cases by direct microscopical examination, in 15.9% (65/408) by culture and in 648 cases from whom biopsies were taken, by histopathological examination. Tuberculin skin test positivity was detected in 78.9% (377/478) cases. History of contact with active tuberculosis patients was determined in 24.2% (88/364) of the patients. Coexisting lung tuberculosis was detected in 7.8% (54/694) of the cases. The total number of cases that had died was four; two cases due to malignancy, one due to sepsis and one due to central nervous system tuberculosis that have developed four years following the diagnosis of tuberculous lymphadenitis. Since microbiological diagnosis is difficult in tuberculous lymphadenitis and not available in all centers, evaluation of the patients' history and clinical findings are of great importance. This pooled analysis which enabled the evaluation of a large number of tuberculous lymphadenitis cases, indicated that in countries where tuberculosis is widespread, careful evaluation of clinical findings and a good microbiological and histopathological investigation will provide valuable support for diagnosis and treatment of tuberculous lymphadenitis. PMID- 21063989 TI - [Identification and isolation of non-tuberculous mycobacteria from environmental samples]. AB - Non-tuberculous mycobacteria (NTM) found frequently in tap water and environment cause important opportunistic infections in immunocompromised patients. The aim of this study was to isolate and identify non-tuberculous mycobacteria in soil, raw milk and water distribution system samples in Mersin (a province located at Mediterranean region of Turkey). A total of 101 water, 124 soil and 40 milk samples collected from the central part and suburban parts of Mersin during November 2003-May 2004 period were included in the study. Water samples were collected from 29 different water distribution systems; soil samples from different parks and gardens and milk samples from raw milks sold at different districts. After the samples were processed by homogenization and decontamination, acid-fast staining and culture into Lowenstein-Jensen medium were performed. Acid-fast bacilli isolated from culture medium were identified by using conventional methods, polymerase chain reaction (PCR)-RFLP (Restriction Fragment Length Polymorphism) and INNO-LIPA Mycobacteria methods. NTM were identified from 4.9% (5/101) of water samples and 0.8% (1/124) of soil samples by culture and PCR. No NTM were detected in the raw milk samples. Three of the NTM strains isolated from water samples were defined as Mycobacterium chelonae type III and two as Mycobacterium kansasii type II. One NTM strain isolated from soil was defined as Mycobacterium fortuitum. It was of note that two of the five NTM positive water samples were tap water samples collected from hospitals. It was concluded that NTM colonization/contamination of water and environment in the hospitals was a potential risk factor in terms of nosocomial infections. Thus surveillance cultures of the water systems and the medical devices in the hospital are necessary to fix the source of NTM, to identify and type the strains and to establish effective control measures such as sterilization, disinfection, maintenance and modernization of water systems. PMID- 21063990 TI - [Detection of human bocavirus DNA by polymerase chain reaction in children and adults with acute respiratory tract infections]. AB - Human bocavirus (HBoV) which was described in 2005 by molecular techniques, is a member of Parvoviridae. The role of HBoV is being questioned in acute respiratory diseases (ARD) in many recent studies. The aim of this study was to determine the presence of HBoV DNA in the respiratory specimens of patients with ARD. A total of 155 throat swab and/or washing specimens from 76 children and 79 adults with ARD were examined. HBoV DNA was investigated by single step in-house polymerase chain reaction (PCR) using NS1 primers (5-'TATGGCCAAGGCAATCGTCCAAG-3', 5'-GCC GCGTGAACATGAGAAA-CAG-3') which amplify the 290 base pair region of NS1 gene located between nucleotides 1545-1835 of prototype HBoV st1 strain. HBoV DNA was detected in 5 (6.5%) of 76 children and 2 (2.5%) of 79 adults. Three sequenced samples showed 100% homology with the reference sequences. This study in which HBoV DNA was detected in children and adults with ARD, is the first HBoV prevalence study in Turkey. Larger scale prospective clinical and molecular studies are required to explain the association between HBoV and respiratory disease. PMID- 21063991 TI - [Investigation of dengue virus and yellow fever virus seropositivities in blood donors from Central/Northern Anatolia, Turkey]. AB - Dengue virus (DENV) and yellow fever virus (YFV) are two of the globally prevalent vector-borne flaviviruses. Data on these viruses from Turkey is limited to a single study originating from the western, Aegean region of Turkey, where evidence for DENV exposure had been confirmed in residents and presence of hemagglutination inhibiting antibodies against YFV had been revealed. The aim of this study was to investigate the rates of seropositivity of DENV and YFV in blood donors from Central/Northern Anatolia, Turkey, for the demonstration of possible human exposure. Serum samples were collected by the Turkish Red Crescent Middle Anatolia Regional Blood Center from donation sites at Ankara, Konya, Eskisehir and Zonguldak provinces and included in the study after informed consent. Ankara is the capital and second most-populated city in Turkey. All samples were previously evaluated for West Nile and tick-borne encephalitis virus antibodies and found to be negative. A total of 2435 and 1502 sera have been evaluated for IgG antibodies against DENV and YFV, respectively. Commercial enzymelinked immunosorbent assays (ELISAs) and indirect immunofluorescence tests (IIFTs) were applied (Euroimmun, Germany) for DENV/YFV IgG surveillance. DENV IgG reactive sera were further evaluated for IgM by ELISA and a commercial mosaic IIFT to determine DENV subtypes. IgM positive samples were also analyzed by a commercial NS1 antigen detection assay (Bio-Rad Laboratories, France). YFV IgG reactive samples were evaluated by IIFT for IgM and via mosaic IIFT and antibody specificity were confirmed by plaque reduction neutralization test (PRNT). Anti DENV IgGs were demonstrated in repeated assays in 0.9% (21/2435) of the sera. In two samples with borderline IgG results, presence of DENV IgM was detected, one of which was also borderline positive for DENV NS1 antigen. In 14.3% (3/21) of the IgG reactive sera, mosaic IIFT was evaluated as positive and displayed prominent reactivity for DENV-2 in all samples. From five donors with DENV reactivity, new samples were obtained after at least six months which revealed the continuing presence of DENV IgG activity in four. One sample which was initially positive for IgM, borderline for NS1 antigen and borderline for IgG was observed to be positive for IgG and negative for IgM in redonation. IIFT results in three redonation samples also indicated reactivity for DENV-1 and DENV-2 subtypes. Anti-YFV IgGs were detected in 0.6% (9/1502) of the sera. YFV IgM could not be demonstrated in any of the IgG reactive samples and PRNT was evaluated as negative. In conclusion, evidence for DENV exposure, presumably to DENV-2, was identified in residents from Central Anatolian provinces of Ankara and Konya for the first time, however, seroreactivity detected against YFV could not be confirmed by PRNT. These findings indicated that DENV or an antigenically-similar flavivirus was probably present in the study region and sporadic human exposure might have occurred. PMID- 21063992 TI - [Investigation of West Nile virus seroprevalence in healthy blood donors]. AB - West Nile virus (WNV) which is a flavivirus transmitted by mosquitos, may lead to asymptomatic infection, mild febrile illness or encephalitis. Many sporadic cases and major outbreaks of West Nile fever have been reported worldwide, however, WNV infections have not been well documented in Turkey. The aim of the present study was to determine the prevalence of past WNV infections in a population of blood donors. Blood samples were collected from donors with their informed consent. Samples were processed and tested for WNV IgG by enzyme-linked immunosorbent assay (ELISA) (Euroimmun, Germany) according to the manufacturer's guidelines. Demographic data of the donors were recorded. A total of 2821 serum samples were tested. Among them, 28 samples were found to be WNV IgG positive (0.9%) and 41 of them were indeterminate (1.4%). Thus a total of 69 objects were considered to have encountered WNV (2.4%). All of the IgG positive samples (n= 69) and randomly selected negative samples (n= 60) were re-analysed for the presence of viral RNA by a commercial real-time reverse transcriptase PCR (LightMix(r) Kit West Nile Virus, TIBMolbiol, Germany). West Nile virus RNA was not found in any of the samples. In conclusion, our data have supported the results of other studies indicating the presence of WNV infection in Turkey. Further larger scale studies are necessary to evaluate the possible risks of WNV infections in our country in terms of blood banking. PMID- 21063993 TI - [Sandfly fever outbreak in a province at Central Anatolia, Turkey]. AB - Sandfly fever virus (SFV), which is classified in Phlebovirus genus, Bunyaviridae family, is widely seen in the Middle East and Mediterranean basin. SFV has four serotypes known as Sicilian (SFSV), Cyprus (SFCV), Naples (SFNV) and Toscana virus (TOSV). Sandfly fever, which is transmitted to human by different species of sandflies, especially Phlebotomus spp., starts with acute onset of high fever and lasts for three days. Headache, anorexia and myalgia are the most common symptoms. The aim of this study was to present the clinical and laboratory findings of the patients who were diagnosed during sandfly fever outbreak in Kirikkale province (located in central Anatolia in Turkey) during July 2009. A total of 20 patients from different districts of Kirikkale province with the history of fly bite and with the clinical findings of fever, myalgia-arthralgia, headache, conjunctival hyperemia and gastrointestinal symptoms such as diarrhea and nausea-vomiting were admitted to the Infectious Disease Unit of State Hospital. All the patients were followed up after hospitalization. A sandfly fever outbreak has been considered in the area since the cases shared a common history of insect bite, similar clinical and laboratory features in a particular time interval. The first patients from each different districts were accepted as the "index case" and 11 patients' serum samples have been sent to Refik Saydam National Public Health Agency, Virology Reference and Research Laboratory, Ankara. Serum samples were analyzed by using a commercial mosaic immunofluorescence test (IFT) (Euroimmun, Germany) to detect IgM and IgG antibodies against SFSV, SFCV, SFNV and TOSV. SFV-IgM positivity was demonstrated in 8 out of 11 patients (Naples virus in 5, Sicilian virus in 3 cases), while all of the cases were IgG negative. Of seropositive patients, two were female and six were male with a mean age of 30.7 (age range: 16-53) years. Sandfly fever was diagnosed in five cases by the positive IgM results and in three cases by the detection of IgM seroconversion in the second samples collected 6 days later. Clinically, fever and myalgia-arthralgia were detected in all of the cases, diarrhea and nausea-vomiting in 7, headache in 5 and conjunctival hyperemia in 1 of 8 seropositive patients. The evaluation of laboratory findings revealed leukopenia (1800-3800 cell/ul) in all cases, thrombocytopenia (69000-140.000 cell/ul) in 7, elevated AST (42-271 IU/L) in 7, elevated ALT (46-173 IU/L) in 5, elevated CK (185-1560 U/L) in 6 and elevated CRP (5.18-83.6 mg/L in 5 of 8 patients. All the patients were treated symptomatically without any sequella and discharged with complete cure. Turkey is a country in the Mediterranean basin and it is known that there is a favorable sandfly fauna in Anatolia. Therefore sandfly fever should be considered in patients presenting with fever and arthralgia-myalgia and with a history of insect bite especially during summer months. PMID- 21063994 TI - [Comparison of glucan and galactomannan tests with real-time PCR for diagnosis of invasive aspergillosis in a neutropenic rat model]. AB - The incidence of aspergillosis which has high mortality rates, has increased gradually. Since invasive aspergillosis (IA) is one of the leading causes of death in immunocompromized and neutropenic patients, early and accurate diagnosis of IA is of crucial importance. The aims of this study were to compare the results of culture, real-time polymerase chain reaction (RtPCR), galactomannan (GM) antigen and glucan (GC) antigen detection tests and to evaluate their performances in view of rapid and accurate diagnosis of IA in neutropenic rat model. Female Wistar albino rats were included in the study with the consent of Animal Searching Ethical Committee and classified into three groups as healthy controls (n= 6), neutropenic controls (n= 10) and pulmonary aspergillosis (n= 35) groups. Rats were immunosuppressed with 5-flourourasil and then Aspergillus fumigatus conidia were inoculated intranasally. On the seventh day of the infection, blood, bronchoalveolar lavage (BAL) and lung tissue samples were collected from the animals, and control and aspergillosis groups were compared in terms of infection markers. All of the tests (culture, RtPCR, GM and BG tests) were found to be negative in controls. At the end of the study 22 rats in aspergillosis group survived. Lung tissue samples from those 22 animals were all positive for the presence of hypha on pathological preparations, while 20 (91%) yielded Aspergillus colonies on the cultures. Aspergillus DNA was detected in 7 of the 12 BAL samples (58.3%), 7 of 19 blood samples (36.8%) and 4 of 22 lung tissue samples (18%) using RtPCR method. GM antigen was detected in 7 of 20 serum samples (35%) with a commercial kit (Platelia(r) Aspergillus ELISA, BioRad, France). Quantitative detection of betalucan levels were investigated by using a commercial kit (FungitellTM, Cape Cod, USA) in serum and BAL samples and positive results were obtained in 11 of 22 serum (50%) and 9 of 17 BAL (52.9%) samples. In this study it was demonstrated that PCR performed in BAL samples is the most sensitive method compared to the others, for the diagnosis of IA in the rat model. The sensitivity rates were as follows when culture method accepted as the gold standard: 58.3% for BAL-PCR, 41.2% for blood-PCR, 20% for tissue-PCR, 38.9% for serum GM, 55% for serum GC and 52.9% for BAL-GC. It was also concluded that detection of GC activity in serum was more sensitive than GM detection in serum (sensitivity of GM was %38.9, sensitivity of GC was %55, while specificities were 100% for both of the tests), for laboratory diagnosis of IA. The BAL samples were evaluated as the most valuable clinical samples to screen the suspected patients. However, even in proven cases, 41.7% of BAL samples were found negative with PCR, 50% of serum samples were found negative with GC test, and 65% of serum samples were found negative with GM test. Since the pathogenesis of IA has not been completely clarified, the performance of non-culture based diagnostic tests exhibit great variability. Future clinical studies are required to compare the performance of different nonculture based diagnostic methods and the optimal combination of these tests for the most accurate laboratory diagnosis of IA. PMID- 21063995 TI - [Adaptation of a sensitive DNA extraction method for detection of Entamoeba histolytica by real-time polymerase chain reaction]. AB - This study was aimed to adapt a sensitive DNA extraction protocol in stool samples for real-time polymerase chain reaction (PCR) detection of Entamoeba histolytica which causes important morbidity and mortality worldwide. Stool extraction is a problematic step and has direct effects on PCR sensitivity. In order to improve the sensitivity of E.histolytica detection by real-time PCR, "QIAamp DNA stool minikit (Qiagen, Germany)" was modified by adding an overnight incubation step with proteinase K and sodium dodecyl sulfate (SDS) in this study. Three different extraction methods [(1) original method, (2) cetyltrimethyl ammonium bromide (CTAB) method, (3) modified method] were evaluated for effects on sensitivity in real-time quantitative PCR (Artus RealArt TM E.histolytica RG PCR Kit, Qiagen Diagnostics, Germany). For this purpose, several concentrations of standard E.histolytica DNA were spiked in parasite-free stool samples and three different extraction protocols were performed. Detection sensitivities of "QIAamp DNA stool minikit" was found 5000 copies/ml and of CTAB method was found 500 copies/ml. Detection sensitivity of the extraction was improved to 5 copies/mL by modified "QIAamp DNA stool minikit" protocol. Since detection sensitivities of nucleic acid extraction protocols from stool samples directly affect the sensitivity of PCR amplification, different extraction protocols for different microorganisms should be evaluated. PMID- 21063996 TI - [Detection of cagA prevalence in clinical isolates of Helicobacter pylori]. AB - Helicobacter pylori is a gram-negative, microaerophilic bacterium that colonizes human gastric mucosa and affects approximately 50% of the whole world population. It has put the blame on gastric ulcer, duodenal ulcer, chronic atrophic gastritis, mucosa-associated lymphoid tissue lymphoma and stomach adenocarcinoma, as the etiological agent. The cagA (cytotoxin-associated gene A) gene which is one of the most important virulence factors of H.pylori, encodes a 120-145 kDa protein called CagA antigen that may cause cell transformation. The prevalence of cagA positive H.pylori infections varies according to geographical area and age of the patients. Recent studies have suggested that cagA positive H.pylori strains play a role in the development of gastric carcinoma. The aim of this study was to evaluate the prevalence of cagA positive H.pylori isolates in adult and pediatric patient groups in Hacettepe University, Faculty of Medicine. The study was performed on 198 H.pylori stocked strains which have been isolated between 1997-2003 period from biopsy specimens of 107 adult and 91 pediatric patients with gastrointestinal pathology. Chromosomal DNA was extracted by the cetyltrimethyl-ammonium bromide (CTAB) method, and a 348 bp region of the cagA gene was amplified by an "in-house" polymerase chain reaction (PCR) using F1 and B1 primers (Gene Bank number: L11714 position 1231 and 1578R). The evaluation of PCR products revealed that 58.6% (116/198) of the isolates were cagA positive. The rates of cagA positive H.pylori among the adult and pediatric isolates were 62% and 55%, respectively. The present study demonstrates the prevalence of cagA in clinical isolates of H.pylori in our university hospital, and our data was found concordant with the results of studies reported from developed countries. PMID- 21063997 TI - [Investigation of parvovirus B19 seroprevalence in various age groups in Central Anatolia Region, Turkey]. AB - Human parvovirus B19 is a small, non-enveloped, icosahedral symmetric, single stranded DNA virus that can cause a number of diseases, notably erythema infectiosum in children and aplastic crisis in patients with chronic hemolytic disorders. There have been limited data on the epidemiological pattern of parvovirus B19 infection in Turkey. The objective of this study was to investigate the seroprevalence of parvovirus B19 in Konya province (Central Anatolia), Turkey. Parvovirus B19 IgG antibodies were investigated by a commercial ELISA kit (RIDASCREEN, R-Biopharm AG, Germany) in 631 adults (age range: 18-> 60 years) and 542 children (age range: 0-17 years). The overall prevalence of parvovirus B19 IgG antibodies was 28.9%. The rate of parvovirus B19 IgG positivity was 20.7% (112/542) in the 0-17 years age group and was 36% (227/631) in the adult population. No significant difference in seropositivity rates were detected in terms of sex in children and adult group (p>0.05 in both groups). The rates of parvovirus B19 IgG seropositivity were 15.8% in 0-4 years age group, 16% in 5-9 years, 24.2% in 10-14 years, 40.9% in 15-19 years, 34.7% in 20-29 years, 35.5% in 30-39 years, 32.2% in 40-49 years, 37.5% in 50-59 years and 53.8% in > 60 years age group. The seropositivity rates in 0-4 and 5-9 years age groups were lower than the other age groups and the difference was statistically significant (p< 0.05). To determine the prevalence of parvovirus B19 in different age groups in different geographical areas is necessary since this will provide important information about the epidemiology of such infections. PMID- 21063998 TI - [Meningococcemia and meningitis due to Neisseria meningitidis W135 developed in two cases vaccinated with bivalent (A/C) meningococcal vaccine]. AB - Meningococcal infections may develop as episodic or endemic cases particularly among children attending day-care centers, boarding schools or among military personnel. Bivalent (A/C) meningococcal vaccine is applied to all new military stuff since 1993 in Turkey. In this report two cases of meningococcemia and meningitis, developed in two soldiers vaccinated with meningococcal vaccine, were presented. The first case was a 21 years old male patient who was admitted to the emergency service with the complaints of high fever, headache, fatigue and vomiting. He was conscious, cooperative and oriented with normal neurological findings. Maculopapular exanthems were detected at the lower extremities. The patient was hospitalized with the initial diagnosis of sepsis or meningococcemia and empirical treatment was initiated with ceftriaxone and dexamethasone. Cerebrospinal fluid (CSF) examination yielded 10 cells/mm3 (lymphocytes) with normal CSF biochemical parameters. A few hours later skin rashes spread over the body rapidly, the symptoms got worse, confusion, disorientation and disorientation developed, and the patient died due to cardiac and respiratory arrest at the seventh hour of his admission. The second case was also a 21 years old male patient who was admitted to the hospital with the complaints of fever, headache, painful urination, confusion and agitation. He was initially diagnosed as acute bacterial meningitis due to clinical (stiff neck, positive Kernig and Brudzinsky signs) and CSF (8000 cells/mm3; 80% polymorphonuclear leukocytes, increased protein and decreased glucose levels) findings. Empirical antibiotic therapy with ceftriaxone was initiated and continued for 14 days. The patient was discharged with complete cure and no complication was detected in his follow-up visit after two months. The first case had an history of vaccination with bivalent (A/C) meningococcal vaccine three months ago and the second case had been vaccinated one month ago. The bacteria isolated from the blood culture of the first case and the CFS culture of the second case, were identified as Neisseria meningitidis by conventional and API NH system (BioMerieux, France). The isolates were serogrouped as W135 by slide agglutination method (Difco, USA), and both were found to be susceptible to penicillin and ceftriaxone. As far as the last decade's literature and these two cases were considered, it might be concluded that N.meningitidis W135 strains which were not included in the current bivalent meningococcal vaccine, gained endemic potential in Turkey. Since N.meningitidis W135 strains may lead to serious diseases, vaccination of the risk population with the conjugate tetravalent meningococcal vaccine (A/C/Y/W135) should be taken into consideration in Turkey. PMID- 21063999 TI - [Hantavirus infection: two case reports from a province in the Eastern Black Sea Region, Turkey]. AB - Hantaviruses which are the members of Bunyaviridae, differ from other members of this family since they are transmitted to humans by rodents. More than 200.000 cases of hantavirus infections are reported annually worldwide. Hantaviruses can lead to two different types of infection in humans, namely, hemorrhagic fever with renal syndrome (HFRS) and hantavirus pulmonary syndrome (HPS). HFRS is the most common type of hantavirus infection in Europe and Asia and the most common virus types are Dobrava, Puumala, Hantaan and Seoul. A total of 25 hantavirus suspected cases have been reported from the Western Black Sea region of Turkey and 12 of these were confirmed serologically as "Puumala" subtype. Serological tests such as indirect immunofluorescence assay (IFA), are used for diagnosis and typing of the hantaviruses, however, since cross-reactions are common between the subtypes, the results of these tests should be confirmed by other methods. In this report two cases with hantavirus infection defined serologically were presented. Two male patients, 55 and 50 years old, respectively, living in Giresun province of Eastern Black Sea region, Turkey, were admitted to the State Hospital with the complaints of fever, sweating and diarrhoea without blood or mucus. Since thrombocytopenia and renal failure were detected in these two cases, they were transferred to the University Hospital. Presence of fever, thrombocytopenia and renal failure, with no laboratory findings of a bacterial infection and no growth of microoorganisms in the clinical specimens, admittance of the patients during summer and history of being present in the fields, necessitated to rule out leptospirosis, Crimean Kongo hemorrhagic fever and hantavirus infection which were all endemic in our area. Further investigation of the serum samples at the National Reference Virology Laboratory by IFA (Hantavirus Mosaic-1, Euroimmun, Germany) revealed hantavirus IgM and IgG antibodies >= 1:100 titer and the results were confirmed by immunoblot test (Hantavirus Profile 1 EUROLINE IgG and IgM, Euroimmun, Germany). Hantavirus Dobrava subtype was determined in both of the cases. Reverse transcriptase real time PCR (Hantavirus Renal Syndrome General-type I&II Real Time RT-PCR; Shanghai ZJ Bio-Tech, China) revealed negative result. The first case was discharged with complete cure, however, the second case died. These cases which were the first cases from the Eastern Black Sea Region emphasized that hantavirus infections should be taken into consideration in patients presenting with fever, thrombocytopenia and renal function disturbance. PMID- 21064000 TI - [Fever of unknown origin and detection of Bartonella henselae IgG seropositivity: a case report]. AB - Bartonella henselae, is a gram-negative bacterium which causes cat scratch disease (CSD) in man. There are sporadic case reports of CSD in Turkey. Cats play an important reservoir role for B.henselae transmission to man. In this report, a cat owner with fever of unknown origin was presented. Bartonella spp. was isolated from the blood culture of cat which had chronic progressive gingivostomatitis. B.henselae was identified by amplification of a region of citrate synthase (gltA) gene by using polymerase cha-in reaction and typed as genotype I by restriction fragment length polymorphism method. Following this identification the cat owner was investigated for the history of CSD and it was learned that he had a history of fever of unknown origin. The investigation of the patient's serum for the presence of specific B.henselae antibodies by immune fluorescence antibody test (Vircell, Spain) revealed B.henselae IgG type antibodies at a titer of 1:128. Gingivostomatitis in cats may act as a reservoir for Bartonella infection. Thus during the evaluation of patients with fever of unknown origin, Bartonella infections should be considered and possible contact with cats/dogs should be investigated. PMID- 21064001 TI - [Classical and new approaches in laboratory diagnosis of viridans streptococci]. AB - Viridans group streptococci (VGS) are gram-positive microorganisms that can form alpha-hemolytic colonies on sheep blood agar. They reside as normal flora in oral cavity, respiratory, gastrointestinal, urogenital tract and on skin. They can cause bacteremia, endocarditis, meningitis and septicemia following dental procedures. The diagnosis of VGS are difficult since the taxonomic classification and species na-mes may change due in time. Viridans group streptococci are classified into 5 groups (Sanguinis, Mitis, Mutans, Salivarius, Anginosus) according to biochemical reactions and 16S rRNA sequencing. Since Streptococcus pneumoniae is a member of the Mitis group, the other important species in this group deserves investigation. Genetic exchange between Streptococcus mitis, Streptococcus oralis and S.pneumoniae by transformation and lysis mechanisms occur continously as they share the same anatomical region. These mechanisms play role in exchanging capsular and antibiotic resistance genes between these species. The cultivation of VGS usually starts with the inoculation of various patient specimens into sheep blood agar and the detection of alpha-hemolytic colonies. Observation of gram-positive cocci microscopically, the detection of optochin-resistant and bile insoluble colonies with few exceptions are the further important steps in laboratory diagnosis. VGS are then identified at species level by using biochemical reactions, automated diagnostic systems and molecular methods. The last step in the laboratory diagnosis of VGS is antibiotic susceptibility testing which is of outmost importance as penicillin and erythromycin resistance are on rise. In this review article, classification of VGS, similarities between S.pneumoniae and Mitis group streptococci and the laboratory diagnosis of VGS have been discussed. PMID- 21064002 TI - [Recent developments in SARS vaccine studies]. AB - Severe acute respiratory syndrome (SARS) caused thousands of human infections worldwide and hundreds of deaths in just a few months. Evidence indicates that SARS coronavirus (SARS-CoV) has been circulating from animals to humans since before the 2002-2003 outbreak, suggesting that another pandemic may occur. This possibility has focused continuous action on SARS vaccine research. Inactivated vaccines, viral and bacterial vector vaccines, recombinant protein vaccines, subunit vaccines, DNA vaccines, and live-attenuated virus vaccines have been studied in different animal models. Although different animal models are used in vaccine studies, the most appropriate model for studying SARS is ferret since it develops the typical clinical signs, viral replication patterns and lung pathology compatible with that of SARS pathogenesis in humans. While there is much evidence that various vaccine strategies against SARS are safe and immunogenic, vaccinated animals still display significant disease upon challenge. Moreover, potential vaccine enhancement of SARS have also been shown in some studies. Data from the studies give an important information of the demand for further vaccine development research, especially focusing on mucosal immunization, T-cell immunity and combinations of heterologous vaccines in prime boost regimens. In this review article developments on SARS vaccines have been discussed under the light of recent literature. PMID- 21064003 TI - [Melanin and its role on the virulence of Cryptococcus neoformans]. AB - Melanins can be produced by some species of pathogenic bacteria, helminths and fungi. The production of melanin appears to contribute to microbial pathogenesis owing to its potential for protection against host defence systems. Melanin synthesis in Cryptococcus neoformans has been associated with virulence by the ability to protect against phagocytosis, oxidative injury and phagocytic killing. Moreover, it was shown that, melanization of C.neoformans has involved in protection against some antifungal compounds. The detection of the association between melanin and microbial virulence might provide new treatment and prevention strategies targeting the inhibition of melanin polymerization. In this review article, the impact of melanin on virulence of C.neoformans has been discussed. PMID- 21064004 TI - [Pandemic influenza A/H1N1/2009 virus RNA isolation rate in specimens of patients diagnosed as flu in a University Hospital in Eastern Black Sea Region, Turkey]. AB - Swine origin influenza virus (S-OIV) has been of global concern towards the end of 2009 with its high morbidity rate and pandemic aspect. In this study, the presence of pandemic influenza A/H1N1/2009 virus RNA was investigated in patients clinically diagnosed as influenza infection in the university hospital in Trabzon province (located at Eastern Black Sea Region, Turkey). Oropharyngeal and nasal swab samples were collected from 211 patients (mean age: 18.5 years) who were admitted to our hospital between 16 November 2009 and 10 January 2010. Pandemic influenza A/H1N1/2009 virus RNA in the samples was investigated by real-time polymerase chain reaction. Viral RNA was detected in 41 of the patients (19.4%). The mean age of the cases was 11.7 years old. The highest positivity rate (44%) was seen in samples collected between 23-29 December 2009, while no positive samples were detected after 29 December 2009. PMID- 21064005 TI - [Methicillin-resistant Staphylococcus aureus and vancomycin-resistant Enterococcus carriage rates in a neonatal intensive care unit]. AB - This study was aimed to determine the rates of methicillin-resistant Staphylococcus aureus (MRSA) and vancomycin-resistant Enterococcus (VRE) carriage in a neonatal intensive care unit in a Training and Research Hospital in Ankara, Turkey. A total of 135 newborns were included in the study. Following 5 days stay in intensive care unit, samples were taken from nose and umbilicus for the detection of MRSA and cultivated in mannitol-salt agar and oxacillin-resistance screening agar (ORSAB), respectively. The samples taken from rectum to screen VRE, were placed onto Enterococcosel agar which contained vancomycin and ceftazidime. The confirmation of methicillin resistance in MRSA suspected isolates was performed by oxacillin and cefoxitin disk diffusion tests according to Clinical Laboratory Standards Institute (CLSI) guidelines. Twenty eight (20.7%) of 135 newborns had nasal MRSA carriage, 30 (22.2%) of 135 had umbilical and 10 (7.4%) had both nasal and umbilical MRSA carriage. No rectal VRE carriage was found among the newborns. As a result, we suggest that periodical MRSA and VRE carriage investigation in the patients hospitalized in neonatal intensive care units is of outmost help to control and prevent nosocomial infections. PMID- 21064006 TI - Exercise training reduces PGE2 levels and induces recovery from steatosis in tumor-bearing rats. AB - The effects of endurance training on PGE (2) levels and upon the maximal activity of hepatic carnitine palmitoyltransferase (CPT) system were studied in rats bearing the Walker 256 carciosarcoma. Animals were randomly assigned to a sedentary control (SC), sedentary tumor-bearing (ST), exercised control (EC), and as an exercised tumor-bearing (ET) group. Trained rats ran on a treadmill (60% VO (2) max) for 60 min/day, 5 days/week, for 8 weeks. We examined the mRNA expression (RT-PCR) and maximal activity (radioassay) of the carnitine palmitoyltransferase system enzymes (CPT I and CPT II), as well as the gene expression of fatty-acid-binding protein (L-FABP) in the liver. PGE (2) content was measured in the serum, in tumor cells, and in the liver (ELISA). CPT I and CPT II maximal activity were decreased (p<0.01) in ST when compared with SC. In contrast, serum PGE (2) was increased (p<0.05) in cachectic animals as compared with SC. In the liver, PGE (2) content was also increased (p<0.05) when compared with SC. Endurance training restored maximal CPT I and CPT II activity in the tumor-bearing animals (p<0.0001). Exercise training induced PGE (2) levels to return to control values in the liver of tumor-bearing training rats (p<0.05) and decreased the eicosanoid content in the tumor (p<0.01). In conclusion, endurance training was capable of reestablishing liver carnitine palmitoyltransferase (CPT) system activity associated with decreased PGE (2) levels in cachectic tumor bearing animals, preventing steatosis. PMID- 21064007 TI - Perspective of chemical fingerprinting of Chinese herbs. AB - The holistic system of traditional Chinese medicine (TCM) is an integrity of the ingredients contained in the Chinese herbal medicines, which creates a challenge in establishing quality control standards for raw materials and the standardization of finished herbal drugs because no single component is contributing to the total efficacy. Chromatographic fingerprinting analysis represents a rational approach for the quality assessment of TCM. It utilizes chromatographic techniques, which include CE, GC, HPLC, HPTLC, etc., to construct specific patterns for recognition of multiple compounds in TCMs. Thus, chromatographic fingerprinting analysis of herbal medicines represents a comprehensive qualitative approach for the purpose of species authentication, evaluation of quality, and ensuring the consistency and stability of herbal drugs and their related products. The pragmatic comprehensive chromatographic fingerprinting analysis can disclose the detectable ingredients composition and concentration distribution under quantifiable operational conditions and therefore provide real-time quality information. It may leave a "gray" entity at the primary stage. However, consecutive study will deepen the knowledge and reduce its "gray scale", increase the transparency gradually, thereby strengthening its quality assessment potency. PMID- 21064010 TI - [Procaine infusion for pain treatment of acute pancreatitis: a randomized, placebo-controlled double-blind trial]. AB - BACKGROUND AND OBJECTIVE: Acute pancreatitis is commonly associated with severe abdominal pain, making early pain relief a primary goal of the treatment. This study was undertaken to assess the efficacy of a continuous intravenous (i.v.) infusion of procaine compared with that of a placebo infusion in providing pain relief in patients with acute pancreatitis. PATIENTS AND METHODS: 42 patients with acute pancreatitis were prospectively randomized to receive, in a double blind setting, a continuous i.v. infusion of a 1% solution of procaine (procaine group) or placebo (placebo group, receiving a 0.9% saline solution) on the first three days of treatment in a hospital setting. The maximal infusion rate of the procaine solution was 8 ml/h, i.e. 1.92 g/24 h. The rate and total amount of infused fluid was similar in the placebo group. Additionally buprenorphine (Temgesic, sublingual [s.l.]) were given on demand for additional pain relief. RESULTS: The gender ratio and the severity of the pancreatitis (APACHE II score, Ranson score) were comparable between the two groups, while the patients of the control group were eight years older (50.1 2.3 vs. 58.4 3.1; p = 0.039). The i.v. infusion of procaine did not reduce the demand for buprenorphine in the procaine group and was similar to that in the placebo group (p=0.88). Furthermore, explorative data analysis revealed that patients of the procaine group had higher bodily discomfort and nausea scores and also tended to feel more pain than the patients of the placebo group. DISCUSSION: These data do not indicate a clinically meaningful analgesic effect of i.v. infusion of procaine (maximal amount. 1.92 g/24h) in patients with acute pancreatitis, but suggested that this infusion actually increased the feeling of bodily discomfort and nausea. We thus conclude that a constant i.v. infusion of procaine should no longer be recommended for pain relief in patients with acute pancreatitis anymore. PMID- 21064011 TI - [Multimodal approach in coincidence of covered ruptured abdominal aortic aneurysm and aortocaval fistula]. AB - HISTORY AND ADMISSION FINDINGS: A 71-year-old patient had been referred to our hospital with the diagnosis, made by angio-computed tomography (CTA), of a covered ruptured abdominal aortic aneurysm (AAA) resulting in an aortocaval fistula (ACF). INVESTIGATIONS: The physical examination revealed macrohematuria and high-output heart failure with increasing circulatory insufficiency. DIAGNOSIS, TREATMENT AND COURSE: An open endovascular procedure was not possible because the AAA had extended into both internal iliac arteries. A bifurcated prosthesis connecting to both femoral arteries was then successfully implanted and the infrahepatic aortocaval fistula closed by a patch through the AAA. Ischemic colitis, diagnosed on postoperative day 2 (POD 2), was successfully treated with antibiotics. CTA, done on POD 5, revealed a small residual ACF, filling retrogradely from the right external iliac artery via the surgically closed aneurysmal sack. Closure of the residual ACF was achieved with an Amplatz occluder inserted into the right external iliac artery, introduced percutaneously via the right femoral artery. The postoperative course was uneventful and the patient discharged on POD 13. CONCLUSION: The coincidence of AAA and ACF is rare. However, the morbidity and mortality are high and require early diagnosis and immediate treatment. PMID- 21064012 TI - [Polycythemia]. AB - Polycythemia is defined by the increase of hematocrit and haemoglobin respectively. Possible causes might be neoplastic diseases like polycythemia vera with proliferation of a cell clone. More often one will find reactive forms resulting from chronic hypoxemia. A physiologic form of polycythemia can be found in highlanders and athletes training at high altitude. With increasing frequency erytrhopoetin and it's analoga are being used as doping substances to induce Polycythemia. Red cell proliferation induced by chronic hypoxemia is the most common form in patients. In this instance the lung itself can be the cause (hypoxemia with hypocapnea in blood gas analysis) or hypoventilation caused by an insufficient respiratory pump (hypercapnea with hypoxemia in blood gas analysis) induces a compensatory Polycythemia. The former form can be treated with long term oxygen therapy and the latter by non-invasive ventilation, either approach corrects hypoxemia and reduces Polycythemia within some weeks. PMID- 21064014 TI - [52-year-old patient with transient exanthema, fever, and arthralgias. Adult onset Still's disease]. PMID- 21064013 TI - [Novel anticoagulants for stroke prevention in atrial fibrillation]. AB - The most frequent cardiac arrhythmia and main cause for cardio-embolic stroke is atrial fibrillation. Prophylaxis for thrombembolic events is performed regarding individual risk of patients with either ASS or vitamin-K-antagonists. Efficacy and safety of oral anticoagulation is limited by a narrow therapeutical range as well as by inter- and intraindividual variability of INR-values due to genetic disposition, differences in alimentation, dosage errors, rare control of INR levels and drug-interactions. New oral anticoagulants with different mechanisms of action may be a promising therapeutic option in future. This review addresses the new anticoagulants Apixaban, Rivaroxban and Dabigatranetexilat with the design and as available the results of the corresponding phase-III-trials in atrial fibrillation (ARISTOTLE, ROCKET-AF, RE-LY). PMID- 21064015 TI - [The PiCCO catheter]. PMID- 21064016 TI - [Diversity in European child and adolescent psychiatry]. PMID- 21064017 TI - [Pre-trial psychiatric reports on Antillean suspected offenders in the Netherlands and on the Dutch Antilles]. AB - BACKGROUND: The registered criminality among Antilleans living in the Netherlands is much higher than among Antilleans living on the Dutch Antilles (113 offences and 11 offences respectively, per year per 1000 persons, p<0.001). AIM: To compare the prevalence of psychiatric disturbances among Antillean suspected offenders in the Netherlands (n=989) and on the Dutch Antilles (n=199) between 2000 and 2006. METHOD: A careful study was made of pre-trial psychiatric reports on Antillean suspected offenders (referred to as suspects) in the Netherlands and of comparable reports on Antillean suspects on the Dutch Antilles. RESULTS: There was no significant difference in the prevalence of mental disorders among Antillean suspects in the Netherlands (22.3%) and on the Dutch Antilles (20.3%). Abuse of drugs and cannabis was more prevalent on the Dutch Antilles where treatment for addiction is less frequently available than in the Netherlands. Mental retardation was ascertained more often among Antilleans in the Netherlands (22.4%) than among Antilleans on the Dutch Antilles (15.1%). Antillean suspects on the Dutch Antilles were more often found to be fully responsible for their actions than were Antillean suspects in the Netherlands (65.3% versus 19.1%, p<0.001) There was no significant difference in the frequency of 'strongly diminished responsibility' and 'a total lack of responsibility'. CONCLUSION: On the basis of the pre-trail assessments there seems to be little difference in the prevalence of mental disorders in Antillean suspects in the Netherlands and on the Dutch Antilles. PMID- 21064018 TI - [Assessment of comorbidity in autism spectrum disorders]. AB - BACKGROUND: It is often difficult to determine whether there is psychiatric comorbidity in addition to an autism spectrum disorder (ASD) or whether the observed behavior is described adequately by the ASD diagnosis. AIM: To show when the possibility of comorbidity needs to be seriously considered in children and adults with ASD. We will focus on the most common comorbid disorders in children and adults with ASD, namely anxiety, depression and ADHD. METHOD: Discussion of the literature and clinical experiences. RESULTS: In order to diagnose ASD and comorbidities it is important to record a detailed developmental history. This can also serve as a baseline for the client's behaviour. Changes in the pattern of behaviour with respect to the baseline can often be indicative of the presence of a comorbid disorder. CONCLUSION: Since ASD is a life long disorder and comorbidity needing treatment or interventions can be present during various phases of life, the diagnostic procedure needs to continue even after ASD has been diagnosed. PMID- 21064019 TI - [Modafinil in psychiatric disorders: the promising state reconsidered]. AB - BACKGROUND: For more than two decades psychiatrists have known about and have promoted modafinil, a very promising stimulant that boosts wakefulness in cases of narcolepsy and also enhances cognitive functions. At present, however, we must conclude that modafinil is hardly ever used to treat illness other than narcolepsy. AIM: To review current attitudes and practice with regard to the use and efficacy of modafinil in the treatment of psychiatric disorders. METHOD: Relevant placebo-controlled studies were retrieved via PubMed (Medline) and Web of Science. RESULTS: Modafinil is used experimentally to treat ADHD, mood disorders, schizophrenia and substance-dependence. Compared to placebo, modafinil achieves positive but mainly variable results on different clinical and cognitive measures. It achieves results very rapidly, within a week, but over a period of time the results stabilise. CONCLUSION: Modafinil is particularly successful in the treatment of ADHD, depression and cocaine-dependency on measures of attention and hyperactivity, fatigue and cocaine-use respectively. There is a need for further placebo-controlled trials with longer follow-up periods and larger sample size in order to ensure the safety of the product and to refine its area of efficacy. PMID- 21064020 TI - [Reduction in the use of seclusion: some views on the current situation]. AB - BACKGROUND: In 2001 quality criteria for the use of seclusion and restraint were defined for the purpose of reducing coercive measures imposed during the treatment of mental disorders. The criteria focused mainly on reducing the use of seclusion. There was broad support for the implementation of the policy. We tried to conduct a mid-term evaluation in order to find out to what extent the various policy makers involved were still in favor of the new strategy. METHOD: We interviewed 11 of the parties involved (ranging from politicians and inspectors to professionals and patients) in order to evaluate the process. RESULTS: The majority of the interviewees still agreed about the desirability and design of the process. However, there were differences in emphasis regarding the following aspects: quality control, scientific research, patient participation and pressure put on institutions. CONCLUSION: The implementation of the strategy aimed at reducing the number of seclusion is being hindered by the lack of research into the clinical practice of seclusion. If the professionals involved were to initiate such research, it would help to guarantee the quality of patient assessment and ensure the application of the new criteria. PMID- 21064021 TI - [Clozapine screening: white bloodcell counts no longer sufficient]. AB - Clozapine is an effective antipsychotic drug for the treatment of therapy resistant schizophrenia. Mandatory screening of white blood cells is a safety measure for the early detection of agranulocytosis caused by treatment with clozapine. However, so far, there is no standard screening for two other potentially lethal side-effects, namely diabetic ketoacidosis and gastro intestinal hypomotility. The current situation is weighed up on the basis of a comparison of the chances that these side-effects can occur and cause death. The conclusion is that weekly or monthly screening should be carried out for all these side-effects. PMID- 21064022 TI - [Toxic plasma concentration of clozapine in inflammatory processes]. AB - Clozapine has a narrow therapeutic range. The threshold value for plasma concentrations is 350 MUg/l. If plasma concentrations exceed that value, serious side-effects can occur. An increase in plasma concentrations can occur as a result of inflammatory processes which may or may not be caused by an infection. Two cases are discussed in which the plasma concentration of clozapine increased as a result of an inflammatory reaction and signs of intoxication were observed. These developments seemed to be due to cholecystitis and bacterial pneumonia respectively. The clinical presentation and pathophysiology are discussed in relation to inflammatory processes. PMID- 21064023 TI - [Reaction on 'Dose-escalation of SSRIS in major depressive disorder. Should not be recommended in current guidelines']. PMID- 21064024 TI - [Reaction on 'Less use of mental health services by youth of non-Dutch origin- beyond a statistical correlation']. PMID- 21064025 TI - Sequence analysis of the growth hormone gene of the South American catfish Rhamdia quelen. AB - Rhamdia quelen is an important Neotropical catfish species for fisheries and aquaculture in southern Brazil, where it is called Jandia. Like other native Brazilian species of economic importance, R. quelen genetics needs more attention for animal breeding programs. The growth hormone gene is known to be linked to a number of molecular markers and quantitative trait loci. We sequenced the coding region of the growth hormone gene with the primer walking technique. As in other Siluriformes, the R. quelen growth hormone gene has four introns and five exons, in a 1465-bp coding region. The tertiary structure of the encoded protein was predicted by bioinformatics; it has four alpha-helix structures connected by loops, which form a compressed complex maintained by two disulfide bridges. PMID- 21064026 TI - Application of RAPD for molecular characterization of plant species of medicinal value from an arid environment. AB - The use of highly discriminatory methods for the identification and characterization of genotypes is essential for plant protection and appropriate use. We utilized the RAPD method for the genetic fingerprinting of 11 plant species of desert origin (seven with known medicinal value). Andrachne telephioides, Zilla spinosa, Caylusea hexagyna, Achillea fragrantissima, Lycium shawii, Moricandia sinaica, Rumex vesicarius, Bassia eriophora, Zygophyllum propinquum subsp migahidii, Withania somnifera, and Sonchus oleraceus were collected from various areas of Saudi Arabia. The five primers used were able to amplify the DNA from all the plant species. The amplified products of the RAPD profiles ranged from 307 to 1772 bp. A total of 164 bands were observed for 11 plant species, using five primers. The number of well-defined and major bands for a single plant species for a single primer ranged from 1 to 10. The highest pair wise similarities (0.32) were observed between A. fragrantissima and L. shawii, when five primers were combined. The lowest similarities (0) were observed between A. telephioides and Z. spinosa; Z. spinosa and B. eriophora; B. eriophora and Z. propinquum. In conclusion, the RAPD method successfully discriminates among all the plant species, therefore providing an easy and rapid tool for identification, conservation and sustainable use of these plants. PMID- 21064027 TI - A novel polymorphism of the lactoferrin gene and its association with milk composition and body traits in dairy goats. AB - Milk composition and body measurement traits, influenced by genes and environmental factors, play important roles in value assessments of efficiency and productivity in dairy goats. Lactoferrin (LF), involved in the efficient expression of protein in milk, is also an anabolic factor in skeletal tissue and a potent osteoblast survival factor. Therefore, it is an important candidate gene for milk composition and body measurement trait selection in marker-assisted selection. We employed PCR-SSCP and DNA sequencing to screen the genetic variations of the LF gene in 549 Chinese dairy goats. A novel single-nucleotide polymorphism (SNP) (G198A in exon II) of the LF gene was detected. The frequencies of the AA genotype were 0.0285 and 0.0261 in GZ and SN populations, respectively. Both populations were found to have low levels of polymorphism and were in Hardy-Weinberg disequilibrium (P < 0.05). We found significant (P < 0.05) associations of the SNP marker with milk protein and acidity in the total population; animals with the AA genotype had higher mean values for milk protein than those with the GA genotype. Animals with genotype AA had higher mean values for withers height than those with genotype GG (P < 0.05). We concluded that this SNP of the LF gene has potential as a genetic marker for milk composition and body traits in dairy goat breeding. PMID- 21064028 TI - Variable number of tandem repeat markers in the genome sequence of Mycosphaerella fijiensis, the causal agent of black leaf streak disease of banana (Musa spp). AB - We searched the genome of Mycosphaerella fijiensis for molecular markers that would allow population genetics analysis of this plant pathogen. M. fijiensis, the causal agent of banana leaf streak disease, also known as black Sigatoka, is the most devastating pathogen attacking bananas (Musa spp). Recently, the entire genome sequence of M. fijiensis became available. We screened this database for VNTR markers. Forty-two primer pairs were selected for validation, based on repeat type and length and the number of repeat units. Five VNTR markers showing multiple alleles were validated with a reference set of isolates from different parts of the world and a population from a banana plantation in Costa Rica. Polymorphism information content values varied from 0.6414 to 0.7544 for the reference set and from 0.0400 and 0.7373 for the population set. Eighty percent of the polymorphism information content values were above 0.60, indicating that the markers are highly informative. These markers allowed robust scoring of agarose gels and proved to be useful for variability and population genetics studies. In conclusion, the strategy we developed to identify and validate VNTR markers is an efficient means to incorporate markers that can be used for fungicide resistance management and to develop breeding strategies to control banana black leaf streak disease. This is the first report of VNTR-minisatellites from the M. fijiensis genome sequence. PMID- 21064029 TI - Cytogenetic findings in Serbian patients with Turner's syndrome stigmata. AB - Cytogenetic findings are reported for 31 female patients with Turner's syndrome. Chromosome studies were made from lymphocyte cultures. Non-mosaicism 45,X was demonstrated in 15 of these patients, whereas only three were apparently mosaic. Eight patients showed non-mosaic and four patients showed mosaic structural aberrations of the X-chromosome. One non-mosaic case displayed a karyotype containing a small marker chromosome. Conventional cytogenetics was supplemented by fluorescence in situ hybridization (FISH) with an X-specific probe to identify the chromosomal origin of the ring and a 1q12-specific DNA probe to identify de novo balanced translocation (1;9) in one patient. To our knowledge, this is the first finding of karyotype 45,X,t(1;9)(cen;cen)/46,X,r(X),t(1;9)(cen;cen) in Turner's syndrome. The same X-specific probe was also used to identify a derivative chromosome in one patient. PMID- 21064030 TI - Cervical nitric oxide release and persistence of high-risk human papillomavirus in women. AB - Nitric oxide may serve as one cofactor for human papillomavirus (HPV)-induced development of cervical cancer. Therefore, we first assessed the levels of cervical fluid nitric oxide metabolite (NOx) in 283 women with and without high risk (hr) HPV. The NOx level in women with hr HPV (48.4 MUmol/L [95% CI: 39.4 56.6], n = 199) was higher (p < 0.001) than that in women without hr HPV (24.6 MUmol/L [95% CI: 19.1-38.7], n = 84). Second, we evaluated if cervical fluid NOx levels could predict the persistence of hr HPV. Therefore, we followed up 113 women with detectable hr HPV without any treatment for 12 mo and repeated hr HPV test. High-risk HPV persisted in 72 women (64%) and disappeared in 41 women (36%). The median basal levels of NOx were higher (p = 0.02) in women with persistent hr HPV (56.9 MUmol/L [95% CI: 48.7-81.0]) compared to those with eradicated hr HPV (37.7 MUmol/L [95% CI: 27.0-58.0]). The NOx level higher than the 75th percentile (>87.0 MUmol/L) predicted hr HPV persistence (OR = 4.1 [95% CI: 1.3-13.1]). This cutoff level of NOx showed 33% sensitivity and 90% specificity in predicting the persistence of hr HPV, but it failed to predict cytological progression or regression in 12 mo. In conclusion, high cervical fluid NOx appears to be connected to the persistence of hr HPV, but the low predictive capacity of NOx prevents its clinical use at this phase. PMID- 21064031 TI - A protective role for CD154 in hepatic steatosis in mice. AB - Inflammation and lipid metabolism pathways are linked, and deregulation of this interface may be critical in hepatic steatosis. The importance of the dialog between inflammatory signaling pathways and the unfolded protein response (UPR) in metabolism has been underlined. Herein, we studied the role of CD154, a key mediator of inflammation, in hepatic steatosis. To this end, Balb/c mice, wild type or deficient in CD154 (CD154KO), were fed a diet rich in olive oil. In vitro, the effect of CD154 was studied on primary hepatocyte cultures and hepatocyte-derived cell lines. Results showed that CD154KO mice fed a diet rich in olive oil developed hepatic steatosis associated with reduced apolipoprotein B100 (apoB100) expression and decreased secretion of very low-density lipoproteins. This phenotype correlated with an altered UPR as assessed by reduced X-Box binding protein-1 (XBP1) messenger RNA (mRNA) splicing and reduced phosphorylation of eukaryotic initiation factor 2alpha. Altered UPR signaling in livers of CD154KO mice was confirmed in tunicamycin (TM) challenge experiments. Treatment of primary hepatocyte cultures and hepatocyte-derived cell lines with soluble CD154 increased XBP1 mRNA splicing in cells subjected to either oleic acid (OA) or TM treatment. Moreover, CD154 reduced the inhibition of apoB100 secretion by HepG2 cells grown in the presence of high concentrations of OA, an effect suppressed by XBP1 mRNA silencing and in HepG2 cells expressing a dominant negative form of inositol requiring ER-to-nucleus signaling protein-1. The control of the UPR by CD154 may represent one of the mechanisms involved in the pathophysiology of hepatic steatosis. CONCLUSION: Our study identifies CD154 as a new mediator of hepatic steatosis. PMID- 21064032 TI - The immunoregulatory role of CD244 in chronic hepatitis B infection and its inhibitory potential on virus-specific CD8+ T-cell function. AB - Multiple inhibitory receptors may play a role in the weak or absent CD8+ T-cell response in chronic hepatitis B virus (HBV) infection. Yet few receptors have been characterized in detail and little is known about their complex regulation. In the present study, we investigated the role of the signaling lymphocyte activation molecule (SLAM)-related receptor CD244 and of programmed death 1 (PD 1) in HBV infection in 15 acutely and 66 chronically infected patients as well as 9 resolvers and 21 healthy controls. The expression of CD244, PD-1, and T-cell immunoglobulin domain and mucin domain 3 (TIM-3) was analyzed in virus-specific CD8+ T-cells derived from peripheral blood or liver using major histocompatibility complex class I pentamers targeting immunodominant epitopes of HBV, Epstein-Barr-virus (EBV), or influenza virus (Flu). In chronic HBV infection, virus-specific CD8+ T-cells expressed higher levels of CD244 both in the peripheral blood and liver in comparison to the acute phase of infection or following resolution. CD244 was expressed at similarly high levels in EBV infection, but was low on Flu-specific CD8+ T-cells. In chronic HBV infection, high-level CD244 expression coincided with an increased expression of PD-1. The inhibition of the CD244 signaling pathway by antibodies directed against either CD244 or its ligand CD48 resulted in an increased virus-specific proliferation and cytotoxicity as measured by the expression of CD107a, interferon-gamma, and tumor necrosis factor-alpha in CD8+ T-cells. CONCLUSION: CD244 and PD-1 are highly coexpressed on virus-specific CD8+ T-cells in chronic HBV infection and blocking CD244 or its ligand CD48 may restore T-cell function independent of the PD-1 pathway. CD244 may thus be another potential target for immunotherapy in chronic viral infections. PMID- 21064033 TI - Mmp23b promotes liver development and hepatocyte proliferation through the tumor necrosis factor pathway in zebrafish. AB - The matrix metalloproteinase (MMP) family of proteins degrades extracellular matrix (ECM) components as well as processes cytokines and growth factors. MMPs are involved in regulating ECM homeostasis in both normal physiology and disease pathophysiology. Here we report the critical roles of mmp23b in normal zebrafish liver development. Mmp23b was initially identified as a gene linked to the genomic locus of an enhancer trap transgenic zebrafish line in which green fluorescent protein (GFP) expression was restricted to the developing liver. Follow-up analysis of mmp23b messenger RNA (mRNA) expression confirmed its liver specific expression pattern. Morpholino knockdown of mmp23b resulted in defective hepatocyte proliferation, causing a reduction in liver size while maintaining relatively normal pancreas and gut development. Genetically, we showed that mmp23b functions through the tumor necrosis factor (TNF) signaling pathway. Antisense knockdown of tnfa or tnfb in zebrafish caused similar reductions of liver size, whereas overexpression of tnfa or tnfb rescued liver defects in mmp23b morphants but not vice versa. Biochemically, MMP23B, the human ortholog of Mmp23b, directly interacts with TNF and mediates its release from the cell membrane in a cell culture system. Because mmp23b/MMP23B is highly conserved, our findings in zebrafish warrant further investigation of its role in regulating liver development in mammals. PMID- 21064034 TI - Association of host pharmacodynamic effects with virologic response to pegylated interferon alfa-2a/ribavirin in chronic hepatitis C. AB - Patients receiving therapy for chronic hepatitis C virus (HCV) infection frequently experience cytopenias and weight loss. We retrospectively assessed the pharmacodynamic effects of pegylated interferon (PEG-IFN) alfa-2a and ribavirin by evaluating the relationship between changes in hematologic parameters, body weight, and virologic response. Patients with HCV genotypes 1, 4, 5, or 6 receiving 24 or 48 weeks of PEG-IFN alfa-2a and ribavirin therapy were pooled from four phase 3/4 trials. Maximum decreases in hemoglobin level, neutrophil count, platelet count, and weight during therapy were assessed according to virologic response category (sustained virologic response [SVR], relapse, breakthrough, and nonresponder) and race/ethnicity. Of 1,778 patients analyzed, more than half were male, non-Hispanic Caucasian, and infected with HCV genotype 1; had a baseline HCV RNA >800,000; and had alanine aminotransferase levels <=3 * the upper limit of normal. Virologic responders (SVR, relapse, and breakthrough) experienced greater maximum decreases from baseline in hemoglobin level, neutrophil count, platelet count, and weight compared with nonresponders; however, no clear trend was observed between SVR, relapse, and breakthrough. After adjusting for drug exposure and treatment duration, only decreases in neutrophil count remained associated with virologic response. Significantly greater declines in neutrophil (P < 0.0001) and platelet (P < 0.005) count were observed at weeks 4, 12, and 24 of therapy in virologic responders compared with nonresponders. This difference between responders and nonresponders was also observed among racial/ethnic groups, although statistical significance was not consistent across all groups. CONCLUSION: This post hoc analysis of HCV patients treated with PEG-IFN alfa-2a and ribavirin shows that maximum decreases from baseline in hematologic parameters and weight loss were associated with virologic response. However, after adjusting for drug exposure and accounting for duration of therapy, only neutropenia was independently associated with virologic response. PMID- 21064036 TI - Synthetic biology: putting synthesis into biology. AB - The ability to manipulate living organisms is at the heart of a range of emerging technologies that serve to address important and current problems in environment, energy, and health. However, with all its complexity and interconnectivity, biology has for many years been recalcitrant to engineering manipulations. The recent advances in synthesis, analysis, and modeling methods have finally provided the tools necessary to manipulate living systems in meaningful ways and have led to the coining of a field named synthetic biology. The scope of synthetic biology is as complicated as life itself--encompassing many branches of science and across many scales of application. New DNA synthesis and assembly techniques have made routine customization of very large DNA molecules. This in turn has allowed the incorporation of multiple genes and pathways. By coupling these with techniques that allow for the modeling and design of protein functions, scientists have now gained the tools to create completely novel biological machineries. Even the ultimate biological machinery--a self replicating organism--is being pursued at this moment. The aim of this article is to dissect and organize these various components of synthetic biology into a coherent picture. PMID- 21064038 TI - An interdisciplinary systems approach to study sperm physiology and evolution. AB - Optical trapping is a noninvasive biophotonic tool that has been developed to study the physiological and biomechanical properties of cells. The custom designed optical system is built to direct near-infrared laser light into an inverted microscope to create a single-point three-dimensional gradient laser trap at the microscope focal point. A real-time automated tracking and trapping system (RATTS) is described that provides a remote user-friendly robotic interface. The combination of laser tweezers, fluorescent imaging, and RATTS can measure sperm swimming speed and swimming force simultaneously with mitochondrial membrane potential (MMP). The roles of two sources of adenosine triphosphate in sperm motility/energetics are studied: oxidative phosphorylation, which occurs in the mitochondria located in the sperm midpiece, and glycolysis, which occurs along the length of the sperm tail (flagellum). The effects of glucose, oxidative phosphorylation inhibitors, and glycolytic inhibitors on human sperm motility are studied. This combination of photonic physical and engineering tools has been used to examine the evolutionary effect of sperm competition in primates. The results demonstrate a correlation between mating type and sperm motility: sperm from polygamous (multi-partner) primate species swim faster and with greater force than sperm from polygynous (single partner) primate species. In summary, engineering and biological systems are combined to provide a powerful interdisciplinary approach to study the complex biological systems that drive the sperm toward the egg. PMID- 21064044 TI - Hydrogen bonding versus van der Waals interactions: competitive influence of noncovalent interactions on 2D self-assembly at the liquid-solid interface. AB - The structures of the self-assembled monolayers of various 4-alkoxybenzoic acids physisorbed at the liquid-solid interface were established by employing scanning tunnelling microscopy (STM). This study has been essentially undertaken to explore the competitive influence of van der Waals and hydrogen-bonding interactions on the process of two-dimensional self-assembly. These acid derivatives form hydrogen-bonded dimers as expected; however, the dimers organise themselves in the form of relatively complex lamellae. The characteristic feature of these lamellae is the presence of regular discommensurations or kinks along the lamella propagation direction. The formation of kinked lamellae is discussed in light of the registry mechanism of the alkyl chains with the underlying graphite substrate. The location of the kinks along a lamella depends on the number (odd or even) of carbon atoms in the alkyl chain. This result indicates that concerted van der Waals interactions of the alkyl chain units introduce the odd/even chain-length effect on the surface-assembled supramolecular patterns. The odd/even effects are retained even upon complexation with a hydrogen-bond acceptor. However, as the solvent is changed from 1-phenyloctane to 1-octanoic acid, the kinked lamellae as well as the odd/even effects disappear. This solvent induced convergence of supramolecular patterns is attained by means of co crystallisation of octanoic acid molecules in the 2D crystal lattice, which is evident from high-resolution STM images. The solvent co-adsorption phenomenon is discussed in terms of competing van der Waals and hydrogen-bonding interactions. PMID- 21064037 TI - Hybrid models of tumor growth. AB - Cancer is a complex, multiscale process in which genetic mutations occurring at a subcellular level manifest themselves as functional changes at the cellular and tissue scale. The multiscale nature of cancer requires mathematical modeling approaches that can handle multiple intracellular and extracellular factors acting on different time and space scales. Hybrid models provide a way to integrate both discrete and continuous variables that are used to represent individual cells and concentration or density fields, respectively. Each discrete cell can also be equipped with submodels that drive cell behavior in response to microenvironmental cues. Moreover, the individual cells can interact with one another to form and act as an integrated tissue. Hybrid models form part of a larger class of individual-based models that can naturally connect with tumor cell biology and allow for the integration of multiple interacting variables both intrinsically and extrinsically and are therefore perfectly suited to a systems biology approach to tumor growth. PMID- 21064051 TI - Kinetic investigation of a ligand-accelerated sub-mol% copper-catalyzed C-N cross coupling reaction. PMID- 21064053 TI - Contrasting self-assembly and gelation properties among bis-urea- and bis-amide functionalised dialkoxynaphthalene (DAN) pi systems. PMID- 21064054 TI - Novel synthetic pathway for new Zn-Zn-bonded compounds from dizincocene. PMID- 21064055 TI - Enantioselective synthesis of densely functionalized pyranochromenes via an unpredictable cascade Michael-oxa-Michael-tautomerization sequence. PMID- 21064056 TI - Regiospecific synthesis of nitroarenes by palladium-catalyzed nitrogen-donor directed aromatic C-H nitration. PMID- 21064057 TI - Supramolecular porous network formed by molecular recognition between chemically modified nucleobases guanine and cytosine. PMID- 21064058 TI - pH-dependent dimerization and salt-dependent stabilization of the N-terminal domain of spider dragline silk--implications for fiber formation. PMID- 21064059 TI - Primary carbon-nitrogen bond scission and methyl dehydrogenation across a W-W multiple bond. PMID- 21064060 TI - Dichlorophenyl derivatives of La@C(3v)(7)-C(82): endohedral metal induced localization of pyramidalization and spin on a triple-hexagon junction. PMID- 21064061 TI - 4-Substituted tert-butyl phenylazocarboxylates--synthetic equivalents for the para-phenyl radical cation. PMID- 21064062 TI - Enantioselective synthesis of isoindolines: an organocatalyzed domino process based On the aza-Morita-Baylis-Hillman reaction. PMID- 21064064 TI - Photochemical activation of a metal-organic framework to reveal functionality. PMID- 21064065 TI - High activity of Ce(1-x)Ni(x)O(2-y) for H(2) production through ethanol steam reforming: tuning catalytic performance through metal-oxide interactions. PMID- 21064066 TI - Formation of nanoporous fibers by the self-assembly of a pyromellitic diimide based macrocycle. PMID- 21064067 TI - Fully reversible metal deactivation effects in gold/ceria-zirconia catalysts: role of the redox state of the support. PMID- 21064068 TI - Self-crystallization of C(70) cubes and remarkable enhancement of photoluminescence. PMID- 21064071 TI - Water oxidation catalyzed by strong carbene-type donor-ligand complexes of iridium. PMID- 21064070 TI - RNA-directed packaging of enzymes within virus-like particles. PMID- 21064074 TI - Thermally induced structural transformation of bisphenol-1,2,3-triazole polymers: smart, self-extinguishing materials. PMID- 21064072 TI - N-phosphinyl phosphoramide--a chiral Bronsted acid motif for the direct asymmetric N,O-acetalization of aldehydes. PMID- 21064075 TI - Francois P. Gabbai. PMID- 21064078 TI - Efficient sonochemistry through microbubbles generated with micromachined surfaces. PMID- 21064079 TI - A Fluorescent sp2-iminosugar with pharmacological chaperone activity for gaucher disease: synthesis and intracellular distribution studies. AB - Gaucher disease (GD) is the most prevalent lysosomal-storage disorder, it is caused by mutations of acid beta-glucosidase (beta-glucocerebrosidase; beta-Glu). Recently, we found that bicyclic nojirimycin (NJ) derivatives of the sp(2) iminosugar type, including the 6-thio-N'-octyl-(5N,6S)-octyliminomethylidene derivative (6S-NOI-NJ), behaved as very selective competitive inhibitors of the lysosomal beta-Glu and exhibited remarkable chaperone activities for several GD mutations. To obtain information about the cellular uptake pathway and intracellular distribution of this family of chaperones, we have synthesized a fluorescent analogue that maintains the fused piperidine-thiazolidine bicyclic skeleton and incorporates a dansyl group in the N'-substituent, namely 6-thio (5N,6S)-[4-(N'-dansylamino)butyliminomethylidene]nojirimycin (6S-NDI-NJ). This structural modification does not significantly modify the biological activity of the glycomimetic as a chemical chaperone. Our study showed that 6S-NDI-NJ is mainly located in lysosome-related organelles in both normal and GD fibroblasts, and the fluorescent intensity of 6S-NDI-NJ in the lysosome is related to the beta Glu concentration level. 6S-NDI-NJ also can enter cultured neuronal cells and act as a chaperone. Competitive inhibition studies of 6S-NDI-NJ uptake in fibroblasts showed that high concentrations of D-glucose have no effect on chaperone internalization, suggesting that it enters the cells through glucose-transporter independent mechanisms. PMID- 21064080 TI - Engineering protein sequence composition for folding robustness renders efficient noncanonical amino acid incorporations. PMID- 21064082 TI - IUIS: a society for you. PMID- 21064083 TI - Harnessing the diversity of the human T-cell repertoire: a monitoring tool for transplantation tolerance? AB - There is significant diversity in the TCR repertoire in heterologous and allogeneic immunity. A paper in this issue of the European Journal of Immunology shows that changes in the TCR repertoire can be correlated with outcomes of renal transplantation. This indicates that the diversity of the TCR repertoire could be utilized to monitor clinical phenotypes, such as chronic humoral rejection and operational tolerance in organ transplantation. However, prior to the clinical use of monitoring changes in the TCR repertoire as a biomarker to monitor clinical status after organ transplantation, many questions regarding time dependency, triggering factors and specificity need to be addressed. A causative role for TCR repertoire disruption in organ transplantation will need to be proven by interventional trials that can demonstrate that the TCR repertoire is modifiable and predictive of graft outcome. In this Commentary, we discuss the strengths and opportunities of TCR repertoire monitoring, and point towards yet unanswered questions that will become important if the Vbeta CDR3-length distribution assay will be clinically applied. PMID- 21064084 TI - Enhancement of proliferation and downregulation of TRAIL expression on CD8+ T cells by IL-21. AB - Mounting evidence indicates that the cytokine IL-21 can significantly enhance the survival of CD8(+) T lymphocytes. Given that CD4(+) T lymphocytes constitute the main cellular source for IL-21 in vivo, it is tempting to speculate a direct role in mediating the "help" provided by these CD4(+) T cells to the CD8 response. A new report in this issue of the European Journal of Immunology advances this notion by showing that CD8(+) T cells lacking the IL-21 receptor phenocopy those primed in the absence of CD4(+) T cells (the so-called "helpless" CD8(+) T cells) in their induction of the pro-apoptotic factor TRAIL. This finding helps to define the role of IL-21 in the CD8 response, and raises new questions relevant for achieving a broader understanding of this multifunctional cytokine. PMID- 21064086 TI - Small upconverting fluorescent nanoparticles for biomedical applications. AB - Fluorescent labels have been widely used for biological applications, primarily in imaging and assays. Traditional fluorophores such as fluorescent dyes are mainly based on downconversion fluorescence, which have several drawbacks such as photobleaching, high background noise from autofluorescence, and considerable photodamage to biological materials. Upconverting fluorescent nanoparticles emit detectable photons of higher energy in the near-infrared (NIR) or visible range upon irradiation with an NIR light in a process termed 'upconversion.' They overcome some of the disadvantages faced by conventional downconversion labels, thus making them an ideal fluorescent label for biological applications. This review looks at the development of these particles, critically examines the reported applications, and discusses their future in biomedicine. PMID- 21064087 TI - Self-organized Ce(1-x)Gd(x)O(2-y) nanowire networks with very fast coarsening driven by attractive elastic interactions. AB - Assembling arrays of ordered nanowires is a key objective for many of their potential applications. However, a lack of understanding and control of the nanowires' growth mechanisms limits their thorough development. In this work, an appealing new path towards self-organized epitaxial nanowire networks produced by high-throughput solution methods is reported. Two requisites are identified to generate the nanowires: a thermodynamic driving force for an unrestricted elongated equilibrium island shape, and a very fast effective coarsening rate. These requirements are met in anisotropically strained Ce(1-x)Gd(x)O(2-y) nanowires with the (011) orientation grown on the (001) surface of LaAlO(3) substrates. Nanowires with aspect ratios above ~100 oriented along two mutually orthogonal axes are obtained leading to labyrinthine networks. A very fast effective nanowire growth rate (~60 nm min(-1)) for ex-situ thermally annealed nanostructures derives from simultaneous kinetic processes occurring in a branched network. Ostwald ripening and anisotropic dynamic coalescence, both promoted by strain-driven attractive nanowire interaction, and rapid recrystallization, enabled by fast atomic diffusion associated with a high concentration of oxygen vacancies, contribute to such an effective growth rate. This bottom-up approach to self-organized nanowire growth has a wide potential for many materials and functionalities. PMID- 21064088 TI - Active guidance of 3D microstructures. PMID- 21064091 TI - Type-specific HPV geno-typing improves detection of recurrent high-grade cervical neoplasia after conisation. AB - The aim of this case-control study was to examine if type-specific human papillomavirus (HPV) DNA geno-typing before and after treatment of high-grade cervical intra-epithelial neoplasia (CIN) improves prediction of recurring or persisting CIN 2 or 3 compared with follow-up cytology or high-risk (hr)HPV testing. Women with biopsy-proven recurrence of CIN 2 or 3 (cases) in a follow-up period of at least 24 months after treatment of high-grade CIN were compared with women without recurrence (controls). These cohorts were identified by a database search of the Riatol Laboratoria (Antwerp, Belgium). In a cohort of 823 women treated with conisation for high-grade CIN between January 2001 and December 2007, 21 patients with a histologically proven recurrence of CIN2+ were identified. A group of women (n=42) from the same cohort without recurrence was randomly chosen. We found that hrHPV testing at 6 months post-treatment is significantly more sensitive compared with follow-up cytology (ratio: 1.31, 95% confidence interval (CI): 1.10-1.54), but less specific (ratio: 0.85, 95% CI: 0.81-0.90) to predict failure of treatment. When compared with hrHPV testing, HPV geno-typing is more efficient (equal sensitivity, but higher specificity, ratio: 1.43, 95% CI: 1.280-1.62). When compared with follow-up cytology, HPV geno-typing is more sensitive (ratio: 1.31, 95% CI: 1.10-1.54) and more specific (ratio: 1.22, 95% CI: 1.14-1.36). All women who developed a recurrence tested positive for hrHPV. The negative predictive value in the absence of hrHPV DNA was 100%. Six months after treatment HPV geno-typing is the most sensitive and specific method to predict recurrent or persistent CIN 2-3 in the next 24 months. PMID- 21064090 TI - Tumor invasion induced by oxidative stress is dependent on membrane ADAM 9 protein and its secreted form. AB - Oxidative stress plays a role in the regulation of cancer cell metastasis which involves cell invasion and adhesion that could be supported by ADAM proteins through the activities of their metalloprotease and disintegrin domains. We hypothesized that oxidative stress could act through the induction of ADAM9 protein in some cancer cells. Indeed, Western blot analysis for ADAM9 performed on A549 cells exposed to H(2) O(2) reveals a dose-dependent induction of two proteins (80 and 68 kDa) correlated with a sharp increase of the ADAM protease activity measured in supernatant while the activity measured on the cell layer was slightly affected. The 80kDa protein corresponds to the mature form of ADAM9. Immunoprecipitation analysis performed on concentrated supernatants revealed that the 68 kDa protein is a secreted form of ADAM9. When exposed to H(2) O(2) , A549 cells cocultured with confluent endothelial vascular cells resulted in a 5.5 fold (p < 0.001) increase in the number of adherent cells. Similarly, matrigel assay revealed a 3.25 fold (p < 0.01) increase in the number of invasive cells. The suppression of ADAM9 expression by specific small interfering RNA reduced oxidative stress-induced invasiveness and adhesiveness. These functions could be mediated by an interaction between ADAM9 and beta1 integrin because each of them were inhibited when the experiment is performed in presence of mAbs targeting ADAM9 ectodomain or beta1-integrin. These results emphasize the importance of oxidative stress in the regulation of cancer cell metastasis and suggest that ADAM9 and its secreted isoform can be important determinants in the ability of cancer cells to disseminate. PMID- 21064094 TI - Inhibition of mammalian target of rapamycin signaling by everolimus induces senescence in adult T-cell leukemia/lymphoma and apoptosis in peripheral T-cell lymphomas. AB - HTLV-I-associated adult T-cell leukemia/lymphoma (ATL) and human T-cell lymphotropic virus type I (HTLV-I)-negative peripheral T-cell lymphomas carry poor prognosis mainly because of acquired resistance to chemotherapy. We have shown that this disease is responsive to the combination of zidovudine and interferon-alpha. However, long-term maintenance therapy with this combination is associated with side effects affecting patient quality of life and hence more tolerated alternatives are needed. In this submission, we explored the effect of the mammalian target of rapamycin (mTOR) complex-1 (mTORC1) inhibitor everolimus (RAD001) on ATL and HTLV-negative malignant T-cell lines. We demonstrate that, at clinically achievable concentrations, long-term treatment with everolimus resulted in a dramatic inhibitory effect on the growth of HTLV-I-positive and negative malignant T-cells, while normal resting or activated T-lymphocytes were resistant. Everolimus specifically induced oncoprotein Tax degradation and senescence in ATL cells and cell cycle arrest and apoptosis in HTLV-I-negative malignant T-cells. Everolimus-mediated apoptosis was also associated with an upregulation of p53 upregulated modulator of apoptosis (PUMA-alpha) proteins, an increase in Bax proteins and downregulation of Bcl-x(L) proteins in all tested HTLV-I-positive and -negative malignant cell lines. These results support a therapeutic role for everolimus, particularly as long-term maintenance therapy in patients with ATL and other HTLV-I-negative peripheral T-cell lymphomas. PMID- 21064092 TI - Body weight at age 20 years, subsequent weight change and breast cancer risk defined by estrogen and progesterone receptor status--the Japan public health center-based prospective study. AB - Few prospective studies have investigated the association between BMI at age 20 years (BMI20y) and breast cancer risk with consideration to estrogen/progesterone receptor status (ER/PR). We evaluated the association between BMI20y and ER/PR defined breast cancer risk among 41,594 women in the population-based Japan Public Health Center-based Prospective Study. Anthropometric factors were assessed using self-reported questionnaires. Relative risks (RRs) were estimated by Cox proportional hazards regression models. Through to the end of 2006, 452 breast cancer cases were identified. We observed a statistically significant inverse association between BMI20y and breast cancer incidence [multivariable adjusted RR for each 5-unit increment 0.75 (95%CI=0.61-0.92)], which was not modified by menopausal or recent BMI status. In contrast, recent BMI and subsequent BMI gain were not associated with increased risk among premenopausal women, but were substantially associated with increased risk among postmenopausal women [corresponding RR(recent BMI)=1.31 (95%CI=1.07-1.59); RR(subsequent BMI gain)=1.32 (95%CI=1.09-1.60)]. In subanalyses by receptor status (~50% of cases), the observed inverse association of BMI20y with risk was consistent with the result for ER-PR- [0.49 (95%CI=0.27-0.88)], while the observed positive associations of BMI gain with postmenopausal breast cancer risk appeared to be confined to ER+PR+ tumors [corresponding RR(for subsequent BMI gain)=2.24 (95%CI=1.50-3.34)]. Low BMI at age 20 years was substantially associated with an increased risk of breast cancer. In contrast, high recent BMI and subsequent BMI gain from age 20 were associated with increased risk of postmenopausal ER+PR+ tumors. PMID- 21064095 TI - Persistent human papillomavirus DNA is associated with local recurrence after radiotherapy of uterine cervical cancer. AB - Human papillomavirus (HPV) DNA is considered as a hallmark of cervical cancer. We investigated whether persistent HPV DNA at the cervix is associated with local recurrence after radiotherapy in patients with locally advanced cervical cancer. A total of 156 patients with HPV-positive cervical cancer (International Federation of Gynecology and Obstetrics stage IB-IVB) treated with radiotherapy between July 2003 and December 2006 were analyzed. HPV DNA was measured prior to radiotherapy and after completion of radiotherapy. The results of HPV DNA test at postradiotherapy 1, 3, 6 and 12 months were analyzed individually for association with local recurrence-free survival (LRFS). In addition, the result of any last follow-up HPV test within 24 months postradiotherapy was defined as the overall status of HPV at 24 months and was also analyzed for association with LRFS. HPV DNA was cleared in 127 patients (81.4%) and persistent in 29 patients (18.6%) by 24 months. In 18 patients with local recurrences, 14 patients (78%) showed positive HPV tests at 1-3 months. Among the various time points analyzed, a positive HPV test at 3 months was the most accurate predictor of local recurrence. Multivariate analysis indicated that overall status of HPV at 24 months, low HPV viral load and histologic grade as being significantly related to poor LRFS. In HPV-positive cervical carcinoma treated primarily with radiotherapy, persistent HPV DNA within 24 months after treatment indicates a high risk of local recurrence. Diagnostic accuracy of HPV test was highest at 3 months. PMID- 21064096 TI - The association of weight gain during adulthood with prostate cancer incidence and survival: a population-based cohort. AB - Obese men appear to have an increased risk of being diagnosed with advanced prostate cancer and of dying from the disease. Few studies have examined the impact of weight gain during adulthood on prostate cancer risk and mortality and these have reported conflicting results. We analysed data from 20,991 Norwegian men who participated in two phases of the Nord-Trondelag Health Study in 1984/1986 (HUNT-1, when aged at least 20 years) and 1995/1997 (HUNT-2). Weight and height were measured at both HUNT-1 and HUNT-2, allowing each man's change in weight and body mass index (BMI) to be computed. During a median of 9.3 years of follow-up after the end of HUNT-2, 649 (3%) men developed prostate cancer. We observed no increase in prostate cancer incidence amongst men who put on weight between HUNT-1 and HUNT-2. In multivariable models, including adjustment for weight at HUNT-2, the hazard ratio (HR) for prostate cancer per one standard deviation, SD (6.2 kg) gain in weight was 0.98 (95% confidence interval [95% CI]=0.87-1.10, p-trend=0.70) and per one SD gain in BMI (1.9 kg/m(2) ) was 0.99 (95% CI=0.90-1.10, p-trend=0.88). Amongst men diagnosed with prostate cancer (any stage), there was no evidence that gain in weight before diagnosis was positively associated with subsequent all-cause mortality (HR per one SD increase in weight=0.98; 95% CI=0.81-1.19, p-trend=0.86). We conclude that weight gain in adulthood had no effect on prostate cancer incidence or survival in this population. PMID- 21064097 TI - Parents of children with cancer: which factors explain differences in health related quality of life. AB - Research with parents of children with cancer has identified factors related to their adjustment and coping, but it is not fully understood why some parents do well and others do not. Guided by a stress process model, we examined the interrelationships among a comprehensive set of factors to identify the most important determinants of health-related quality of life (HRQoL) in parents of children in active treatment for cancer. A cross-sectional survey of 411 parents (80% response rate) of children receiving cancer treatment in Canada was conducted between November 2004 and February 2007. The following constructs were measured: background and context factors, child characteristics, family-centered service delivery, caregiver strain, intrapsychic factors, coping/supportive factors and parental HRQoL. The model was evaluated using structural equation modeling. Analysis was stratified by time since diagnosis (i.e., <12 months and >=12 months). For those within 12 months of their child's diagnosis, family centred service provision, caregiver strain, and self-perception accounted for 58% of the variation in psychosocial health, whereas caregiver strain and social support explained 50% of the variation in physical health. For parents in the >12 month group, caregiving strain was the only factor with a direct relationship with parental psychosocial and physical health, accounting for 66% and 55% of the variance in these constructs, respectively. Our findings reinforce the need for health professionals to be particularly attuned to family caregivers in the early stages of treatment and identify potential areas for interventions to promote parental health. PMID- 21064098 TI - High RAD51 mRNA expression characterize estrogen receptor-positive/progesteron receptor-negative breast cancer and is associated with patient's outcome. AB - Mutations in DNA double-strand breaks (DSB) repair genes are involved in the pathogenesis of hereditary mammary tumors, it is, however, still unclear whether defects in this pathway may play a role in sporadic breast cancer. In this study, we initially determined mRNA expression of 15 DSB related genes by reverse transcription quantitative polymerase chain reaction in paired normal tissue and cancer specimen from 20 breast cancer cases to classify them into homogeneous clusters. G22P1/ku70, ATR and RAD51 genes were differentially expressed in the three branches recognized by clustering analysis. In particular, a breast cancer subgroup characterized by high RAD51 mRNA levels and estrogen receptor (ER) positive/progesteron receptor (PR)-negative phenotype was identified. This result was confirmed by the analysis of G22P1/ku70, ATR and RAD51 mRNA levels on paired normal and tumor specimens from an extended breast cancer cohort (n = 75). RAD51 mRNA levels were inversely associated with PR status (p = 0.02) and the highest levels were, indeed, detected in ER-positive/PR-negative tumors (p = 0.03). RAD51 immunostaining of a tissue microarray confirmed the inverse relationship between high RAD51 expression and negative PR status (p = 0.002), as well as, the association with ER-positive/PR-negative phenotype (p = 0.003). Interestingly, the analysis of microarray expression data from 295 breast cancers indicate that RAD51 increased mRNA expression is associated with higher risk of tumor relapse, distant metastases and worst overall survival (p = 0.015, p = 0.009 and p = 0.013 respectively). Our results suggest that RAD51 expression determination could contribute to a better molecular classification of mammary tumors and may represent a novel tool for evaluating postoperative adjuvant therapy for breast cancer patients. PMID- 21064099 TI - Genetic variant in PSCA predicts survival of diffuse-type gastric cancer in a Chinese population. AB - Recent genome-wide association study (GWAS) has identified that the prostate stem cell antigen (PSCA) rs2294008 is involving in regulating gastric epithelial-cell proliferation, influencing the risk of diffuse-type gastric cancer. We hypothesized that PSCA rs2294008 is also associated with gastric cancer survival. We genotyped PSCA rs2294008 using TaqMan method in 943 patients with surgically resected gastric cancer. Analyses of genotype association with survival outcomes were assessed by the Kaplan-Meier method, Cox proportional hazards models and the log-rank test. There was no significant association between rs2294008 and survival of gastric cancer (log-rank p=0.085 for CT/TT versus CC). However, in the stratification analysis of histology, we found that rs2294008 CT/TT genotypes were associated with significantly improved survival among diffuse-type gastric cancer (log-rank p=0.025, hazard ratio [HR]=0.75, 95% confidence interval [CI]=0.59-0.96), compared to the CC genotype. Moreover, this protective effect was more predominant for diffuse-type gastric cancer patients with tumor size >5 cm and distant metastasis. If validated in further studies, PSCA rs2294008 could be useful marker of survival assessment and individualized clinical therapy for gastric cancer, particularly among the diffuse-type gastric cancer. PMID- 21064102 TI - Triangulation of the human, chimpanzee, and Neanderthal genome sequences identifies potentially compensated mutations. AB - Triangulation of the human, chimpanzee, and Neanderthal genome sequences with respect to 44,348 disease-causing or disease-associated missense mutations and 1,712 putative regulatory mutations listed in the Human Gene Mutation Database was employed to identify genetic variants that are apparently pathogenic in humans but which may represent a "compensated" wild-type state in at least one of the other two species. Of 122 such "potentially compensated mutations" (PCMs) identified, 88 were deemed "ancestral" on the basis that the reported wild-type Neanderthal nucleotide was identical to that of the chimpanzee. Another 33 PCMs were deemed to be "derived" in that the Neanderthal wild-type nucleotide matched the human but not the chimpanzee wild-type. For the remaining PCM, all three wild type states were found to differ. Whereas a derived PCM would require compensation only in the chimpanzee, ancestral PCMs are useful as a means to identify sites of possible adaptive differences between modern humans on the one hand, and Neanderthals and chimpanzees on the other. Ancestral PCMs considered to be disease-causing in humans were identified in two Neanderthal genes (DUOX2, MAMLD1). Because the underlying mutations are known to give rise to recessive conditions in human, it is possible that they may also have been of pathological significance in Neanderthals. Hum Mutat 31:1-8, 2010. (c) 2010 Wiley-Liss, Inc. PMID- 21064103 TI - Expression profiling of GIST: CD133 is associated with KIT exon 11 mutations, gastric location and poor prognosis. AB - In gastrointestinal stromal tumors (GISTs), KIT exon 11 deletions are associated with poor prognosis. The aim of this study was to determine the gene expression profiles of GISTs carrying KIT exon 11 deletions and to identify genes associated with poor prognosis. Expression profiling was performed on nine tumors with KIT exon 11 deletions and 7 without KIT exon 11 mutations using oligonucleotide microarrays. In addition, gene expression profiles for 35 GISTs were analyzed by meta-analysis. Expression of CD133 (prominin-1) protein was examined by tissue microarray (TMA) analysis of 204 GISTs from a population-based study in western Sweden. Survival analysis was performed on patients subjected to R0 resection (n=180) using the Cox proportional hazards model. Gene expression profiling, meta analysis, and qPCR showed up regulation of CD133 in GISTs carrying KIT exon 11 deletions. Immunohistochemical analysis on TMA confirmed CD133 expression in 28% of all tumors. CD133 positivity was more frequent in gastric GISTs (48%) than in small intestinal GISTs (4%). CD133 positivity was also more frequent in GISTs with KIT exon 11 mutations (41%) than in tumors with mutations in KIT exon 9, platelet-derived growth factor receptor alpha (PDGFRA), or wild-type tumors (0 17%). Univariate survival analysis showed a significant correlation between the presence of CD133 protein and shorter overall survival (hazard ratio=2.23, p=0.027). Multivariate analysis showed that CD133 provided additional information on patient survival compared to age, sex, National Institutes of Health (NIH) risk group and mutational status. CD133 is expressed in a subset of predominantly gastric GISTs with KIT exon 11 mutations and poor prognosis. PMID- 21064104 TI - Identification of an aggressive prostate cancer predisposing variant at 11q13. AB - Prostate cancer is the most frequently diagnosed cancer in men; however, the genetic basis of susceptibility remains elusive. The EMSY gene is located in the prostate cancer linked chromosome region at 11q13.5. The aim of this study was to screen EMSY for sequence variants and to evaluate its association with the risk of prostate cancer. We performed a Finnish population-based case-control study with 923 controls, 184 familial prostate cancer cases and 2,301 unselected prostate cancer cases. Variants were screened using sequencing and validated using the TaqMan assay and High Resolution Melting analysis. A total of 27 sequence variants were found, and 17 of them were novel. A rare intronic variant, IVS6-43A>G (minor allele frequency of 0.004), increased the prostate cancer risk in familial cases (odds ratio [OR] = 7.5; 95% confidence interval [CI] = 1.3 45.5; p = 0.02). Further analysis with clinicopathological data revealed that the variant is associated with aggressive unselected cases (prostate specific antigen >= 20 MUg/L or Gleason grade >= 7), based on both case-control (OR = 6.0; 95% CI = 1.3-26.4; p = 0.03) and case-case analyses (OR = 6.5; 95% CI = 1.5-28.4; p = 0.002). In addition, all variant-positive familial cases had aggressive cancer. Our results indicate that the intronic variant IVS6-43A>G increases the familial and unselected prostate cancer risk in a Finnish population and contributes to the aggressive progression of the disease in a high-penetrance manner. The potential role of the variant as a predictive genetic marker for aggressive prostate cancer should be further evaluated. PMID- 21064105 TI - Risk factors for breast cancer among young women in southern Iran. AB - Age standardized incidence rates of breast cancer in developed countries is nearly threefold higher than in developing countries. Iran has had one of the lowest incidence rates for breast cancer in the world, but during the last four decades increasing incidence rates of breast cancer made it the most prevalent cancer in Iranian women. After adjustment for age, Iranian young women are at relatively higher risk of breast cancer than their counterparts in developed countries. The purpose of this study was to investigate some established risk factors of breast cancer in Iranian young women. A hospital-based case control study comprising 521 women with histologically confirmed, incident breast cancer and 521 controls frequency-matched by age and province of residence was conducted. Logistic regression performed to investigate associations of reproductive and anthropometric factors with breast cancer risk. In multivariate analysis, family history [odds ratio (OR): 1.61; 95% confidence interval (CI): 1.07-2.42], oral contraceptives (OC) usage (OR: 1.52; 95% CI: 1.11-2.08), low parity (OR parity >= 3 vs. 1-2: 0.33; 95% CI: 0.23-0.49), employment (OR: 1.83; 95% CI: 1.05-3.23) and shorter period of breast feeding (OR >= 37 months vs. < 37: 0.61; 95% CI: 0.44-0.84) were related to a higher risk of breast cancer in young women. This was the first study focusing on risk factors of breast cancer in Iranian young women. The trend of decreasing parity and shortened duration of breast feeding along with OC usage might partly explain the rapid rising of breast cancer incidence in Iranian young women. PMID- 21064109 TI - Galectin-4 functions as a tumor suppressor of human colorectal cancer. AB - Development of colorectal cancer (CRC) involves a series of genetic alterations with altered expression of proteins and cell signaling pathways. Here, we identified that galectin-4 (gal-4), a marker of differentiation, was down regulated in CRC. The goal of this work was to determine the function of gal-4 in CRC. Toward this goal, the human colon biopsies and tissue microarrays containing a gradient of pathology were analyzed for gal-4 expression by immunohistochemistry. Cell proliferation, migration, motility, forced expression, knockdown, cell cycle and apoptosis assays were used to characterize gal-4 function. Immunohistochemistry identified that gal-4 expression was significantly down-regulated in adenomas and was essentially absent in invasive carcinomas. Forced expression of gal-4 in gal-4 -ve cells induced cell cycle arrest and retarded cell migration and motility. Further, gal-4 sensitized the cells to camptothecin-induced apoptosis. Gal-4 knockdown resulted in increased cell proliferation, migration and motility. Gal-4 was found to be associated with Wnt signaling proteins. Finally, gal-4 expression led to down-regulation of Wnt signaling target genes. This study demonstrates that loss of gal-4 is a common and specific event in CRC. This study also shows that gal-4 exhibits tumor suppressive effects in CRC cells in vitro. Through its ability to interact with and down-regulate the functions of Wnt signaling pathway, gal-4 reveals a new dimension in the control of the Wnt signaling pathway. Thus, gal-4 may prove to be an important molecule in understanding the biology of CRC. PMID- 21064110 TI - Risk of invasive cervical cancer in relation to clinical investigation and treatment after abnormal cytology: a population-based case-control study. AB - A substantial proportion of women with cervical cancer that have participated in cervical screening have a history of an abnormal cytology result. Our objective was to assess the impact of histological investigation and treatment of women with abnormal cytology on the subsequent risk of invasive cervical cancer. All invasive cervical cancer cases in Sweden 1999-2001 and five population-based control women per case were investigated. Clinical investigations and treatment were analysed in case women (N = 143) and control women (N = 176) below 67 with abnormal cytology results 0.5-6.5 years before the cases' diagnosis. Cervical cancer risk in relation to investigation [histology or not, punch biopsy, cervical curettage or cone/large loop excision of the transformation zone (LLETZ)], and treatment (treatment or not, excisional or ablative) was estimated as odds ratios (ORs) using logistic regression. Absence of histological assessment was associated with increased cancer risk, both after low-grade [OR 2.37; 95% confidence intervals (CI): 1.27-4.43] and high-grade squamous atypia (8.26; 2.37-28.8). Among women with histology, absence of treatment was associated with increased cancer risk (3.68; 1.53-8.84), also when biopsy showed low-grade atypia or normal findings (3.57; 1.18-10.8). Ablative therapy associated with increased risk compared with excisional (3.82; 1.01-14.4), and laser conisation associated with decreased risk compared with LLETZ (0.06; 0.01 0.36). In conclusion, low-grade as well as high-grade squamous atypical cytology results may warrant histological investigation, treatment reduced cancer risk even when histology was negative or showed low-grade atypia indicating a need for improvements in the diagnosis of high-grade lesions, and laser conisation was the most effective treatment. PMID- 21064111 TI - Age as a key factor influencing metastasizing patterns and disease-specific survival after sentinel lymph node biopsy for cutaneous melanoma. AB - In our study, we investigated the impact of the constitutional factor age on the clinical courses of melanoma patients with sentinel lymph node (SLN) biopsy. Descriptive statistics, Kaplan-Meier estimates, logistic regression analysis and the Cox proportional hazards model were used to study a population of 2,268 consecutive patients from three German melanoma centers. Younger age was significantly related to less advanced primary tumors. Nevertheless, patients younger than 40 years of age had a twofold risk of being SLN-positive (p < 0.000001). Of the young patients with primary melanomas with a thickness of 0.76 mm to 1.0 mm, 19.7% were SLN-positive. Using multivariate analysis, younger age, increasing Breslow thickness, ulceration and male sex were significantly related to a higher probability of SLN-metastasis. During follow-up, older patients displayed a significantly increased risk of in-transit recurrences (p = 0.000002) and lymph node recurrences (p = 0.0004). With respect to melanoma specific overall survival the patient's age was highly significant in the multivariate analysis. The unfavorable effect of being older was significant in the subgroups with positive and negative SLNs. Age remained also significant for the survival after the onset of distant metastases (p = 0.002). In conclusion, the patient's age is a strong and independent predictor of melanoma-specific survival in patients with localized melanomas, in patients with positive SLNs and after the onset of distant metastases. Younger patients have a better prognosis despite their higher probability of SLN metastasis. Older patients are less frequently SLN-positive but have a higher risk of loco-regional recurrence. PMID- 21064115 TI - MRI and DWI: feasibility of DWI and ADC maps in the evaluation of placental changes during gestation. AB - OBJECTIVE: To establish if a correlation exists between apparent diffusion coefficient (ADC) values, obtained by diffusion-weighted imaging (DWI), and placental aging. METHOD: The study is divided into a retrospective phase and a prospective one.In the first phase, 145 pregnant women underwent fetal magnetic resonance imaging (MRI) for suspected disorders in several organs. We performed DWI (b value 0, 200 and 700 s/mm(2)) in all the fetuses, evaluating the patients in whom the whole placenta was visible.In the prospective phase, 50 women (52 fetuses) underwent MRI. We performed, in the same patient, two echo-planar sequences with b values of 0, 200 and 700, and 50, 200 and 700 s/mm(2), including the whole placenta.The ADC maps were calculated for all fetuses, divided into three groups based on gestational age (GA): group I: 20-26 weeks' gestation, II: 27-33, III: 34-40. RESULTS: In the retrospective phase, ADC values had a range from 1 to 2.4 mm(2)/s, showing a significant correlation between ADC values and GA.ADC values obtained by DWI with b value 0, 200 and 700 s/mm(2) had a range from 0.8 to 2.5 mm(2)/s, with an inverse correlation between ADC values and GA, whereas the ADC values with b value 50, 200 and 700 s/mm(2) did not show any statistical correlation (range: 1.5-1.7 mm(2)/s). CONCLUSION: DWI with ADC maps can not be considered markers for placental aging because they are affected by perfusional and circulatory motion. PMID- 21064116 TI - Moderate to severe depressive symptoms and rehabilitation outcome in older adults with hip fracture. AB - OBJECTIVE: To evaluate if depressive symptoms affect recovery of walking ability and 1-year institutionalization or mortality in older adults who underwent post hip fracture (HF) surgery rehabilitation. METHODS: Depressive symptoms were assessed on admission using the 15-item Geriatric Depression Scale (GDS), with scores >=10/15 indicating moderate to severe depressive symptoms. Multidimensional assessment included Mini Mental State Examination, Charlson Comorbidity Index, Body Mass Index, albumin serum levels, number of drugs, antidepressants and Barthel Index (BI) on admission and at discharge. Walking ability was evaluated using the BI walking sub-item referred to 1 month before HF, on admission, and at discharge. Patients scoring <=3/15 BI walking sub-item on admission (i.e. those fully dependent or requiring major supervision in walking) were included. Walking independence at discharge was defined as a score >=12/15 at the BI walking sub-item. RESULTS: In multivariate analyses, after adjustment for covariates and potential confounders, patients with moderate to severe depressive symptoms were more likely to fail walking independence at discharge (odds ratio, OR = 3.2; 95% CI = 1.3 to 7.8; p = 0.010) and to be institutionalized or died at 1 year (OR = 3.6, 95% CI = 1.4 to 9.1, p = 0.007). In further analyses, the failure to recover walking independence at discharge partly mediates the relationship between moderate to severe depressive symptoms and 1-year adverse events. CONCLUSIONS: Moderate to severe depressive symptoms affect the recovery of walking independence after HF rehabilitation and are associated with severe adverse outcomes at 1 year. PMID- 21064127 TI - Intrinsic disorder of Drosophila melanogaster hormone receptor 38 N-terminal domain. AB - Drosophila hormone receptor 38 (dHR38), an ortholog of the vertebrate NR4A subclass of nuclear receptors, responds to ecdysteroids, which mediate developmental transitions during the Drosophila life cycle. However, this response is independent of the ecdysteroid receptor, and it does not involve binding of ecdysteroids to dHR38. It has been suggested that ecdysteroids may indirectly activate dHR38, perhaps by recruiting specific proteins. There have been recent reports pointing out the decisive role that nuclear receptor N terminal domains (NTDs) have in protein-protein interactions that are important for regulation of gene expression. It is reasonable to assume that dHR38-NTD may also be involved in some protein-protein interactions that are critical for the ecdysteroid signaling pathway. To facilitate the exploration of the molecular basis of these interactions, we developed and optimized a protocol for the efficient expression and purification of the recombinant dHR38-NTD. Using a diverse array of biochemical and biophysical methods, we carried out the first structural characterization of dHR38-NTD. The results of our study indicate that dHR38-NTD exhibits a characteristic reminiscent of pre-molten globule-like intrinsically disordered proteins existing in a partially unfolded conformation with regions of secondary structures. The dHR38-NTD structure, which apparently comprises some local, ordered, tertiary structure clusters, is pliable and can adopt more ordered conformations in response to changes in environmental conditions. Thus, dHR38-NTD, which exhibits the structural and functional characteristic of a pre-molten globule-like intrinsically disordered protein, could serve as a platform for multiple protein-protein interactions, possibly including interactions with proteins involved in an unusual ecdysteroid signaling pathway. PMID- 21064129 TI - Improved identification of outer membrane beta barrel proteins using primary sequence, predicted secondary structure, and evolutionary information. AB - Membrane proteins (MPs) are difficult to identify in genomes and to crystallize, making it hard to determine their tertiary structures. MPs could be categorized into alpha-helical (AMP) and outer membrane proteins which mostly include beta barrel folds (OMBBs). The AMPs are relatively easy to predict from a protein sequence because they usually include several long membrane-spanning hydrophobic alpha-helices. The OMBBs play important roles in cell biology, they are targeted by multiple drugs, and they are more challenging to identify as they have shorter membrane-spanning regions which lack a folding pattern, that is, as consistent as in the case of the AMPs. Hence, accurate in silico methods for prediction of OMBBs from their primary sequences are needed. We present an accurate sequence based predictor of OMBBs, called OMBBpred, which utilizes a Support Vector Machine classifier and a custom-designed set of 34 novel numerical descriptors derived from predicted secondary structures, hydrophobicity, and evolutionary information. Our method outperforms modern existing OMBB predictors and achieves accuracy of above 98% when tested on two existing benchmark datasets and 96% on a new large dataset. OMBBpred reduces the error rates of the second best method, depending on the dataset used, by between 13 and 65%, and generates predictions with high specificity of above 96%. Our solution is a useful tool for high throughput discovery of the OMBBs on a genome scale and can be found at http://biomine.ece. ualberta.ca/OMBBpred/OMBBpred.htm. PMID- 21064128 TI - Conformational flexibility and binding interactions of the G protein betagamma heterodimer. AB - Previous NMR experiments on unbound G protein betagamma heterodimer suggested that particular residues in the binding interface are mobile on the nanosecond timescale. In this work we performed nanosecond-timescale molecular dynamics simulations to investigate conformational changes and dynamics of Gbetagamma in the presence of several binding partners: a high-affinity peptide (SIGK), phosducin, and the GDP-bound alpha subunit. In these simulations, the high mobility of GbetaW99 was reduced by SIGK, and it appeared that a tyrosine might stabilize GbetaW99 by hydrophobic or aromatic stacking interactions in addition to hydrogen bonds. Simulations of the phosducin-Gbetagamma complex showed that the mobility of GbetaW99 was restricted, consistent with inferences from NMR. However, large-scale conformational changes of Gbetagamma due to binding, which were hypothesized in the NMR study, were not observed in the simulations, most likely due to their short (nanosecond) duration. A pocket consisting of hydrophobic amino acids on Galpha appears to restrict GbetaW99 mobility in the crystal structure of the Galphabetagamma? heterotrimer. The simulation trajectories are consistent with this idea. However, local conformational changes of residues GbetaW63, GbetaW211, GbetaW297, GbetaW332, and GbetaW339 were detected during the MD simulations. As expected, the magnitude of atomic fluctuations observed in simulations was greater for alpha than for the betagamma subunits, suggesting that alpha has greater flexibility. These observations support the notion that to maintain the high mobility of GbetaW99 observed by solution NMR requires that the Gbeta-alpha interface must open up on time scale longer than can be observed in nanosecond scale simulations. PMID- 21064131 TI - Hydrolysis of organophosphate compounds by mutant butyrylcholinesterase: a story of two histidines. AB - This study is aimed at understanding the hydrolysis mechanism of organophosphate (OP) compounds by G117H-BChE. It is a theoretical study that focuses on the role of the G117H mutation in the dephosphorylation step. Various proposed mechanisms are examined. We show that His117 acts as a general base by activating a water molecule, and thus assisting its nucleophilic attack on the phosphate. The calculated reaction energy profile agrees well with the experimental data. Moreover, analysis of the reaction via its two hypothetical elementary steps, proton transfer and hydroxide attack, supports the role of His117 as a general base. Further support to the proposed mechanism is gained by structural comparison of the active site to RNAse A, which has similar composition of substrate and functional groups. The similarity between these enzymes extends beyond the structure and also becomes evident when comparing functionality of various active sites residues as well as rate-pH dependence obtained in the two cases. Moreover, it is demonstrated that an extended form of Bevilacqua's model (Biochemistry 2003;42:2259-2265) may resolve the apparent contradictions between the proposed mechanism and various experimental observations regarding rate-pH dependence. Finally, that same model is shown to rationalize the hydrolase activity of G117D BChE, an observation which is considered puzzling. It is concluded that G117H-BChE hydrolyzes echothiophate and possibly other OP compounds via a general acid-base mechanism. On the basis of this mechanism, one can now proceed with rational design aimed at improving the enzyme by exploiting both the structural and mechanistic knowledge. PMID- 21064130 TI - Mapping mouse IL-13 binding regions using structure modeling, molecular docking, and high-density peptide microarray analysis. AB - Interleukin-13 is a Th2-associated cytokine responsible for many pathological responses in allergic asthma including mucus production, inflammation, and extracellular matrix remodeling. In addition, IL-13 is required for immunity to many helminth infections. IL-13 signals via the type-II IL-4 receptor, a heterodimeric receptor of IL-13Ralpha1 and IL-4Ralpha, which is also used by IL 4. IL-13 also binds to IL-13Ralpha2, but with much higher affinity than the type II IL-4 receptor. Binding of IL-13 to IL-13Ralpha2 has been shown to attenuate IL 13 signaling through the type-II IL-4 receptor. However, molecular determinants that dictate the specificity and affinity of mouse IL-13 for the different receptors are largely unknown. Here, we used high-density overlapping peptide arrays, structural modeling, and molecular docking methods to map IL-13 binding sequences on its receptors. Predicted binding sequences on mouse IL-13Ralpha1 and IL-13Ralpha2 were in agreement with the reported human IL-13 receptor complex structures and site-directed mutational analysis. Novel structural differences were identified between IL-13 receptors, particularly at the IL-13 binding interface. Notably, additional binding sites were observed for IL-13 on IL 13Ralpha2. In addition, the identification of peptide sequences that are unique to IL-13Ralpha1 allowed us to generate a monoclonal antibody that selectively binds IL-13Ralpha1. Thus, high-density peptide arrays combined with molecular docking studies provide a novel, rapid, and reliable method to map cytokine receptor interactions that may be used to generate signaling and decoy receptor specific antagonists. PMID- 21064133 TI - Multiple myeloma and pregnancy. AB - Pregnancy has been reported in patients with hematological malignancies, such as acute leukemia, Hodgkin and malignant lymphoma and chronic myelocytic leukemia. Only 12 cases of pregnancy occurring in patients with multiple myeloma (MM) have been reported. The present report describes 6 additional cases of this rare association that received chemotherapy during pregnancy, including in the first trimester. The newborns were 3 male and 3 female with weight >2500 g and without evidence of fetal malformations. Longer follow-up (>3 years) did not give evidence of late complications in the children. Three mothers received stem cell transplantation. PMID- 21064134 TI - Activation of mononuclear phagocytes and its relationship to asplenia and phosphatidylserine exposing red blood cells in hemoglobin E/beta-thalassemia patients. AB - Aged or abnormal red blood cells with exposed phosphatidylserine (PSRBCs) are cleared from the circulation by splenic macrophages. In asplenic patients, other mononuclear phagocytic cells in tissues and in circulation may function in this capacity. To better understand these changes and the relationship among splenic status, PS-RBCs, blood monocytes, and serum tumor necrosis factor (TNF-alpha), a product of mononuclear phagocyte activation, patients with hemoglobin E/beta thalassemia (E/beta-Thal) were studied. Whole blood of 20 nonsplenectomized, 20 splenectomized E/beta-Thal patients, and 20 healthy subjects was assayed for PS RBCs; for monocytes, activated monocytes, and monocyte response to lipopolysaccharide stimulation; and serum was assayed for TNF-alpha. Asplenic E/beta-Thal patients had significantly increased (P < 0.05) amounts of PS-RBCs, monocytes, activated monocytes, and levels of serum TNF-alpha. The amount of PS RBCs correlated with levels of serum TNF-alpha, but the amount of activated monocytes did not correlate with either the amount of PS-RBCs or levels of serum TNF-alpha. Monocyte response to lipopolysaccharide stimulation in asplenic patients was not as efficient as in the other patients or in normals (77 vs. 404, and 304 folds increment, respectively). The results suggest that splenectomy in E/beta-Thal patients led to an increased amount of PSRBCs and activation in the mononuclear phagocytic system. PMID- 21064135 TI - Current status of pediatric umbilical cord blood transplantation in Korea: a multicenter retrospective analysis of 236 cases. AB - We report the outcome of 236 pediatric umbilical cord blood transplantations (UCBT) performed in Korea. Given that the sources of the grafts were mostly unrelated donors (n = 226; 95.8%), only the results of unrelated UCBT were included for all statistics. The most frequent primary disease was acute leukemia (n = 167). In total, 91.7% of recipients were seropositive for cytomegalovirus (CMV). The median doses of nucleated cells and CD34+ cells were 4.84 * 10(7)/kg and 2.00 * 10(5)/kg, respectively. The median times to neutrophil (>0.5 * 10(9)/L) and platelet recovery (>20 * 10(9)/L) were 18 and 45 days, respectively. Grade 2-4 acute graft-versus-host-disease (GVHD) and chronic GVHD developed in 41.1 and 36.1% of cases, respectively. Forty-five patients developed CMV disease. The 5-year overall and event-free survival were 47.5 and 36.9%, respectively. Multivariate analysis revealed that adverse factors for survival of the whole cohort were total body irradiation-based conditioning (P = 0.007), salvage transplant (P = 0.001), failure to achieve early complete chimerism (P < 0.0005), and CMV disease (P = 0.001). The outcomes of the single- and double-unit UCBT (n = 64) were similar, while double-unit recipients were heavier (P < 0.0005) and older (P < 0.0005). We conclude that double-unit UCBT is a reasonable option for older or heavier children and that the thorough surveillance of CMV infection and the development of an effective CMV therapeutic strategy may be especially important for Korean children, whose CMV seroprevalence exceeds 90%. PMID- 21064136 TI - Methotrexate-induced subacute neurotoxicity in a child with acute lymphoblastic leukemia carrying genetic polymorphisms related to folate homeostasis. AB - Subacute methotrexate neurotoxicity (MTX-NT) may occur days to weeks after systemic or intrathecal (IT) MTX administration and is often manifest by stroke like symptoms. The pathogenesis of MTX-NT has mainly been associated with cerebral folate homeostasis, but the specific mechanism leading to the development of this complication is mostly unknown and is likely to be multifactorial. Most of studies aimed to determine putative genetic determinants of this syndrome have been focused on the methylenetetrahydrofolate reductase (MTHFR) C677T single nucleotide polymorphism (SNP). However, there are other functional polymorphisms that have also been identified in enzymes and transporters related to MTX and folate homeostasis. In this context, we carried out an extensive genetic analysis through the screening of 21 SNPs in 11 relevant genes in a five-year-old girl with acute lymphoblastic leukemia (ALL) who developed MTX-NT. The analysis revealed the presence of numerous genetic variants that may have accounted for the neurotoxicity observed. We discuss the putative role of MTX pharmacogenetics in the pathogenesis of MTX-NT. PMID- 21064138 TI - Dried polyacrylamide gel absorption: a method for efficient elimination of the interferences from SDS-solubilized protein samples in mass spectrometry-based proteome analysis. AB - Sample preparation holds an important place in MS-based proteome analysis. For effective proteolysis and MS analysis, it is essential to eliminate the interferences while extracting the analytes of interest from complex mixtures. To address this, herein we describe a new dried polyacrylamide gel absorption method. In this method, the protein sample prepared using high concentration of SDS was directly and completely absorbed by vacuum-dried polyacrylamide gel, and then the interfering substances including SDS and some other salts were efficiently removed by in-gel washing steps while retaining the denatured proteins in the gel, thus offering a clean environment amenable to downstream buffer exchange, proteolytic digestion and digest recovery, etc. In combination with in-gel digestion and LC-MS/MS, the newly developed method was applied to the proteome analyses of membrane-enriched fraction and whole tissue homogenate. It was demonstrated that the method is suitable for the analysis of a complex biological sample and can be widely used for sample cleanup in shotgun proteome analyses. PMID- 21064139 TI - Analysis of polyphenols using capillary zone electrophoresis--determination of the most effective wine sample pre-treatment method. AB - A simple CZE method has been developed for the simultaneous determination of eight polyphenolic compounds. The influence of several experimental conditions such as buffer (concentration and pH) and temperature were studied. Optimum separation was achieved in less than 25 min by using a BGE of 50 mM Na(2)B(4)O(7) and 10 mM Na(2)HPO(4) at pH 9.6, a temperature of 25 degrees C and an applied voltage of 25 kV. Good linearities for all eight analytes were obtained with correlation coefficients higher than 0.99. The LODs were between 0.03 and 5.05 MUg/mL and the RSD values of the migration times were found to be less than 1%. The optimal separation conditions were then used for the identification and the quantitation of polyphenolic compounds in Cypriot wine samples using six different sample preparation procedures. In particular, two direct injection methods (without any extraction step), three different liquid-liquid extraction procedures and an SPE procedure were examined. These sample pre-treatment methods were also compared in order to determine the one that is the most effective, in regard to analyte recovery, time, difficulty, and reproducibility. Liquid-liquid extraction using diethyl ether as the organic solvent proved to be the most effective. PMID- 21064140 TI - Electrophoretically mediated microanalysis assay for sirtuin enzymes. AB - An electrophoretically mediated microanalysis (EMMA) assay for the human sirtuin SIRT1 has been developed using 9-fluorenylmethoxycarbonyl (Fmoc)-labeled peptides, i.e. Fmoc-KK(Ac)-NH(2), Fmoc-KK(Ac)L-NH(2) and Fmoc-RHKK(Ac)-NH(2), as substrates. The partial filling mode was applied due to the incompatibility between the incubation buffer, pH 8.0, and the BGE that had a pH of 2.7 or 2.3 depending on the analytes. Incubation and subsequent analyte separation were carried out in a 37/30 cm, 50 MUm id fused-silica capillary at 37 degrees C. An injection sequence of incubation buffer, enzyme, substrate, enzyme and incubation buffer was selected because the electrophoretic mobility of SIRT1 was not known. The assay was optimized with regard to the length of the injected plugs, the mixing voltage and mixing time as well as the activity (concentration) of SIRT1. The EMMA assay was subsequently applied to the determination of the Michaelis Menten constants, K(m), and the maximum velocity, V(max), as well as the determination of the inhibitory constants, IC(50), of inhibitors. Data obtained with the in-capillary assay were in accordance with the literature data or an offline SIRT1 assay. PMID- 21064141 TI - Online capillary liquid-liquid electroextraction of peptides as fast pre concentration prior to LC-MS. AB - In this research paper, we show that capillary electroextraction (cEE) is capable of fast online peptide concentration and that it can be coupled online to LC-MS to result in a fast and sensitive method. Electroextraction takes place when an electrical field is applied in a two-phase liquid-liquid system. Sample molecules in the organic phase migrate very fast into the aqueous phase and are concentrated in a small zone. In this work, cEE of peptides is developed and coupled online to LC-MS via a switching valve. Comparison of 10 min of cEE-LC-MS with a normal LC-MS injection showed more than 100-fold increased peak heights. Of five model peptides, good calibration curves in the range of 0.05-5 MUmol/L were obtained. The linearity was good (R(2) values between 0.984 and 0.996) and RSD between 5% at the highest to 25% at the lowest concentration (n=3). The LOD of bradykinin, angiotensin I-converting enzyme inhibitor and angiotensin I was in the low nmol/L range. Analysis of a tryptic digest of eight model proteins resulted in more than 170 peptides, without bias for pI or hydrophilicity. Urine analysis is demonstrated, resulting in an LOD around 0.04 MUmol/L urine for tryptic cytochrome C peptides spiked to urine and an increase of 42% in the number of chromatographic peaks compared with the conventional LC-MS. In summary, cEE-LC-MS is a fast electrophoresis-driven sample preconcentration technique that is quantitative, able to extract a wide peptide range and applicable to bioanalysis. PMID- 21064142 TI - Generation and characterization of a specific polyclonal antibody against the mouse serotonin receptor 1A: a state-of-the-art recommendation on how to characterize antibody specificity. AB - A series of different antibodies against serotonin receptor 1A (5HT1A_R) have been reported although only limited information on the specificity of these antibodies and the antigens recognized is available. Herein, we characterized reactivity of an antibody by a gel-based proteomics method that should represent a model how antibodies may be defined in the future. An antibody against the 5HT1A_R was generated, used for immunoprecipitation and immunoblotting on blue native gels containing a 5HT1A_R complex. The 5HT1A_R was isolated from tissue and was defined by nano-LC-ESI-MS/MS. A single band on the native gel and a single spot representing the denatured receptor in the 3rd dimensional step of gel electrophoresis was detected. Immunoprecipitation revealed a single band for the denatured 5HT1A_R. Herein, a procedure is proposed to characterize an antibody by the use of a robust method unambiguously identifying and characterizing the antigen, 5HT1A_R, from mouse whole brain. PMID- 21064143 TI - Cost-effective interrogation of single nucleotide polymorphisms using the mismatch amplification mutation assay and capillary electrophoresis. AB - The ability to characterize SNPs is an important aspect of many clinical diagnostic, genetic and evolutionary studies. Here, we designed a multiplexed SNP genotyping method to survey a large number of phylogenetically informative SNPs within the genome of the bacterium Bacillus anthracis. This novel method, CE universal tail mismatch amplification mutation assay (CUMA), allows for PCR multiplexing and automatic scoring of SNP genotypes, thus providing a rapid, economical and higher throughput alternative to more expensive SNP genotyping techniques. CUMA delivered accurate B. anthracis SNP genotyping results and, when multiplexed, saved reagent costs by more than 80% compared with TaqMan real-time PCR. When real-time PCR technology and instrumentation is unavailable or the reagents are cost-prohibitive, CUMA is a powerful alternative for SNP genotyping. PMID- 21064144 TI - The role of methacrylate polymerized as porous-layered and nanoparticle-bound phases for open-tubular capillary electrochromatography: substitution of a charged monomer for a bulk monomer. AB - The bulk monomer, butyl methacrylate (BMA), was copolymerized with an ionizable monomer (mono-(2-(methacryloyloxy)ethyl) succinate (MES)) and carbon nanotubes (CNTs) by ethylene dimethacrylate (EDMA) crosslinking to form the porous-layered and nanoparticle-bound stationary phases for open-tubular CEC. Here, two new phases were synthesized to check the role of BMA on the BMA-MES and BMA-CNT phases and the suitability of the MES monomer for concurrently acting as a bulk monomer. One phase, MES-EDMA, was simply composed of MES monomer and EDMA crosslinker and exhibited a phase construction of molecular layers, in contrast to the polymeric phases of BMA-MES. Another phase studied was MES-CNT, which SEM images showed that MES could be a good bulk monomer for a CNT-polyacrylate composite phase with embedded CNTs. For all the modified capillaries, the EOF profiles observed in phosphate buffers between pH 3.6 and 9.6 were comparable with each other and conformed to their corresponding SEM images. The residual silanols retained their influence on the EOF profiles in the MES-EDMA and BMA-MES capillaries, but diminished in the CNT-bound capillaries. In a comparison with the MES-EDMA capillary, the BMA-MES capillary afforded a stronger interaction with flavonoids and phenolic acids and still retained positive capacity factor values. Additionally, the capacity factors obtained from the BMA-CNT capillary were higher than those from the MES-CNT capillary, as the BMA-CNT phase had hydrophobic BMA units and a high surface contact area of bound CNTs. PMID- 21064147 TI - Association between ultrasound-based assessment of fetal head station and clinically assessed cervical dilatation. AB - OBJECTIVES: To describe the association between ultrasound-based determination of fetal head station and clinical assessment of cervical dilatation during active labor. METHODS: From 427 women with singleton uncomplicated term pregnancies we obtained, during the active phase of labor, 907 pairs of measurements. Fetal head station and position were determined using the LaborPro system, based on position tracking and ultrasound imaging technology, and degree of cervical dilatation was determined by digital vaginal examination. The association between them was analyzed. RESULTS: The overall correlation between cervical dilatation and fetal head station was 0.64 (P < 0.001). Complete dilatation was observed in 78% of women with fetal head engagement, and in all women with a fetal head station of + 1.5 or more. CONCLUSIONS: There is good association between non-invasive ultrasound-based determination of fetal head station and clinically assessed cervical dilatation. PMID- 21064150 TI - Transmission of chromosomally integrated HHV-6 by bone marrow transplantation. PMID- 21064154 TI - A CRM1-dependent nuclear export pathway is involved in the regulation of MutLalpha subcellular localization. AB - MutLalpha plays an essential role in DNA mismatch repair (MMR) and is additionally involved in other cellular mechanisms such as the regulation of cell cycle checkpoints and apoptosis. Therefore, not only germline MMR gene defects but also the subcellular localization of MutLalpha might be of importance for the development of Lynch syndrome. Recently, we showed that MutLalpha contains functional nuclear import sequences and is most frequently localized in the nucleus. Here, we demonstrate that MutLalpha can move bidirectionally towards the nuclear membrane. Using MutLalpha transfected HEK293T cells we observed a significant shift of MLH1 and PMS2 from the nucleus to the cytoplasm after irradiation or cisplatin treatment. We analyzed both proteins for potential nuclear export sequences (NES) and identified one functional Rev-type NES (578LFDLAMLAL) in the C-terminal part of MLH1 that facilitates export via the CRM1/exportin pathway. Moreover, an MLH1-NES mutation detected in a patient with Lynch syndrome showed normal MMR activity but led to significantly impaired cytoplasmic transport after actinomycin D treatment. These results indicate that MutLalpha is able to shuttle from the nucleus to the cytoplasm, probably signaling DNA damages to downstream pathways. In conclusion, not only a defective MMR but also impaired nucleo-cytoplasmic shuttling might result in the onset of Lynch syndrome. PMID- 21064155 TI - B7-H4 mediates inhibition of T cell responses by activated murine hepatic stellate cells. AB - Liver fibrosis is mediated by the transformation of hepatic stellate cells (HSC) from a quiescent to an activated state. To understand the role of HSC in liver immunity, we investigated the effect of this transition on T cell stimulation in vitro. Unlike quiescent HSC, activated HSC did not induce proliferation of antigen-specific T cells. Phenotypic analysis of quiescent and activated HSC revealed that activated HSC expressed the coinhibitory molecule B7-H4. Silencing B7-H4 by small interfering RNA (siRNA) in activated HSC restored the ability of T cells to proliferate, differentiate, and regain effector recall responses. Furthermore, expression of B7-H4 on HSC inhibits early T cell activation and addition of exogenous interleukin (IL)-2 reversed the T cell anergy induced by activated HSC. CONCLUSION: These studies reveal a novel role for activated HSC in the attenuation of intrahepatic T cell responses by way of expression of the coinhibitory molecule B7-H4, and may provide fundamental insight into intrahepatic immunity during liver fibrogenesis. PMID- 21064156 TI - Treatment of acute hepatitis C in human immunodeficiency virus-infected patients: the HEPAIG study. AB - Acute hepatitis C continues to be a concern in men who have sex with men (MSM), and its optimal management has yet to be established. In this study, the clinical, biological, and therapeutic data of 53 human immunodeficiency virus (HIV)-infected MSM included in a multicenter prospective study on acute hepatitis C in 2006-2007 were retrospectively collected and analyzed. The mean hepatitis C virus (HCV) viral load at diagnosis was 5.8 +/- 1.1 log(10) IU/mL (genotype 4, n = 28; genotype 1, n = 14, genotype 3, n = 7). The cumulative rates of spontaneous HCV clearance were 11.0% and 16.5% 3 and 6 months after diagnosis, respectively. Forty patients were treated, 38 of whom received pegylated interferon and ribavirin. The mean duration of HCV therapy was 39 +/- 17 weeks (24 +/- 4 weeks in 14 cases). On treatment, 18/36 (50.0%; 95% confidence interval 34.3-65.7) patients had undetectable HCV RNA at week 4 (RVR), and 32/39 (82.1%; 95 confidence interval 70.0-94.1) achieved sustained virological response (SVR). SVR did not correlate with pretreatment parameters, including HCV genotype, but correlated with RVR (predictive positive value of 94.4%) and with effective duration of HCV therapy (64.3% for 24 +/- 4 weeks versus 92.0% for longer treatment; P = 0.03). CONCLUSION: The low rate of spontaneous clearance and the high SVR rates argue for early HCV therapy following diagnosis of acute hepatitis C in HIV-infected MSM. Pegylated interferon and ribavirin seem to be the best option. The duration of treatment should be modulated according to RVR, with a 24 week course for patients presenting RVR and a 48-week course for those who do not, irrespectively of HCV genotype. PMID- 21064160 TI - Moment arms of the shoulder muscles during axial rotation. AB - The objective of the present study was to determine the instantaneous moment arms of 18 major muscle sub-regions crossing the glenohumeral joint in axial rotation of the humerus during coronal-plane abduction and sagittal-plane flexion. The tendon-excursion method was used to measure instantaneous muscle moment arms in eight entire upper-extremity cadaver specimens. The results showed that the inferior subscapularis was the largest internal rotator; its rotation moment arm peaks were 24.4 and 27.0 mm during abduction and flexion, respectively. The inferior infraspinatus and teres minor were the greatest external rotators; their respective rotation moment arms peaked at 28.3 and 26.5 mm during abduction, and 23.3 and 22.1 mm during flexion. The two supraspinatus sub-regions were external rotators during abduction and internal rotators during flexion. The latissimus dorsi and pectoralis major behaved as internal rotators throughout both abduction and flexion, with the three pectoralis major sub-regions and middle and inferior latissimus dorsi displaying significantly larger internal rotation moment arms with the humerus adducted or flexed than when abducted or extended (p < 0.001). The deltoid behaved either as an internal rotator or an external rotator, depending on the degree of humeral abduction and axial rotation. Knowledge of moment arm differences between muscle sub-regions may assist in identifying the functional effects of muscle sub-region tears, assist surgeons in planning tendon transfer surgery, and aid in the development and validation of biomechanical computer models. PMID- 21064161 TI - Human thymidylate synthase with loop 181-197 stabilized in an inactive conformation: ligand interactions, phosphorylation, and inhibition profiles. AB - Thymidylate synthase (TS) is a well-validated cancer target that undergoes conformational switching between active and inactive states. Two mutant human TS (hTS) proteins are predicted from crystal structures to be stabilized in an inactive conformation to differing extents, with M190K populating the inactive conformation to a greater extent than A191K. Studies of intrinsic fluorescence and circular dichroism revealed that the structures of the mutants differ from those of hTS. Inclusion of the substrate dUMP was without effect on M190K but induced structural changes in A191K that are unique, relative to hTS. The effect of strong stabilization in an inactive conformation on protein phosphorylation by casein kinase 2 (CK2) was investigated. M190K was highly phosphorylated by CK2 relative to an active-stabilized mutant, R163K hTS. dUMP had no detectable effect on phosphorylation of M190K; however, dUMP inhibited phosphorylation of hTS and R163K. Studies of temperature dependence of catalysis revealed that the E(act) and temperature optimum are higher for A191K than hTS. The potency of the active site inhibitor, raltitrexed, was lower for A191K than hTS. The response of A191K to the allosteric inhibitor, propylene diphosphonate (PDPA) was concentration dependent. Mixed inhibition was observed at low concentrations; at higher concentrations, A191K exhibited nonhyperbolic behavior with respect to dUMP and inhibition of catalysis was reversed by substrate saturation. In summary, inactive-stabilized mutants differ from hTS in thermal stability and response to substrates and PDPA. Importantly, phosphorylation of hTS by CK2 is selective for the inactive conformation, providing the first indication of physiological relevance for conformational switching. PMID- 21064162 TI - Prediction of ligand-binding sites of proteins by molecular docking calculation for a random ligand library. AB - A new approach to predicting the ligand-binding sites of proteins was developed, using protein-ligand docking computation. In this method, many compounds in a random library are docked onto the whole protein surface. We assumed that the true ligand-binding site would exhibit stronger affinity to the compounds in the random library than the other sites, even if the random library did not include the ligand corresponding to the true binding site. We also assumed that the affinity of the true ligand-binding site would be correlated to the docking scores of the compounds in the random library, if the ligand-binding site was correctly predicted. We call this method the molecular-docking binding-site finding (MolSite) method. The MolSite method was applied to 89 known protein ligand complex structures extracted from the Protein Data Bank, and it predicted the correct binding sites with about 80-99% accuracy, when only the single top ranked site was adopted. In addition, the average docking score was weakly correlated to the experimental protein-ligand binding free energy, with a correlation coefficient of 0.44. PMID- 21064164 TI - Electrophysiological models of neural processing. AB - The brain is an amazing information processing system that allows organisms to adaptively monitor and control complex dynamic interactions with their environment across multiple spatial and temporal scales. Mathematical modeling and computer simulation techniques have become essential tools in understanding diverse aspects of neural processing ranging from sub-millisecond temporal coding in the sound localization circuity of barn owls to long-term memory storage and retrieval in humans that can span decades. The processing capabilities of individual neurons lie at the core of these models, with the emphasis shifting upward and downward across different levels of biological organization depending on the nature of the questions being addressed. This review provides an introduction to the techniques for constructing biophysically based models of individual neurons and local networks. Topics include Hodgkin-Huxley-type models of macroscopic membrane currents, Markov models of individual ion-channel currents, compartmental models of neuronal morphology, and network models involving synaptic interactions among multiple neurons. PMID- 21064163 TI - Converting a protein into a switch for biosensing and functional regulation. AB - Proteins that switch conformations in response to a signaling event (e.g., ligand binding or chemical modification) present a unique solution to the design of reagent-free biosensors as well as molecules whose biological functions are regulated in useful ways. The principal roadblock in the path to develop such molecules is that the majority of natural proteins do not change conformation upon binding their cognate ligands or becoming chemically modified. Herein, we review recent protein engineering efforts to introduce switching properties into binding proteins. By co-opting natural allosteric coupling, joining proteins in creative ways and formulating altogether new switching mechanisms, researchers are learning how to coax conformational changes from proteins that previously had none. These studies are providing some answers to the challenging question: how can one convert a lock-and-key binding protein into a molecular switch? PMID- 21064165 TI - Modulation of a GEF switch: autoinhibition of the intrinsic guanine nucleotide exchange activity of p115-RhoGEF. AB - p115-RhoGEF (p115) belongs to the family of RGS-containing guanine nucleotide exchange factors for Rho GTPases (RGS-RhoGEFs) that are activated by G12 class heterotrimeric G protein alpha subunits. All RGS-RhoGEFs possess tandemly linked Dbl-homology (DH) and plekstrin-homology (PH) domains, which bind and catalyze the exchange of GDP for GTP on RhoA. We have identified that the linker region connecting the N-terminal RGS-homology (RH) domain and the DH domain inhibits the intrinsic guanine nucleotide exchange (GEF) activity of p115, and determined the crystal structures of the DH/PH domains in the presence or absence of the inhibitory linker region. An N-terminal extension of the canonical DH domain (the GEF switch), which is critical to GEF activity, is well folded in the crystal structure of DH/PH alone, but becomes disordered in the presence of the linker region. The linker region is completely disordered in the crystal structure and partially disordered in the molecular envelope calculated from measurements of small angle x-ray scattering (SAXS). It is possible that Galpha subunits activate p115 in part by relieving autoinhibition imposed by the linker region. PMID- 21064166 TI - Sweetened blood cools hot tempers: physiological self-control and aggression. AB - Aggressive and violent behaviors are restrained by self-control. Self-control consumes a lot of glucose in the brain, suggesting that low glucose and poor glucose metabolism are linked to aggression and violence. Four studies tested this hypothesis. Study 1 found that participants who consumed a glucose beverage behaved less aggressively than did participants who consumed a placebo beverage. Study 2 found an indirect relationship between diabetes (a disorder marked by low glucose levels and poor glucose metabolism) and aggressiveness through low self control. Study 3 found that states with high diabetes rates also had high violent crime rates. Study 4 found that countries with high rates of glucose-6-phosphate dehydrogenase deficiency (a metabolic disorder related to low glucose levels) also had higher killings rates, both war related and non-war related. All four studies suggest that a spoonful of sugar helps aggressive and violent behaviors go down. PMID- 21064170 TI - Focused directed evolution of pentaerythritol tetranitrate reductase by using automated anaerobic kinetic screening of site-saturated libraries. AB - This work describes the development of an automated robotic platform for the rapid screening of enzyme variants generated from directed evolution studies of pentraerythritol tetranitrate (PETN) reductase, a target for industrial biocatalysis. By using a 96-well format, near pure enzyme was recovered and was suitable for high throughput kinetic assays; this enabled rapid screening for improved and new activities from libraries of enzyme variants. Initial characterisation of several single site-saturation libraries targeted at active site residues of PETN reductase, are described. Two mutants (T26S and W102F) were shown to have switched in substrate enantiopreference against substrates (E)-2 aryl-1-nitropropene and alpha-methyl-trans-cinnamaldehyde, respectively, with an increase in ee (62 % (R) for W102F). In addition, the detection of mutants with weak activity against alpha,beta-unsaturated carboxylic acid substrates showed progress in the expansion of the substrate range of PETN reductase. These methods can readily be adapted for rapid evolution of enzyme variants with other oxidoreductase enzymes. PMID- 21064171 TI - Mechanism of acetylaminofluorene-dG induced frameshifting by polymerase eta. PMID- 21064176 TI - Available hydrogen from formic acid decomposed by rare earth elements promoted Pd Au/C catalysts at low temperature. PMID- 21064187 TI - Validation of reference tissue model of PET ligand [11C]+3-MPB for the muscarinic cholinergic receptor in the living brain of conscious monkey. AB - N-[11C]methyl-3-piperidyl benzilate ([11C]+3-MPB) was developed as a positron emission tomography (PET) ligand for muscarinic cholinergic receptor (mAChR). The aim of the present study was to validate a Logan reference tissue method as an analytical method for in vivo binding of [11C]+3-MPB to mAChR. Seven monkeys (Macaca mulatta) underwent [11C]+3-MPB PET scans with an arterial blood sampling. Logan plot with arterial input function (Logan arterial input method) was performed to determine the binding potential (BP(ND)). The BP(ND) was also determined by Logan plot with the cerebellum as the reference region (Logan reference tissue method). BP(ND) values determined by Logan arterial input method and Logan reference tissue method showed a significant linear relationship. The present study suggests that the cerebellum is a suitable reference region for quantification of mAChR in the living brain with [11C]+3-MPB and PET. PMID- 21064188 TI - Tetraoxanes: synthetic and medicinal chemistry perspective. AB - The discovery of artemisinin from Chinese medicinal plant, Artemisia annua in 1971, opened a new era in the malarial chemotherapy. This discovery was the beginning of exploring peroxides as potential replacements for the traditional antimalarial drugs such as chloroquine and mefloquine. The structurally simple class of peroxides that emerged from these studies was the 1,2,4,5-tetraoxanes. This study describes the current status of tetraoxane-based antimalarials that show significant promises because of their artemisinin-like activity. Literature from 1999 has been critically reviewed and an attempt has been made to discuss various synthetic methods and structure-activity relationship study among the series of tetraoxane-based compounds. (c) 2010 Wiley Periodicals, Inc. Med Res Rev. PMID- 21064193 TI - The outcome and prognostic factors of twin-twin transfusion syndrome following fetoscopic laser surgery. AB - OBJECTIVES: To evaluate the outcome and preoperative risks of twin-twin transfusion syndrome (TTTS) following fetoscopic laser surgery (FLS). METHODS: A retrospective cohort study of a series of 181 consecutive cases of TTTS before 26 weeks' gestation subjected to FLS at four centers in Japan between July 2002 and December 2006. RESULTS: The chances of survival of at least one twin at 28 days of age and 6 months of age were 91.2% and 90.1%, respectively. The rate of major neurological complications in survivors at 6 months of age was 4.7%. Preoperative findings that were significant risk factors for death were as follows: (1) being donor [odds ratio (OR): 3.01, 95% confidence interval (CI): 1.24-7.31, P = 0.015]; (2) reversed (OR: 11.78, CI: 3.05-45.55, P < 0.001) and absent (OR: 3.95, CI: 1.66-9.43, P = 0.002) end-diastolic velocity in the umbilical artery (EDV-UA) of the donor; and (3) reversed blood flow in the ductus venosus of the recipient (OR: 2.35, CI: 1.04-5.29, P = 0.040). CONCLUSIONS: FLS leads to high survival rates and low neurological morbidity for fetuses in TTTS. FLS is an effective therapeutic option for TTTS before 26 weeks of gestation. Preoperative Doppler findings of the umbilical artery and the ductus venosus are useful in predicting prognosis following FLS. PMID- 21064192 TI - Regulation of the severity of neuroinflammation and demyelination by TLR-ASK1-p38 pathway. AB - Apoptosis signal-regulating kinase 1 (ASK1) is an evolutionarily conserved mitogen-activated protein kinase (MAPK) kinase kinase which plays important roles in stress and immune responses. Here, we show that ASK1 deficiency attenuates neuroinflammation in experimental autoimmune encephalomyelitis (EAE), without affecting the proliferation capability of T cells. Moreover, we found that EAE upregulates expression of Toll-like receptors (TLRs) in activated astrocytes and microglia, and that TLRs can synergize with ASK1-p38 MAPK signalling in the release of key chemokines from astrocytes. Consequently, oral treatment with a specific small molecular weight inhibitor of ASK1 suppressed EAE-induced autoimmune inflammation in both spinal cords and optic nerves. These results suggest that the TLR-ASK1-p38 pathway in glial cells may serve as a valid therapeutic target for autoimmune demyelinating disorders including multiple sclerosis. PMID- 21064194 TI - Ultrasound probe pressure but not maternal Valsalva maneuver alters Doppler parameters during fetal middle cerebral artery Doppler ultrasonography. AB - OBJECTIVE: To determine the effects of increased ultrasound probe pressure and maternal Valsalva maneuver (VM) on the middle cerebral artery (MCA) Doppler ultrasonography in fetuses. METHODS: A total of 120 healthy pregnant women in second and third trimesters were enrolled in the study. MCA blood flow was measured by pulsed Doppler sonography in 60 fetuses (24 and 40 weeks' gestation) before and after the application of increased ultrasound probe pressure. In the other 60 fetuses (32 and 36 weeks' gestation), sonography was performed before and after maternal VM. Statistical analysis was performed by paired t-test. RESULTS: The pressure induced by the ultrasound probe induced a significant increase in the pulsatility index (PI), resistance index (RI), and peak systolic velocity (PSV); however, a significant decrease was found in the end-diastolic velocity (EDV) (p < 0.05). No statistically significant difference was found in the mean flow velocity (MFV). Moreover, maternal VM did not have any effect on the PI, RI, EDV, or MFV. CONCLUSION: Fetal MCA Doppler assessment is affected by increased probe pressure but not by maternal VM. Thus, the application of the MCA Doppler sonography should be undertaken in the head of fetuses without any probe pressure and without maternal VM. PMID- 21064195 TI - Targeted array comparative genomic hybridisation (array CGH) identifies genomic imbalances associated with isolated congenital diaphragmatic hernia (CDH). AB - OBJECTIVE: Congenital diaphragmatic hernia (CDH) is a congenital birth defect affecting around 1/3000 births. We propose that a significant number of isolated CDH cases have an underlying genetic cause, and that a subset of these result from copy number variations (CNVs) identifiable by array CGH. METHODOLOGY: We have designed a custom array targeted at genes and genomic loci associated with CDH. A total of 79 isolated CDH patients were screened using this targeted array. RESULTS: In three patients, we detected genomic imbalances associated with the observed diaphragmatic hernia; a deletion of 8p22-p23.3, 14.2 Mb in size, a 340 kb duplication of Xq13.1 including the ephrin-B1 gene (EFNB1), and mosaicism for trisomy 2. CONCLUSION: Using this approach, we detected genomic imbalances associated with CDH in 3/79 (4%) isolated CDH patients. Our findings further implicate 8p deletions as being associated with CDH. The duplication of EFNB1 further highlights this gene as a potential candidate involved in diaphragm development. Mosaicism for trisomy 2 is a rare event and unlikely to be a common cause of CDH. Further investigations of isolated CDH patients by array CGH will continue to identify novel submicroscopic loci and refine genomic regions associated with CDH. PMID- 21064196 TI - The in utero natural history of a cytomegalovirus-related fetal cerebral periventricular halo. PMID- 21064216 TI - Fine-needle aspiration cytology features of a recurring plexiform fibrohistiocytic tumor in the upper limb and review of the literature. AB - Plexiform fibrohistiocytic tumor is a rare soft tissue tumor that has a propensity to occur in the extremities in adolescents and young adults. Its cytologic features are not well documented, with only two case reports available in the literature. We present the case of a recurrent plexiform fibrohistiocytic tumor in a 19-year-old male, the cytologic features of which mimic that of a high grade sarcoma. We discuss the likely differential diagnosis based on the cytologic findings and a review of the current literature on this highly unusual tumor is also performed. PMID- 21064217 TI - "Memory bytes" - molecular match for CaMKII phosphorylation encoding of microtubule lattices. AB - Learning, memory and long-term potentiation (LTP) are supported by factors including post-synaptic calcium ion flux activating and transforming the hexagonal calcium-calmodulin kinase II (CaMKII) holoenzyme. Upon calcium-induced activation, up to six kinase domains extend upward, and up to six kinase domains extend downward from the CaMKII association domain, the fully activated holoenzyme resembling a robotic insect 20 nanometers in length. Each extended kinase domain can be phosphorylated, and able to phosphorylate other proteins, thus potentially further encoding synaptic information at intraneuronal molecular sites for memory storage, processing and distribution. Candidate sites for phosphorylation-encoded molecular memory include microtubules, cylindrical lattice polymers of the protein tubulin. Using molecular modeling, we find spatial dimensions and geometry of the six extended CaMKII kinase domains can precisely match those of microtubule hexagonal lattice neighborhoods (both A- and B-lattices), and show two feasible phosphorylation mechanisms. In one, phosphorylation sites (e.g., valine 208) on a CaMKII extended kinase domain interact with serine 444 on a C-terminal "tail" of tubulin. In the second, the CaMKII kinase domain unfurls, enabling phosphorylation sites to contact threonine and serine sites on the tubulin surface. We suggest sets of six CaMKII kinase domains phosphorylate hexagonal microtubule lattice neighborhoods collectively, e.g., conveying synaptic information as ordered arrays of six "bits", and thus a "byte", with (minimally) 26 (64) possible bit states per CaMKII-microtubule interaction. We model two levels of interaction between CaMKII and microtubules, suggesting a testable framework for molecular memory encoding. PMID- 21064219 TI - Analytical solution of reaction-diffusion equations for calcium wave propagation in a starburst amacrine cell. AB - A reaction-diffusion model is presented to encapsulate calcium-induced calcium release (CICR) as a potential mechanism for somatofugal bias of dendritic calcium movement in starburst amacrine cells. Calcium dynamics involves a simple calcium extrusion (pump) and a buffering mechanism of calcium binding proteins homogeneously distributed over the plasma membrane of the endoplasmic reticulum within starburst amacrine cells. The system of reaction-diffusion equations in the excess buffer (or low calcium concentration) approximation are reformulated as a nonlinear Volterra integral equation which is solved analytically via a regular perturbation series expansion in response to calcium feedback from a continuously and uniformly distributed calcium sources. Calculation of luminal calcium diffusion in the absence of buffering enables a wave to travel at distances of 120 MUm from the soma to distal tips of a starburst amacrine cell dendrite in 100 msec, yet in the presence of discretely distributed calcium binding proteins it is unknown whether the propagating calcium wave-front in the somatofugal direction is further impeded by endogenous buffers. If so, this would indicate CICR to be an unlikely mechanism of retinal direction selectivity in starburst amacrine cells. PMID- 21064218 TI - Electroacupuncture at Baihui acupoint (GV20) reverses behavior deficit and long term potentiation through N-methyl-d-aspartate and transient receptor potential vanilloid subtype 1 receptors in middle cerebral artery occlusion rats. AB - Vascular dementia is one of the most important causes that account for 20-40% of all dementia cases. The aim of this study was to investigate whether electroacupuncture can reduce behavior deficit and long-term potentiation (LTP) in vascular dementia. Here we used a middle cerebral artery occlusion (MCAo) technique to induce a vascular dementia model with additional electroacupuncture (EA) manipulation. Behaviors were impaired in animals with MCAo, and similar results were observed with long-term potentiation induction. MCAo decreased the expression of LTP from 180.4+/-14.9% to 112.5+/-18.3%, suggesting that cerebral ischemia could impair the hippocampal LTP. In addition, immunostaining results showed that the expressions of N-methyl-D-aspartate receptor subtype 1 (NR1) and transient receptor potential vanilloid subtype 1 (TRPV1) receptors were significantly increased in the hippocampal CA1 areas. Noticeably, these phenomena can be reversed by 2 Hz EA at Baihui acupoint (GV20) for six consecutive days. Our results support a rescue role of 2 Hz EA for MCAo-induced behavior and LTP impairment. These results also suggest that NMDAR1 and TRPV1 may be involved in this pathway. PMID- 21064220 TI - Cellular inhibitory behavior underlying the formation of retinal direction selectivity in the starburst network. AB - Optical imaging of dendritic calcium signals provided evidence of starburst amacrine cells exhibiting calcium bias to somatofugal motion. In contrast, it has been impractical to use a dual-patch clamp technique to record membrane potentials from both proximal dendrites and distal varicosities of starburst amacrine cells in order to unequivocally prove that they are directionally sensitive to voltage, as was first suggested almost two decades ago. This paper aims to extend the passive cable model to an active cable model of a starburst amacrine cell that is intrinsically dependent on the electrical properties of starburst amacrine cells, whose various macroscopic currents are described quantitatively. The coupling between voltage and calcium just below the membrane results in a voltage-calcium system of coupled nonlinear Volterra integral equations whose solutions must be integrated into a prescribed model for example, for a synaptic couplet of starburst amacrine cells. Networks of starburst amacrine cells play a fundamental role in the retinal circuitry underlying directional selectivity. It is suggested that the dendritic plexus of starburst amacrine cells provides the substrate for the property of directional selectivity, while directional selectivity is a property of the exclusive layerings and confinement of their interconnections within the sublaminae of the inner plexiform layer involving cone bipolar cells and directionally selective ganglion cells. PMID- 21064221 TI - Asymmetric inhibitory connections enhance directional selectivity in a three layer simulation model of retinal networks. AB - In this paper, we found that spatial and temporal asymmetricity of excitatory connections are able to generate directional selectivity which can be enhanced by asymmetrical inhibitory connections by reconstructing a hexagonally-arranged three-layered simulation model of retina by NEURON simulator. Asymmetric excitatory inputs to ganglion cells with randomly arborizing dendrites were able to generate weaker directional selectivity to moving stimuli whose speed was less than 10 MUm/msec. By just adding asymmetric inhibitory connections via inhibitory amacrine cells, directional selectivity became stronger to respond to moving stimuli at ten times faster speed (< 100 MUm/msec). In conclusion, an excitatory mechanism appeared to generate directional selectivity while asymmetric inhibitory connections enhance directional selectivity in retina. PMID- 21064222 TI - [Hoffman-Richter U. Readings. Psychiatrische Praxis 2010; 37: 103-7]. PMID- 21064223 TI - [Multilocus sequence-typing of Enterococcus faecium fecal isolates]. AB - AIM: Genetic characteristics of Enterococcus faecium strains isolated from human intestine in Russia. MATERIALS AND METHODS: Seven strains of E. faecium with antimicrobial activity against Gram-positive bacteria and yeast fungi were isolated from persons aged 4 months - 44 years. Using multilocus sequence-typing, sequences of internal fragments of genes of general metabolism (adk, atpA, ddl, gyd, gdh, purK, pstS) were determined. RESULTS: Number of alleles for each gene varied from 3 for gdh and pstS to 7 for atpA. Sequence-types of 4 out of 7 cultures of enterococci were described earlier, 3 strains were attributed to new sequence-types. CONCLUSION: Members of identified in this study sequence-types 32, 135, 170, 361 were isolated earlier in other countries from clinical samples (blood, faeces) and hospital environment. Diversity of sequence-types, sources of isolation and significant remoteness of regions where strains belonging to one sequence-type were isolated point to necessity of thorough study of E. faecium evolution. PMID- 21064224 TI - [Quick test for measurement of rubella virus titer in virus-containing fluid using RT-PCR]. AB - AIM: To develop method of rubella virus titer measurement in virus-containing fluid using real-time PCR (RT-PCR) with fluorescent detection. MATERIALS AND METHODS: Measurement of infectious titer of rubella virus (Wistar RA 27/3 strain) cultivated on Vero cells was performed simultaneously by RT-PCR and cytopathic effect assay (CEA) on PK-13 cell culture and then results obtained by each method were compared. RESULTS: Time interval after inoculation, in which difference between virus titer measured by both methods did not exceed 0.3 1gTCD50/ml (value acceptable by WHO), was 2 - 7 days. Pearson correlation coefficient between two values for the mentioned interval was close to 1, which point to good agreement of results. In control sample--international vaccine standard of rubella virus- difference in virus titer determined by RT-PCR and CEA was within 0.2 1gTCD50/ml that lower than value acceptable by WHO. CONCLUSION: Method for measurement of rubella virus titer in virus-containing fluid using RT-PCR was developed, which characterized by high specificity, sensitivity, standard performing, shorter time needed for procedure compared with classic methods and, at the same time, high correlation of its results with results obtained by the latter methods during defined time interval. PMID- 21064225 TI - [Diagnostic of anthrax in Russia]. PMID- 21064226 TI - [Genetic mechanisms of Salmonella enteritidis biodiversity and clinical features of salmonellosis]. AB - AIM: To assess prevalence of fragments of Escherichia coli pathogenicity islands in Salmonella enteritidis strains as well as to study clinical signs of disease caused by these strains in adults. MATERIALS AND METHODS: Ninety-six patients with salmonellosis were studied. Ninety strains of S. enteritidis were isolated and tested by PCR for the presence of genes associated with pathogenicity islands of E. coli: hlyA, hlyB, sfaG, and sfaA. RESULTS: It was determined that DNA fragments homologous to pathogenicity islands of E. coli were present in 87 (96.7%) of S. enteritidis clinical isolates. Disease caused by Salmonella strains which possess only sfaG was mostly mild--7 (33.3%), whereas strains which had sfaG with fragments of hlyA and/or hlyB caused severe disease--7 (50%). sfaA fragments were found mostly in combination with other genes. In such cases the disease was mostly severe--6 (42.8%). CONCLUSION: Correlation between presence of E. coli pathogenicity islands in Salmonella spp., their antibiotic resistance and severity of infection was established. PMID- 21064227 TI - [Molecular methods of detection and identification of pathogenic Burkholderia]. AB - Molecular diagnostic kits for detection and identification of agents of melioidosis and glanders on environmental objects and in clinical material are described. It was demonstrated that PCR with use of specific primers on the basis of different genetic targets could be useful for determination of generic, inter- and intraspecies belonging of pathogenic Burkholderia as well as for epidemiologic inspection of territories where melioidosis is enzootic. PMID- 21064228 TI - Bias. PMID- 21064229 TI - Abstracts of the 16th International Conference of the International Society of Differentiation. Nara, Japan. November 15-18, 2010. PMID- 21064231 TI - Evidence-based review and discussion points. PMID- 21064232 TI - [Looking at the mountain]. PMID- 21064230 TI - Detection of the CS20 colonization factor antigen in diffuse-adhering Escherichia coli strains. AB - We analyzed a randomly selected group of 30 diffusely adherent (DAEC), 30 enteropathogenic, 30 enteroaggregative, and five Shiga toxin-producing Escherichia coli strains isolated from children with diarrhea. Enterotoxigenic E. coli (ETEC) colonization factors (CFs) were evaluated by a dot-blot assay using 21 CF-specific monoclonal antibodies. Out of 95 non-ETEC strains, three DAEC were found to express coli surface antigen 20 (CS20). No other E. coli expressed CFs. We confirmed the three CS20-positive strains as ETEC-negative by repeat PCR and as toxin-negative by ganglioside-GM1-enzyme-linked immunosorbent assay. To our knowledge, this is the first study that has identified currently recognized CFs in non-ETEC diarrheagenic E. coli strains identified using molecular methods. CFs may be an unrecognized relevant adherence factor in other E. coli, which may then play a role in pathogenesis and the immune response of the host. PMID- 21064233 TI - [Proceedings of the International Symposium "Radiation Ageing. The Mechanisms of Natural and Sympathetic Ageing". May 22-23, 2009, Moscow, Russia]. PMID- 21064234 TI - Festschrift in honor of Professor Ken Donald's Lifetime contributions. PMID- 21064235 TI - Telemedicine: has its time come? PMID- 21064237 TI - Connective tissue diseases: Activated platelets as a target for SLE therapy? PMID- 21064236 TI - Nothing gold can stay?: EMS crashes, lack of evidence bring the golden hour concept under new scrutiny. PMID- 21064238 TI - Vasculitis syndromes: Silence is golden as epigenetic mechanisms are blamed for autoantigen expression in ANCA vasculitis. PMID- 21064239 TI - Spondyloarthropathies: KIR status linked to susceptibility to ankylosing spondylitis. PMID- 21064240 TI - Lyme arthritis: Direct and indirect actions of Borrelia burgdorferi. PMID- 21064241 TI - Osteoarthritis: Concentrated efforts to detect early OA. PMID- 21064242 TI - Rheumatoid arthritis: Can statin use help prevent RA onset? PMID- 21064243 TI - Therapy: Bisphosphonate users: cancer risk. PMID- 21064244 TI - Abstracts of the Royal College of Radiologists Breast Group Annual Scientific Meeting. November 1-2, 2010. Brighton, United Kingdom. PMID- 21064245 TI - FAIMER Regional institutes: A positive direction in medical education. PMID- 21064246 TI - Benzodiazepine substitution for dependent patients-going with the flow. PMID- 21064247 TI - To substitute or not substitute-optimal tactics for the management of benzodiazepine dependence. PMID- 21064248 TI - Benzodiazepine dependence: when abstinence is not an option. PMID- 21064249 TI - Assessment of the pelvic floor muscles in women with sexual pain. PMID- 21064250 TI - Levin RJ and Wagner G-Orgasm in Women in the Laboratory-1985. PMID- 21064251 TI - Compass or blinders: the role of theory in studying health behaviors and addiction. PMID- 21064252 TI - How do we choose? PMID- 21064253 TI - Breaking a lance for using social cognitive theories to understand addictive behaviours more clearly and using planning models to change them. PMID- 21064254 TI - The importance of making explicit links between theoretical constructs and behaviour change techniques. PMID- 21064255 TI - The 2010 Paul Janssen Award recognizes achievement in AIDS research. PMID- 21064256 TI - Commentary on Collins et al. (2010): Why readiness to change may not predict behaviour. PMID- 21064257 TI - Commentary on Milloy et al. (2010): The stark reality of overdose mortality among indigenous peoples--a(nother) plea for action. PMID- 21064258 TI - Commentary on Fidler & West (2010): Curtailing tobacco sales to minors. PMID- 21064259 TI - Commentary on van der Meer et al. (2010): Mood management and telephone counseling--a less costly modality and an important replication of earlier findings. PMID- 21064260 TI - Commentary on Kelly MA & McKinley S (2010) Patient's recovery after critical illness at early follow-up. Journal of Clinical Nursing 19, 691-700. PMID- 21064261 TI - Commentary on Green AJ & De-Vries K (2010) Cannabis use in palliative care--an examination of the evidence and the implications for nurses. Journal of Clinical Nursing 19, 2454-2462. PMID- 21064262 TI - Measuring quality with missing data: The invisible threat to national quality initiatives. PMID- 21064263 TI - Assessment of the storz video Macintosh laryngoscope for use in difficult airways: A human simulator study. AB - OBJECTIVES: Video laryngoscopy has been shown to improve glottic exposure when compared to direct laryngoscopy in operating room studies. However, its utility in the hands of emergency physicians (EPs) remains undefined. A simulated difficult airway was used to determine if intubation by EPs using a video Macintosh system resulted in an improved glottic view, was easier, was faster, or was more successful than conventional direct laryngoscopy. METHODS: Emergency medicine (EM) residents and attending physicians at two academic institutions performed endotracheal intubation in one normal and two identical difficult airway scenarios. With the difficult scenarios, the participants used video laryngoscopy during the second case. Intubations were performed on a medium fidelity human simulator. The difficult scenario was created by limiting cervical spine mobility and inducing trismus. The primary outcome was the proportion of direct versus video intubations with a grade I or II Cormack-Lehane glottic view. Ease of intubation (self-reported via 10-cm visual analog scale [VAS]), time to intubation, and success rate were also recorded. Descriptive statistics as well as medians with interquartile ranges (IQRs) are reported where appropriate. The Wilcoxon matched pairs signed-rank test was used for comparison testing of nonparametric data. RESULTS: Participants (n = 39) were residents (59%) and faculty. All had human intubation experience; 51% reported more than 100 prior intubations. On difficult laryngoscopy, a Cormack-Lehane grade I or II view was obtained in 20 (51%) direct laryngoscopies versus 38 (97%) of the video-assisted laryngoscopies (p < 0.01). The median VAS score for difficult airways was 50 mm (IQR = 28-73 mm) for direct versus 18 mm (IQR = 9-50 mm) for video (p < 0.01). The median time to intubation in difficult airways was 25 seconds (IQR = 16-44 seconds) for direct versus 20 seconds (IQR = 12-35 seconds) for video laryngoscopy (p < 0.01). All intubations were successful without need for an invasive airway. CONCLUSIONS: In this simulation, video laryngoscopy was associated with improved glottic exposure, was perceived as easier, and was slightly faster than conventional direct laryngoscopy in a simulated difficult airway. Absence of secretions and blood limits the generalizability of our findings; human studies are needed. PMID- 21064264 TI - Clinical follow-up of unilateral, fixed dental prosthesis on maxillary implants. AB - AIMS/BACKGROUND: The aims of the present study were to evaluate (1) the success rate of unilateral maxillary fixed dental prosthesis (FDPs) on implants in patients at a periodontal clinic referred for periodontal treatment, (2) the prevalence of varying mechanical and biological complications and (3) effects of potential risk factors on the success rate. MATERIAL AND METHODS: Fifty consecutive patients were invited to participate in a follow-up. The patients had received FDPs on implants between November 2000 and December 2003 after treatment to achieve optimal peridontal health, and the FDPs had been in function for at least 3 years. A questionnaire was sent to the patients before the follow-up examination. Forty-six patients with 116 implants were examined. The follow-up comprised clinical and radiographic examinations and evaluations of treatment outcome. RESULTS: Before implant treatment, 13% of the teeth were extracted; of these, 80% were extracted due to periodontal disease. No implants had been lost before implant loading. One implant in one patient fractured after 3 years of functional loading and three implants in another patient after 6.5 years. The most frequent mechanical complications were veneer fractures and loose bridge screws. Patients with peri-implant mucositis had significantly more bleeding on probing around teeth and implants. Patients with peri-implantitis at the follow up had more deep periodontal pockets around their remaining teeth compared with individuals without peri-implantitis, but these differences were not significant. Smokers had significantly fewer teeth, more periodontal pockets >= 4mm and a tendency towards greater marginal bone loss at the follow-up, compared with non smokers. CONCLUSION: In the short term, overloading and bruxism seem more hazardous for implant treatment, compared with a history of periodontitis. PMID- 21064265 TI - Transfusion medicine illustrated. The role of plasmapheresis in the multimodal treatment of anti-Pr cold agglutinin disease. PMID- 21064267 TI - Crystal line aldolase and its identity with myogen A. PMID- 21064266 TI - Chromosome conformation capture of transcriptional interactions between cytochrome c oxidase genes and genes of glutamatergic synaptic transmission in neurons. AB - Neuronal activity and energy metabolism are tightly coupled processes. Recently, we found that nuclear respiratory factor 1 co-regulates all subunits of cytochrome c oxidase (COX, representing oxidative energy metabolism) and glutamatergic neurochemicals, including NR1 (Grin1) and NR2B (Grin2b) of NMDA receptors, GluR2 (Gria2) of alpha-amino-3-hydroxy-5-methyl-4-isoxazolepropionic acid receptors, and neuronal nitric oxide synthase (Nos1). Moreover, all 10 nuclear-encoded COX subunit genes and three transcription factor genes for the three mitochondrial-encoded COX subunits are transcribed in the same transcription factory. The goal of the present study was to test our hypothesis that genomic loci for Grin1, Grin2b, Gria2, and Nos1 interact with those for COX at the transcriptional level. By means of chromosome conformation capture, interactions were found among all of these genes in neurons, but not in C2C12 muscle cells. COX subunit genes also did not interact with neurochemical genes not regulated by nuclear respiratory factor 1, nor with genes for calreticulin, a non-mitochondrial protein. Depolarizing stimulation up-regulated interaction frequencies between COX and neurochemical genes, whereas impulse blockade with tetrodotoxin or inhibition of COX with KCN down-regulated them in neurons. Thus, an efficient mechanism is in place for coordinating the transcriptional coupling of energy metabolism and glutamatergic neurotransmission at the molecular level in neurons. PMID- 21064269 TI - Excretion of urinary corticoid hormones by man in health and disease. PMID- 21064268 TI - Distribution, retention, and excretion of radio phosphorus following thyroparathyroidectomy and the injection of parathyroid extract. PMID- 21064270 TI - Gutamic acid content of human blood serum. PMID- 21064271 TI - Method for determining the affinity of avidin for analogs of biotin. PMID- 21064272 TI - 2-Keto-D-gluconic acid in the polysaccharide of Irish moss. PMID- 21064273 TI - Action of tetraethyl ammonium bromide on the mammalian neuromuscular system. PMID- 21064275 TI - Pharmacological properties of citrinin. PMID- 21064274 TI - The influence of benzyl-imidazoline (priscol) on sympathomimetic vasoconstrictors and vasodilators. PMID- 21064276 TI - Pharmacologic properties of p-carbamidophenylarsenous oxide. PMID- 21064277 TI - The antispasmodic activity of substituted phenyl propyl piperidines. PMID- 21064278 TI - In vitro development of P. falciparum gametocytes. PMID- 21064279 TI - The in vitro assay of suppressive antimalarial activity: P. falciparum. PMID- 21064280 TI - Pamaquin; curative antimalarial activity in vivax malaria. PMID- 21064281 TI - Cinchona alkaloids; appraisal of suppressive antimalarial activity. PMID- 21064282 TI - Induction in mice of increased resistance to a lethal toxin of hemolytic streptococcus. PMID- 21064283 TI - Mechanism of action of calcium on the nervous system. PMID- 21064284 TI - The cardiac toxicity of injectable local anesthetics. PMID- 21064285 TI - The least irritant of the commonly used topical anesthetics. PMID- 21064286 TI - Methemalbuminemia during combined therapy with pamaquine and quinine. PMID- 21064287 TI - The determination of the most efficient response for measuring drug potency. PMID- 21064288 TI - Cinchona alkaloids; metabolic products in human urine. PMID- 21064289 TI - Chemotherapy of tuberculosis; thymol in experimental tuberculosis in the guinea pig. PMID- 21064290 TI - On the specificity of histamine and on the role of potassium in a loss of contractility of the intestinal smooth muscle of the guinea pig. PMID- 21064291 TI - The effect of thiamine deficiency, quinidine, hyperthyroidism and hypothyroidism on the adenosintriphosphate content and the adenosinetriphosphate activity of the heart muscle of rats. PMID- 21064292 TI - The joint toxicity of atabrine and quinine, atabrine and plasmochin, quinine and plasmochin. PMID- 21064293 TI - The effect of adenosinetriphosphate on the isolated heart. PMID- 21064294 TI - Antithyroid activity of 24 compounds. PMID- 21064295 TI - The irritability of the human uterus as affected by various drugs. PMID- 21064296 TI - Prothrombinopenic activity of the salicylates and pharmacologically related drugs. PMID- 21064297 TI - A simple method of recording uterine motility in vivo. PMID- 21064298 TI - Nerve conduction in the absence of cholinesterase activity induced by di isopropyl fluorophosphate. PMID- 21064299 TI - The anemia produced by paraphenylene-diamine in dogs. PMID- 21064300 TI - A statistical examination of the sources of error in the assay of mydriatic drugs by means of the rabbit's pupil. PMID- 21064301 TI - The carcinogenic activity of 2-acetaminofluorene; effects of concentration and duration of exposure. PMID- 21064302 TI - The absorption, distribution and elimination of different pharmaceutical forms of sulphadiazine. PMID- 21064303 TI - Toxicity and primary irritation of some chemical compounds following oral administration and skin application. PMID- 21064304 TI - Biochemical studies on the toxicology of alpha-naphthylthiourea (ANTU). PMID- 21064305 TI - 2,3 Dithiolpropanol ("BAL") as a specific detoxifying agent for arsenic. PMID- 21064306 TI - Cinchona alkaloids; physiological disposition of cinchonine metabolic products in man. PMID- 21064307 TI - The effect of certain new antihistamine drugs on bronchial spasm. PMID- 21064309 TI - Growth requirements of Endameba histolytica. PMID- 21064308 TI - Effects of a bone marrow-spleen immune serum on the blood picture of mice. PMID- 21064310 TI - Effects of a bone marrow-spleen serum on Trypanosoma equiperdum infection in mice. PMID- 21064311 TI - The action of dimethyl amino-ethanol upon the heart-lung preparation of the dog. PMID- 21064312 TI - Glucuronic acid excretion after various glycols. PMID- 21064313 TI - Production of cataracts in rats with beta tetralol. PMID- 21064314 TI - The effect of xanthines and pituitrin on water loss. PMID- 21064315 TI - The tissue distribution and the excretion of antimony after administration of tervalent and quinquevalent antimonials. PMID- 21064316 TI - Comparison of cinchona alkaloids on the circus rate of the auricle in patients with auricular fibrillation. PMID- 21064317 TI - Further studies on the anticonvulsant properties of tridione (3,5,5 trimethyloxazolidinedione). PMID- 21064318 TI - The effect of cobalt on the antitubercular activity of aspergillic acid. PMID- 21064319 TI - The oxidation of tyramine in vitro. PMID- 21064320 TI - The response of the isolated frog heart to different barbiturates. PMID- 21064321 TI - The effect on rats of daily-life span exposure to cigaret smoke. PMID- 21064322 TI - The pharmacologic action of some derivatives of benzoylcholine. PMID- 21064323 TI - The analgetic potency and acute toxicity of salicylamide and certain of its derivatives as compared with established analgetic-antipyretic drugs. PMID- 21064324 TI - [Not Available]. PMID- 21064325 TI - [Not Available]. PMID- 21064326 TI - [Not Available]. PMID- 21064327 TI - [Not Available]. PMID- 21064328 TI - [Not Available]. PMID- 21064329 TI - [Not Available]. PMID- 21064330 TI - [Not Available]. PMID- 21064332 TI - [Not Available]. PMID- 21064331 TI - [Not Available]. PMID- 21064333 TI - [Not Available]. PMID- 21064334 TI - [Not Available]. PMID- 21064335 TI - [Not Available]. PMID- 21064336 TI - [Not Available]. PMID- 21064337 TI - [Not Available]. PMID- 21064338 TI - [Not Available]. PMID- 21064339 TI - [Not Available]. PMID- 21064340 TI - [Not Available]. PMID- 21064341 TI - [Not Available]. PMID- 21064342 TI - [Not Available]. PMID- 21064343 TI - [Not Available]. PMID- 21064344 TI - [Not Available]. PMID- 21064345 TI - [Not Available]. PMID- 21064346 TI - [Not Available]. PMID- 21064347 TI - [Not Available]. PMID- 21064348 TI - [Not Available]. PMID- 21064349 TI - [Not Available]. PMID- 21064350 TI - [Not Available]. PMID- 21064351 TI - [Not Available]. PMID- 21064352 TI - [Not Available]. PMID- 21064353 TI - [Not Available]. PMID- 21064354 TI - [Not Available]. PMID- 21064355 TI - [Not Available]. PMID- 21064356 TI - [Not Available]. PMID- 21064357 TI - [Not Available]. PMID- 21064358 TI - [Not Available]. PMID- 21064359 TI - [Not Available]. PMID- 21064360 TI - [Not Available]. PMID- 21064361 TI - [Not Available]. PMID- 21064362 TI - [Not Available]. PMID- 21064363 TI - [Not Available]. PMID- 21064364 TI - [Not Available]. PMID- 21064365 TI - [Not Available]. PMID- 21064366 TI - [Not Available]. PMID- 21064367 TI - [Not Available]. PMID- 21064368 TI - [Not Available]. PMID- 21064369 TI - [Not Available]. PMID- 21064370 TI - [Not Available]. PMID- 21064371 TI - [Not Available]. PMID- 21064372 TI - [Not Available]. PMID- 21064373 TI - The effect of thyroidectomy on the tissue response to administered thyroxin. PMID- 21064374 TI - Efficacy of nicotinic acid in ear troubles. PMID- 21064375 TI - Influence of posture and exercise on urine flow in diabetes insipidus. PMID- 21064376 TI - Use of sulphadiazine during an attack of influenza. PMID- 21064378 TI - [Not Available]. PMID- 21064377 TI - [Not Available]. PMID- 21064379 TI - [Not Available]. PMID- 21064380 TI - [Not Available]. PMID- 21064381 TI - [Not Available]. PMID- 21064382 TI - [Not Available]. PMID- 21064383 TI - Paroxysmal auricular tachycardia with auriculoventricular block; follow up; transient dissociation with interference. PMID- 21064384 TI - The value of electromyography in lesions involving the lower motor neurone. PMID- 21064385 TI - [Not Available]. PMID- 21064386 TI - [Not Available]. PMID- 21064387 TI - [Not Available]. PMID- 21064388 TI - [Not Available]. PMID- 21064389 TI - [Not Available]. PMID- 21064390 TI - Photoperiodism in jute. PMID- 21064391 TI - Estimation of genes in inheritance of quantitative characters. PMID- 21064392 TI - Plasma iron in new-born babies. PMID- 21064393 TI - Fibrillar structure of cellulose of bacterial and animal origin. PMID- 21064394 TI - Antithyroid activity of thiouracil derivatives. PMID- 21064395 TI - X-ray diffraction pattern of bone: evidence of reflexions due to the organic constituent. PMID- 21064396 TI - A criterion for the reality of cyclic variations. PMID- 21064397 TI - Use of the Shay rat for assay of antiulcer substance. PMID- 21064398 TI - Differentiation of antibiotics by resistant strains. PMID- 21064399 TI - Environment vs. race-environment as an etiological factor in psychiatric disturbances in infancy. PMID- 21064400 TI - [Not Available]. PMID- 21064401 TI - [Not Available]. PMID- 21064402 TI - [Not Available]. PMID- 21064403 TI - The effect of diisopropyl fluorophosphate on neuromuscular transmission in normal individuals and in patients with myasthenia gravis. PMID- 21064404 TI - The stimulating action of estrogen on release of luteinizing hormone. PMID- 21064405 TI - Evaluation of uterine antispasmodies. PMID- 21064406 TI - Potention of the depressant action of alcohol by adrenalin. PMID- 21064407 TI - Influence of altered acid-base balance and anoxia upon the physiological disposition of certain antimalarial drugs. PMID- 21064408 TI - Role of the placebo in tests for drug discrimination. PMID- 21064409 TI - A comparative study of substituted phenolic urethanes. PMID- 21064410 TI - A mechanism of drug potentiation; pamaquin metabolism as influenced by quinacrine. PMID- 21064411 TI - Cinchona alkaloids; the nature of the quinine oxidizing enzyme of liver. PMID- 21064412 TI - Dimethylpiperidines as primary ganglionic depressants. PMID- 21064413 TI - The anesthetic properties of n-propyl methyl ether. PMID- 21064414 TI - The effect of nephrectomy on the elimination of ouabain by the cat. PMID- 21064415 TI - The effect of succinate on pentobarbital toxicity and narcosis in the cat. PMID- 21064416 TI - The inverse relationship of the secretion of hydrochloric acid to the tension of carbon dioxide in the stomach. PMID- 21064417 TI - The effect of pentobarbital sodium, evipal sodium and demerol on the action of insulin. PMID- 21064418 TI - The relationship of structure to activity and toxicity of a series of local anesthetic agents. PMID- 21064419 TI - The effect of insulin, insulin-destrose, and water diuresis on the metabolism of isopropyl alcohol. PMID- 21064420 TI - Determination of minute quantities of sulanilamide derivatives in biological samples. PMID- 21064421 TI - The anti-histamine and atropine-like properties of quaternary ammonium derivatives of benadryl. PMID- 21064422 TI - Certain aspects of the toxicity of diallyl phthalate. PMID- 21064423 TI - Toxicity ratios of some cardiac glycosides as influenced by the experimental time. PMID- 21064424 TI - Acute and chronic toxicity studies of pyribenzamine hydrochloride (N-pyridyl-N benzyl-N-dimethylethylene diamine HCl. PMID- 21064425 TI - Dosage-response to mercuhydrin in patients with heart failure. PMID- 21064426 TI - Central impairment of sympathetic reflexes by plasmochin. PMID- 21064428 TI - Atomic changes produced by streptothricin. PMID- 21064427 TI - Quantitative studies on intradermal wheals; pressure required to produce cutaneous wheals. PMID- 21064429 TI - Physiological properties of a new series of sympatholytic agents. PMID- 21064430 TI - The prevention of epinephrine-cyclopropane cardiac irregularities in dogs with dibenzyl-Beta-chloroethyl amine. PMID- 21064431 TI - Studies of the sympathicolytic drug dihydroxyergotamine, D.H.E.45. PMID- 21064432 TI - The mechanism of action of chloroform on the heart. PMID- 21064433 TI - Action of tetraethyl ammonium bromide on the superior cervical ganglion. PMID- 21064434 TI - Quantitative studies on intradermal wheals; the use of a skin plethysmograph to study changes in the volume of cutaneous wheals. PMID- 21064435 TI - Comparative toxicity and efficacy of urea stibamines in experimental leishmaniasis. PMID- 21064436 TI - Absence of significant changes in blood coagulability during digitalization. PMID- 21064437 TI - The mechanism of action of prostigmine. PMID- 21064438 TI - On the permeability of the nerve axon to diisopropyl fluorophosphate. PMID- 21064439 TI - A method for the assay of adrenocarticotropic hormone. PMID- 21064440 TI - Regulation of pituitary adrenocarticotropic activity. PMID- 21064441 TI - Insulin resistance in owls. PMID- 21064442 TI - The acute and chronic toxicity of silbamidine. PMID- 21064443 TI - The antipyretic action of camphor. PMID- 21064444 TI - The adenosine-triphosphatase activity of smooth muscle. PMID- 21064445 TI - The modifying action of neostigmine on pain threshold responses to various opiates. PMID- 21064446 TI - Studies on bromaspirin. PMID- 21064447 TI - Studies on the pharmacology of salicylates. PMID- 21064448 TI - The pharmacologic action and metabolism of a series of compounds chemically related to DDT. PMID- 21064449 TI - Further observations on the action of sulfones in experimental tuberculosis; chemical constitution and chemotherapeutic action. PMID- 21064450 TI - The effects of body water and electrolyte shifts on experimental convulsions. PMID- 21064451 TI - Laboratory assay of anticonvulsant potency of some hydantoinates. PMID- 21064452 TI - The physiological disposition of a series of 9-amino acridines. PMID- 21064453 TI - Cinchona alkaloids; physiological disposition in man. PMID- 21064454 TI - The effect of anesthetics and cerebral vasodilating procedures on the penetration of sulfathiazole into the cerebro-spinal fluid. PMID- 21064455 TI - Effects of a bone marrow-spleen immune serum on cytology of the spleen; potentialities as a bio-assay method. PMID- 21064456 TI - Observations on the central excitatory effects of metrazol. PMID- 21064457 TI - Studies on myasthenia gravis; apparent curare-like effect of compounds that decrease acetylcholine synthesis. PMID- 21064458 TI - A comparison of the effect of 7 per cent carbon dioxide with 93 per cent oxygen, and pure oxygen, on goats and dogs, acutely asphyxiated with carbon monoxide. PMID- 21064459 TI - The interaction between neostigmine and epinephrine and the dimethylpiperidines. PMID- 21064460 TI - Effects of beta-dimethylaminoethyl benzilate HCl on intestinal activity. PMID- 21064461 TI - Pamaquine naphthoate, quinacrine hydrochloride, and quinine bisulfate as curative agents in Plasmodium cathemerium infections of the duck. PMID- 21064462 TI - The treatment of pulmonary edema with suction and certain drugs. PMID- 21064463 TI - One way isonipecaine-barbiturate antagonism. PMID- 21064464 TI - The effect of methemoglobinemia on the respiratory stimulation by cyanide in man. PMID- 21064465 TI - Reactions of chronic totally decorticated dogs during a cycle of morphine addiction. PMID- 21064466 TI - The carcinogenic activity of various fluorene derivatives. PMID- 21064467 TI - The incidence of convulsions in general paretics receiving quinacrine. PMID- 21064468 TI - Pantoyltauramides as antibacterial chemotherapeutic agents. PMID- 21064469 TI - [Not Available]. PMID- 21064470 TI - [Not Available]. PMID- 21064471 TI - The synthesis of antimalarial compounds related to niquidine; synthesis of a dihydro-x-niquidine. PMID- 21064472 TI - [Not Available]. PMID- 21064473 TI - [Not Available]. PMID- 21064474 TI - Necrobiosis lipoidica. PMID- 21064475 TI - Pseudopelade. PMID- 21064476 TI - Keratodermatitis hypoestrogenica? PMID- 21064477 TI - A case for diagnosis. [Tuberculid]. PMID- 21064478 TI - Granuloma annulare. PMID- 21064479 TI - Nonsuppurative panniculitis. PMID- 21064480 TI - [Not Available]. PMID- 21064481 TI - Sarcoidosis. PMID- 21064482 TI - Glossitis rhombica mediana? PMID- 21064483 TI - Trichotillomania. PMID- 21064484 TI - Dermatomyositis. PMID- 21064485 TI - Multiple superficial epitheliomatosis. PMID- 21064486 TI - Generalized sarcoidosis. PMID- 21064487 TI - Pityriasis rubra pilaris. PMID- 21064488 TI - Psoriasis? Roentgen ray dermatitis of groins, scrotum and scalp; roentgen ray ulcer of perineal area. PMID- 21064489 TI - A case for diagnosis (follicular type of seborrheic dermatitis?). PMID- 21064490 TI - [Not Available]. PMID- 21064491 TI - Disseminated miliary sarcoid (Boeck). PMID- 21064492 TI - Avitaminosis; neurogenic dermatitis. PMID- 21064493 TI - A case for diagnosis (tuberculid). PMID- 21064494 TI - Spontaneous rupture of the right quadriceps femoris and left rectus femoris in the same patient. PMID- 21064495 TI - [Not Available]. PMID- 21064497 TI - [Not Available]. PMID- 21064496 TI - [Not Available]. PMID- 21064498 TI - [Not Available]. PMID- 21064499 TI - [Not Available]. PMID- 21064500 TI - [Not Available]. PMID- 21064501 TI - [Not Available]. PMID- 21064502 TI - Primary syphilis of the hand resulting from trauma sustained on striking an infected subject; a report of four cases. PMID- 21064503 TI - Tissue carrier. 731 aging. PMID- 21064504 TI - [On the clinics and therapy of the so-called acute infectious capillaro toxicosis]. PMID- 21064505 TI - [Prophylaxis and treatment of traumatic shock]. PMID- 21064506 TI - [Sanitary protection in the offensive through the woody marshland in Karelia]. PMID- 21064507 TI - [The cold sterilization of rubber gloves with monosept]. PMID- 21064508 TI - [A retractor of a new construction]. PMID- 21064509 TI - [On the history of military medical preparation in Russia]. PMID- 21064510 TI - The analytical constants of ghee. PMID- 21064511 TI - Assay of the biological value of a protein by its effect on liver cytoplasm. PMID- 21064512 TI - In vitro grafts. PMID- 21064513 TI - Parthenocarpy and accompanying hormonal syndromes induced by unrelated chemicals. PMID- 21064514 TI - Blood groups in tribes of Tierra del Fuego and their bearing on ethnic and genetic relationships. PMID- 21064515 TI - Folic acid in the nutrition of certain insects. PMID- 21064516 TI - Gladiolic acid: an antifungal and antibacterial metabolic product of Penicillium gladioli McCull and Thom. PMID- 21064517 TI - Pure cultural observations on bacterial infiltration of the appendix in rabbits. PMID- 21064518 TI - Control of Boophilus australis in the Argentine by the gamma isomer of hexachlorocyclohexane (gammexane). PMID- 21064519 TI - Factorial analysis of colour vision. PMID- 21064520 TI - Keratoconjunctivitis sicca; a sequela of purulent erythema multiforme exudativum (Stevens-Johnson disease); report of a case. PMID- 21064521 TI - [A quick method for the diagnosis of brucellosis in man]. PMID- 21064522 TI - Accumulation of DDT in the fat of rats in relation to dietary level and length of feeding. PMID- 21064523 TI - Electro-uterography and the physiology of the human uterus as related to dysmenorrhea and metrorrhagia. PMID- 21064524 TI - Adrenergic potentiation by pyribenzamine HCl (N-pyridyl N-benzyl-N dimethylethylenediamine HCl). PMID- 21064525 TI - Cinchona alkaloids; comparative suppressive antimalarial activity. PMID- 21064526 TI - Effect of a bacterial polysaccharide and of tourniquet shock on peripheral capillary circulation in unanesthetized mice. PMID- 21064527 TI - Dietary influence on phospholipid turnover in liver and plasma. PMID- 21064528 TI - The influence of the essential amino acids upon appetite in protein-depleted adult white rats. PMID- 21064529 TI - Experimental studies on the mechanism of the formation of intraperitoneal adhesions. PMID- 21064530 TI - Change in the thyroid and other organs in mice receiving thiouracil. PMID- 21064531 TI - Hyalinization of glomeruli produced in strain A mice by the administration of urethane (ethyl carbamate). PMID- 21064532 TI - The cellular sources of antibodies and other globulins. PMID- 21064533 TI - The pathology of experimental frostbite. PMID- 21064534 TI - The effect of BAL therapy on the renal lesion in mercury poisoning. PMID- 21064535 TI - The clinical and pathologic effects of the vesicant nitrogen and sulfur mustards. PMID- 21064536 TI - Oxidized cellulose; absorption and histopathology. PMID- 21064537 TI - The selective radiation of specific tissues and viscera by means of radioactive isotopes. PMID- 21064538 TI - Tissue lipids in essential xanthomatosis. PMID- 21064539 TI - Prevention of experimental arterial lesions by cholesterol. PMID- 21064540 TI - Studies on the mechanism of production of systemic injury by di-B chloroethylmethylamine hydrochloride. PMID- 21064541 TI - Influence of single doses of alpha tocopherol on growth and testicular atrophy of rats. PMID- 21064542 TI - Experimental non-bacterial cardio-vascular inflammation. PMID- 21064543 TI - Liver function tests from a surgical point of view. PMID- 21064544 TI - Effect of the leukocytosis-promoting factor of exudates on human beings. PMID- 21064545 TI - Observations on Tyzzer's disease of mice. PMID- 21064546 TI - Amino acid utilization in simultaneous hypoproteinemia and anemia; elimination of one essential from growth mixture (Rose). PMID- 21064547 TI - Studies by radioactive methods of the distribution, retention, and excretion of colloidal particles administered intravenously in humans. PMID- 21064548 TI - The permeability of renal glomeruli for proteins in lower animals. PMID- 21064549 TI - Thymic atrophy (accidental involution) and its failure to occur in calcium deficiency. PMID- 21064550 TI - Urinary excretion of riboflavin in college women. PMID- 21064551 TI - The utilization of carotene from carrots by humans. PMID- 21064552 TI - Storage of pantothenic acid in the mouse. PMID- 21064553 TI - Strain differences in the resistance of rats to pyridoxine deficiency. PMID- 21064554 TI - Attempts to produce a niacin deficiency in the monkey. PMID- 21064555 TI - The biologically determined vitamin C potency of orange juice. PMID- 21064556 TI - Effect of excess nicotinamide on growth of the chicken. PMID- 21064557 TI - The effect of corn grits on the nicotinic acid excretion of the rat. PMID- 21064558 TI - Absorption of radioactive iron by school children. PMID- 21064559 TI - Biotin deficiency produced by the feeding of marfanil to rats. PMID- 21064560 TI - The effect of folic acid on the blood picture in human macrocytic anemia. PMID- 21064561 TI - Some effects of dietary oxalate on the teeth of white rats. PMID- 21064562 TI - Tissue lipids in child with chylous ascites maintained on low fat diet. PMID- 21064563 TI - Ascorbic acid and dehydroascorbic acid in raw carrots as prepared for table use. PMID- 21064564 TI - A study of the influence of various dietary deficiencies on the response of mice to the virus of poliomyelitis. PMID- 21064565 TI - Thiamine in parboiled rice. PMID- 21064566 TI - Thiamine in soaked rice. PMID- 21064567 TI - The nutritional status of school children in Mexico City. PMID- 21064568 TI - Studies of L. casei factor (folic acid) in macrocytic anemias. PMID- 21064569 TI - Carbohydrate metabolism of riboflavin-deficient dogs. PMID- 21064570 TI - Further studies on the availability to human subjects of thiamine from yeasts. PMID- 21064571 TI - Dietary protein and porphyrin metabolism in the rat. PMID- 21064572 TI - The pantothenic acid content of tissues of the hen as influenced by diet. PMID- 21064573 TI - Metabolism of ascorbic acid by guinea pigs. PMID- 21064574 TI - Diet of mother and hydrocephalus in infant rats. PMID- 21064576 TI - Vitamin B6 bioassay. PMID- 21064575 TI - Utilization of thiamine and riboflavin by lactating women. PMID- 21064577 TI - Vitamin B complex studies with diets differing in the carbohydrate component. PMID- 21064578 TI - Further studies on dogs with the progressive paralysis which responds to biotin. PMID- 21064579 TI - Nitrogen metabolism as influenced by level of caloric intake, character of diet, and nutritional state of animal. PMID- 21064580 TI - Nutritional improvement of cereal flours and cereal grains; influence on growth and protein utilization of additions of small amounts of dried brewer's yeast (strain K) or soybean flour to the proteins in enriched white flour. PMID- 21064581 TI - Nutritional improvement of cereal flours and cereal grains; influence on growth and protein utilization of additions of small amounts of soybean flour to the proteins in corn meal. PMID- 21064582 TI - Nutritional improvement of cereal flours and cereal grains; influence on growth and protein utilization of additions of small amounts of soybean flour to the proteins in polished rice. PMID- 21064583 TI - Nutritional improvement of cereal flours and cereal grains; influence on growth reproduction, lactation and protein utilization of additions of increasing amounts of soybean flour to the proteins in enriched white flour in presence of 5 per cent dried skimmed milk powder. PMID- 21064584 TI - Nutritional improvement of cereal flours and cereal grains; influence on growth and protein utilization of additions of small amounts of soybean flour or dried cultured yeast (strain G) to the proteins in enriched flour in the presence of 6 per cent dried skimmed milk powder. PMID- 21064585 TI - Corneal vascularization as a sign of dietary deficiency in the rat. PMID- 21064586 TI - Realimentation gain of rats on protein-fat diets as affected by various liver supplements. PMID- 21064587 TI - Reproduction and lactation in mice on synthetic diets; nutritional effects of choline. PMID- 21064588 TI - Some relationships between the nutritive properties and the strepogenin contents of proteins. PMID- 21064589 TI - Distribution of carbonic anhydrase in the pallium of rhesus monkey and man as compared with that of lower mammals. PMID- 21064590 TI - Effect of antigen-antibody union in the circulating blood in production of anaphylactic reactions in passively sensitized mice. PMID- 21064591 TI - Allergenic and anaphylactogenic properties of vaccines prepared from embryonic tissues of developing chicks; anaphylactogenic properties of typhus fever vaccines and equine encephalomyelitis vaccine. PMID- 21064592 TI - The comparative susceptibility of various laboratory animals to B. tularense. PMID- 21064593 TI - Immunization against malaria in experimental animals. PMID- 21064594 TI - Differences in the avidities of tetanal toxins for nerve tissue. PMID- 21064595 TI - Cytotoxic property of mouse cancer antiserum. PMID- 21064596 TI - The bactericidal action of streptomycin. PMID- 21064598 TI - Viruses of infectious hepatitis and serum jaundice. PMID- 21064597 TI - The fate of injected particulate antigens in relation to the formation of antibodies. PMID- 21064600 TI - The effect of ultraviolet irradiation on various properties of influenza virus. PMID- 21064599 TI - Antibody formation in the immunization of human beings. PMID- 21064601 TI - Immunochemical studies on blood group A substance from hog stomach. PMID- 21064602 TI - Hemoglobin precipitation with tissue extract antigen. PMID- 21064603 TI - Studies of serum antifibrinolysin. PMID- 21064604 TI - The composition of specific prepcipitates from anti-tobacco mosaic sera. PMID- 21064605 TI - The recovery of poliomyelitis virus from the stools of monkeys and chimpanzees experimentally infected by various routes. PMID- 21064606 TI - False positive reactions in serologic tests for syphilis; nature and mechanism of selective inhibition by a heat-stable serum component. PMID- 21064607 TI - Coexistence of the antibodies of yellow fever and Weil's disease in human serum. PMID- 21064608 TI - Allergenic and anaphylactogenic properties of vaccines prepared from embryonic tissues of developing chicks; skin sensitivity following the subcutaneous inoculation of typhus vaccines in humans. PMID- 21064609 TI - Inhibition of glucose utilization in mouse brain homogenates by some viruses. PMID- 21064610 TI - Carbonic anhydrase content in the brain of rats with thiouracil induced cretinism. PMID- 21064611 TI - Anaphylaxis; studies on passive sensitization of the dog. PMID- 21064612 TI - Metabolic requirements of gram-negative bacilli determining resistance to penicillin. PMID- 21064613 TI - Studies on influenza virus and vaccines. PMID- 21064614 TI - Allergenic and anaphylactogenic properties of vaccines prepared from embryonic tissues of developing chicks; a study to determine whether chick yolk sac vaccines contained sufficient egg proteins to cause severe systemic reactions if given to egg-sensitive individuals. PMID- 21064615 TI - Circulating antibodies and the resistance of ferrets to reinfection with influenza virus. PMID- 21064616 TI - The behavior of endocellular proteolytic enzymes (cathepsins) in experimental tuberculosis. PMID- 21064617 TI - A study of the competition of lecithin and antitoxin for Cl.welchii alpha toxin (lecithinase) using a new manometric technique. PMID- 21064618 TI - [Not Available]. PMID- 21064620 TI - Schonlein-Henoch purpura with blood in the cerebrospinal fluid. PMID- 21064619 TI - Pregnancy in a uterus bicornis. PMID- 21064621 TI - A case of tropical eosinophilia (Weingarten's syndrome). PMID- 21064622 TI - Neuroses; their expression in military and civilian life. PMID- 21064623 TI - [Not Available]. PMID- 21064624 TI - Nontuberculous meningitis in children; report on ninety-five cases. PMID- 21064625 TI - The clinical application of electroencephalography. PMID- 21064626 TI - Factors affecting the change in refractive power of the eye at high and low illuminations. PMID- 21064627 TI - The effect of colored lenses upon color discrimination. PMID- 21064628 TI - [The state of carbonic anhydrase in the blood of wounded with sepsis; the role of vitaminotherapy]. PMID- 21064629 TI - [Secondary suture in penetrating head injuries]. PMID- 21064631 TI - [Surgery in irreducible contractures of the fingers]. PMID- 21064630 TI - [Clinics and treatment of war injuries in the region of the cauda equina]. PMID- 21064632 TI - [New methods in prevention of limb gangrene in operations with ligature of the great vessels]. PMID- 21064633 TI - [Prof. Aleksandr Aleksseevich Bobrov, his role in development of Russian surgery and in the life of surgical associations]. PMID- 21064635 TI - [Diagnosis of phlegmon of the stomach]. PMID- 21064634 TI - [Exarticulation of the femur with its plastic substitution by the shank of the same extremity]. PMID- 21064636 TI - [Apparatus for the use of carbonic acid in the clinic]. PMID- 21064637 TI - [Wound drainage under plaster]. PMID- 21064638 TI - [Improvement of technic of amputation.]. PMID- 21064639 TI - [Reticulate-gypseous splint-longuette]. PMID- 21064640 TI - [Conference of surgeons of the evacuo-hospitals of the Moscow district]. PMID- 21064641 TI - The shadow-casting technique in electron microscopy. PMID- 21064642 TI - Phase microscopy. PMID- 21064643 TI - The Philadelphia International Ladies Garment Workers Union health plan. PMID- 21064644 TI - Treatment of war blindness. PMID- 21064645 TI - The present status of hemoglobin estimations and erythrocyte measurements. PMID- 21064647 TI - [Not Available]. PMID- 21064646 TI - [Not Available]. PMID- 21064648 TI - [Not Available]. PMID- 21064649 TI - [Not Available]. PMID- 21064650 TI - Roentgenologic examination of the small intestine. PMID- 21064651 TI - Coccidiomycosis of bone. PMID- 21064652 TI - [Not Available]. PMID- 21064654 TI - [Not Available]. PMID- 21064655 TI - Depigmentation of eye-lashes. PMID- 21064653 TI - [Not Available]. PMID- 21064656 TI - Porphyrin formation by yeast. PMID- 21064657 TI - Inhibitory effect of tetranitromethane on the diphtheria toxin-antitoxin precipitin reaction. PMID- 21064658 TI - Changes in the electrical charge of yeast cells treated with sodium fluoride. PMID- 21064659 TI - Biology in Italy. PMID- 21064660 TI - Use of wetting agents in histological fixatives. PMID- 21064661 TI - [Not Available]. PMID- 21064662 TI - Membranous cataract due to rubella in the mother. PMID- 21064664 TI - Sprayers, for dispensing concentrated insecticides. PMID- 21064663 TI - Angioid streaks observed over a period of 36 years. PMID- 21064665 TI - Phlebography in deep venous obstruction. PMID- 21064666 TI - General considerations in spinal cord injuries. PMID- 21064667 TI - Surgical reconstruction of arthrities. PMID- 21064668 TI - Experiences in the management of chronic osteomyelitis following compound fractures. PMID- 21064669 TI - Diagnosis of herniation of nucleus pulposus. PMID- 21064670 TI - Correction of cranial defects. PMID- 21064671 TI - Re-education of aphasia patients. PMID- 21064673 TI - Physiologic treatment of peptic ulcer. PMID- 21064672 TI - Diagnosis and medical regimen in duodenal ulcer. PMID- 21064674 TI - Current problems in pulmonary coccidioidomycosis. PMID- 21064675 TI - Penicillin therapy in chronic sinusitis. PMID- 21064676 TI - Administration of fluids, including plasma and blood, in prolonged surgery. PMID- 21064677 TI - Methods of study of antiphage agents produced by microorganisms. PMID- 21064678 TI - Aeration in the cultivation of Brucella suis. PMID- 21064679 TI - The viability of heat-activatable spores in distilled water or glucose solution as influenced by prestorage or poststorage heating. PMID- 21064680 TI - Influence of iron concentration and attenuation on the metabolism of Clostridium acetobutylicum. PMID- 21064681 TI - Relations of strain variation and culture history to the synthesis of riboflavin by Clostridium acetobutylicum in whey. PMID- 21064682 TI - Nutritional studies with Clostridium botulinum, toxin types A and B. PMID- 21064683 TI - The nutritional requirements of Bacillus anthracis. PMID- 21064684 TI - The reduction of trimethylamine oxide by representatives of the genus Pseudomonas. PMID- 21064685 TI - A simplified medium for the microbiological assay for pantothenic acid. PMID- 21064686 TI - Unidentified trace element requirements of photosynthetic purple bacteria. PMID- 21064687 TI - Mechanism of pyridoxal phosphate function in bacterial transamination. PMID- 21064688 TI - Rapid identification of certain clostridia by plate cultures on medium containing egg yolk. PMID- 21064689 TI - The classification of paracolon bacilli isolated from man. PMID- 21064690 TI - A study of adonitol-fermenting paracolon bacilli. PMID- 21064691 TI - Bacterial variation, population dynamics, and selective environments. PMID- 21064692 TI - The development of penicillin resistance by meningococcus in vivo. PMID- 21064694 TI - Some applications of physical methods to problems of bacteriology. PMID- 21064693 TI - The susceptibility of penicillinase-producing bacteria to penicillin. PMID- 21064695 TI - Electron microscopy of Bacterium tularense. PMID- 21064696 TI - Electron microscope studies of organisms of the pleuropneumonia group. PMID- 21064697 TI - Gram-positive characteristics of the Neisseria. PMID- 21064698 TI - The size of living bacteria, measured with the phase microscope. PMID- 21064699 TI - Is rinse water at 170 F or higher essential to produce sterile eating and drinking utensils? PMID- 21064700 TI - A method for the production of antiserum specific for Lancefield group D streptococci. PMID- 21064701 TI - Further studies of a more rapid method for detecting coliform bacteria in natural waters and shellfish. PMID- 21064702 TI - A survey of the coliform status and suggested standards for coliform control of pasteurized milk in a large city. PMID- 21064703 TI - A survey of antibiotic production by representative aspergilli, penicillia, and other fungi from a culture collection. PMID- 21064704 TI - Two antibiotics produced by Actinomyces isolated from soil. PMID- 21064705 TI - Reversal of antibacterial action. PMID- 21064706 TI - The action of clavacin, a clavacin isomere, and related compounds on tetanus toxin. PMID- 21064707 TI - Effect of natural and synthetic rubbers upon the stability of penicillin and streptomycin solutions. PMID- 21064708 TI - Submerged culture of molds for amylase production. PMID- 21064709 TI - Studies on the nutritional requirements of Actinomyces griseus for the formation of streptomycin. PMID- 21064710 TI - The influence of the rate of aeration on oxidation reduction potentials and streptomycin production by Actinomyces griseus. PMID- 21064711 TI - Microbiological aspects of penicillin; cottonseed meal as a substitute for corn steep liquor in penicillin production. PMID- 21064712 TI - Chemical adjuvants affecting penicillin yields on synthetic media. PMID- 21064713 TI - Sulfa drugs in the control of Shigella gallinarum infections. PMID- 21064714 TI - Commercial inoculation of legume seed. PMID- 21064715 TI - Lipid transformations by anaerobic bacteria. PMID- 21064716 TI - The bacteriostatic action of short chain fat acids. PMID- 21064717 TI - The relation of ph and quinine to growth and disinfection rates of Escherichia coli. PMID- 21064718 TI - The rate of growth and disinfection of Escherichia coli in relation to temperature, hydrostatic pressure, and quinine. PMID- 21064719 TI - Evaluation of disinfectants by tests in living animals. PMID- 21064720 TI - The in vitro potentiating action of sulfonamides and para-aminobenzoic acid on penicillin against pathogenic bacteria of recent isolation. PMID- 21064722 TI - The ineffectiveness of streptomycin on tubercular infections. PMID- 21064721 TI - Studies on the bacteriostatic and bactericidal action of streptomycin on Bacterium tularense. PMID- 21064723 TI - Outbreaks of epidemic catarrhal jaundice and sporadic cases of icterohaemorrhagic spirochetosis in the United States. PMID- 21064724 TI - Serological differentiation of oral spirochetes by a precipitin test. PMID- 21064725 TI - Fleas carrying endemic typhus rickettsiae found on nonmurine hosts. PMID- 21064726 TI - The use of polyvalent serum for the rapid presumptive identification of Salmonella cultures. PMID- 21064727 TI - Mucoid polysaccharide production, encapsulation, and colony morphology of carrier strains of group A streptococci. PMID- 21064728 TI - The fate of leprosy bacilli in tissues cultivated from leprous lesions. PMID- 21064729 TI - The virus neutralization test in primary atypical pneuminia and other acute respiratory diseases. PMID- 21064730 TI - Allergic encephalomyelitis in monkeys in response to injection of normal monkey cord. PMID- 21064731 TI - Further observations on the virus of encephalomyocarditis. PMID- 21064732 TI - Isolation of psittacosislike viruses from Chicago pigeons. PMID- 21064734 TI - The chemotherapy of experimental psittacosis infection (strain 6BC). PMID- 21064733 TI - Effect of in vitro cultivation on the pathogenicity of West Nile virus. PMID- 21064735 TI - Effect of dietary minerals on susceptibility of mice to Theiler's encephalomyelitis. PMID- 21064736 TI - Preparation and evaluation of an irradiated toxoid from the toxin of Shigella dysenteriae. PMID- 21064737 TI - Immunization of humans and animals with gas gangrene toxoids. PMID- 21064738 TI - Psittacosis vaccines prepared from chick embryo tissues. PMID- 21064739 TI - Studies on the nature of antibodies produced in vitro from bacteria with hydrogen peroxide and heat. PMID- 21064740 TI - The mechanism of the adhesion of dog and human platelets to bacteria. PMID- 21064741 TI - A serum precipitation reaction in rheumatic fever and in other diseases. PMID- 21064742 TI - The mechanism and nature of the complement reaction as related to toxin-antitoxin dissociation. PMID- 21064743 TI - The influence of temperature on phagocytosis. PMID- 21064744 TI - The initial distribution of air-borne bacteria in the host. PMID- 21064745 TI - A simple medium for maintenance of meningococci. PMID- 21064746 TI - The cultivation of Rickettsia orientalis in fertile hens' eggs. PMID- 21064747 TI - The effect of enzyme inhibitors, nutriles, and substitution compounds on the growth of vaccinia virus. PMID- 21064748 TI - Influenza in Europe during the winter of 1945-46. PMID- 21064749 TI - Biochemical, biophysical, and serological properties of purified influenza virus. PMID- 21064750 TI - The pharmacology of streptomycin. PMID- 21064751 TI - The relationships of the gram-negative, nonsporeforming, peritrichous bacteria to nonmotile bacteria. PMID- 21064753 TI - The genus Klebsiella. PMID- 21064752 TI - The relationships between the small, gram-negative bacteria, the pleuropneumonia group of organisms, and some viruses. PMID- 21064754 TI - Spoilage in processed food. PMID- 21064755 TI - The indications for air disinfection. PMID- 21064756 TI - The use of ultraviolet radiation in air disinfection. PMID- 21064757 TI - Studies by the Commission on airborne infections on the disinfection of air by glycol vapors. PMID- 21064758 TI - Products of sonic disruption of rickettsiae and of certain bacterial pathogens. PMID- 21064759 TI - A case for diagnosis (Boeck's sarcoid?). PMID- 21064760 TI - Subacute disseminated lupus erythematosus. PMID- 21064761 TI - Dermatitis herpetiformis. PMID- 21064762 TI - A case for diagnosis (scleroderma; atrophoderma; acrodermatitis atrophicans chronica?). PMID- 21064763 TI - A case of secondary abdominal pregnancy. PMID- 21064764 TI - [Not Available]. PMID- 21064765 TI - A case of poliomyelitis with respiratory paralysis in Rumania. PMID- 21064766 TI - Pruritus in returning veterans. PMID- 21064768 TI - Splitting of adenosine triphosphate by myosin. PMID- 21064767 TI - Bilateral cortical necrosis of the kidneys in pregnancy; preliminary case report. PMID- 21064769 TI - Antioxidant effect of commercial lecithin in fortified margarine. PMID- 21064770 TI - Action of thyroxin and the response of the thyroid to treatment with sulpha drugs. PMID- 21064771 TI - Effect of vitamin E in coronary heart disease. PMID- 21064772 TI - Practical control of wireworm with gammexane. PMID- 21064773 TI - Production of penicillin by germinating conidia of Penicillium notatum. PMID- 21064774 TI - Fibrinolysis in peptone and anaphylactic shock in the dog. PMID- 21064775 TI - An agglutinable factor in red blood cells. PMID- 21064776 TI - Interstellar origin of cosmic radiation at radiofrequencies. PMID- 21064778 TI - Inactivation of thrombin. PMID- 21064779 TI - Chondrodystrophy (achondroplasia) and humoral agents. PMID- 21064777 TI - Effect of BAL-intrav on excretion of copper by the sheep. PMID- 21064780 TI - Zero point diffusion in liquid helium II. PMID- 21064781 TI - Movements in culture of some sewage-filter organisms. PMID- 21064782 TI - Cestode parasitized by acanthocephalan. PMID- 21064783 TI - Antipurpuric action of alpha-tocopherol (vitamin E). PMID- 21064784 TI - Systolic effect by sulfhydryl reagents. PMID- 21064785 TI - Symposium, 1946; preparation of psychiatrists for practice, teaching, and research; introduction. PMID- 21064786 TI - [Not Available]. PMID- 21064787 TI - [Not Available]. PMID- 21064788 TI - [Not Available]. PMID- 21064789 TI - A summary of the surgical aspects of certain sulfonamides and antibiotic agents. PMID- 21064791 TI - Coccidiosis in dogs. PMID- 21064790 TI - [Not Available]. PMID- 21064792 TI - UNFILLED gaps in food hygiene. PMID- 21064793 TI - Intestinal prolapse following laparotomy. PMID- 21064794 TI - PENICILLIN and its use in the treatment of mastitis. PMID- 21064795 TI - A case of chronic ruminal tympany. PMID- 21064796 TI - Chemical investigations of the insecticide DDT and its analogues; reactions of DDT and associated compounds. PMID- 21064797 TI - Synthetic antimalarials; some derivatives of arylamino and aryl substituted pyrimidines. PMID- 21064799 TI - Nutritional and hormonal changes of the mandibular condyle. PMID- 21064798 TI - An accelerated method of embedding anatomical specimens in methyl methacrylate. PMID- 21064801 TI - Hypercalcified dentin prevents spread of caries and damage to the pulp. PMID- 21064800 TI - Inconstancy of caries in an area of endemic fluorosis. PMID- 21064802 TI - The character and distribution of carious lesions in the molar teeth of Syrian hamsters. PMID- 21064803 TI - Further studies on the histopathology of caries of the enamel in the human tooth. PMID- 21064804 TI - The effect of cadmium on dental caries in the rat. PMID- 21064805 TI - Growth of the head from birth to the third month of life. PMID- 21064806 TI - Progress of yellow pigmentation and acid action in enamel and dentin. PMID- 21064807 TI - The morphology of the pulp canals of the primary molars (a progress report on the mandibular second molar). PMID- 21064808 TI - The periodontium, pancreas and blood sugar levels in experimental diabetes. PMID- 21064809 TI - Changes in the salivary volume and pH with changes in oxygen content of the inspired air. PMID- 21064810 TI - Chemical studies in periodontal disease; the rate of putrefaction of salivary proteins under varying conditions. PMID- 21064811 TI - The disintegration of silicate cement dental restorations; the effect of acids and salts on silicate cements. PMID- 21064812 TI - Some observations on the fermentation of carbohydrates by saliva. PMID- 21064813 TI - pH studies on oral micro-organisms. PMID- 21064814 TI - Response of the tibia of hypophysectomized rats to growth hormone and thyroxin after long postoperative intervals. PMID- 21064815 TI - Growth and transformation of the mandibular joint in the rat; hypophysectomized female rats. PMID- 21064816 TI - Hereditary opalescent dentin. PMID- 21064817 TI - Some observations relating to the use of streptothrycin in the mouth. PMID- 21064818 TI - Prevention of dental caries by brushing the teeth with natural and synthetic fluorapatite. PMID- 21064819 TI - Effect of sodium hexametaphosphate on teeth. PMID- 21064820 TI - The efficacy of cavity varnishes in preventing pulp irritation from silicate cements. PMID- 21064821 TI - Sculpture in orthodontics. PMID- 21064822 TI - ENVIRONMENTAL sanitation as applied to hospitals. PMID- 21064823 TI - WINDSOR tornado. PMID- 21064824 TI - The psychology of admission and discharge, with special reference to smaller hospitals. PMID- 21064825 TI - [Not Available]. PMID- 21064827 TI - Causes and treatment of urinary frequency in women. PMID- 21064826 TI - Rectal anaesthesia. PMID- 21064828 TI - Cerebral symptoms after mepacrine. PMID- 21064829 TI - A case of lymphopathia venereum (lymphogranuloma venereum) in Bengal. PMID- 21064831 TI - Treatment of eclampsia with magnesium sulphate. PMID- 21064830 TI - A case of amoeboma. PMID- 21064832 TI - Afebrile case of acute miliary tuberculosis of both lungs. PMID- 21064834 TI - [Not Available]. PMID- 21064835 TI - Squamous-called carcinoma of the left tonsil. PMID- 21064833 TI - Sulphonamide anuria. PMID- 21064836 TI - Mixed salivary gland tumour in palate. PMID- 21064837 TI - Tumour of nasal septum (chondrosarcoma); operation and recurrence. PMID- 21064838 TI - Laryngeal granuloma following intratracheal anaesthesia. PMID- 21064839 TI - [Not Available]. PMID- 21064840 TI - Army medical organization; a presentation of the case. PMID- 21064841 TI - On the estimation of methionine by colorimetric procedure. PMID- 21064842 TI - Comment on note on tamarind seed pectin. PMID- 21064843 TI - The metabolism of sulphonamides; the metabolism of metanilamide (m aminobenzenesulphonamide) in the rabbit. PMID- 21064844 TI - Penicillin production on juices from various parts of the pea plant. PMID- 21064845 TI - Microdetermination of alpha- and beta-glycerophosphates. PMID- 21064846 TI - [Not Available]. PMID- 21064847 TI - [Not Available]. PMID- 21064848 TI - [Not Available]. PMID- 21064849 TI - [Not Available]. PMID- 21064850 TI - [Not Available]. PMID- 21064851 TI - [Not Available]. PMID- 21064852 TI - [Not Available]. PMID- 21064853 TI - [Not Available]. PMID- 21064854 TI - [Not Available]. PMID- 21064855 TI - [Not Available]. PMID- 21064856 TI - [Not Available]. PMID- 21064857 TI - [Not Available]. PMID- 21064858 TI - [Not Available]. PMID- 21064859 TI - [Not Available]. PMID- 21064860 TI - [Not Available]. PMID- 21064861 TI - [Not Available]. PMID- 21064862 TI - [Not Available]. PMID- 21064863 TI - [Not Available]. PMID- 21064864 TI - [Not Available]. PMID- 21064865 TI - [Not Available]. PMID- 21064866 TI - [Not Available]. PMID- 21064868 TI - [Not Available]. PMID- 21064867 TI - [Not Available]. PMID- 21064869 TI - [Not Available]. PMID- 21064870 TI - [Not Available]. PMID- 21064871 TI - [Not Available]. PMID- 21064872 TI - [Not Available]. PMID- 21064873 TI - [Not Available]. PMID- 21064874 TI - [Not Available]. PMID- 21064875 TI - Labial adhesions in children. PMID- 21064876 TI - Meningococcal infection complicating cerebral malaria. PMID- 21064877 TI - A case of acute melancholia treated with cardiazol and insulin. PMID- 21064879 TI - A case of chronic pemphigus. PMID- 21064878 TI - A case of Ludwig's angina following epidemic parotitis treated with sulphonamide. PMID- 21064880 TI - Injury to the femoral artery. PMID- 21064881 TI - Leiomyosarcoma in the tonsillar area. PMID- 21064882 TI - Child psychiatry. PMID- 21064883 TI - [Not Available]. PMID- 21064884 TI - [Not Available]. PMID- 21064885 TI - [Not Available]. PMID- 21064886 TI - [Not Available]. PMID- 21064887 TI - [Not Available]. PMID- 21064888 TI - [Not Available]. PMID- 21064889 TI - [Not Available]. PMID- 21064890 TI - [Not Available]. PMID- 21064891 TI - Sporadic meningitis in a heifer. PMID- 21064892 TI - Knitting needle in the oesophagus. PMID- 21064893 TI - Hair structure related to hair form. PMID- 21064894 TI - Aurignacian man in Syria. PMID- 21064895 TI - Torus palatinus. PMID- 21064896 TI - The representation of brain fissures on the endocranial casts of anthropoids and man. PMID- 21064898 TI - Anthropometric instruments. PMID- 21064897 TI - The grid technique of evaluating growth and development in infants and children. PMID- 21064899 TI - The question of classification of the American Indian. PMID- 21064900 TI - Re-examination of the human skull found by Gidley and Loomis in association with a Pleistocene fauna at Melbourne, Florida. PMID- 21064901 TI - Size, special form and pattern of the human brain in the light of evolution. PMID- 21064902 TI - Notes on the upper extremity of the gorilla. PMID- 21064903 TI - Remarks on the brain of the gorilla. PMID- 21064904 TI - Phylogenetic aspects of suture closure. PMID- 21064905 TI - Geographic distribution of human blood factors. PMID- 21064906 TI - Somatotype distributions of disease groups as shown on triangular graphs. PMID- 21064908 TI - Measurement of cerebral blood flow and cerebral oxygen consumption in man. PMID- 21064907 TI - Influence of the anticholinesterase (prostigmine), atropine and acetylcholine on the cardio-vascular and respiratory centers. PMID- 21064909 TI - Cardiovascular effects of certain aliphatic sympathomimetic amines. PMID- 21064910 TI - The effect of dietary restrictions and alpha-tocopherol on stomach lesions and body weight of rats. PMID- 21064911 TI - Streptomyces antibiotics; dihydrostreptomycin. PMID- 21064912 TI - Case reports of urticaria treated with benadryl. PMID- 21064913 TI - A case of cerebral malaria on board a troopship. PMID- 21064914 TI - [Not Available]. PMID- 21064915 TI - [Not Available]. PMID- 21064916 TI - [Not Available]. PMID- 21064917 TI - [Not Available]. PMID- 21064918 TI - [Not Available]. PMID- 21064919 TI - [Not Available]. PMID- 21064920 TI - [Not Available]. PMID- 21064921 TI - [Not Available]. PMID- 21064922 TI - [Not Available]. PMID- 21064923 TI - Osteomyelitis of the acetabulum. PMID- 21064924 TI - Tumour of the axilla. PMID- 21064925 TI - Congenital deformity of the bowel. PMID- 21064926 TI - Scleroderma. PMID- 21064927 TI - Tumour of bone. PMID- 21064928 TI - Duodenal diverticulum. PMID- 21064929 TI - Horner's syndrome and heterochromia. PMID- 21064930 TI - Fibrocystic disease of the pancreas. PMID- 21064931 TI - Cytochrome oxidase. PMID- 21064932 TI - The reproductive cycle of the Chacma baboon (Papio ursinus) with special reference to the problems of menstrual irregularities as assessed by the behaviour of the sex skin. PMID- 21064933 TI - [Not Available]. PMID- 21064934 TI - Nucleic acid and the beginning of meiosis. PMID- 21064936 TI - The processus muscularis and the tensor tympani muscle of bats. PMID- 21064935 TI - Alkaline phosphatase in invertebrate sites of protein secretion. PMID- 21064937 TI - Action of alpha-hydroxyisobutyric acid on micro-organisms. PMID- 21064938 TI - Cause of physiological activity of gammexane. PMID- 21064939 TI - Loss of thiamin during the baking of bread. PMID- 21064941 TI - Resolution and synthesis of virus complexes causing strawberry yellow-edge. PMID- 21064940 TI - Utilization of ground-nut-cake hydrolysate as medium for production of streptomycin. PMID- 21064942 TI - A new Rh allelomorph. PMID- 21064943 TI - The three coefficients of viscosity of anisotropic liquids. PMID- 21064944 TI - An optical-acoustic method of gas analysis. PMID- 21064945 TI - A response to gravity in young Hydra. PMID- 21064946 TI - Bacterial origin of some insect pigments. PMID- 21064947 TI - Polarographic determinations in the presence of triethanolamine. PMID- 21064948 TI - State of vitamin A in human serum. PMID- 21064949 TI - A particle-size distribution function for air-borne dusts. PMID- 21064950 TI - RADIATION intensity meter. PMID- 21064951 TI - The little researcher. PMID- 21064952 TI - Operative technique of fractures about the neck of the femur. PMID- 21064953 TI - Duane's retraction syndrome. PMID- 21064954 TI - The effect of aluminum and its alloys on human and rabbit eyes; a synopsis. PMID- 21064955 TI - [Not Available]. PMID- 21064956 TI - [Not Available]. PMID- 21064957 TI - [Not Available]. PMID- 21064958 TI - [Not Available]. PMID- 21064959 TI - [Not Available]. PMID- 21064960 TI - [Not Available]. PMID- 21064961 TI - [Not Available]. PMID- 21064962 TI - [Not Available]. PMID- 21064963 TI - [Not Available]. PMID- 21064964 TI - [Not Available]. PMID- 21064965 TI - [Not Available]. PMID- 21064966 TI - Presumptive arsenical poisoning in a human. PMID- 21064967 TI - Dermographia; another side effect of penicillin therapy. PMID- 21064968 TI - Benadryl in chronic urticaria. PMID- 21064969 TI - Lichen planus. PMID- 21064970 TI - Dermatitis exfoliativa. PMID- 21064971 TI - Idiopathic macular atrophy. PMID- 21064972 TI - Sycosis (generalized). PMID- 21064973 TI - A case for diagnosis (rheumatic nodules?). PMID- 21064974 TI - Keratosis follicularis (Darier). PMID- 21064975 TI - Pustular psoriasis (?) treated with penicillin ointment. PMID- 21064976 TI - A case for diagnosis (mycosis fungoides?). PMID- 21064977 TI - Paget's disease of the nipple. PMID- 21064978 TI - A case for diagnosis (granuloma annulare; tuberculid?). PMID- 21064979 TI - Never underestimate the value of internship. PMID- 21064980 TI - Relation of nitrogen balance and blood volume to abnormalities of the circulation in convalescent surgical patients. PMID- 21064981 TI - Effect of globin from human erythrocytes on plasma volume and diuresis. PMID- 21064982 TI - Technique for use in the guillotine operation. PMID- 21064983 TI - A study of the onset and cyclic development of the sprue syndrome. PMID- 21064984 TI - A retractor for varicose vein surgery. PMID- 21064985 TI - An outbreak of infection due to Salm. typhi-murium. PMID- 21064986 TI - Allergic response to penicillin. PMID- 21064987 TI - Lauron in rheumatoid arthritis; a further report. PMID- 21064988 TI - Congenital ectodermal dysplasia. PMID- 21064989 TI - [Not Available]. PMID- 21064990 TI - [Not Available]. PMID- 21064991 TI - [Not Available]. PMID- 21064992 TI - [Not Available]. PMID- 21064993 TI - [Not Available]. PMID- 21064994 TI - [Not Available]. PMID- 21064996 TI - [Not Available]. PMID- 21064995 TI - [Not Available]. PMID- 21064997 TI - Tuberculosis of the conjunctiva. PMID- 21064998 TI - Patchy punctate pigmentation. PMID- 21064999 TI - Balanitis xerotica obliterans. PMID- 21065000 TI - Growth of influenza virus in eggs in the presence of bacterial contamination and streptomycin. PMID- 21065001 TI - Friedel-Crafts catalysts and polymerization. PMID- 21065002 TI - Influence of gonadal hormones on the serum lipochrome and riboflavin of the domestic fowl. PMID- 21065003 TI - A new virus disease of tomatoes. PMID- 21065004 TI - Colour receptors of the human fovea. PMID- 21065006 TI - Reciprocal effects due to stimulation of the spinal cord by constant currents of opposite direction. PMID- 21065005 TI - Transformation of the kidney into an exclusively endocrine organ. PMID- 21065007 TI - The thyroid and tuberculosis. PMID- 21065008 TI - An agent delaying the absorption of penicillin. PMID- 21065009 TI - Enzymic oxidation of ascorbic acid by apples. PMID- 21065010 TI - Chaos, international inter-molecular. PMID- 21065011 TI - Drawings of a seven year old child. PMID- 21065012 TI - NEW plastic surgery centre opened by Her Majesty the Queen at Queen Victoria Hospital, East Grinstead, July 25, 1946. PMID- 21065013 TI - [Not Available]. PMID- 21065014 TI - [Not Available]. PMID- 21065015 TI - Delayed appearance of a syphilitic chancre after penicillin. PMID- 21065016 TI - WOOLNER-DARWIN tubercle. PMID- 21065017 TI - [Not Available]. PMID- 21065018 TI - [Not Available]. PMID- 21065019 TI - [Not Available]. PMID- 21065020 TI - Transitory pulmonary infiltrations (Loeffler's syndrome) in man and rabbit. PMID- 21065021 TI - DDT and the black widow spider. PMID- 21065022 TI - The ratios of iron to oxygen, iron to colour and oxygen to colour in the blood of men and women. PMID- 21065023 TI - Micro-stimulation of the human retina. PMID- 21065024 TI - An apparatus for continuous injection of penicillin. PMID- 21065025 TI - The life-span of the erythrocyte. PMID- 21065026 TI - Continuous measurement of oxygen concentration in physiological media. PMID- 21065027 TI - The use of the betatron in cancer therapy. PMID- 21065028 TI - Susceptibility of mice to leukemogenic agents. PMID- 21065029 TI - Uptake of P32 in the phospholipid fraction of mouse epidermis undergoing carcinogenesis by methylcholanthrene. PMID- 21065030 TI - Fluorescein; an aid in gastroscopy. PMID- 21065031 TI - The syndrome known as Reiter's disease (a triad of polyarthritis, urethritis, and conjunctivitis). PMID- 21065032 TI - Elongated styloid process encountered during tonsillectomy. PMID- 21065033 TI - Xanthomatosis. PMID- 21065034 TI - New test for calcium deficiency. PMID- 21065035 TI - Virilism. PMID- 21065036 TI - Diathermy treatment in retinal angiomatosis. PMID- 21065037 TI - Studies on the metabolic faecal nitrogen of cattle. PMID- 21065038 TI - Antithyroid drugs and cytochrome oxidase activity. PMID- 21065039 TI - [Not Available]. PMID- 21065040 TI - Ocular changes in rats on amino-acid (tryptophane) deficient diet. PMID- 21065041 TI - Siderosis of the eyeball? PMID- 21065042 TI - Ectopia lentis. PMID- 21065043 TI - Rubeosis iridis, bilateral. PMID- 21065044 TI - Ophthalmic migraine. PMID- 21065045 TI - Bilateral iritis due to sarcoidosis. PMID- 21065046 TI - The role of flies in the epidemiology of poliomyelitis. PMID- 21065047 TI - Some fungi involved in the decomposition of plant residues. PMID- 21065048 TI - A comparison of various egg media for the routine isolation of Mycobacterium tuberculosis. PMID- 21065049 TI - Mutations to sulfonamide resistance in Staphylococcus. PMID- 21065050 TI - [Not Available]. PMID- 21065051 TI - The origin and function of seminal fructose. PMID- 21065052 TI - Microbial decomposition of glutamine. PMID- 21065053 TI - Observations on the indirect action of ionizing radiation on aqueous solutions. PMID- 21065054 TI - A simple purification procedure for DDT. PMID- 21065055 TI - Black hairy tongue. PMID- 21065056 TI - Squamous cell epithelioma of the lower lip. PMID- 21065057 TI - A case for diagnosis (angioma serpiginosum?). PMID- 21065058 TI - Epithelioma of finger (from trauma?). PMID- 21065059 TI - Favus in an American-born family. PMID- 21065060 TI - Rosacealike tuberculid of Lewandowski. PMID- 21065062 TI - A case for diagnosis (squamous cell epithelioma?). PMID- 21065061 TI - Adiponecrosis subcutanea neonatorum. PMID- 21065063 TI - Sycosis coccogenica suggesting lupus vulgaris; toxicoderma. PMID- 21065064 TI - Hairy tongue. PMID- 21065065 TI - Subacute lupus erythematosus disseminatus. PMID- 21065066 TI - A case for diagnosis (parapsoriasis?). PMID- 21065067 TI - Lunula cyanea. PMID- 21065068 TI - Bites from sheep ked (Melophagus ovinus). PMID- 21065069 TI - Estimation of phosphorus. PMID- 21065070 TI - Male gynaecomastia; testicular atrophy. PMID- 21065071 TI - Endometriosis of the colon. PMID- 21065072 TI - Suprarenal haemorrhage complicating the puerperium. PMID- 21065073 TI - Infra-red recording with the cathode ray oscilloscope. PMID- 21065074 TI - Antibacterial substances in water extracts of pure forest litter. PMID- 21065075 TI - Liver glycogen of alloxan-diabetic rats under different conditions. PMID- 21065076 TI - Experimental infection of the larvae of Anopheles gambiae (Dipt., Culicidae) with a Coelomomyces fungus. PMID- 21065077 TI - Seasonal variation in the rate of growth of young cattle. PMID- 21065078 TI - Infra-red spectra and state of aggregation. PMID- 21065079 TI - Role of thiamin and riboflavin in the biosynthesis of vitamin C. PMID- 21065080 TI - Production of potent botulinum toxins and formoltoxoids. PMID- 21065081 TI - Sensitization of muscle to choline and acetylcholine, and the supposed existence of choline acetylase. PMID- 21065082 TI - The pancreas and alkaline phosphatase. PMID- 21065083 TI - Vitamin storage and utilization in the organism. PMID- 21065084 TI - Effect of rontgen irradiation on the serum content of haemagglutinins in human blood. PMID- 21065085 TI - Nutritional studies on blood-sucking arthropods. PMID- 21065086 TI - Influence of glucose in the assay of streptomycin. PMID- 21065087 TI - Isolation of type A influenza virus in recent epidemic in Chicago area. PMID- 21065088 TI - A simple and accurate soil fumigant injection apparatus. PMID- 21065089 TI - The L. C. C. hospitals in war-time. PMID- 21065090 TI - Treatment of pemphigus neonatorum with penicillin. PMID- 21065091 TI - Pathology of the lacunae urethrales (Morgagni) (sharp visual detail observed with a special instrument). PMID- 21065092 TI - The tubercle bacillus as an indicator organism in quantitative studies of airborne infection; quantitative enumeration of tubercle bacilli in vitro. PMID- 21065093 TI - The ideal diabetic unit; of the hospital but not in it. PMID- 21065095 TI - Expansion of industrial hygiene programs in official agencies. PMID- 21065094 TI - Cooperative plan for the development of small plant health and industrial hygiene program in New York City. PMID- 21065097 TI - Coordination of official industrial hygiene program with other agencies. PMID- 21065096 TI - Health services for official agencies at the state level. PMID- 21065098 TI - Use of the Polarograph in the industrial hygiene laboratory. PMID- 21065100 TI - The determination of antimony in biological material. PMID- 21065099 TI - Urinary fluorine excretion and the control of exposures in alkylation plants using the hydrogen fluoride process. PMID- 21065101 TI - Industrial hygiene in the construction industry. PMID- 21065102 TI - Control of lead exposures in storage battery manufacturing. PMID- 21065103 TI - The development of improved human relations in industry: the Caterpillar program. PMID- 21065104 TI - Basovascular spasm. PMID- 21065105 TI - The evaluation of vibration syndrome in industry. PMID- 21065106 TI - Polyneuritic syndrome in occupational diseases. PMID- 21065107 TI - Volatile hydrides of toxicological importance. PMID- 21065108 TI - Toxicity of some materials used in manufacture of cemented tungsten carbide tools. PMID- 21065109 TI - The acute and chronic toxicity of methyl chloride in laboratory animals. PMID- 21065110 TI - The appraisal and potential dangers of petroleum solvents with special reference to particle size. PMID- 21065111 TI - Quinone vapors and their harmful effect: plant exposures associated with eye injuries. PMID- 21065112 TI - Dental review survey. PMID- 21065113 TI - Current and future environmental control problems attendant to the use of radioactive energy. PMID- 21065114 TI - Intussusception after emergency colostomy. PMID- 21065115 TI - Traumatic axillary veno-spasm. PMID- 21065116 TI - Hypoplastic anaemia treated with transfusions and folic acid fraction. PMID- 21065117 TI - Children who spend too long in bed. PMID- 21065118 TI - A high rate of natural Plasmodium infection in Anopheles crucians. PMID- 21065119 TI - Successful interchange of ovaries between albino rats and mice. PMID- 21065120 TI - Malrotation of the colon with volvulus. PMID- 21065121 TI - The stability of penicillin solutions. PMID- 21065122 TI - A case of multiple duct papilloma of the male breast. PMID- 21065123 TI - Symposium on the use and abuse of bed-rest; surgery. PMID- 21065124 TI - Materials as effective as benzyl benzoate for impregnating clothing against chiggers. PMID- 21065125 TI - Studies in trypanosomiasis; the plasma proteins and sedimentation rates of erythrocytes of rats infected with pathogenic trypanosomes. PMID- 21065126 TI - Growth and transformation of the mandibular joint in the rat; normal female rats. PMID- 21065127 TI - The hormones of the anterior pituitary. PMID- 21065128 TI - The dentist looks at the patient. PMID- 21065129 TI - Fragmentation and scarring of the tarsal and metatarsal bones; an index of dental deformity. PMID- 21065130 TI - Fox-Fordyce disease. PMID- 21065131 TI - Leprosy, neural anesthetic, minimal, and neural tuberculoid, severe (Na 1, Nt 3). PMID- 21065132 TI - Darier's disease. PMID- 21065134 TI - [Not Available]. PMID- 21065133 TI - Studies with Coccidioides immitis; submerged growth in liquid mediums. PMID- 21065135 TI - Giant urethral calculus in the female. PMID- 21065136 TI - Recurrent inguinal hernia. PMID- 21065137 TI - Fibroma of the scrotum. PMID- 21065138 TI - CABASIL (CABASIL, INC.); quackery unlimited. PMID- 21065139 TI - Primary diphtheria of the conjunctiva. PMID- 21065140 TI - [Not Available]. PMID- 21065141 TI - Concentration of visual purple in the human eye. PMID- 21065142 TI - Pattern of recovery in protein deficiency. PMID- 21065143 TI - Utilization of phenols and related compounds by Achromobacter. PMID- 21065145 TI - Value of determination of bisulphite-binding substances of blood in the diagnosis of vitamin B1 deficiency. PMID- 21065144 TI - A rare Rh gene triad in Mexican Indians. PMID- 21065146 TI - Relation between fat and (a) protein, (b) heat value in buffaloes' milk. PMID- 21065147 TI - Fluochrome in muscle. PMID- 21065148 TI - Changes in the activity of cholinesterase of nervous tissue under the influence of constant current. PMID- 21065149 TI - Adrenaline carboxylic acid (N-methyl-beta-(3:4-dihydroxyphenyl) serine). PMID- 21065150 TI - Potassium leakage from an active nerve fibre. PMID- 21065151 TI - Demonstration of alkaloids in solanaceous meristems. PMID- 21065152 TI - Food and digestive organs of lamellibranchs. PMID- 21065153 TI - Extracellular proteolytic enzymes of some lamellibranchs. PMID- 21065154 TI - Polymerization of methyl methacrylate. PMID- 21065155 TI - An improved synthesis of N-methyl-1-glucosaminic acid. PMID- 21065156 TI - Misuse of the Linnaean system of nomenclature. PMID- 21065157 TI - The effects of radiation on the eye. PMID- 21065158 TI - [Not Available]. PMID- 21065159 TI - Hypersensitivity to infectious agents in relation to asthma. PMID- 21065160 TI - The pore structure and adsorptive properties of some activated charcoals; the adsorption of water vapour and its dependence on pore size. PMID- 21065161 TI - PRESENT status of practical nurse training. PMID- 21065162 TI - SASKATCHEWAN to follow the point system in payment of hospitals. PMID- 21065163 TI - Ethylenediamine dihydrochloride or chlor-ethamine; as a urinary acidifier. PMID- 21065164 TI - A case of syringomyelia. PMID- 21065165 TI - [Not Available]. PMID- 21065166 TI - [Not Available]. PMID- 21065167 TI - Colistatin; a new antibiotic substance with chemotherapeutic activity. PMID- 21065168 TI - Japanese scientists and the POW's. PMID- 21065169 TI - Quantification of micronutrients. PMID- 21065170 TI - Complement fixation in rats' blood sera. PMID- 21065171 TI - Disease control in frogs. PMID- 21065172 TI - A further note on the meaning of normal. PMID- 21065173 TI - Hypoparatypes. PMID- 21065174 TI - Apparatus used for breeding out adult Simulium from collected larvae. PMID- 21065175 TI - Ulcers in the abomasum of a cow. PMID- 21065176 TI - Bilateral fracture of the premaxilla in a dog. PMID- 21065177 TI - Enterotomy in the dog. PMID- 21065178 TI - Acute metritis with suppuration in a cat. PMID- 21065179 TI - Toxicity of streptothricin. PMID- 21065180 TI - Allergenic and anaphylactogenic properties of vaccines prepared from embryonic tissues of developing chicks; skin-sensitivity following the subcutaneous inoculation of typhus vaccines in man. PMID- 21065181 TI - Studies on the chemotherapy of viruses in the psittacosis-lymphogranuloma group; effect of penicillin and sulfadiazine on ten strains in chick embryos. PMID- 21065182 TI - Ascariasis causing acute intestinal obstruction. PMID- 21065183 TI - Carcinoma of colon causing acute intestinal obstruction in youth of 17. PMID- 21065184 TI - [Not Available]. PMID- 21065186 TI - Death after curare. PMID- 21065185 TI - [Not Available]. PMID- 21065187 TI - Incompatibility of filterable yeasts. PMID- 21065189 TI - Racial characteristics. PMID- 21065188 TI - Nutritive value of soya-bean and related products. PMID- 21065190 TI - Relation of crystal size and shape to contact toxicity of DDT suspensions. PMID- 21065191 TI - Perilobular spaces in the rabbit pancreas. PMID- 21065192 TI - Effect of calcium on the production of botulinus D toxin. PMID- 21065193 TI - Poly-agglutinable red cells. PMID- 21065194 TI - Loss of available phosphate in soil due to micro-organisms. PMID- 21065195 TI - Fluorescene fatigue. PMID- 21065196 TI - Tests for Rh isosensitization of red cells in the newborn. PMID- 21065197 TI - Testicle and spermatic tract lesions in lymphogranuloma venereum. PMID- 21065198 TI - Botanical origin of tube-curare. PMID- 21065199 TI - Homostyly of the flowers of buckwheat as a morphological manifestation of sterility. PMID- 21065200 TI - The coefficient of variation. PMID- 21065201 TI - Upper respiratory tract in infancy. PMID- 21065202 TI - Fungus diseases (the mycoses). PMID- 21065203 TI - [Not Available]. PMID- 21065204 TI - Health conditions in certain large cities of the Far East after liberation. PMID- 21065205 TI - The health center; adaptation of physical plants to service concepts. PMID- 21065206 TI - Serological relationships in the epidemic-endemic typhus group as determined by complement fixation. PMID- 21065207 TI - Enzyme systems operating within the malarial parasite. PMID- 21065208 TI - Vitamin A requirements in calves. PMID- 21065209 TI - A quarter-century of paediatrics; twenty-five years' retrospect in children's eye work. PMID- 21065210 TI - Extensive infectious gangrene of the abdominal wall. PMID- 21065211 TI - A method for the quantitative estimation of DDT in plant and/or sulfur-containing materials. PMID- 21065212 TI - Return of sensation after denervation and regeneration of sutured nerves. PMID- 21065213 TI - Neurologic disturbances associated with multiple myeloma. PMID- 21065214 TI - Sympathin in adrenergic nerve fibres. PMID- 21065215 TI - Recent work on the lipotropic factors. PMID- 21065216 TI - Records and findings obtained during studies of the renal circulation in the rabbit with special reference to vascular short-circuiting and functional cortical ischaemia. PMID- 21065217 TI - Penicillin in the treatment of neurosyphilis; asymptomatic neurosyphilis. PMID- 21065218 TI - Studies on the biologic relationship between the causative agents of syphilis, yaws, and venereal spirochetosis of rabbits; observations on Treponema cun iculi infection in rabbits. PMID- 21065219 TI - Behcet's syndrome, abortive form (?); recurrent aphthous oral lesions and recurrent genital ulcerations. PMID- 21065220 TI - Calcification of gluteal regions following injections of heavy metals (mercury). PMID- 21065221 TI - [Peripheral blood in pregnancy toxicosis]. PMID- 21065222 TI - [Amenorrhea--autonomic endocrine syndrome]. PMID- 21065223 TI - Trends of tuberculosis association programs. PMID- 21065224 TI - Evolution of chemotherapy in tuberculosis. PMID- 21065225 TI - Health education and the democratic process. PMID- 21065226 TI - Observations on mass x-ray surveys. PMID- 21065227 TI - Mongol with abscess in neck from tuberculosis of cervical spine. PMID- 21065228 TI - Von Gierke's disease. PMID- 21065229 TI - Lateral sinus thrombosis due to acute mastoiditis; recovery with penicillin. PMID- 21065230 TI - Myasthenia gravis. PMID- 21065231 TI - Calcium infarction of renal tubules in infancy. PMID- 21065232 TI - Thoraco-axillary inlet syndrome. PMID- 21065233 TI - Recovery following suture of peroneal nerve. PMID- 21065234 TI - Faculty of Medicine of Lausanne. PMID- 21065235 TI - The cellular mechanism of recovery after treatment with penicillin; subacute bacterial endocarditis. PMID- 21065236 TI - A simple vaporizing device for the attainment of bactericidal concentrations of glycol vapors in air. PMID- 21065237 TI - Education and the foundations of science. PMID- 21065238 TI - Growth of ragweed for its medicinal virtues in the Dominican Republic. PMID- 21065239 TI - Osteoma of the orbit. PMID- 21065240 TI - Cataract, recurrent iritis, and deafness secondary to injury by lightning. PMID- 21065241 TI - Tumor on the disc. PMID- 21065242 TI - Neuroticism among aniseikonia patients. PMID- 21065243 TI - [Toxicity of benzylphenylamide and N-methyldiphenylamine]. PMID- 21065244 TI - DDT and health in the tropics. PMID- 21065245 TI - Controlling bovine tuberculosis in New Zealand. PMID- 21065246 TI - Health at Tabora. PMID- 21065247 TI - A case of congenital syphilis treated with penicillin. PMID- 21065248 TI - Ascites in the cat. PMID- 21065249 TI - Neoplasm from thorax (cat). PMID- 21065250 TI - Comparative toxicity to insects of benzene hexachloride and DDT. PMID- 21065251 TI - [Experimental therapy of enterobiasis with phenothiazine]. PMID- 21065252 TI - Pemphigus vulgaris of the mucous membrane. PMID- 21065253 TI - Nevus unius lateris. PMID- 21065254 TI - Lingua nigra. PMID- 21065255 TI - A case for diagnosis (pityriasis rubra pilaris in one of twin brothers?). PMID- 21065256 TI - Rosacea of the nose; tuberculoid structure in histologic section. PMID- 21065257 TI - Pyoderma faciale. PMID- 21065259 TI - Mycosis fungoides. PMID- 21065258 TI - Squamous cell epithelioma of sebaceous gland origin. PMID- 21065260 TI - Chancroid? PMID- 21065261 TI - A case for diagnosis (pigmented basal cell epithelioma or melanoma?). PMID- 21065262 TI - A case for diagnosis (lichen planus?). PMID- 21065263 TI - [Not Available]. PMID- 21065264 TI - A case for diagnosis (poikiloderma vasculare atrophicans?). PMID- 21065266 TI - Sarcoidosis. PMID- 21065265 TI - A case for diagnosis (vitamin C deficiency; Schamberg's disease?). PMID- 21065267 TI - Colloid degeneration of the skin. PMID- 21065268 TI - A case for diagnosis (melanosis of the face and neck; keratosis follicularis?). PMID- 21065269 TI - A case for diagnosis (pseudopelade; alopecia cicatrista?). PMID- 21065270 TI - A case for diagnosis (dermatitis medicamentosa?). PMID- 21065271 TI - Iododerma with secondary streptococcic infection. PMID- 21065272 TI - Generalized erythroderma with lipomelanotic reticulosis (Pautrier and Woringer). PMID- 21065273 TI - Calcinosis in a burn scar. PMID- 21065274 TI - Linear eruption. PMID- 21065275 TI - Sickle cell ulcers treated with red blood cells. PMID- 21065276 TI - Granuloma inguinale. PMID- 21065277 TI - Neurotic excoriations. PMID- 21065278 TI - [Not Available]. PMID- 21065280 TI - Sarcoidosis. PMID- 21065279 TI - A case for diagnosis (lupus vulgaris?). PMID- 21065282 TI - WHO owns the films? PMID- 21065281 TI - [Not Available]. PMID- 21065283 TI - Health insurance in collective bargaining. PMID- 21065284 TI - Treatment of pediculosis capitis with D. D. T. emulsion. PMID- 21065285 TI - Spinal analgesia in an infant 11 days old. PMID- 21065286 TI - PROBLEM of disseminated sclerosis. PMID- 21065287 TI - EXPERIMENT on cats; appeal against fines at Oxford. PMID- 21065288 TI - Plebiscite on the Health Service Act; the Bournemouth Memorandum. PMID- 21065289 TI - History of the American medical Association; the organization is conceived. PMID- 21065290 TI - Development of acute appendicitis during massive penicillin therapy. PMID- 21065291 TI - Transthoracic versus abdominal esophago-intestinal anastomosis. PMID- 21065292 TI - What is gout; newer knowledge of pathologic physiology. PMID- 21065293 TI - Unusual clinical manifestations of chronic hypoparathyroidism. PMID- 21065294 TI - Acne conglobata? PMID- 21065295 TI - Lichen sclerosus et atrophicus (lichen planus morpheicus). PMID- 21065296 TI - Calcinosis circumscripta. PMID- 21065297 TI - Folliculitis decalvans associated with penicillin-resistant Staph. aureus infection. PMID- 21065298 TI - Two cases of folliculitis varioliformis and dermatitis papillaris capilliti. PMID- 21065299 TI - Seborrhoeic sycosis. PMID- 21065300 TI - Lichen planus atrophicus. PMID- 21065301 TI - Parapsoriasis; lichenoid type? PMID- 21065302 TI - Acrocephaly-syndactyly. PMID- 21065303 TI - Pneumococcal empyema, treated with penicillin. PMID- 21065304 TI - Arachnodactyly with amyoplasia congenita. PMID- 21065305 TI - Meningococcal meningitis with suppurative arthritis. PMID- 21065306 TI - Suppurative arthritis of right elbow following mastoiditis. PMID- 21065308 TI - Cirrhosis and ascites (treated by omentopexy twelve years ago after investigation by thorium dioxide). PMID- 21065309 TI - Lymphadenoma. PMID- 21065310 TI - Hand-Schuller-Christian syndrome. PMID- 21065311 TI - A method of treating fractures of the neck of the talus. PMID- 21065312 TI - Oxidation of tryptophane by a-plus a-plus and aa Ephestia tissue. PMID- 21065313 TI - A preparation for the physiological study of the unit synapse. PMID- 21065314 TI - Action of pepsin on serum proteins as measured by electrophoresis. PMID- 21065315 TI - Assay of toxic effect of gammexane on man and animals. PMID- 21065317 TI - [Not Available]. PMID- 21065318 TI - Streptomyces antibiotics; the structure of tetraacetylbisdesoxystreptobiosamine. PMID- 21065319 TI - Oriented filaments of amylose and alkali amylose. PMID- 21065320 TI - Dietary protein in relation to convalescence from injury. PMID- 21065322 TI - ARMY engineers plan to three VA hospitals; 1,000 beds each, 200 for neuropsychiatric patients; part of $600,000,000 plan. PMID- 21065321 TI - The quality of proteins. PMID- 21065323 TI - ANAESTHESIA centenary celebrations; exhibition at Wellcome Museum. PMID- 21065324 TI - Selection of medical students. PMID- 21065325 TI - Selection of medical students. PMID- 21065326 TI - Goitre without bias. PMID- 21065327 TI - Inoculation tuberculosis. PMID- 21065328 TI - Ammoniacal smell in the stomach post mortem in uraemia. PMID- 21065329 TI - Torula in the cerebro-spinal fluid. PMID- 21065330 TI - The scientific principles underlying chemotherapy and pharmacology. PMID- 21065331 TI - The theory of function of respiratory enzymes. PMID- 21065332 TI - The recording of cardiovascular and respiratory sounds. PMID- 21065333 TI - Shortened gullet. PMID- 21065334 TI - Polyploidy and parthenogenesis in the genus Saga. PMID- 21065335 TI - An incomplete form of alpha-agglutinin. PMID- 21065336 TI - Enhancement of immune antibodies by human serum. PMID- 21065338 TI - Conditions of survival. PMID- 21065337 TI - Uranium in urine. PMID- 21065339 TI - Action of prostatic secretion on the motility and metabolism of spermatozoa. PMID- 21065340 TI - A medium for investigating the breakdown of pectin by bacteria. PMID- 21065341 TI - Carbohydrate metabolism in alloxan-diabetic rats. PMID- 21065342 TI - Effect of rubber tubing upon the stability of penicillin and streptomycin solutions. PMID- 21065343 TI - Injection vs. oral administration of folic acid in the chick. PMID- 21065344 TI - Studies on the inheritance of deafness in the pupils of the Clarke School for the Deaf; the collection of family histories, pedigrees and audiometer readings. PMID- 21065345 TI - The use of x-ray in chronic mastoid condition; clinical aspects. PMID- 21065346 TI - Social and medical amenities for the aged. PMID- 21065347 TI - Colonic and proctoscopic diseases. PMID- 21065348 TI - The estimation of histidine and its excretion in normal pregnancy. PMID- 21065349 TI - Allergic manifestations after sulphathiazole. PMID- 21065350 TI - [Not Available]. PMID- 21065351 TI - [Not Available]. PMID- 21065353 TI - [Not Available]. PMID- 21065352 TI - [Not Available]. PMID- 21065354 TI - [Not Available]. PMID- 21065355 TI - [Not Available]. PMID- 21065356 TI - [Not Available]. PMID- 21065357 TI - Old foreign body of the iris. PMID- 21065358 TI - Cancer of the mastoid. PMID- 21065359 TI - Tumor of trachea. PMID- 21065360 TI - Brain abscess. PMID- 21065361 TI - Tuberculosis of bone. PMID- 21065362 TI - Studies in the psittacosis group of viruses. PMID- 21065363 TI - Studies on mode of action of streptomycin. PMID- 21065364 TI - INFLUENCE OF natural waters on the effectiveness of DDT as a mosquito larvicide. PMID- 21065365 TI - The support of education in a democracy. PMID- 21065366 TI - Effects of malaria on the heart. PMID- 21065367 TI - Relapsing fever. PMID- 21065368 TI - Tropical disease as seen in an Army general hospital in the zone of the interior. PMID- 21065369 TI - Infectious mononucleosis. PMID- 21065370 TI - Granulomatous lesions of the colon, caused by amebiasis. PMID- 21065371 TI - Ribonucleinase; manometric determination of ribonucleinase in blood and tissues of the rat and the rabbit. PMID- 21065372 TI - Odorous wounds and fistulas. PMID- 21065374 TI - Dangers inherent in scattered cathode rays. PMID- 21065373 TI - Use of salicylates in rheumatic fever; mixture of aspirin and vitamin K unwarranted. PMID- 21065375 TI - The use of a planimeter in volume studies of early embryos. PMID- 21065376 TI - Studies on roentgen death in mice; survival time and dosage. PMID- 21065377 TI - Removal of ureteric calculus by the ureteric corkscrew in a case of calculous anuria. PMID- 21065378 TI - A typical case of human leptospirosis. PMID- 21065379 TI - Penicillin and antitoxin in equine tetanus. PMID- 21065380 TI - The effect of low temperature on the spirochetes of relapsing fever; the viability of four strains of spirochetes stored at -48 degrees centigrade. PMID- 21065381 TI - [Not Available]. PMID- 21065382 TI - The tannin content of some potamogeton species and its effect on the colour of iron-containing inland waters. PMID- 21065383 TI - Some higher alkyl salicylates. PMID- 21065384 TI - Lupus vulgaris operated with diathermic wire-loop. PMID- 21065385 TI - Lupoide miliaire disseminee (lupus follicularis disseminatus). PMID- 21065386 TI - Five cases of lues discovered on compulsory serologic examination of 375 members of the civil air-defense in small town. PMID- 21065387 TI - Rhinophyma operated with diathermic wire-loop. PMID- 21065388 TI - Pustular syphilid in which W.R. remained negative for several months. PMID- 21065389 TI - A case of dermatitis atrophicans maculosa (anetoderma erythematosa). PMID- 21065390 TI - Favus (forme pityroide). PMID- 21065391 TI - [Not Available]. PMID- 21065392 TI - [Not Available]. PMID- 21065393 TI - [Not Available]. PMID- 21065394 TI - [Not Available]. PMID- 21065395 TI - [Not Available]. PMID- 21065396 TI - [Not Available]. PMID- 21065397 TI - [Not Available]. PMID- 21065398 TI - [Not Available]. PMID- 21065399 TI - [Not Available]. PMID- 21065400 TI - [Not Available]. PMID- 21065401 TI - [Not Available]. PMID- 21065402 TI - [Not Available]. PMID- 21065403 TI - [Not Available]. PMID- 21065404 TI - [Not Available]. PMID- 21065405 TI - [Not Available]. PMID- 21065406 TI - [Not Available]. PMID- 21065407 TI - [Not Available]. PMID- 21065408 TI - [Not Available]. PMID- 21065409 TI - [Not Available]. PMID- 21065410 TI - [Not Available]. PMID- 21065411 TI - [Not Available]. PMID- 21065412 TI - [Not Available]. PMID- 21065413 TI - [Not Available]. PMID- 21065414 TI - [Not Available]. PMID- 21065415 TI - [Not Available]. PMID- 21065416 TI - [Not Available]. PMID- 21065417 TI - [Not Available]. PMID- 21065418 TI - [Not Available]. PMID- 21065420 TI - [Not Available]. PMID- 21065419 TI - [Not Available]. PMID- 21065421 TI - [Not Available]. PMID- 21065422 TI - [Not Available]. PMID- 21065423 TI - [Not Available]. PMID- 21065424 TI - [Not Available]. PMID- 21065425 TI - [Not Available]. PMID- 21065426 TI - [Not Available]. PMID- 21065427 TI - [Sarcoidosis]. PMID- 21065428 TI - [Not Available]. PMID- 21065429 TI - [Not Available]. PMID- 21065430 TI - [Not Available]. PMID- 21065431 TI - [Not Available]. PMID- 21065432 TI - [Not Available]. PMID- 21065433 TI - [Not Available]. PMID- 21065434 TI - [Not Available]. PMID- 21065435 TI - [Not Available]. PMID- 21065436 TI - [Not Available]. PMID- 21065437 TI - [Not Available]. PMID- 21065438 TI - [Not Available]. PMID- 21065439 TI - [Not Available]. PMID- 21065440 TI - [Not Available]. PMID- 21065441 TI - [Not Available]. PMID- 21065442 TI - [Not Available]. PMID- 21065443 TI - [Not Available]. PMID- 21065444 TI - [Not Available]. PMID- 21065445 TI - [Not Available]. PMID- 21065446 TI - [Not Available]. PMID- 21065447 TI - [Not Available]. PMID- 21065448 TI - [Not Available]. PMID- 21065449 TI - [Not Available]. PMID- 21065450 TI - [Not Available]. PMID- 21065451 TI - [Not Available]. PMID- 21065452 TI - [Not Available]. PMID- 21065453 TI - [Not Available]. PMID- 21065454 TI - [Not Available]. PMID- 21065455 TI - [Not Available]. PMID- 21065456 TI - [Not Available]. PMID- 21065457 TI - [Not Available]. PMID- 21065458 TI - [Not Available]. PMID- 21065459 TI - [Not Available]. PMID- 21065460 TI - [Not Available]. PMID- 21065461 TI - [Not Available]. PMID- 21065462 TI - [Not Available]. PMID- 21065463 TI - [Not Available]. PMID- 21065464 TI - [Not Available]. PMID- 21065465 TI - [Not Available]. PMID- 21065466 TI - Impetigo bullosa in the tropics. PMID- 21065467 TI - Acute monocytic leukemia cutis (Naegely variety). PMID- 21065468 TI - Generalized moniliasis and trichophytosis. PMID- 21065469 TI - Granuloma annulare with disseminate lesions. PMID- 21065470 TI - Contact dermatitis due to the resinous ingredients of zinc chromate primer and certain lacquers. PMID- 21065471 TI - Contact dermatitis due to pigment in zinc chromate primer. PMID- 21065472 TI - A case for diagnosis (tumor of the tongue?). PMID- 21065473 TI - Adenoma sebaceum. PMID- 21065474 TI - Erythema nodosum. PMID- 21065475 TI - Nodular syphilid. PMID- 21065476 TI - A case for diagnosis (parapsoriasis?). PMID- 21065477 TI - Lupus erythematosus. PMID- 21065478 TI - A case for diagnosis (epidermolysis bullosa?). PMID- 21065479 TI - Mycosis fungoides. PMID- 21065480 TI - Mycosis fungoides. PMID- 21065481 TI - Premycosis fungoides. PMID- 21065482 TI - A case for diagnosis (seborrheic dermatitis?). PMID- 21065483 TI - Parapsoriasis. PMID- 21065485 TI - A case for diagnosis (acrodermatitis?). PMID- 21065484 TI - A case for diagnosis (pityriasis lichenoides et varioliformis acuta?). PMID- 21065486 TI - Urticarial reaction from penicillin (?). PMID- 21065487 TI - Primary inoculation tuberculosis. PMID- 21065488 TI - A case for diagnosis (lupus erythematosus?). PMID- 21065489 TI - Porokeratosis. PMID- 21065490 TI - Necrobiosis lipoidica without diabetes. PMID- 21065491 TI - Schamberg's progressive pigmentary dermatosis. PMID- 21065492 TI - Steatocystoma multiplex. PMID- 21065493 TI - Idiopathic gangrene of the penis complicating scabies. PMID- 21065494 TI - Mycosis fungoides exhibiting large concentric lesions and treated with testosterone. PMID- 21065495 TI - [Not Available]. PMID- 21065496 TI - [Not Available]. PMID- 21065497 TI - [Not Available]. PMID- 21065498 TI - [Not Available]. PMID- 21065499 TI - [Not Available]. PMID- 21065500 TI - [Not Available]. PMID- 21065501 TI - [Not Available]. PMID- 21065502 TI - [Not Available]. PMID- 21065503 TI - [Not Available]. PMID- 21065504 TI - [Not Available]. PMID- 21065505 TI - [Not Available]. PMID- 21065506 TI - [Not Available]. PMID- 21065507 TI - [Not Available]. PMID- 21065508 TI - [Not Available]. PMID- 21065509 TI - Treatment and end-results of acute appendicitis. PMID- 21065510 TI - Curare therapy. PMID- 21065511 TI - Use of group O blood in transfusion. PMID- 21065512 TI - MALPRACTICE; simultaneous application of tight bandaging and heat to arthritic foot. PMID- 21065513 TI - [Not Available]. PMID- 21065514 TI - Parasympathomimetic effect of aqueous humor in human eyes with and without chronic simple glaucoma. PMID- 21065515 TI - [Not Available]. PMID- 21065516 TI - [Not Available]. PMID- 21065517 TI - [Not Available]. PMID- 21065518 TI - [Not Available]. PMID- 21065519 TI - [Not Available]. PMID- 21065520 TI - Studies of the fungistatic and irritative qualities of agents suggested for the treatment of dermatophytosis. PMID- 21065521 TI - A polysaccharide from Gigartina stellata; the isolation of crystalline 2:6 dimethyl-beta-alpha-galactopyranose from the methylated polysaccharide. PMID- 21065522 TI - Effect of restriction of food intake upon the incisor teeth of rachitic rats. PMID- 21065523 TI - Early observation of antibiotic action. PMID- 21065524 TI - Histopathologic characteristics of progressive muscular atrophy. PMID- 21065525 TI - SCHOPENHAUER'S philosophy. PMID- 21065526 TI - Retinal detachment with elevated tension. PMID- 21065527 TI - Hemorrhagic Coats's disease. PMID- 21065528 TI - Glass in anterior chamber. PMID- 21065529 TI - Malignant cardiovascular hypertensive disease. PMID- 21065530 TI - Malignant melanoma of the choroid. PMID- 21065531 TI - Tuberculous keratitis. PMID- 21065532 TI - Fuchs's corneal dystrophy complicated by senile cataract. PMID- 21065533 TI - Luetic tarsitis. PMID- 21065534 TI - Operations for excessive lacrimation. PMID- 21065535 TI - X-ray cataract. PMID- 21065536 TI - Subconjunctival resection of the lacrimal ductules. PMID- 21065537 TI - Epithelioma of the limbus. PMID- 21065538 TI - Penetration of penicillin into the rabbit's eye with normal, inflamed, and abraded cornea. PMID- 21065539 TI - Bathing otitis from the season of 1943. PMID- 21065540 TI - Otogenous facial paresis with special reference to treatment. PMID- 21065541 TI - Laryngeal lupus; tracheotomy (demonstration of patient). PMID- 21065542 TI - Some cases of tracheotomy during diphtheria epidemic. PMID- 21065543 TI - A case of rhinolithiasis. PMID- 21065544 TI - A case of mucocele in frontal and ethmoidal sinus. PMID- 21065545 TI - Cases of laryngeal trauma. PMID- 21065546 TI - The material of infant patients with otitis media in the Blegdams-Hospital. PMID- 21065547 TI - Penicillin cream. PMID- 21065548 TI - The growth and toxin formation in various types of diphtheria bacilli on a synthetic medium. PMID- 21065549 TI - On the method of study of the distribution of morbidity in a territory. PMID- 21065550 TI - Sequelae of emphysematous lung. PMID- 21065551 TI - Ligature of anterior ethmoidal artery for arrest of profuse epistaxis. PMID- 21065552 TI - Acute haemolytic anaemia due to sulphonamide administration. PMID- 21065554 TI - CONTROL of cancer. PMID- 21065553 TI - Bilateral diphtheritic external otitis treated with sulfathiazole. PMID- 21065555 TI - Effect of heat and light on the ascaridol content in oil of chenopodium. PMID- 21065557 TI - COMBINED tuberculosis. PMID- 21065556 TI - Opium-poisoning causing severe convulsions. PMID- 21065558 TI - Anesthesia in shock. PMID- 21065559 TI - Perforating carcinoma of sigmoid and ovarian cyst. PMID- 21065561 TI - Interstitial Littre hernia associated with ectopia testis. PMID- 21065560 TI - Gangrene of appendix epiploica with thrombosis of omentum. PMID- 21065562 TI - [Not Available]. PMID- 21065563 TI - [Not Available]. PMID- 21065564 TI - [Not Available]. PMID- 21065565 TI - [Not Available]. PMID- 21065566 TI - [Not Available]. PMID- 21065567 TI - [Not Available]. PMID- 21065568 TI - [Not Available]. PMID- 21065569 TI - A simplified chemical notation. PMID- 21065570 TI - Instability of dienoestrol and stilboestrol solutions. PMID- 21065571 TI - Influence of blood pressure and blood flow on the activity of the respiratory, vasomotor and cardioregulatory centres. PMID- 21065572 TI - Classification and nomenclature of animal behaviour. PMID- 21065573 TI - Nomenclature of proteolytic enzymes. PMID- 21065574 TI - Sintered glass disks. PMID- 21065575 TI - A potometer for rapid measurements of ingestion by haustellate insects. PMID- 21065576 TI - Some x-ray cristallographic data on DDT. PMID- 21065577 TI - Sympathetic opthalmitis. PMID- 21065578 TI - Glaucoma. PMID- 21065579 TI - Uveitis with alopecia, poliosis vitiligo, and dysacousia; report of two cases. PMID- 21065580 TI - The ultraviolet absorption spectra and other physical data for cardiolipin, a new phospholipid, and lecithin isolated from beef heart. PMID- 21065581 TI - Investigations on the site of origin of blackout in man. PMID- 21065582 TI - Effects of anoxia, oxygen, and increased intrapulmonary pressure on dark adaptation. PMID- 21065583 TI - Reading equipment for partially blind people. PMID- 21065584 TI - Response curves for types of vision according to the Muller theory. PMID- 21065585 TI - Studies on the mechanism of action of sympathomimetic amines; the effect of various amines on the synthesis of cocarboxylase. PMID- 21065586 TI - [On the measures for tuberculosis control in the USSR]. PMID- 21065587 TI - The biology of Triatoma gerstaeckeri. PMID- 21065588 TI - Flea collections at Army installations in the Fourth Service Command. PMID- 21065589 TI - Duplicating Japanese beetle injury in field corn. PMID- 21065590 TI - DDT and lead arsenate compared for control of the pecan nut casebearer. PMID- 21065591 TI - DDT for control of the onion thrips. PMID- 21065592 TI - The toxic cases of lime-sulfur. PMID- 21065593 TI - Cardiac enlargement with bradycardia in recruits. PMID- 21065595 TI - The synthesis and properties of 1:7-dialkyl xanthines. PMID- 21065594 TI - The chemistry of gum tragacanth. PMID- 21065596 TI - Studies in the detoxication of catalyst poisons; the use of various oxidising agents for the detoxication of cystein. PMID- 21065597 TI - Studies on sugar osazones; a comparison of the phenylosazones prepared from 3: 6 anhydro-d-glucose and 3:6-anhydro-d-galactose with the anhydro-osazones prepared from d-galactosazone and d-glucosazone by the method of Diels and Meyer. PMID- 21065598 TI - The application of the method of molecular rotation differences to steroids; naturally occurring sterols and their simple derivatives. PMID- 21065599 TI - An interpretation of the Sandmeyer reaction; the formation of complex salts between aryl diazonoum chlorides and ferric chloride of general formula, R.N.2} FeCl4, and the decomposition of p-nitrobenzenediazonium chloride by ferrous salts. PMID- 21065600 TI - Geometrically isomeric piperazine derivatives containing two quaternary nitrogen atoms. PMID- 21065601 TI - Case report of desquamative stomatitis of unknown etiology. PMID- 21065602 TI - [Not Available]. PMID- 21065603 TI - Frontal lobe abscess treated with penicillin. PMID- 21065604 TI - Penicillin in chronic ear disease. PMID- 21065605 TI - Ether convulsions with hyperpyrexia. PMID- 21065606 TI - A corrective splint for paralysis of the thenar muscles. PMID- 21065607 TI - [Not Available]. PMID- 21065609 TI - [Beri-beri]. PMID- 21065608 TI - [Not Available]. PMID- 21065610 TI - WORKMAN'S Compensation acts; conflict in medical testimony no bar to award for hernia. PMID- 21065611 TI - Some points on antisyphilitic therapy. PMID- 21065612 TI - Prostatectomy. PMID- 21065613 TI - ACUTE appendicitis associated with myelogenous leukaemia. PMID- 21065614 TI - Septum of gall-bladder. PMID- 21065615 TI - Anaesthesia in cases of ligation of patent ductus arteriosus. PMID- 21065616 TI - A case of pneumococcal meningitis associated with chronic mastoid infection and complicated by malaria. PMID- 21065617 TI - HEALTH of adolescents. PMID- 21065619 TI - A new technic in photomicrography. PMID- 21065618 TI - The future of aviation medicine. PMID- 21065620 TI - [Not Available]. PMID- 21065621 TI - [Not Available]. PMID- 21065622 TI - [Not Available]. PMID- 21065623 TI - [Not Available]. PMID- 21065624 TI - [Not Available]. PMID- 21065625 TI - [Not Available]. PMID- 21065626 TI - [Not Available]. PMID- 21065628 TI - [Not Available]. PMID- 21065627 TI - [Not Available]. PMID- 21065629 TI - [Not Available]. PMID- 21065630 TI - [Not Available]. PMID- 21065631 TI - [Not Available]. PMID- 21065632 TI - [Not Available]. PMID- 21065633 TI - [Not Available]. PMID- 21065634 TI - [Not Available]. PMID- 21065636 TI - [Not Available]. PMID- 21065635 TI - [Not Available]. PMID- 21065637 TI - [Not Available]. PMID- 21065638 TI - [Not Available]. PMID- 21065639 TI - [Not Available]. PMID- 21065641 TI - [Not Available]. PMID- 21065640 TI - [Not Available]. PMID- 21065642 TI - [Not Available]. PMID- 21065643 TI - [Not Available]. PMID- 21065644 TI - [Not Available]. PMID- 21065645 TI - Diaphragmatic paralysis in the newborn. PMID- 21065646 TI - Purulent parotitis in the newborn. PMID- 21065647 TI - Fracture of the clavicle in the newborn. PMID- 21065648 TI - Caustic strictures of the esophagus. PMID- 21065650 TI - Pneumococcic meningitis. PMID- 21065649 TI - Omphalocele. PMID- 21065651 TI - [Not Available]. PMID- 21065652 TI - The bad effects of noise. PMID- 21065653 TI - Veterinary education. PMID- 21065654 TI - VETERINARY College of Ireland. PMID- 21065655 TI - The estimation of micro quantities of cyanide and thiocyanate. PMID- 21065656 TI - A study of the topical application of potassium fluoride in caries prevention. PMID- 21065657 TI - Vital staining of the pulps of the teeth of the albino rat. PMID- 21065658 TI - Posterior growth of the human maxillae. PMID- 21065659 TI - Total erythrocyte, leucocyte and differential white cell counts of blood in chronic periodontal disease. PMID- 21065660 TI - Sarcoidosis; tuberculoid leprosy? late latent syphilis (treated). PMID- 21065661 TI - Sarcoidosis. PMID- 21065662 TI - Acrodermatitis atrophicans chronica with fibrous cutaneous nodules. PMID- 21065663 TI - Lupus erythematosus hypertrophicus. PMID- 21065664 TI - Congenital ectodermal defect. PMID- 21065666 TI - Mycosis fungoides. PMID- 21065665 TI - Angioendothelioma of the skin. PMID- 21065667 TI - Xeroderma pigmentosum with precocious malignancy. PMID- 21065668 TI - A case for diagnosis (periarteritis nodosa?). PMID- 21065669 TI - Folliculitis keloidalis. PMID- 21065670 TI - Granuloma annulare. PMID- 21065671 TI - Tinea ciliorum and tinea corporis. PMID- 21065672 TI - A case for diagnosis (alopecia areata; arsenical dermatitis?). PMID- 21065673 TI - Psoriasis. PMID- 21065674 TI - Pediculosis of the eyelashes. PMID- 21065675 TI - The dilemma of medical social work. PMID- 21065676 TI - A very large granulosa-cell tumour of the ovary. PMID- 21065677 TI - A case of penicillin dermatitis. PMID- 21065678 TI - Sulphonamide therapy in septic caesarean section; a case report. PMID- 21065679 TI - [Not Available]. PMID- 21065680 TI - Injuries of the menisci; diagnosis by manipulation of the knee-joint. PMID- 21065681 TI - [Not Available]. PMID- 21065682 TI - [Not Available]. PMID- 21065684 TI - Multiple chronic ulcers of the arms and trunk; cause? PMID- 21065683 TI - Favus. PMID- 21065685 TI - Circinate sarcoids of the face and limbs. PMID- 21065686 TI - Non-diabetic necrobiosis lipoidica. PMID- 21065687 TI - Abnormal mesodermal pigmentation. PMID- 21065688 TI - Pityriasis lichenoides with necrotic lesions (Habermann). PMID- 21065689 TI - [Not Available]. PMID- 21065690 TI - [Not Available]. PMID- 21065691 TI - [Not Available]. PMID- 21065692 TI - [Not Available]. PMID- 21065693 TI - [Not Available]. PMID- 21065694 TI - [Not Available]. PMID- 21065695 TI - [Not Available]. PMID- 21065696 TI - [Not Available]. PMID- 21065697 TI - [Not Available]. PMID- 21065699 TI - [Not Available]. PMID- 21065698 TI - [Not Available]. PMID- 21065700 TI - [Not Available]. PMID- 21065702 TI - [Not Available]. PMID- 21065701 TI - [Not Available]. PMID- 21065703 TI - [Not Available]. PMID- 21065704 TI - [Not Available]. PMID- 21065705 TI - The nutritive value of milk and curds. PMID- 21065707 TI - Importance of yellow changshan and its plan for mass production. PMID- 21065706 TI - Studies in the synthesis of some substituted benzenesulphonamides; synthesis of of two new N-substituted p-acetaminobenzene-sulphonamides and the corresponding free p-amino compounds. PMID- 21065708 TI - The genus Aspergillus. PMID- 21065709 TI - [Not Available]. PMID- 21065710 TI - [Not Available]. PMID- 21065711 TI - Some properties of protoplasmic gels; tension in the chloroplast of Spirogyra. PMID- 21065712 TI - Day nurseries. PMID- 21065713 TI - Some sulphanilamidophenyl cyanides and related compounds. PMID- 21065714 TI - Studies on hydrogen cyanide; the action of hydrogen chloride on methyl cyanide. PMID- 21065715 TI - A case of hyperplasia of the gum. PMID- 21065716 TI - Leukoplakia. PMID- 21065717 TI - The health service; how it can be done. PMID- 21065718 TI - Keratitis pustuliformis profunda. PMID- 21065719 TI - Primary pulmonary tuberculosis in young adults. PMID- 21065720 TI - Roentgenologic findings in the lungs of victims of the Cocoanut Grove disaster. PMID- 21065721 TI - True pericardial diverticulum; report of a case, with safe operative removal. PMID- 21065723 TI - An analysis of the physical factors controlling the diagnostic quality of roentgen images; contrast and the intensity distribution function of a roentgen image. PMID- 21065722 TI - Studies on the effects of radioactive sodium and of roentgen rays on normal and leukemic mice. PMID- 21065724 TI - The Nagler reaction for recognition of Clostridium novyi (C. cedematiens). PMID- 21065725 TI - The probable pathogenicity of staphylococci from tonsils. PMID- 21065726 TI - Isolation of Brucella suis from boar's semen. PMID- 21065727 TI - Antigenic action of pertussis vaccine. PMID- 21065728 TI - An agar-decomposing organism isolated from soil. PMID- 21065729 TI - The filter paper disc method of assaying antibiotics. PMID- 21065730 TI - A simplified medium for the microbiological assay for pantothenic acid. PMID- 21065731 TI - The clinical status of the mandibular third molar. PMID- 21065732 TI - Doctors as directors. PMID- 21065733 TI - Teaching infant care to mothers. PMID- 21065734 TI - How to bring benefits of physical medicine to your own small town. PMID- 21065735 TI - What shall the patient read? PMID- 21065736 TI - For the inhalation of penicillin aerosol. PMID- 21065737 TI - Determining proper dosage. PMID- 21065738 TI - Physical therapy in mental disorder. PMID- 21065739 TI - [Not Available]. PMID- 21065740 TI - [Not Available]. PMID- 21065741 TI - The effect of environmental temperature on mouse susceptibility to poliomyelitis virus. PMID- 21065742 TI - Published misconceptions regarding the new clinically adequate curare. PMID- 21065743 TI - Absorption of phenol vapors by plants. PMID- 21065744 TI - Motherless males from irradiated eggs. PMID- 21065745 TI - [Not Available]. PMID- 21065746 TI - [Not Available]. PMID- 21065747 TI - [Not Available]. PMID- 21065748 TI - [Not Available]. PMID- 21065750 TI - [Not Available]. PMID- 21065749 TI - [Not Available]. PMID- 21065751 TI - [The solved and unsolved problems of rickets]. PMID- 21065752 TI - [Local deformations of the tissues in infusion of Planelies' sulfidine solution]. PMID- 21065753 TI - [The struggle against hypotrophy under conditions of the regional children's hospital]. PMID- 21065754 TI - The culturing of blood clots for Brucella organisms in the Bureau of Laboratories, Connecticut State Department of Health. PMID- 21065756 TI - [Concerning certain errors in the reading of intraoral roentgenophotographs]. PMID- 21065755 TI - The agglutination of Staphylococcus aureus in the presence of chorio-allantoic fluids from hens' eggs; a description of the phenomenon. PMID- 21065757 TI - [Clinics and treatment of hyperesthesia of the teeth in maxillo-facial wounds]. PMID- 21065758 TI - [Clinical and roentgenological parallels in the evaluation of consolidation of gunshot fractures of the jaws]. PMID- 21065759 TI - [Gunshot lesions of the nose after our observations in a rear evacuohospital]. PMID- 21065760 TI - [The setting of fragments of the upper jaw after gunshot lesions]. PMID- 21065761 TI - [Functional maxillar orthopedics and its social significance]. PMID- 21065762 TI - [On fixation of the lower jaw]. PMID- 21065763 TI - [Making a splint on the model]. PMID- 21065764 TI - Radiation injuries of the intestines. PMID- 21065765 TI - Arrhenoblastoma of the ovary. PMID- 21065766 TI - Origin of adrenal-like tumor of the ovary. PMID- 21065767 TI - Changes in squamous cell carcinomas of the cervix. PMID- 21065768 TI - Changes in the normal constituent tissues of the uterus. PMID- 21065769 TI - A simple method of comparing the toxic effects of related chemical agents. PMID- 21065770 TI - Infantile cortical hyperostoses. PMID- 21065771 TI - A case of ulceration of the cornea. PMID- 21065772 TI - Quantitative aspects of antigen-antibody reactions; a theory and its corollaries. PMID- 21065773 TI - [Not Available]. PMID- 21065774 TI - Haemorrhagic septicaemia or madar poisoning? PMID- 21065775 TI - Osteogenic sarcoma in great dane. PMID- 21065776 TI - [Not Available]. PMID- 21065777 TI - [Not Available]. PMID- 21065778 TI - Pemphigus vulgaris. PMID- 21065780 TI - Tuberculoid leprosy. PMID- 21065779 TI - Amyloidosis of the skin. PMID- 21065781 TI - Nevus unius lateris. PMID- 21065782 TI - Lichen striatus. PMID- 21065783 TI - Nevus pigmentosus et verrucosus. PMID- 21065784 TI - Atypical miliaria. PMID- 21065786 TI - Sporotrichosis. PMID- 21065785 TI - Tropical ulcer. PMID- 21065787 TI - A case for diagnosis (keratosis palmaris?). PMID- 21065788 TI - [Not Available]. PMID- 21065789 TI - Alopecia totalis associated with onychodystrophy. PMID- 21065790 TI - Generalized progressive scleroderma with Raynaud's syndrome. PMID- 21065791 TI - Chloasma uterinum. PMID- 21065792 TI - Ulcerative late syphilis of the throat (good results from treatment with penicillin). PMID- 21065793 TI - Pseudopelade. PMID- 21065794 TI - Multiple sarcoids (Boeck). PMID- 21065795 TI - A case for diagnosis (pigmented purpuric lichenoid dermatitis of Gougerot and Blum). PMID- 21065796 TI - Infectious eczematoid dermatitis (resistant to therapy). PMID- 21065797 TI - Tuberculosis miliaris faciei (rosacea-like tuberculid of Lewandowsky). PMID- 21065798 TI - A case for diagnosis. PMID- 21065800 TI - A case for diagnosis (exfoliative dermatitis; premycotic mycosis fungoides?). PMID- 21065799 TI - Morphea-like scleroderma. PMID- 21065801 TI - [Not Available]. PMID- 21065802 TI - A case for diagnosis (avitaminosis; pityriasis rubra pilaris?). PMID- 21065803 TI - A case for diagnosis (fungous disease; lupus erythematosus?). PMID- 21065804 TI - Acrosclerosis with Raynaud's disease. PMID- 21065805 TI - Metastatic melanoblastoma. PMID- 21065806 TI - Epidermolysis bullosa. PMID- 21065807 TI - Pityriasis rosea associated with oral lesions in a child. PMID- 21065808 TI - Purpura simplex. PMID- 21065809 TI - A case for diagnosis (contact dermatitis?). PMID- 21065810 TI - Reticulum cell sarcoma. PMID- 21065811 TI - A case for diagnosis (parapsoriasis?). PMID- 21065812 TI - A case for diagnosis (bullous eruption?). PMID- 21065813 TI - Generalized progressive scleroderma. PMID- 21065814 TI - Dermatitis herpetiformis. PMID- 21065815 TI - Bowen's disease with metastases to the right inguinal nodes. PMID- 21065816 TI - Chronic lupus erythematosus, with reticulation of the forearms. PMID- 21065817 TI - Blood; a brief survey of its chemical components and of their natural functions and clinical uses. PMID- 21065818 TI - A study of the influence of various dietary deficiencies on the response of mice to the virus of poliomyelitis. PMID- 21065819 TI - The action potential of human nerve and muscle, normally and after nerve injury. PMID- 21065820 TI - Pain in the perforating type of peptic ulcer. PMID- 21065821 TI - [Intravenous method of the treatment of labor pneumonia with sulfidine]. PMID- 21065822 TI - [The treatment of lobar pneumonia with sulfidine solutions]. PMID- 21065823 TI - [Comparative efficiency of sulfidine used intravenously in the treatment of pneumonia]. PMID- 21065824 TI - [On the treatment of lobar pneumonia with small doses of sulfidine given intravenously]. PMID- 21065825 TI - Imperforate anus. PMID- 21065826 TI - Muscle spasticity in functional aphonia and dysphonia. PMID- 21065827 TI - Collapsed intervertebral discs following lumbar puncture. PMID- 21065828 TI - Trigeminal naevus and homolateral intracranial angioma associated with hypertelorism. PMID- 21065829 TI - Genetical studies of the sesame flower. PMID- 21065830 TI - A possible source of atmospheric N2O. PMID- 21065831 TI - The function of psychology. PMID- 21065832 TI - Rediscovery in the vitamin A field. PMID- 21065833 TI - Freedom of science in Soviet Union. PMID- 21065834 TI - Hospital breast feeding clinic. PMID- 21065835 TI - PENICILLIN in mastitis of cows. PMID- 21065836 TI - Studies on the serology of the Escherichia coli group. PMID- 21065837 TI - The diminution in the number of oral organisms following the use of carbamide peroxide in glycerol. PMID- 21065838 TI - The effects of quinine on saurian malarial parasites. PMID- 21065839 TI - Folic acid requirements of the minute streptococci. PMID- 21065840 TI - All graduate nurses have a teaching responsibility. PMID- 21065841 TI - Thyrotoxicosis in a mongol. PMID- 21065842 TI - The progress of labour and palpation. PMID- 21065843 TI - Carotid pressure and the relief of headache. PMID- 21065844 TI - [Not Available]. PMID- 21065845 TI - [Not Available]. PMID- 21065846 TI - Toxicity of thiourea to rats. PMID- 21065847 TI - Influence of different synthetic oestrogenic compounds on the egg-laying capacity and the growth of poultry. PMID- 21065848 TI - Liebermann-Burchard reaction for steroids. PMID- 21065849 TI - Bud rot of areca palms in Mysore. PMID- 21065850 TI - Detection of bacteriological toxins in fluids. PMID- 21065851 TI - Soil acrasieae and their bacterial food supply. PMID- 21065853 TI - Background correction in spectrographic analysis. PMID- 21065852 TI - Treatment of agonal and clinical death. PMID- 21065854 TI - Geopathology, a branch of biometeorology. PMID- 21065855 TI - Nurse and family in VD control. PMID- 21065856 TI - Possible partial closure of the central artery. PMID- 21065857 TI - Tuberculous keratitis. PMID- 21065858 TI - Review concerning aberrations of the eye. PMID- 21065859 TI - [Amputation and reamputation]. PMID- 21065860 TI - [Arteriography in congelations in man]. PMID- 21065861 TI - [Ligature of the nerves to prevent development of end neuromas]. PMID- 21065862 TI - [On late hemorrhages in the wounded]. PMID- 21065863 TI - [Contribution to the treatment of gunshot fractures of the scapula]. PMID- 21065864 TI - [Temporary arresting of hemorrhage in the closed plaster bandage]. PMID- 21065865 TI - [Two cases of heart wounds with remote results]. PMID- 21065866 TI - [A case of sudden death from toxemic (late) shock following a wound of the extremity]. PMID- 21065867 TI - Temperature gradients in men exposed to cold. PMID- 21065868 TI - The ability of anaesthetized human subjects to breathe against continuous pressure. PMID- 21065869 TI - Effect of destroying three localized temporal lobe areas on correct conditioned differential responses of the dog's foreleg from general cutaneous stimuli. PMID- 21065870 TI - Clinical experience with hemoglobin-saline solutions. PMID- 21065871 TI - Studies on the perfusion of the isolated pancreas, factors influencing insulin production. PMID- 21065872 TI - The activation of bacterial viruses by aromatic amino acids. PMID- 21065873 TI - The respiration of nerves and arteries of adrenalectomized rats. PMID- 21065874 TI - Human centrifuge operation. PMID- 21065875 TI - The effect of the continuous administration of p-amino-propiophenone on the blood in man. PMID- 21065876 TI - A method of scoring a patient's electroencephalogram in deep breathing giving a cerebral hyperventilation index. PMID- 21065877 TI - The effect of healing agents on the wound potential of human skin. PMID- 21065878 TI - Bioelectrical studies of fatigue; recovery of fatigued polarized muscle by reversal of the poles of the galvanic current. PMID- 21065879 TI - Biological studies of fatigue; students' electroencephalograms taken at 8 AM and 5 PM. PMID- 21065880 TI - Intrapulmonary mixing curves and the detection of abnormal ventilation. PMID- 21065881 TI - Chronic motor disability resulting from repeated exposure to oxygen at high pressure. PMID- 21065882 TI - The Shear tumor necrotizing bacterial polysaccharide as a pyrogen. PMID- 21065883 TI - Continuous blood oxygen saturation in intravenous barbiturate anesthesia. PMID- 21065884 TI - Factors maintaining heat balance of the clothed man at different grades of activity in the cold. PMID- 21065885 TI - The mechanism of estrogen induced changes in dominance-subordination relationships in the female chimpanzee. PMID- 21065886 TI - Oxygen and CO2 dissociation curves of the blood of the Atlantic salmon Salmo salar salor Linnaeus acclimated to winter temperatures. PMID- 21065887 TI - Physiology of the rat at high altitudes. PMID- 21065888 TI - Inhibition of erythema of sunburn by large doses of ultraviolet radiation. PMID- 21065889 TI - Uric acid formation in the developing egg of the grasshopper Melanoplus differentialis. PMID- 21065890 TI - Electrokymograms of heart border motion: principles of record interpretation. PMID- 21065891 TI - Acid effects of ammonium compounds. PMID- 21065892 TI - Effects of acceleratory forces and their amelioration. PMID- 21065893 TI - The circulatory effects of local variations in intrathoracic pressure. PMID- 21065894 TI - Mechanical factors in the production of spinal cord injury by gunshot wounds to the vertebrae. PMID- 21065895 TI - A study of oxygen consumption in obesity. PMID- 21065896 TI - Mechanism of fertilization of eggs. PMID- 21065897 TI - Changes in specific gravity and body fat of young men under conditions of experimental semi-starvation. PMID- 21065899 TI - Trial of the thermistor as a means of estimating blood flow. PMID- 21065898 TI - Blood flow in the bronchial artery of the anesthetized dog. PMID- 21065900 TI - Shift from negative to positive brain potential in the human during general anesthesia. PMID- 21065902 TI - Physiologic effects of bilateral cerebellar removals in the primate. PMID- 21065901 TI - Studies of stilbestrol monomethyl ether. PMID- 21065903 TI - Representation of muscles in the motor cortex of the macaque. PMID- 21065904 TI - The dark adaptation of the color anomalous. PMID- 21065905 TI - The effect of sex hormones on the dominance-subordination relationships of the castrate female chimpanzee. PMID- 21065906 TI - Effect of hyperglycemia and insulin hypoglycemia on man's tolerance to positive acceleration. PMID- 21065907 TI - The effect of positive acceleration on fluid loss from blood to tissue spaces in human subjects on the centrifuge. PMID- 21065908 TI - Hydrostatic anti-blackout protection; the protection afforded man against the effects of positive acceleration by immersion in water (motion picture). PMID- 21065909 TI - Some relationships in the response of rectus abdominus muscle to acetylcholine and potassium. PMID- 21065910 TI - Crush syndrome (post-traumatic anuria). PMID- 21065911 TI - Clinical standardization of the antimalarial properties and the toxicity of plasmochin administered alone and concurrently with quinine. PMID- 21065912 TI - Cardiovascular and respiratory responses to emotion in psychopathic subjects and controls. PMID- 21065914 TI - The respiratory exchange in human subjects during prolonged exposures to moderately low simulated altitudes. PMID- 21065913 TI - On the membrane hypothesis of the antigen-antibody reaction. PMID- 21065915 TI - Autonomic and electroencephalographic effects of posture. PMID- 21065916 TI - Autonomic significance of blocking and facilitation in electroencephalogram. PMID- 21065917 TI - Rapid bursts of oxygen consumption in stimulated muscle. PMID- 21065918 TI - Hyperchromic anemia produced in dogs by choline and carbamyl choline. PMID- 21065919 TI - The change in the water metabolism and in the endocrine glands of long-surviving diabetes insipidus dogs. PMID- 21065920 TI - Inhibitory responses of pregnant cat's uterus to epinephrin and hypogastric stimulation. PMID- 21065921 TI - Physiological actions of scorpion venom. PMID- 21065922 TI - The simultaneous transport of T-1824 and radioactive red cells through the heart and lungs. PMID- 21065923 TI - The respiratory and circulatory response of normal man to 100, 18, 16, 14, 12, 10 and 8 per cent 02. PMID- 21065924 TI - The effect of continuous and of intermittent pressure breathing on kidney function. PMID- 21065925 TI - The effects of explosive decompression on human subjects. PMID- 21065926 TI - A method of observing transient leucopenia. PMID- 21065927 TI - Effects of frostbite on the minute blood vessels of a peripheral vascular bed. PMID- 21065928 TI - Cerebral metabolism of hyperthyroid-deficient and cretinous rats. PMID- 21065929 TI - A new method of representing alveolar air concentrations at altitude. PMID- 21065930 TI - The role of intra and extracellular cH in neuro-humoral stimulation. PMID- 21065931 TI - Changes in muscle proteins during atrophies of various types and the retardation of some of these changes by electrical treatment. PMID- 21065932 TI - The oxygen consumption concerned with growth in E. coli and the effect of sulfathiazole and N-propyl carbamate on it. PMID- 21065933 TI - The effect of insulin on blood cocarboxylase. PMID- 21065934 TI - Depression of the cerebral cortex induced by applications of acetylcholine. PMID- 21065936 TI - Changes in postural steadiness and pulse rate after short vigorous exertion. PMID- 21065935 TI - Renal function in the rabbit as influenced by the administration of water, anesthetics and diuretics. PMID- 21065937 TI - Physiologic icterus of the newborn. PMID- 21065938 TI - The influence on gastric secretion of fluids introduced into the intestine. PMID- 21065939 TI - Color changes in the mucosa of the colon in children as affected by food and psychic stimuli. PMID- 21065940 TI - Oxygen and carbon dioxide tensions in arterial blood and alveolar air at rest and after exercise in healthy subjects and in patients exposed to phosgene. PMID- 21065942 TI - Water intoxication and the electroencephalogram. PMID- 21065941 TI - Sensitivity to morphine during recovery from hemorrhagic shock. PMID- 21065943 TI - Decline in the rates of sweating of men working in severe heat. PMID- 21065944 TI - Further observations on humoro-electrotonic nature of stimulation, inhibition, summation and after-discharge of nerve-cells. PMID- 21065945 TI - The electrokymograph: application as a photoelectric plethysmograph. PMID- 21065946 TI - The renal clearance of thiosulfate in the dog. PMID- 21065947 TI - The respiration of erythrocytes of adrenalectomized rats in presence of various extracts. PMID- 21065948 TI - The adrenal gland and phagocytosis in the spleen. PMID- 21065949 TI - Antihormone reactions to blood, urinary and pituitary gonadotrophins. PMID- 21065950 TI - The law of the otolith organs. PMID- 21065951 TI - Effect of anoxia on man's tolerance to positive acceleration. PMID- 21065952 TI - Effect of additional carbohydrate intake without altered insulin dosage upon oxidation of dextrose by subjects with controlled diabetes mellitus. PMID- 21065953 TI - DC potentials and ulnar nerve dysfunction. PMID- 21065954 TI - Centrifugal course of functional deterioration in motor nerve deprived of circulating blood. PMID- 21065955 TI - Changes in the acid-base balance of the blood during asphyxia. PMID- 21065956 TI - Prevention of ulcer in Mann-Williamson dogs by the oral administration of intestinal extracts. PMID- 21065957 TI - Relationship of psychoneurotic changes to carbohydrate utilization in men on experimentally varied intake of B-complex vitamins. PMID- 21065958 TI - Cerebral lactic acid and phosphates in concussion. PMID- 21065959 TI - Flocculation of suspensions by immiscible liquids. PMID- 21065960 TI - The constitution of psi-santonin; the preparation of certain dimethyl naphthols. PMID- 21065961 TI - Quinolyl derivatives of p-aminobenzamide. PMID- 21065962 TI - [Not Available]. PMID- 21065963 TI - Telangiectasia of tip of nose (rosacea; lupus erythematosus?). PMID- 21065964 TI - A case for diagnosis (rosacea?). PMID- 21065965 TI - Monocytic leukemia cutis. PMID- 21065966 TI - [Not Available]. PMID- 21065967 TI - Subacute disseminated lupus erythematosus. PMID- 21065968 TI - Chronic lymphangitis of the lower lip. PMID- 21065969 TI - Lipid proteinosis, generalized. PMID- 21065970 TI - Lupus erythematosus. PMID- 21065971 TI - Tinea capitis in an adult. PMID- 21065972 TI - Lichen planus. PMID- 21065973 TI - A case for diagnosis (lichen amyloidosis [?] in two sisters). PMID- 21065974 TI - Lymphoblastoma. PMID- 21065975 TI - Juvenile xanthoma (nevoxanthoendothelioma of McDonagh). PMID- 21065976 TI - Pigmentary disturbance of the skin (poikiloderma of Civatte; Berlocque dermatitis?). PMID- 21065978 TI - Multiple osteomas. PMID- 21065977 TI - Dermatitis herpetiformis. PMID- 21065979 TI - Sarcoidosis. PMID- 21065980 TI - Trichotillomania. PMID- 21065981 TI - Myxoedema (gravitational) of legs. PMID- 21065982 TI - Multiple xanthomata apparently following gold injections. PMID- 21065983 TI - Melanoma. PMID- 21065984 TI - The practical nurse. PMID- 21065985 TI - A craft school and hospital for crippled children. PMID- 21065986 TI - Nutritional rehabilitation; with some observations on nutrition in Europe. PMID- 21065987 TI - A standardized container for parenteral fluids essential. PMID- 21065988 TI - ON finding work for the handicapped. PMID- 21065989 TI - 1500 beds; multistory favored for large hospital. PMID- 21065990 TI - Health center; designed for rural needs. PMID- 21065991 TI - Red Cross recreation service answers the patient's need to play. PMID- 21065992 TI - The community will benefit from a hospital council. PMID- 21065993 TI - Problems in pathology can be met in the small hospital. PMID- 21065994 TI - A study of streptomycin. PMID- 21065995 TI - Opinion is divided on centralized refrigeration systems. PMID- 21065996 TI - [Not Available]. PMID- 21065997 TI - Myiasis in the auditory meatus of a newborn infant. PMID- 21065998 TI - Obscure lymphosarcoma. PMID- 21065999 TI - Tuberculous rheumatism. PMID- 21066000 TI - Treatment of thyrotoxicosis with thiouracil. PMID- 21066001 TI - Five cases of congenital heart disease. PMID- 21066002 TI - Bicuspid aortic valve. PMID- 21066003 TI - Chloroma. PMID- 21066004 TI - Adrenal haemorrhage in erythroblastosis. PMID- 21066005 TI - [Not Available]. PMID- 21066006 TI - Phenanthridine compounds as chemotherapeutic agents in experimental T. cruzi infections. PMID- 21066007 TI - Absorption spectra of the exocrine cells of the pancreas. PMID- 21066008 TI - Acid hydrolysis of sulphapyridines. PMID- 21066009 TI - A shadow-casting adaptor for the electron microscope. PMID- 21066010 TI - Quantitative differentiation of minute amounts of the coproporphyrin isomers (I and III) based on fluorescence behavior. PMID- 21066011 TI - Structural changes in the brain in experimental concussion. PMID- 21066012 TI - The electroencephalographic pattern of encephalitis. PMID- 21066013 TI - Observation on work capacity, work performance and certain metabolic processes when strenous exercise was taken after isocaloric meals of low and high carbohydrate content. PMID- 21066014 TI - Respiratory efficiency at altitude. PMID- 21066015 TI - Radar measurement of rates of free fall of anthropomorphic dummies and man. PMID- 21066016 TI - Comparison of effects of positive G on subjects studied at both the Mayo and Air Technical Service Command centrifuges. PMID- 21066017 TI - Somatotopic localization in the cerebellum. PMID- 21066018 TI - Depolarisation in the spinal cord caused by asphyxiation. PMID- 21066019 TI - Ventricular fibrillation and standstill in coronary occlusion, anoxia and hemorrhage. PMID- 21066020 TI - A sodium retaining substance of the adrenal. PMID- 21066021 TI - Injury of the inner ear produced by exposure to loud tones. PMID- 21066022 TI - The 17-ketosteroids in plasma, urine and sweat. PMID- 21066023 TI - Heat death, heat injury and toxic factor. PMID- 21066024 TI - Gonad-pituitary relationship; metabolism of pituitary gonadotrophins by ovaries transplanted into the spleen. PMID- 21066025 TI - The electrokymograph: an apparatus for recording motion (for example, that of the heart shadow border). PMID- 21066026 TI - The effects of anoxia on the capillary permeability of the human arm. PMID- 21066027 TI - The effect of semi-starvation on the emptying of the human stomach. PMID- 21066028 TI - The recovery of capacity for physical performance following experimental malaria in man. PMID- 21066029 TI - The quantitation of cutaneous vascular reactions with the photoelectric plethysmograph. PMID- 21066030 TI - Renal excretion of cinchona alkaloids and some quaternary base derivatives and their effect on renal hemodynamics. PMID- 21066031 TI - The influence of diethylstilbestrol on the systolic blood pressure of normal rats. PMID- 21066032 TI - Organic phosphates and insulin. PMID- 21066033 TI - Stressful psychomotor performance and adrenal cortical function in man. PMID- 21066034 TI - The effects of the antihistamine compound pyribenzamine on colonic activity in unanesthetized dogs. PMID- 21066035 TI - Calcium in gastric mucus. PMID- 21066036 TI - Fatal doses and respiratory minute volumes in rabbits intravenously injected continuously with NaCN. PMID- 21066037 TI - The phosphates and other compounds in the gastrocnemius muscle of scorbutic guinea pig. PMID- 21066038 TI - The effect of adrenalectomy in rats on urinary non-protein nitrogen during forced feeding and during fasting. PMID- 21066039 TI - Treatment of impending hemorrhagic shock with an antihistamine agent. PMID- 21066040 TI - Phospholipids in the visual cycle. PMID- 21066041 TI - Some orthodontic problems in histologic illumination. PMID- 21066042 TI - News of Blue Cross plan for hospital care. PMID- 21066043 TI - The fate of particulate antigens in relation to the formation of antibody. PMID- 21066044 TI - Blood flow in the bronchial artery of the anesthetized dog. PMID- 21066045 TI - [Not Available]. PMID- 21066046 TI - Absence of C.S.F. after head injury. PMID- 21066047 TI - Herpes zoster in an isolated community. PMID- 21066048 TI - Deep reflexes in neural leprosy. PMID- 21066050 TI - The Barnard Free Skin and Cancer Hospital; research report for 1945. PMID- 21066051 TI - Spondylolysis and spondylolisthesis. PMID- 21066049 TI - Fluctuations in malaria incidence in Bengal. PMID- 21066052 TI - Leprosy. PMID- 21066053 TI - [Not Available]. PMID- 21066054 TI - [Not Available]. PMID- 21066055 TI - [Not Available]. PMID- 21066056 TI - The important dividing wall between caudal herniation and prolapse of abdominal or pelvic viscera. PMID- 21066057 TI - Production of experimental arteritis and periarteritis in rabbits. PMID- 21066058 TI - The treatment of G.S.W's by secondary excision and suture. PMID- 21066059 TI - Production and properties of 2,3-butanediol; fermentation of wheat by Aerobacillus polymyxa under aerobic and anaerobic conditions. PMID- 21066060 TI - Production and properties of 2,3-butanediol; pH control in Aerobacillus polymyxa fermentations and its effects on products and their recovery. PMID- 21066061 TI - Total reflexion in absorbing media. PMID- 21066062 TI - Quenching of fluorescence by van der Waals forces. PMID- 21066063 TI - Transplantation of larval ovaries in Drosophila from and to individuals susceptible to carbon dioxide. PMID- 21066064 TI - Addition compounds between sucrose and the sodium halides. PMID- 21066065 TI - Chemistry and biochemistry of the scent glands of the beaver (Castor fiber). PMID- 21066066 TI - A photosensitized keratitis in cattle dosed with phenothiazine. PMID- 21066067 TI - Anopheline life-cycles and population fluctuations. PMID- 21066068 TI - A new crystalline plant virus. PMID- 21066069 TI - Penetration of water into erythrocytes with heavy water as indicator. PMID- 21066070 TI - Quantitative assay of aneurin (vitamin B1). PMID- 21066071 TI - Effect of antiseptics on the germination of pollen grains. PMID- 21066072 TI - Silica jelly as a substrate for counting holozoic protozoa. PMID- 21066073 TI - Nature of the cyst wall of the potato-root eelworm Heterodera rostochiensis Wollenweber, and its permeability to water. PMID- 21066074 TI - The presumptive identification of antibiotics. PMID- 21066075 TI - Siderosis following intralenticular foreign body. PMID- 21066076 TI - Senile ectropion and Bowen's disease. PMID- 21066078 TI - Central choroidal disease. PMID- 21066077 TI - Corneal transplantation for keratoconus. PMID- 21066079 TI - [Not Available]. PMID- 21066080 TI - [Not Available]. PMID- 21066081 TI - [Not Available]. PMID- 21066082 TI - [Local anesthesia with sovcaine and restorative surgery]. PMID- 21066083 TI - [On the methods of contrast in roentgenological examination of fistulae of gunshot origin]. PMID- 21066084 TI - [Penetrating wounds into the abdominal cavity]. PMID- 21066085 TI - [On the methods of resection of the stomach in ulcers of the small curvature of high location]. PMID- 21066086 TI - [On the lesions of the vertebral artery]. PMID- 21066087 TI - [An improved Thomas splint for gunshot fractures of the femur]. PMID- 21066089 TI - The effect of certain compounds on the lysis of Escherichia coli by bacteriophage. PMID- 21066088 TI - [Not Available]. PMID- 21066090 TI - [Not Available]. PMID- 21066091 TI - Penicillin treatment of malignant diphtheria. PMID- 21066092 TI - Volvulus of small intestine. PMID- 21066093 TI - Telekinetic antibody reaction. PMID- 21066094 TI - Production of claviformin by soil penicillia. PMID- 21066095 TI - Penicillin in agranulocytosis. PMID- 21066096 TI - MALPRACTICE: insufficiency of evidence to show malpractice in gallbladder operation. PMID- 21066097 TI - Positive urine methylene blue test in amebic hepatitis. PMID- 21066098 TI - Acute mastoiditis. PMID- 21066100 TI - An episiotomy director. PMID- 21066099 TI - Sour milk and the tubercle bacillus. PMID- 21066101 TI - Gastric syphilis. PMID- 21066102 TI - Tuberculous sinuses. PMID- 21066103 TI - Adrenal virilism. PMID- 21066104 TI - Fracture of the pelvis complicated by intrapelvic rupture of the urethra. PMID- 21066105 TI - [Not Available]. PMID- 21066107 TI - Massive organizing hemothorax. PMID- 21066106 TI - Special medical unit. PMID- 21066108 TI - DEVELOPMENT of kidney in fishes. PMID- 21066109 TI - Further note on an improved method of locating tannins in plant sections. PMID- 21066110 TI - Fluoride intoxication anaemia in cattle. PMID- 21066111 TI - An antibacterial pigment from Fusarium javanicum. PMID- 21066112 TI - Antibiotic material from Bacillus licheniformis (Weigmann, emend. Gibson) active against species of Mycobacteria. PMID- 21066113 TI - Preparation of purified azoprotein antibodies. PMID- 21066114 TI - Alcoholic fermentation of carbohydrates and dehydrogenation of alcohols by certain wood-destroying fungi. PMID- 21066115 TI - Fermentative formation of diacetyl. PMID- 21066116 TI - Chemistry of leucaemia. PMID- 21066117 TI - Structure of salivary gland chromosomes. PMID- 21066118 TI - Ultra-sonic velocity in water. PMID- 21066119 TI - Tropomyosin: a new asymmetric protein component of muscle. PMID- 21066120 TI - A substance with sympathin E properties in spleen extracts. PMID- 21066122 TI - Waterproofing mechanism of an insect egg. PMID- 21066121 TI - Preservation of ascorbic acid in vegetables by hydrogen sulphide during air drying. PMID- 21066123 TI - Extrusion of nucleic acid from the nuclei of human granulocytes. PMID- 21066124 TI - Obligate parasitism. PMID- 21066125 TI - Simple photometric method of determining the rate of bacterial growth. PMID- 21066126 TI - Potato bolters; an explanation based on photoperiodism. PMID- 21066127 TI - Relation between dark adaptation and age. PMID- 21066128 TI - Group psychotherapy with veterans. PMID- 21066129 TI - Penicillin in the treatment of perforating injuries of the eye. PMID- 21066130 TI - Recurrent detachment of the choroid following trephining operation. PMID- 21066131 TI - Penetrating injuries of the eye; a statistical survey. PMID- 21066132 TI - [Not Available]. PMID- 21066133 TI - [Not Available]. PMID- 21066134 TI - [Not Available]. PMID- 21066135 TI - An old theory of the origin of syphilis. PMID- 21066136 TI - PUBLIC charities and leprosy in ancient Byzantium. PMID- 21066137 TI - Squamous cell carcinoma involving the facial sinuses and air passages in a horse. PMID- 21066138 TI - Streptococcic septicemia in a greyhound. PMID- 21066139 TI - The expression and interaction of hereditary factors affecting hair growth in mice; external observations. PMID- 21066140 TI - The nucleus of Entamoeba histolytica in action. PMID- 21066141 TI - A system of separable genetic determiners in the cytoplasm of Paramecium aurelia, variety 4. PMID- 21066142 TI - Interchanging genetic mechanisms for sex determination in fishes (Platypoecilus maculatus) under domestication. PMID- 21066143 TI - The distribution of sex genes in Drosophila melanogaster. PMID- 21066144 TI - Prophase behavior a key to the mechanism of somatic reduction in Culex pipiens. PMID- 21066145 TI - A theory of size limitation in animals. PMID- 21066146 TI - Influence of radiation on a definite developing tissue. PMID- 21066147 TI - Spermatozoa counts in albino rats. PMID- 21066148 TI - Absence of synergistic action of estrogens and androgens in the formation of medullary bone in the English sparrow, Passer domesticus. PMID- 21066149 TI - Hemoglobin concentrations in the blood of normal and synthetic estrogen treated turkeys. PMID- 21066150 TI - Relation of protein-deficient diets to the effectiveness of hormones of the adrenal cortex. PMID- 21066151 TI - Seasonal response of follicles in the bat Myotis grisescens to pregnancy urine gonadotrophin. PMID- 21066152 TI - Time of opening of the vaginal closure membrane in weanling guinea pigs in relation to concentration of estrogen. PMID- 21066153 TI - Volume of oil and route of administration as factors influencing the response of rats and mice to testosterone propionate. PMID- 21066154 TI - Interrelations of acetylcholine, potassium ions and electrical stimuli on the inhibition of the heart of Mya arenaria. PMID- 21066155 TI - The food-vacuole in Paramecium. PMID- 21066156 TI - Uric acid formation in the developing egg of the grasshopper Melanoplus differentialis. PMID- 21066157 TI - The physiological relationship between typhus rickettsiae and their host cells. PMID- 21066159 TI - Sweat glands in the rat and their response to prolonged nervous stimulation. PMID- 21066158 TI - Acetylcholine and related substances in the cockroach, fly and crayfish and the effect of DDT. PMID- 21066160 TI - The effect of arsenite on alcohol intoxication. PMID- 21066161 TI - The effects of various gases on colon activity in the adult man. PMID- 21066162 TI - The growth rate of human skin measured by the decline in wound potential of experimental abrasions. PMID- 21066163 TI - Dominance reaction in a small flock of goats. PMID- 21066165 TI - Reproductive cycle of the female ground squirrel. PMID- 21066164 TI - A peculiar cytosomal structure in Homalozoon vermiculare (Stokes). PMID- 21066166 TI - Sperm formation in viviparid snails. PMID- 21066167 TI - The relation between age, number, and types of cells in the peripheral circulation of chicken embryos under normal and experimental conditions. PMID- 21066168 TI - The argentaffin cells of the digestive tract of the monkey (Macaca mulatta). PMID- 21066169 TI - The occurrence of argentaffine cells in the uterus of the opossum. PMID- 21066170 TI - Atrophy and degeneration in inactive cells. PMID- 21066171 TI - The development of the vascular pattern within the walls of the spinal cord of the chick embryo. PMID- 21066172 TI - The effect of the adult anuran anterior pituitary hormone on the testes of the immature bullfrog, Rana catesbiana. PMID- 21066173 TI - Chorio-allantoic transplants of rat kidney. PMID- 21066175 TI - The growth, maturity and senescence of graafian follicles in the adult ovary of the opossum, Didelphys virginiana. PMID- 21066174 TI - Phosphorylation in the frog gastrula. PMID- 21066176 TI - The development of the rate testis and ovarii of the albino rat. PMID- 21066177 TI - The comparative distribution of two chromatophorotropic hormones (CDH and CBLH) in crustacean systems. PMID- 21066178 TI - A method for the surgical excision of the sinus-gland from the crustacean eye stalk. PMID- 21066179 TI - Behavior of Sceloporus grammicus microlepidotus as modified by certain endocrines. PMID- 21066180 TI - A study of the effects of sex hormones upon the embryonic reproductive system of the white Pekin duck. PMID- 21066181 TI - The effects of daily injections of adrenalin in adult male albino mice. PMID- 21066182 TI - Experimental stimulation of the female reproductive tract in the opossum (Didelphys virginiana). PMID- 21066183 TI - The effect of the corpus luteum hormone upon the uterus of the developing opossum, Didelphys virginiana. PMID- 21066184 TI - Modifications in feather pattern and growth rate following thiouracil medication in the domestic fowl. PMID- 21066185 TI - Species variation in the thyrotropic hormones of vertebrates. PMID- 21066186 TI - The renewal of spermatogenesis in hypophysectomized rats and mice. PMID- 21066187 TI - The comparison of some precipitin techniques in the study of animal relationships. PMID- 21066188 TI - The gross nervous system of Haemopis marmoratis. PMID- 21066189 TI - Relation between type of metabolism and effect of cyanide on Pelomyxa carolinensis. PMID- 21066190 TI - Physiological factors that determine the effects of hyperventilation on the electroencephalogram. PMID- 21066192 TI - Limits of tolerance to hot atmosphere. PMID- 21066191 TI - Hypoxic decortication of birds. PMID- 21066193 TI - Evidence of a trophic action of acetylcholine in a planarian. PMID- 21066194 TI - The kinetics of visual processes; critical flicker frequency as a function of intensity. PMID- 21066195 TI - The kinetics of visual processes; brightness discrimination and visual acuity as functions of intensity. PMID- 21066196 TI - Sex ratio in wild populations of the coelenterate, Campanularia flexuosa. PMID- 21066197 TI - Absence of axial gradation in the tissue-differential susceptibility of the planarian, Dugesia tigrina, to hexenolactone. PMID- 21066198 TI - Physiology of insect diapause: the role of the brain in the production and termination of pupal dormancy in the giant silkworm, Platysamia cecropia. PMID- 21066199 TI - A method for the measurement of velocity and volume-flow of blood in the inferior vena cava of intact dogs. PMID- 21066200 TI - The oxidation and inactivation of poison ivy irritants and other phenolic derivatives by peroxidase and hydrogen peroxide. PMID- 21066201 TI - Histological comparison of brains of vitamin A and E deficient chicks. PMID- 21066202 TI - Observations on the growth of Entamoeba histolytica. PMID- 21066203 TI - Trematoda as parasites of the Bufoninae (Amphibia). PMID- 21066204 TI - Cestoda and Acanthocephala parasitic in the Bufoninae (Amphibia). PMID- 21066205 TI - The lymphatics of the tunica vaginalis with special reference to hernia and hydrocoele. PMID- 21066206 TI - The development of the auditory ossicles in man. PMID- 21066207 TI - The relationship of the parathyroid glands to the action of estrogen on bone. PMID- 21066208 TI - Observations on the early differentiation of motor neuroblasts in the spinal cord of the chick. PMID- 21066209 TI - The adult thyroglossal duct. PMID- 21066210 TI - Ovarian influence on the response of the anterior pituitary to estrogens. PMID- 21066211 TI - Cutaneous distribution of superficial radial nerve in rhesus monkeys as determined by the electrical skin resistance method. PMID- 21066212 TI - Physiological effects of chromatolysis and of virus invasion of motor neurons. PMID- 21066213 TI - Studies on the inguinal region; the anatomy of the inguinal canal. PMID- 21066214 TI - Absence of phagocytosis by epithelial reticular cells of thymus of white rat. PMID- 21066216 TI - A graphic method of anatomy. PMID- 21066217 TI - Some observations on Purkinje fibers of the moderator band of the heart. PMID- 21066215 TI - Estrogen-progesterone interaction in the sex-cycle of the chimpanzee. PMID- 21066218 TI - The effects of iron and thyroxine on the anemia following hypophysectomy in the adult female rat. PMID- 21066219 TI - The localization of different phosphatases in the duodenal epithelium. PMID- 21066220 TI - Increased plasma cell production following adrenal cortical stimulation. PMID- 21066221 TI - Migration of skin in the embryo and its bearing on the adult dermatome pattern. PMID- 21066222 TI - The anatomy and function of the foreleg in salamander locomotion. PMID- 21066223 TI - A test for determining the approximate time of ovulation in women and in monkeys. PMID- 21066224 TI - Some effects of diet on the structure of the pancreas. PMID- 21066226 TI - Genetically determined duplication and twin formations in the house mouse. PMID- 21066225 TI - The histology of vitamin E deficient rabbit hearts. PMID- 21066227 TI - Highly unsaturated fatty acids and pigmentation of adipose tussue in vitamin E deficient rats. PMID- 21066228 TI - The contribution of the thecainterna cells to the formation of the human corpus luteum. PMID- 21066229 TI - Study of deformations of the skull by the stresscoat technique. PMID- 21066230 TI - A secondary sexual character that develops in men but not in women upon ageing of an organ present in both sexes. PMID- 21066231 TI - The differentiation of alpha and beta cells in the pancreatic islets of the guinea pig. PMID- 21066232 TI - A fourth cell type in the anterior hypophysis of the dog. PMID- 21066233 TI - The effect of graded doses of thyroxin upon experimental goiters induced by promizole. PMID- 21066234 TI - Intercellular granules in tissue cultures of the brain. PMID- 21066235 TI - Localization of chemoreceptor reflexes in the abdominal bodies of the rat. PMID- 21066236 TI - The significance of the character of the interstitial cells of the testis in the aged dog. PMID- 21066237 TI - The changes in the elastic tissue of the uterine wall during pregnancy and after parturition. PMID- 21066238 TI - Atypical megaloblasts in bone marrows from patients with partial deficiencies of liver principle. PMID- 21066239 TI - Spontaneous and induced cortico-adrenal tumors in mice. PMID- 21066240 TI - Pregnancy in the rat after by-passing or removal of the cervix. PMID- 21066241 TI - Innervation of the submandibular gland. PMID- 21066242 TI - Correlations of organ weights with body weight and body length and with other organs. PMID- 21066243 TI - Equine gonadotropin and its effect on spermatogenesis in hypophysectomized rats. PMID- 21066244 TI - A study of the development of the muscle-tendon attachment in the rat. PMID- 21066245 TI - Distribution of compression forces in the tarsal region of the foot. PMID- 21066246 TI - Variations in blood supply of liver, gallbladder, stomach, duodenum and pancreas. PMID- 21066247 TI - Morphological and histochemical observations on the preputial gland of the rat. PMID- 21066248 TI - Electromyography during voluntary movements. PMID- 21066249 TI - Observations on adult mammalian skeletal muscle cultivated in vitro. PMID- 21066250 TI - Recovery of spermatogenic activity in the cryptorchid testis following replacement in the scrotum. PMID- 21066251 TI - The control of pH in roller tube cultures. PMID- 21066252 TI - Conditions favoring the growth of adult skin epithelium in vitro. PMID- 21066253 TI - Observations on the development of the motor nuclei of the facial nerve in man. PMID- 21066254 TI - Endometrial vascular reactions and the mechanism of nidation. PMID- 21066255 TI - Gonadotropic hormones in triple parabiotic rats. PMID- 21066256 TI - The structure and significance of blood vessels in peripheral nerves (vasa nervorum). PMID- 21066257 TI - Regulation and localization in the hind limb bud of the chick embryo. PMID- 21066258 TI - Normal variation as landmarks of inherent differences in mammalian growth processes. PMID- 21066259 TI - Neuroanatomical changes following administration of plasmocid. PMID- 21066260 TI - The development and histology of pituitary concretions in man. PMID- 21066261 TI - Quantitative studies of the reaction of purified fibrin with acid and basic dyes, including some applications to histochemical problems. PMID- 21066262 TI - Non-essentiality of hypophysis for maintenance of pregnancy in rhesus monkeys. PMID- 21066263 TI - Volumetric analysis and morphology of the cerebellar nuclei in primates. PMID- 21066264 TI - The differentiation of posterior neural plate mesoderm in heterotopic sites. PMID- 21066265 TI - Changes in head tissue impedance of the albino rat under conditions of continuous electric shock. PMID- 21066266 TI - The volume of the sacral canal. PMID- 21066267 TI - Factors influencing brown degeneration in the adrenal cortex of the mouse. PMID- 21066268 TI - Studies of the adrenal lipids with relation to foster nursing and cancer. PMID- 21066269 TI - Size, special form and pattern of the human brain in the light of evolution. PMID- 21066270 TI - Portal vein ligation and the Eck fistula in the rat. PMID- 21066271 TI - Reaction of the digoxin-damaged cardiac muscle of rats to subcutaneously injected vital dyes. PMID- 21066272 TI - Early history of the human germ cells. PMID- 21066273 TI - Ossifying cartilage in the hearts of gray Norway rats. PMID- 21066274 TI - The anatomical and physiological bases of concussion shock (blast syndrome). PMID- 21066275 TI - The fissula ante fenestram of the human temporal bone. PMID- 21066276 TI - Observations on the form and relations of the maternal and fetal vessels in the placenta of the sheep. PMID- 21066277 TI - Response of prepuberal mice to equine gonadotropin. PMID- 21066278 TI - Spinal projections of brainstem in rhesus monkey deduced from retrograde chromatolysis. PMID- 21066279 TI - Nerve re-growth in the central nervous system. PMID- 21066280 TI - The effect of environment on hair growth and sebaceous glands. PMID- 21066281 TI - The spinal origin of the ventral supraoptic decussation (Gudden's commissure) in the spider monkey. PMID- 21066282 TI - Certain effects of progesterone and estrogens on the estrous cycle of the rat. PMID- 21066283 TI - Rosette formation in maldeveloped brains. PMID- 21066284 TI - Mitotic activity in the rat hypophysis after injection of estrogenic and luteal hormones. PMID- 21066285 TI - Cortical areas of the albino rat. PMID- 21066286 TI - The production of ascites and liver necrosis following the injection of alloxan plus cysteine. PMID- 21066287 TI - Electrical stimulation of the ovulatory mechanism in the rabbit. PMID- 21066288 TI - Cerebral topography of the giant panda. PMID- 21066289 TI - On the effect of massive single x-ray doses on the semilunar ganglion of the rabbit. PMID- 21066290 TI - Lymphatic vessels of the fallopian tube. PMID- 21066291 TI - On the ventral external arcuate fibers of man. PMID- 21066292 TI - Histomorphology of bone in osteogenesis imperfecta. PMID- 21066293 TI - Partial maturation of leukemic myeloblasts following fresh plasma transfusions. PMID- 21066294 TI - Lymphocytogenesis in human lymph nodes. PMID- 21066295 TI - The effect of colchicine on the rat embryo. PMID- 21066296 TI - Extrapyramidal projections from the cat's cerebral cortex. PMID- 21066297 TI - Mammary structure and secretion in premature and full-term infants. PMID- 21066298 TI - [Not Available]. PMID- 21066299 TI - [Not Available]. PMID- 21066300 TI - The kinetics of visual processes; critical flicker frequency as a function of intensity. PMID- 21066301 TI - Brightness discrimination and visual acuity as function of intensity. PMID- 21066302 TI - Silicones and blood coagulation. PMID- 21066303 TI - Effect of intermittent exposure to a simulated altitude of 30,000 feet on memory in guinea pigs. PMID- 21066304 TI - A mathematical analysis of pulse volume determinant. PMID- 21066305 TI - Certain influences affecting the cardiac recovery index of medical students. PMID- 21066306 TI - Relation between breath holding and endurance in running, and the Harvard step-up test score. PMID- 21066307 TI - Mechanical efficiency of the heart in experimental heart failure. PMID- 21066308 TI - Flicker fusion frequency thresholds during positive acceleration. PMID- 21066309 TI - The human tolerance for potassium. PMID- 21066310 TI - Effects of alterations in the arterial tensions of carbon dioxide and oxygen on cerebral blood flow and cerebral oxygen consumption of normal young men. PMID- 21066311 TI - Experimental human starvation; general and metabolic results of a loss of one fourth the body weight in six months. PMID- 21066313 TI - Survival time and metabolic rate of starving rats. PMID- 21066312 TI - Effects of deceleration on the electrocardiogram (lead II) in the cat in the supine position. PMID- 21066314 TI - Body temperature and cutaneous sensitivity to tingling and pain. PMID- 21066315 TI - Evidence of a synergism between pyribenzamine HCl and sympathetico-mimetic drugs in humans. PMID- 21066316 TI - Frequency-intensity curves of normal, denervated and recovering gastrocnemii of the dog. PMID- 21066317 TI - Warm-up period in physical exercise in relation to brain potential. PMID- 21066318 TI - Glomerular filtration and renal plasma flow during renal and splanchnic nerve stimulation in dogs in relation to arterial hypertension. PMID- 21066319 TI - The muscle membrane during contracture. PMID- 21066320 TI - Physiologic studies of man's g tolerance in aircraft. PMID- 21066321 TI - Direct determination of man's blood pressure on the human centrifuge during positive acceleration. PMID- 21066322 TI - The pharmacology of some new vaso-depressor compounds. PMID- 21066323 TI - After discharge from sympathetic ganglion cells following preganglionic nerve stimulation. PMID- 21066324 TI - The influence of testosterone propionate on the plasma proteins of hypothyroid rats. PMID- 21066325 TI - Hyperpneic tetany in commercial aircraft passengers. PMID- 21066326 TI - A central action of adrenalin in raising blood sugar. PMID- 21066327 TI - The mechanism of the fall in arterial pressure produced by high spinal anesthesia in patients with essential hypertension. PMID- 21066328 TI - The influence of sugar and other metabolites on the respiratory exchange of eviscerated normal and deprancreatized dogs. PMID- 21066329 TI - Potentiating and pressor action of some n-substituted hexylamines. PMID- 21066330 TI - The effect of methionine on the growth of protein-deficient rats exposed to benzene. PMID- 21066331 TI - The effect of inhaled methyl disulphide on benzene poisoning in dogs. PMID- 21066332 TI - The relationship of alveolar and arterial oxygen tensions. PMID- 21066333 TI - Studies on the mixing of gases within the respiratory system with a new type nitrogen meter. PMID- 21066334 TI - Diuresis resulting from intravenous infusion of urine. PMID- 21066336 TI - An automatic device for continuous frequency analysis of electroencephalograms. PMID- 21066335 TI - Use of the immature guinea-pig for estrogen assay. PMID- 21066337 TI - Studies on the cause of pain in high altitude bends. PMID- 21066338 TI - Effect of anoxic anoxia on stomach emptying time of rats fed corn oil. PMID- 21066339 TI - A slide rule for pH of indicators and buffers and for bicarbonate equilibria. PMID- 21066340 TI - Concentric zones of distribution of fluorine in milk and dental caries. PMID- 21066341 TI - The nitrogen content of human total plasma proteins. PMID- 21066342 TI - Nicotinic acid in unmalted and malted cereals and other foods. PMID- 21066343 TI - A critical examination of the estimation of methionine by H2O2 oxidation. PMID- 21066344 TI - Some new evidence on the dynamics of red blood cell destruction. PMID- 21066345 TI - Fundamental principles in partial denture construction. PMID- 21066347 TI - Food utilization in genetic obesity of mice. PMID- 21066346 TI - PARA-AMINOBENZOIC acid and rickettsiae infections. PMID- 21066348 TI - Sexual dimorphism in natal color patterns of the domestic fowl. PMID- 21066349 TI - The chemical composition of chromosomes. PMID- 21066350 TI - Effects of cytoplasm and gene dosage on expression of male sterility in Dactylis glomerata. PMID- 21066351 TI - The analysis of chromomere patterns in man. PMID- 21066352 TI - The Rh factor and mental disorders. PMID- 21066353 TI - Mosaic dominance in the inheritance of the color patterns in the ladybird beetle, Harmonia axyridis. PMID- 21066354 TI - Studies on bacterial mutability; the time of appearance of the mutant. PMID- 21066355 TI - FREE hospitalization proposed for all in Saskatchewan. PMID- 21066357 TI - Desirable features in chronic disease hospitals. PMID- 21066356 TI - The admission office of an outpatient department. PMID- 21066358 TI - Saskatchewan Government provides flying ambulance. PMID- 21066359 TI - A post-operative recovery room and blood bank. PMID- 21066360 TI - Methods of measuring the quality of nursing care. PMID- 21066361 TI - Mediocrity is the charge against psychiatric hospitals. PMID- 21066362 TI - Multiphasic personality inventory. PMID- 21066363 TI - General hospitals join the fight to eradicate tuberculosis. PMID- 21066364 TI - Reorganizing a nation's hospitals. PMID- 21066365 TI - Rehabilitation is a good investment. PMID- 21066366 TI - [Not Available]. PMID- 21066368 TI - [Not Available]. PMID- 21066367 TI - Male or female child. PMID- 21066370 TI - [Not Available]. PMID- 21066369 TI - [Not Available]. PMID- 21066371 TI - The fate of gelatine administered intravenously to human subjects. PMID- 21066372 TI - [Not Available]. PMID- 21066373 TI - [Not Available]. PMID- 21066374 TI - [Not Available]. PMID- 21066375 TI - [Not Available]. PMID- 21066376 TI - [Not Available]. PMID- 21066377 TI - [Not Available]. PMID- 21066378 TI - [Not Available]. PMID- 21066379 TI - A note on anopheline vectors of Wuchereria bancrofti in West Africa. PMID- 21066380 TI - New host records for Microphallus ovatus Osborn, 1919. PMID- 21066381 TI - The effect of d-tubocurarine on the electrical activity of dogs' brains. PMID- 21066382 TI - Studies on the chromic toxicity of DDT in the dog. PMID- 21066383 TI - Comparative toxicity of penicillin for animals and plants. PMID- 21066384 TI - Comparison of opiates, demerol, and cobra venom on cats' pupils. PMID- 21066385 TI - Influence of snake venoms on prothrombin time of normal and hemophiliac blood. PMID- 21066386 TI - Thromboplastic properties of mercurial diuretics. PMID- 21066387 TI - Experimental detoxicification of pemphigus blood. PMID- 21066388 TI - The effects of blood pressure changes, reflexly induced, on glomerular activity and renal plasma flow in the unanesthetized rabbit. PMID- 21066389 TI - Electrocardiographic changes in hemorrhagic and ischemic compression shock. PMID- 21066390 TI - The effect of air movement on human response to heat and humidity. PMID- 21066391 TI - Anticonvulsant effect of pregnenolone. PMID- 21066392 TI - Respiratory water loss at ground level and altitude. PMID- 21066393 TI - Effects of proteins on the resistance to anesthesia produced by barbiturates. PMID- 21066394 TI - The use of carbon dioxide in preventing post-exercise orthostatic circulatory insufficiency. PMID- 21066395 TI - The experimental production of static tremor. PMID- 21066396 TI - Analysis of the normal T-1824 disappearance curve. PMID- 21066397 TI - Dog hemoglobin parenterally well utilized to maintain weight and nitrogen balance; utilization improved by dl-methionine but not by dl-isoleucine. PMID- 21066398 TI - Breath holding time in anxiety states. PMID- 21066399 TI - Heat exchanges of man in cold outdoor environments. PMID- 21066400 TI - Vitamin A levels of dog plasma. PMID- 21066401 TI - On the role of acetylcholine during nerve activity. PMID- 21066402 TI - A gradient of gastro-intestinal motility following hemorrhage. PMID- 21066403 TI - Determinations of cardiac output in the dog by the ballistic method. PMID- 21066404 TI - Intermittency of blood flow in peripheral fields. PMID- 21066405 TI - Anoxia, carbon dioxide and liver glycogen. PMID- 21066406 TI - The influence of wine on gastric acidity. PMID- 21066407 TI - Circulatory failure induced by partial cerebral ischemia. PMID- 21066408 TI - Performance as related to composition of alveolar air. PMID- 21066410 TI - The vascular action of beta-dimethylaminoethyl benzhydryl etherhydrochloride (benadryl). PMID- 21066409 TI - Comparative effects of adrenal cortex hormones on hepatic glycogen deposition and muscle-work performance. PMID- 21066411 TI - Further studies on inhibition of cytochrome oxidase by thiouracil in thyroid and bone marrow. PMID- 21066412 TI - The relation of the foot of the pre- and postcentral gyrus to taste in the monkey and chimpanzee. PMID- 21066413 TI - The metabolism of pregnenolone. PMID- 21066414 TI - Further observations on effects of g forces. PMID- 21066415 TI - The sensitization of the myocardium to sympathetic stimulation during acute DDT intoxication in animals. PMID- 21066416 TI - Duration of renal ischemia required in dogs to produce damage of lethal degree. PMID- 21066417 TI - The relationship between measures of night vision and dark adaptation. PMID- 21066418 TI - Factors determining pH and titratable acid of the urine. PMID- 21066419 TI - Motion picture demonstration of the neurologic and reflex status of the human with completely divided spinal cord. PMID- 21066420 TI - Adverse influence of increased oxygen pressure on malarial parasites in vitro and in vivo. PMID- 21066421 TI - The pressure-volume diagram of the thorax and lung. PMID- 21066422 TI - The effect of calcium pantothenate on survival in adrenalectomized rats. PMID- 21066423 TI - Determination of the circulating cell volume by a partial washout method. PMID- 21066424 TI - Some local processes concerned in the genesis of traumatic shock. PMID- 21066425 TI - The metabolism of the kidney in experimental renal hypertension. PMID- 21066426 TI - A method for determining reduction time of cutaneous blood, and its significance in relation to certain physiological changes. PMID- 21066427 TI - X-ray diffraction studies on bones. PMID- 21066428 TI - Evidence that the major portion of the gastric potential originates between the submucosa and mucosa. PMID- 21066429 TI - Calculation of the arterial uptake and stroke volume from the pressure pulse contour. PMID- 21066430 TI - Aminoalkyl esters of thiazolecarboxylic and thiazolyl-4-acetic acids. PMID- 21066431 TI - The laboratory man and his future. PMID- 21066432 TI - An unusual case of obstructed labour. PMID- 21066433 TI - Traumatic rupture of large spleens. PMID- 21066434 TI - The chemical reaction of blood simulated by gum. PMID- 21066435 TI - A case of rigidity of cervix. PMID- 21066437 TI - Gastrocolic fistula; an experimental study. PMID- 21066436 TI - Eosinophilic pseudo-leukemia. PMID- 21066440 TI - [Not Available]. PMID- 21066439 TI - [Not Available]. PMID- 21066438 TI - [Not Available]. PMID- 21066441 TI - [Not Available]. PMID- 21066442 TI - [Not Available]. PMID- 21066443 TI - [Not Available]. PMID- 21066444 TI - [Not Available]. PMID- 21066445 TI - [Not Available]. PMID- 21066446 TI - [Not Available]. PMID- 21066447 TI - CHRONIC progressive chorea. PMID- 21066448 TI - PROGRESSIVE pontine degeneration. PMID- 21066449 TI - PRESENILE dementia. PMID- 21066450 TI - CONFUSIONAL psychosis. PMID- 21066452 TI - [Not Available]. PMID- 21066451 TI - Vaginitis. PMID- 21066453 TI - [Not Available]. PMID- 21066454 TI - Fertilization in Ulmus with special reference to hybridization procedure. PMID- 21066455 TI - [Not Available]. PMID- 21066456 TI - Health and safety program; physical examinations, absenteeism and safety education. PMID- 21066457 TI - Psychological work in a brain injuries unit. PMID- 21066458 TI - [Comment on Milroy Paul's article, Cavernous haemangioma of the orbit successfully removed by Shugrue's operation]. PMID- 21066459 TI - Adventures in subnormal vision. PMID- 21066460 TI - [Not Available]. PMID- 21066461 TI - [Not Available]. PMID- 21066462 TI - [Not Available]. PMID- 21066464 TI - Progress in hospital pharmacy. PMID- 21066463 TI - [Not Available]. PMID- 21066465 TI - Contributions of veterinary medicine to victory. PMID- 21066466 TI - The Rh blood factors; a resume. PMID- 21066467 TI - Disorders of the scalp. PMID- 21066468 TI - Standards for operation in institutional function. PMID- 21066469 TI - [Not Available]. PMID- 21066470 TI - Interaction of nerve impulses in the gray matter as a mechanism in central inhibition. PMID- 21066471 TI - The bulbar inhibitory mechanism in concussion. PMID- 21066472 TI - Inhibition of procaine induced convulsions by its split products. PMID- 21066473 TI - A study of Q-T interval in various species. PMID- 21066474 TI - The cardiac component of the orienting reflex. PMID- 21066475 TI - The effect of oxygen, altitude, and exertion on breath-holding time. PMID- 21066476 TI - Factors affecting bubble volume in the tissues at various altitudes. PMID- 21066477 TI - The effect of histamine, administered intravenously in increasing concentration, on the pain threshold of normal subjects. PMID- 21066478 TI - Inhibition of the emetic effect of intravenous glutamic acid in dogs. PMID- 21066479 TI - The relation of the deep opercular cortex to taste. PMID- 21066480 TI - Physiological reactions of men working in the cold in relation to the amount of clothing worn. PMID- 21066481 TI - The lymphatic conveyance of thyroid hormone. PMID- 21066482 TI - Cholinesterases in peripheral nerve fibers. PMID- 21066483 TI - Reflex activity in the lower extremities after verified transection of the spinal cord in man. PMID- 21066484 TI - The characteristic pattern of changes in nitrogen metabolism after trauma. PMID- 21066485 TI - A comparative study of the methods for resuscitation from carbon monoxide asphyxia. PMID- 21066486 TI - Renal blood flow and renal clearance during hemorrhagic shock. PMID- 21066487 TI - Effect of the diet upon the renotropic, nephrosclerotic, cardiotropic and adrenotropic actions of crude anterior pituitary preparations. PMID- 21066488 TI - The action of sulfanilimide on the resting potential of frog nerve. PMID- 21066489 TI - Acclimatization of men to high temperatures. PMID- 21066490 TI - Age changes in kidney function of human subjects. PMID- 21066491 TI - Degenerative changes in the C.N.S. of albino rats by exposure to 02 at high pressure. PMID- 21066492 TI - Electrocardiographic changes in semi-starvation. PMID- 21066493 TI - Interplay of half-centers. PMID- 21066494 TI - The action of tyrosinase on proteins. PMID- 21066495 TI - The effect of sympathectomy and tilting on arterial blood pressure, cardiac output and right atrial pressure in man. PMID- 21066496 TI - The protective action of cystine and methionine in rats exposed to methyl chloride. PMID- 21066497 TI - The effect of pentobarbital sodium upon the resistance to asphyxia in the newborn. PMID- 21066498 TI - Influence of the superior colliculus upon the vestibulo-ocular reflex. PMID- 21066499 TI - Loss of righting reflexes in experimental cerebral concussion. PMID- 21066500 TI - The site of uncoupling of phosphorylation from carbohydrate metabolism in the presence of NaN3. PMID- 21066501 TI - Mechanism of azide inhibition of synthetic activity and its relation to phosphorylation. PMID- 21066502 TI - The action of adrenaline and acetylcholine on partially isolated neurones of the central nervous system. PMID- 21066503 TI - The two basic mechanisms of sensory discrimination. PMID- 21066504 TI - The effect of various degrees of intermittent anoxia on body weight loss in normal rats. PMID- 21066505 TI - Effects of carbon dioxide administration on cerebral metabolism in hypoxia. PMID- 21066506 TI - The effect of massage upon denervation atrophy of skeletal muscle. PMID- 21066507 TI - Explosive decompression--human subjects (motion picture). PMID- 21066508 TI - Visual areas I and II of cerebral cortex of rabbit. PMID- 21066509 TI - The axial stream in the aorta of dogs and cats. PMID- 21066510 TI - The effect of six months of semi-starvation on the maximal oxygen intake. PMID- 21066511 TI - Effect of p-aminopropiophenone induced methemoglobinemia on the oxygenation of working muscle in human subjects. PMID- 21066512 TI - Some properties of maximal electroshock seizures. PMID- 21066513 TI - A comparison of time relations in convulsive and nonconvulsive responses to cortical stimulation. PMID- 21066514 TI - Referred somatic pain does not follow a simple "segmental" pattern. PMID- 21066515 TI - Influence of thyrotropin on iodine metabolism in the thyroid glands of hypophysectomized rats. PMID- 21066517 TI - The turbulent flow factor in cardiac work. PMID- 21066518 TI - Additional observations on the prophylaxis of experimental renal hypertension with renal extracts. PMID- 21066516 TI - Action of certain autonomic agents on the blood pressure rise produced in dogs by acute oxygen lack. PMID- 21066519 TI - The effect of unilateral nephrectomy on the development and maintenance of experimental renal hypertension. PMID- 21066520 TI - Treatment of experimental renal hypertension with beef and sheep renal extracts. PMID- 21066521 TI - Treatment of experimental renal hypertension with hog renal extract fractions. PMID- 21066522 TI - The influence of ergotoxine on survival time of rats in shock. PMID- 21066523 TI - The response of the triceps surae of the adrenalectomized and normal rat to single and multiple stimulation. PMID- 21066524 TI - Additional evidence on the afferent nervous factor in experimental traumatic shock. PMID- 21066525 TI - Some factors influencing the anaerobic glycolysis. PMID- 21066526 TI - Variability in the energy cost of standard exercises. PMID- 21066527 TI - Effects of temperature gradients on the intensity, duration and thresholds of experimental traumatic pain. PMID- 21066528 TI - Effects of thermal gradients and thermal equalization on latent pain and hyperalgesia resulting from injury. PMID- 21066529 TI - The mode of action of DDT. PMID- 21066530 TI - Cardiovascular responses to explosive decompression. PMID- 21066531 TI - Continuous intravenous infusion of alkalinizing agents during impending hemorrhagic shock conditions. PMID- 21066532 TI - The permeability of the placenta to radioactive ions. PMID- 21066533 TI - The nociceptive contraction of the musculus cutaneus maximus in the guinea pig as elicited by radiant thermal skin stimulation; temporal and spatial summation and susceptibility to centrally acting analgetic drugs. PMID- 21066534 TI - Brain structure after intermittent exposure to simulated high altitudes. PMID- 21066536 TI - The effect of anti-blackout suits on blood pressure changes produced on the human centrifuge. PMID- 21066535 TI - Voluntary (self-protective) maneuvers which can be used to increase man's tolerance to positive acceleration. PMID- 21066537 TI - Comparative studies on dual somatic afferent areas in cerebral cortex of rabbit, cat, dog, pig, sheep and monkey. PMID- 21066538 TI - Further studies of cortical and retinal influences upon vestibulo-ocular reflexes. PMID- 21066539 TI - The passage of endogenous estrogen across the parabiotic union in rats. PMID- 21066540 TI - Studies on spontaneous hemostasis, with evidence for a humoral factor. PMID- 21066541 TI - Ridge and trough acrylic teeth. PMID- 21066543 TI - Dermal and ocular pemphigus. PMID- 21066542 TI - Tuberculosis of the skin. PMID- 21066544 TI - [Not Available]. PMID- 21066545 TI - [Not Available]. PMID- 21066546 TI - [Not Available]. PMID- 21066547 TI - [Not Available]. PMID- 21066548 TI - [Not Available]. PMID- 21066549 TI - [Not Available]. PMID- 21066550 TI - [Not Available]. PMID- 21066551 TI - [Not Available]. PMID- 21066552 TI - The effect of acute starvation on the body organs of the adult white rat, with especial reference to the adrenal glands. PMID- 21066553 TI - Recovery of a strain of Western equine encephalitis virus from Culex restuans (Theo.) (Diptera: Culicidae). PMID- 21066554 TI - Primary jejunal ulcer; report of case. PMID- 21066555 TI - [Not Available]. PMID- 21066556 TI - [Not Available]. PMID- 21066557 TI - [Not Available]. PMID- 21066558 TI - Lupus erythematosus in a girl aged 10. PMID- 21066559 TI - Herpes zoster. PMID- 21066560 TI - Myotonic pupils with unilateral myosis on drinking cold water. PMID- 21066561 TI - Nutritional retrobulbar neuritis. PMID- 21066562 TI - [Not Available]. PMID- 21066563 TI - [Not Available]. PMID- 21066564 TI - [Not Available]. PMID- 21066565 TI - Occurrence of Bacillus polymyxa (Praz.) Mig. in Alberta soils with special reference to its pathogenicity on potato tubers. PMID- 21066566 TI - Investigations on rubber-bearing plants; carbohydrates in the roots of Taraxacum kok-saghyz Rod. PMID- 21066567 TI - Rh blocking antibodies. PMID- 21066568 TI - Rh-negative rate for Indians. PMID- 21066569 TI - Hatching of the egg of Ixodes ricinus L. PMID- 21066570 TI - Repetitive discharge of giant nerve fibres of the earthworm. PMID- 21066571 TI - Sodium/potassium ratio in the coelomic fluid of insects. PMID- 21066572 TI - Effect of choline on the intestinal absorption of fat. PMID- 21066573 TI - Isolation of pregnane-3 (alpha)-ol-20-one from the hydrolysis products of sodium pregnanediol glucuronidate. PMID- 21066575 TI - Inhibition of Mycobacterium tuberculosis by garlic extract. PMID- 21066574 TI - Synthetic liquid penicillin medium with glycerine as the sole source of carbon atom. PMID- 21066576 TI - Alloxan diabetes in a ruminant. PMID- 21066577 TI - Molybdenum and copper metabolism of farm animals. PMID- 21066578 TI - Mechanism of the proteolytic activity of malignant tissue cells. PMID- 21066579 TI - Relation of intracellular potassium to the refractory period of the frog's heart. PMID- 21066580 TI - Scientific research and national welfare. PMID- 21066581 TI - [Not Available]. PMID- 21066582 TI - A case of congenital cyst of the iris. PMID- 21066583 TI - [Not Available]. PMID- 21066584 TI - Traumatic pericarditis. PMID- 21066585 TI - Johne's disease. PMID- 21066586 TI - Further observations on ethyl alcohol substitutes. PMID- 21066587 TI - Postwar trends in public health and medical practice. PMID- 21066588 TI - Present day trends in obstetrical care. PMID- 21066589 TI - Methods of measuring the quality of nursing care. PMID- 21066590 TI - When adoption is in question. PMID- 21066591 TI - Navy hospitals underground. PMID- 21066592 TI - Accounting serves four purposes. PMID- 21066593 TI - It all centers on the children. PMID- 21066594 TI - The impact of war on the treatment of the tuberculous in New Jersey sanatoriums. PMID- 21066595 TI - No library is too small to be cataloged. PMID- 21066596 TI - Hospitals can help the aged. PMID- 21066598 TI - Embryonic induction. PMID- 21066597 TI - Machine and solution combine to control airborne infection. PMID- 21066599 TI - [Not Available]. PMID- 21066600 TI - [Not Available]. PMID- 21066601 TI - A case of quinine allergy. PMID- 21066602 TI - Bilateral cryptorchidism in three brothers. PMID- 21066603 TI - Bicuspid aortic valve diagnosed during life. PMID- 21066604 TI - Delayed healing of a vesicovaginal fistula by inflammatory reaction. PMID- 21066605 TI - [Not Available]. PMID- 21066606 TI - Tularaemia; a problem in diagnosis. PMID- 21066607 TI - [Not Available]. PMID- 21066608 TI - A case of cerebellar apoplexy. PMID- 21066609 TI - The difficult forceps case. PMID- 21066610 TI - Action of adrenalin. PMID- 21066611 TI - Portable postural drainage apparatus. PMID- 21066612 TI - TUBERCULOSIS in Canada. PMID- 21066613 TI - A case of abdominal lymphangioendothelioma or peritoneal mesothelioma. PMID- 21066614 TI - Fifty years of radiology from Roentgen to the era of atomic power. PMID- 21066615 TI - Vaginitis. PMID- 21066616 TI - [Not Available]. PMID- 21066617 TI - Section of vagus nerves to the stomach in treatment of peptic ulcer. PMID- 21066618 TI - A study of 7,800 cases of scarlet fever. PMID- 21066619 TI - Care of feet in the field. PMID- 21066621 TI - The detection of malingering; a further study. PMID- 21066620 TI - A new method of digital skeletal traction. PMID- 21066622 TI - Allergy to penicillin calcium topically in blepharoconjunctivitis. PMID- 21066623 TI - Note on the purple test for alloxan. PMID- 21066624 TI - Estimation and characterization of bound amino N of normal human urine. PMID- 21066625 TI - The in vivo inactivation of brain cytochrome oxidase and its effect on glycolysis and on high energy phosphorus reservoirs in brain. PMID- 21066626 TI - Some properties of lysozine. PMID- 21066627 TI - Metabolism of cinchonine in dogs and man. PMID- 21066628 TI - Skeletal atrophy from disuse. PMID- 21066629 TI - Activator for soybean lipoxidase. PMID- 21066630 TI - The oxidative pathway of pyruvate metabolism. PMID- 21066631 TI - Isolation and characterization of two antigenic fractions of proteus OX-19. PMID- 21066632 TI - Kinetics of the iodination of tyrosine. PMID- 21066633 TI - 1-Hydroxy acide oxidase. PMID- 21066634 TI - Acetylation of foreign amines by acetyl amino acids. PMID- 21066635 TI - Mechanism of in vitro and in vivo inhibition of cholinesterase activity by diisopropyl fluorophosphate. PMID- 21066636 TI - Methionine sulfoxide; a growth inhibiting analogue of glutamic acid. PMID- 21066637 TI - Reinvestigation of the possible secretion of creatinine by the kidney tubules of the necturus. PMID- 21066638 TI - Folic acid in the prevention of abnormal feather pigmentation of chicks fed purified diets. PMID- 21066639 TI - Analysis of basic organic compounds in biological tissues; isolation prior to estimation. PMID- 21066640 TI - Analysis of basic organic compounds in biological tissues; conversion to fluorescent compounds. PMID- 21066641 TI - Analysis of basic organic compounds in biological tissues; coupling with diazonium salts. PMID- 21066642 TI - Intermediates of acetoacetate oxidation. PMID- 21066643 TI - Cobalt inhibition of tissue respiration, glycolysis, and growth. PMID- 21066644 TI - Thymus nucleate and the heat coagulation of aqueous tissue extracts. PMID- 21066645 TI - Thymus nucleate and the heat coagulation of egg albumin. PMID- 21066646 TI - Relation between urinary excretion of thiamine and pyramin (the pyrimidine-like component of thiamine). PMID- 21066647 TI - Effect on adrenal constituents of injury to the rat. PMID- 21066648 TI - Comparison of the absorption of ester and alcohol vitamin A by human subjects. PMID- 21066649 TI - Role of amides in urea synthesis. PMID- 21066650 TI - The constitution of that rickettsia and soluble rickettsial antigen derived from the epidemic typhus vaccine. PMID- 21066651 TI - Method for the determination of mannitol in blood and urine. PMID- 21066652 TI - Metabolism of excess nicotinamide by the chicken. PMID- 21066653 TI - Regulation of phosphorylations in anaerobic glycolysis of red cells by its intermediary products. PMID- 21066654 TI - Maintenance of active hemoglobin--a function of erythrocytes. PMID- 21066655 TI - Effect of morphine on the oxygen saturation of arterial blood. PMID- 21066656 TI - Preparation of dried hemoglobin without loss of activity. PMID- 21066657 TI - Isolation of a new lipoprotein (lipovitellenin) from egg yolk. PMID- 21066658 TI - Phospholipid synthesis in damaged and regenerating liver. PMID- 21066659 TI - Chemical characterization and crystallization of formaldehyde derivatives of gramicidin. PMID- 21066661 TI - Biological determination of protoporphyrin. PMID- 21066660 TI - Use of high levels of partial acid hydrolysates of proteins intravenously in hypoproteinemic dogs. PMID- 21066662 TI - Electrophoretic changes in the serum protein patterns of dogs subjected to various types of injury. PMID- 21066663 TI - Function of ferritin in regulating the absorption of iron by the gastrointestinal mucosa. PMID- 21066664 TI - Excretion of certain urinary constituents in alkaptanuria. PMID- 21066665 TI - Hemoglobin solutions suitable for intravenous administration. PMID- 21066666 TI - Occurrence in foods, of an unidentified factor essential for rat growth. PMID- 21066667 TI - Renotropic effect of the anterior pituitary. PMID- 21066668 TI - Studies in steroid excretion. PMID- 21066669 TI - Use of the "counter-current distribution" technique for the isolation of biologically active principles. PMID- 21066670 TI - Thiamine requirement of infants. PMID- 21066671 TI - Factors affecting the levels of lactic acid and pyruvic acid in the blood. PMID- 21066672 TI - Acid-base reactions of quinoline and acridine derivatives. PMID- 21066673 TI - Rate of urinary excretion of ascorbic acid, thiamine, riboflavin and N1-methyl nicotinamide and the effects of diuresis, alkalosis, acidosis and ingestion of food. PMID- 21066674 TI - Maintenance of nitrogen balance on low nitrogen and low caloric intakes. PMID- 21066675 TI - Effect of splenectomy on the anemia of cholesterol fed guinea pigs. PMID- 21066676 TI - Distribution of intravenously injected fructose and glucose between blood and brain. PMID- 21066677 TI - Effect of over-nutrition on ketosis. PMID- 21066678 TI - Rate of the Liebermann-Burchard cholesterol color reaction. PMID- 21066679 TI - On the mode of action of penicillin. PMID- 21066680 TI - Quinine, a-vitaminosis, and motility [intestinal]. PMID- 21066681 TI - Partial purification of thymonucleodepolymerase. PMID- 21066682 TI - Percutaneous penetration of mercury in the rat. PMID- 21066683 TI - Further studies on the role of biotin in mammalian tissue metabolism. PMID- 21066684 TI - Iodination of tyrosine groups in "regenerated" serum albumin. PMID- 21066685 TI - Sulfur amino acids in growth and adrenocorticotrophic hormones. PMID- 21066686 TI - Report on a coenzyme for acetylation. PMID- 21066687 TI - Mechanism of the enzymatic synthesis of acetylcholine. PMID- 21066688 TI - Lipotropic factors. PMID- 21066689 TI - Composition of specific precipitates from anti-tobacco-mosaic-sera. PMID- 21066690 TI - [Not Available]. PMID- 21066691 TI - [Not Available]. PMID- 21066692 TI - [Not Available]. PMID- 21066693 TI - [Not Available]. PMID- 21066694 TI - A case of Libman-Sacks' disease. PMID- 21066695 TI - A case of pustulous eruption of psoriasis on the plantae. PMID- 21066696 TI - Penicillin treatment of gonorrhea. PMID- 21066697 TI - Shark-skin (ariboflavinosis?). PMID- 21066698 TI - A case of syphilitic gumma. PMID- 21066699 TI - India rubber eczemas. PMID- 21066700 TI - Salvarsan agranulocytosis cured. PMID- 21066701 TI - Tinea capitis, partly cured twice with local applications, and now resistant to treatment. PMID- 21066702 TI - Morphea, improved by bismuth therapy. PMID- 21066703 TI - Scleroderma, generalized progressive. PMID- 21066704 TI - Sarcoidosis, with involvement of the nose. PMID- 21066705 TI - Ulcers of the leg (trophic; factitial, arteriosclerotic, traumatic?). PMID- 21066706 TI - A case for diagnosis (arteriosclerotic ulcer with scleroderma-like changes; ergotism?). PMID- 21066708 TI - Persistent contact dermatitis. PMID- 21066707 TI - Neurodermatitis improved by injections of histamine phosphate. PMID- 21066709 TI - Dermatophytosis of hands and feet. PMID- 21066710 TI - Poikilodermatomyositis. PMID- 21066711 TI - Recurring stomatitis. PMID- 21066712 TI - Localized scleroderma; results of bismuth therapy. PMID- 21066713 TI - Comedonicus nevus of extensive distribution. PMID- 21066714 TI - MACROCYTIC anemias. PMID- 21066716 TI - A tear in the wall of the large intestine. PMID- 21066715 TI - Glycerine-saline for the preservation of dysenteric stools; a note on concentration. PMID- 21066717 TI - Three cases of haemorrhagic smallpox with recovery. PMID- 21066718 TI - [Not Available]. PMID- 21066719 TI - [Not Available]. PMID- 21066720 TI - Tissue changes in experimental mice treated with pentose nucleotides. PMID- 21066721 TI - Rat vectors of plague. PMID- 21066722 TI - Histological technique for endocrine glands of birds. PMID- 21066723 TI - Maintenance of heterozygosity in a homothallic species of the Neurospora tetrasperma type. PMID- 21066724 TI - A light-sensitive enzyme in cow's milk. PMID- 21066725 TI - Inhibitory effects of the corpora cardiaca and of the corpus allatum in Drosophila. PMID- 21066726 TI - Lack of optomotor reactions in a white-eyed mutant of Culex molestus. PMID- 21066727 TI - Reaction of nucleic acid to acetocarmine. PMID- 21066728 TI - Digitalis and voluntary muscle. PMID- 21066729 TI - Resorption of glucose from the small intestine of alloxandiabetic rats. PMID- 21066730 TI - Dose control in radiotherapy. PMID- 21066731 TI - Concealed phases in the metamorphosis of insects. PMID- 21066732 TI - [Not Available]. PMID- 21066733 TI - [Not Available]. PMID- 21066734 TI - [Not Available]. PMID- 21066735 TI - Administrative responsibility in the industrial health program. PMID- 21066737 TI - Tuberculosis control in industry; the nurse's responsibility. PMID- 21066736 TI - Bulletin board displays in health and safety promotion. PMID- 21066738 TI - Promotion of health service in industry. PMID- 21066739 TI - Congenital ptosis. PMID- 21066740 TI - Chronic pemphigus. PMID- 21066741 TI - Surgical eye complications in diabetes. PMID- 21066742 TI - Symmetrical defects in the lower lids associated with abnormalities of the zygomatic processes of the temporal bone. PMID- 21066743 TI - Choline in ophthalmology. PMID- 21066744 TI - Causes of blindness in over 12,000 persons in Canada. PMID- 21066745 TI - Oesophageal activity in men during water deprivation. PMID- 21066746 TI - Social remedies for social diseases. PMID- 21066747 TI - [Not Available]. PMID- 21066748 TI - [Not Available]. PMID- 21066749 TI - [Not Available]. PMID- 21066750 TI - [Dry antigen for the Weil-Felix reaction]. PMID- 21066751 TI - [The strains of Proteus X isolated from cultures of Rickettsia in the intestines of pediculi vestimenti and from the brain of the guinea pig contaminated by Otto's passage virus]. PMID- 21066752 TI - The raccoon, a new host for Microphallus sp., with additional notes on M. ovatus from turtles. PMID- 21066753 TI - Observations on Ancylostoma and Toxocara infection in experimental and stock dogs. PMID- 21066754 TI - Metabolism of dehydroisoandrosterone. PMID- 21066755 TI - Effects of acid beverages containing fluoride on the teeth and bones of dogs. PMID- 21066756 TI - Lysozyme as a mucolytic enzyme. PMID- 21066757 TI - Supplementation of casein and a casein hydrolysate with cystine and methionine. PMID- 21066758 TI - Colorimetric method for the determination of lysine. PMID- 21066759 TI - Enzymatic formation of C4 tricarboxylic acids by CO2 fixation. PMID- 21066760 TI - Storage of vitamin A as influenced by composition of the diet. PMID- 21066761 TI - The effect of CC14 poisoning on the fate of N methylnicotinamide in the rat. PMID- 21066762 TI - Fate of sodium ricinoleate after oral administration to white rats. PMID- 21066763 TI - Variables affecting the precision of assay of estrogens. PMID- 21066764 TI - Influence of decalcification on the determination of prothrombin. PMID- 21066765 TI - Reaction of thiol compounds with hydrogen peroxide and peroxidase. PMID- 21066766 TI - Distribution of ascorbic acid in blood. PMID- 21066767 TI - Concentration and properties of a chick growth factor occurring in cow manure. PMID- 21066768 TI - Effect of chronic intoxication of rats with DDT on lipids and other constituents of liver. PMID- 21066769 TI - Microdetermination of sphingomyelin in tissue. PMID- 21066770 TI - Oxidation of 1-3,4-dihydroxyphenylalanine by normal and scorbutic kidney tissue. PMID- 21066771 TI - Replacement of vitamin A1 by vitamin A2 in the retina of the rat. PMID- 21066772 TI - Studies on the formation of heme and on the average life time of the human red blood cell. PMID- 21066773 TI - Lipid mustard compounds. PMID- 21066774 TI - Immune proteins of the cow. PMID- 21066775 TI - Metabolism of large doses of para-aminobenzoic acid. PMID- 21066776 TI - Activated glycerol dichlorohydrin, a new colorimetric agent for vitamin A. PMID- 21066777 TI - The hydrolysis of sphingomyelin. PMID- 21066778 TI - X-ray diffraction studies on gallstones. PMID- 21066779 TI - The chemistry and biological significance of hydroxyketo acids. PMID- 21066780 TI - Studies on thymus nucleohistone. PMID- 21066781 TI - d-Amino acid oxidase of Proteus morganii. PMID- 21066782 TI - On the mode of action of chlorinating compounds. PMID- 21066783 TI - Dietary requirements for fertility and lactation; dried yeasts as sources of proteins and vitamin B complex for growth, reproduction and lactation. PMID- 21066785 TI - Isolation of purified fractions of the anti-pernicious anaemia factor from liver. PMID- 21066784 TI - Treatment of caecal coccidiosis of chickens by sulphonamides. PMID- 21066786 TI - Relation between glycolysis and tissue integrity in gastric mucosa. PMID- 21066787 TI - Dentigerous cyst of maxilla; excision with preservation of the teeth. PMID- 21066788 TI - Giant-cell tumor of the mandible. PMID- 21066789 TI - Jejunal diverticulosis complicated by haemorrhage. PMID- 21066790 TI - [INVAGINATIO recidivans]. PMID- 21066791 TI - FRIEDMAN test for pregnancy; factors affecting reliability [Colorado, 1943]. PMID- 21066792 TI - Studies on streptomycin; assay in body fluids. PMID- 21066793 TI - Enema tube perforation of the colon. PMID- 21066794 TI - Spontaneous rupture of aortic aneurysm into the pulmonary artery; report of 3 cases. PMID- 21066795 TI - Newer histamine antagonists: experimental and therapeutic status. PMID- 21066796 TI - [Not Available]. PMID- 21066797 TI - [Not Available]. PMID- 21066798 TI - Alcohol-soluble osteogenetic substance from bone marrow. PMID- 21066799 TI - Choline esterase and its specificity. PMID- 21066800 TI - Masculinizing influence of cystic ovaries in female guinea pigs. PMID- 21066801 TI - Trial of an oral vaccine against bacterial infection accompanying the common cold. PMID- 21066802 TI - [METABOLISM of radioactive iron]. PMID- 21066803 TI - [DETOXICATION of nicotine by means of aminoacids]. PMID- 21066804 TI - [PENICILLIN and its derivatives]. PMID- 21066805 TI - [THE ACTION of carbon and azote in the formation of penicillin]. PMID- 21066806 TI - [GOITROGENOUS action of the ions of chlorine]. PMID- 21066807 TI - The successful transfer of ovaries between dogs of different breed. PMID- 21066808 TI - Radiology in relation to midwifery. PMID- 21066809 TI - Lymphadenoid goiter; its differentiation from chronic thyroiditis. PMID- 21066810 TI - Crystalline ester cholesterol and atherosclerosis. PMID- 21066811 TI - Histogenesis of hydatidiform mole. PMID- 21066812 TI - Carcinoma of the thyroid occurring in a case of diffuse toxic hyperplasia treated preoperatively with thiouracil. PMID- 21066813 TI - The effect of BAL therapy on the pathology of systemic cadmium poisoning. PMID- 21066814 TI - Tissue changes in fungus diseases. PMID- 21066815 TI - Brucellotic osteomyelitis of ilium and scapula with granulomas of liver and gallbladder. PMID- 21066816 TI - The histologic diagnosis of chancroid and lymphogranuloma venereum as seen in specimens for biopsy from genital lesions. PMID- 21066817 TI - Differentiation of leukemias and disorders of the lymphatic apparatus by leuko agglutination. PMID- 21066818 TI - Filariasis in American armed forces. PMID- 21066819 TI - Acute malarial lesions produced in chicks by Plasmodium gallinaceum. PMID- 21066820 TI - Intracranial neoplasms produced in dogs by methylcholanthrene. PMID- 21066821 TI - Parenchymatous degeneration related to anoxia. PMID- 21066822 TI - Influence of experimental renal damage on histochemically demonstrable lipase activity in the rat; comparison with phosphatase activity. PMID- 21066823 TI - Meningococcic purpura and the Shwartzman phenomenon; an experimental study. PMID- 21066824 TI - Hemopoiesis in folic acid and riboflavin deficiency. PMID- 21066825 TI - Blindness in ducks accompanying hypoglycemia. PMID- 21066826 TI - Generalized Boeck's sarcoidosis with thrombocytopenic purpura. PMID- 21066827 TI - Bilateral acute hemorrhagic necrosis of the adrenals in a young child (a case of Waterhouse-Friderichsen syndrome). PMID- 21066829 TI - [Not Available]. PMID- 21066828 TI - [Not Available]. PMID- 21066830 TI - Thyroidectomy technic. PMID- 21066831 TI - Relief of choke by manipulation. PMID- 21066832 TI - Recent developments in industrial lung diseases. PMID- 21066833 TI - The amniotic duct as key to the direction of growth of the human placenta and its orientation in the uterus. PMID- 21066834 TI - Anemia therapy in kidney disease. What research reveals about ESA medication safety. PMID- 21066835 TI - Aging healthfully: how to eat well. PMID- 21066836 TI - What your blood pressure isn't revealing. PMID- 21066837 TI - Consultation corner. The latest on female sexual health. PMID- 21066838 TI - I have difficulty getting my elderly mother to the doctor's office for her appointments. Is there any way for her to receive medical care at home? PMID- 21066839 TI - [Significance of preoperative ultrasound evaluation of the forearm arteries prior to coronary artery bypass grafting]. AB - We report the significance of preoperative ultrasound evaluation of the forearm arteries in coronary artery bypass grafting (CABG). Ultrasound evaluation was performed in 288 arms with negative Allen's test between August 2004 and July 2007. The diameter, the level of atherosclerotic changes and calcifications, and systolic velocities were evaluated in radial artery (RA) and ulnar artery (UA). The diameter of RA was 2.6 +/- 0.5 mm, and in 30 arms it was smaller than 2 mm. There were 2 occlusions, 4 stenoses, and 20 arteriosclerotic changes in RA. There were 1 occlusion, 8 stenoses, and 4 arteriosclerotic changes in UA. Since there were some overlaps in small diameter and poor qualities of RA and UA, 55 arms (19%) were considered not to qualify as candidates for RA harvest. Pre-operative ultrasound evaluation of the forearm arteries allows safer radial artery harvest and should be performed even in patients with negative Allen' s test. PMID- 21066840 TI - [Surgical treatment for patients with descending necrotizing mediastinitis]. AB - Descending necrotizing mediastinitis (DNM) originating from deep cervical infection is a rare and serious clinical condition with a high mortality rate. Clinical feature of 5 patients undergone surgical drainage for DNM, between 2006 and 2009 were assessed. There were 3 male and 2 female patients whose age ranged from 57 to 83 years old (mean 69.8). All 5 patients had no underlying disease except for 1 patient with severe dental caries. The primary infections of these patients were tonsillitis and pharyngitis. The mean duration from onset of symptom to the referral to our hospital was 14 days (ranged 2 to approximately 41). Two patients underwent cervical drainage for upper mediastinum, and 3 patients were required mediastinal drainage by thoracotomy. There was no post operative death. Early and aggressive surgical drainage of the neck and mediastinum by a multidisciplinary team of surgeons is very important in the treatment of DNM. PMID- 21066841 TI - [Senning operation for transposition of great arteries in a premature baby]. AB - A male baby was delivered by emergency cesarean section due to fetal distress at 30 weeks of gestational age with a birth weight of 813 g. By fetal echocardiography, the patient had been diagnosed with transposition of great arteries (type 1). Early two-staged arterial switch operation was planned after 34 gestational age avoiding intracranial hemorrhage under cardiopulmonary bypass. At 19 days of life, vegetation was revealed on the pulmonary valve by echocardiography, so he was diagnosed as infectious endocarditis. Cefotaxime and gamma-globulin were given intravenously for 4 weeks. While waiting for the increase in the body weight, desaturation from chronic respiratory distress syndrome was exacerbated. At 8 months old, urgent Senning operation was performed to improve desaturation. The patient was discharged at 20 post operative day. We conclude that Senning operation can be feasible operation in such a complicated case. PMID- 21066842 TI - [Emergency operation and hypothermic therapy for Stanford type A acute aortic dissection in the state of coma]. AB - We report of a 77-year-old woman who was admitted to our hospital in coma by emergency. A computed tomography scan revealed acute aortic dissection (Stanford type A). We established selective antegrade cerebral perfusion within 3 hours of the onset and then performed ascending aortic replacement. In the state of hypothermia (35 degrees C), the patient was weaned from cardiopulmonary bypass. The patient was kept hypothermic until the operation was completed. We kept mild hypothermia (34.5 degrees C) in intensive care unit (ICU) for 40 hours. The patient was extubated at 94 hours after the operation. The patient was discharged from the hospital on foot on postoperative day 21. PMID- 21066843 TI - [Solid follicular bronchiolitis]. AB - A 64-year-old man presented a nodular shadow in the right lower lobe on a chest computed tomography (CT) scan. The nodule had grown remarkably in 3 years and was suspected to be a lung cancer. An open lung biopsy showed a solid lesion adjacent to lung abscess, and histopathological examination of a biopsy specimen revealed follicular bronchiolitis. Follicular bronchiolitis is a rare condition and is reported to occur mainly in association with connective tissue disorders or immunodeficiency syndromes. In such conditions, it generally exhibits bilateral, diffuse, small nodular lesions or ground-glass opacities. The present case was not associated with such underlying diseases and was thought to have occurred in association with lung abscess. Few cases of follicular bronchiolitis caused by non-specific airway infection have been reported in Japan. PMID- 21066844 TI - [Negative pressure wound therapy was useful in treating empyema with bronchopleural fistula]. AB - We report a case for whom negative pressure wound therapy (NPWT) was applied for empyema with bronchopleural fistula. The patient was a 64-year-old man with a history of gastric resection and diabetes visited our hospital with chief complaints of fever and respiratory failure. In spite of conservative treatment after being diagnosed as empyema, bronchopleural fistula developed. In order to manage the pyothorax, the bronchopleural fistula was closed with endobronchial Watanabe spigot, and fenestration was subsequently performed, however the infection control and obliteration of the empyema cavity could not be achieved. NPWT with continuous irrigation was therefore chosen, and the methicillin resistant Staphylococcus aureus (MRSA) disappeared and a marked obliteration of the empyema cavity was observed in 3 weeks after initiation of NPWT. Although the patient died of another illness, NPWT with continuous irrigation was useful in treating empyema with bronchopleural fistula. PMID- 21066845 TI - [Multiple presentation of undifferentiated pleomorphic sarcoma in the mediastinum]. AB - A case of undifferentiated pleomorphic sarcoma in the mediastinum is presented. A 74-year-old man with no complaint was referred to our department for treatment of mediastinal mass pointed out by chest X-ray. Chest computed tomography (CT) revealed 3 tumors located in the left mediastinum. The largest one was adjacent to the esophagus with 10 cm in diameter. The other one was located beneath the left inferior pulmonary vein, and the last adjacent to the pulmonary artery trunk. Complete extirpation of the tumors was unsuccessful because of the invasion to the pulmonary artery trunk. A diagnosis of undifferentiated pleomorphic sarcoma was made with negative immunohistochemical staining for markers except for vimentin. Tumor cells were found to be scattered in the partially resected thymus. We assume this is a rare presentation of mediastinal dissemination of the tumor. PMID- 21066846 TI - [Combined aortic root replacement and pectus excavatum correction in Marfan's syndrome]. AB - A 53-year-old man with Marfan's syndrome was admitted for repair of annulo-aortic ectasia (58 mm). He had also severe pectus excavatum. The skin was incised along the sternal midline. The pectoral muscles were detached laterally. After the perichondrium and costal cartilages were resected bilaterally. the left-sided intercostal muscles and perichondrial sheaths were divided 3 cm lateral to the sternum. To place the retractor in parasternal position, excellent exposure of the heart and aortic root was enabled. The aortic root was replaced with a Carboseal graft. Chest wall reconstructions was completed by modified Ravitch procedure with Gore-tex sheet The patient was discharged after an uneventful recovery on postoperative day 14. PMID- 21066847 TI - [Papillary fibroelastoma of the tricuspid valve with mitral regurgitation]. AB - We report a 64-year-old male patient with papillary fibroelastoma of the tricuspid valve associated with moderate mitral regurgitation. Echocardiography had revealed a 10 x 10 mm tumor attaching to the anterior tricuspid leaflet 3 years before. Because the tumor was enlarged to 19 x 15 mm, we excised it with a part of the anterior tricuspid leaflet, and performed tricuspid and mitral valvoplasty. The histological findings suggested papillary fibroelastoma. The postoperative course was uneventful. PMID- 21066848 TI - [Off-pump coronary artery bypass grafting supported by intra-aortic balloon pumping in a patient with moyamoya disease]. AB - A 48-year-old woman was admitted to the hospital for coronary artery bypass grafting (CABG) of ischemic coronary disease, including left main trunk disease. She had a history of moyamoya disease with bilateral internal carotid artery occlusion. Her cerebral blood flow and cerebral vascular reactivity were evaluated by single photon emission computed tomography. Her collateral blood flow and cerebral vascular reserve were the lower limit of normal. We performed off-pump CABG supported by intra-aortic ballon pumping to maintain appropriate cerebral blood flow intraoperatively. Moreover, during operation, systolic blood pressure and blood Paco2 level were constantly maintained above 100 mmHg and 40 mmHg. Her postoperative course was uneventful without transient ischemic attacks or stroke. PMID- 21066849 TI - [Pulmonary venous obstruction after the Williams procedure for partial anomalous pulmonary venous connection]. AB - A 54-year-old man was admitted to our hospital because of chest discomfort. Cardiac catheterization revealed partial anomalous pulmonary venous connection with an intact atrial septum. The right upper pulmonary vein (RUPV) drained into the upper segment of the superior vena cava (SVC). Using the Williams procedure, an atrial septal defect (ASD) was created and a fresh autologous pericardial patch was used to fashion a new pulmonary vein return route from SVC to the ASD. Although the patient was stable after the procedure, he was admitted again 6 months later because of obstruction of RUPV. At reoperation, it was found that the previous pulmonary vein route was obstructed and that the pericardial baffle had adhered to the atrial septum above the ASD. The shrunken and thickened pericardial baffle was removed and the orifice of the ASD was extensively enlarged, after which an expanded polytetrafluoroethylene (ePTFE) patch was used as a new baffle. After the reoperation, the patient's condition improved. PMID- 21066850 TI - [Thoracoscopically removed thoracolithiasis]. AB - Thoracolithiasis is a rare condition with only 16 cases of surgically removed nodules reported in the literature in Japan. We report an additional thoracoscopically removed case. A 62-year-old man was pointed out an abnormal shadow behind the left diaphragmatic dome on a routine health examination. Computed tomography (CT) revealed a round mass lesion with calcification, about 11 mm in diameter, in the left thorax. Video-assisted thoracic surgery (VATS) was performed and a white 11 mm completely free nodule in the left pleural cavity was removed. Pathological findings revealed necrotic fat tissue in the center surrounded by hyalinized fibrous tissue, being consistent with thoracolithiasis. PMID- 21066851 TI - [Redo-operation for the cusp perforation 5 years after aortic valve replacement with stentless bioprosthesis; report of a case]. AB - A 31-year-old male presented with increase of aortic valve regurgitation 5 years after implantation of Prima Plus Stentless bioprosthesis in a bicuspid aortic valve. He underwent redo aortic valve replacement with a mechanical valve concomitant with replacement of the ascending aorta. Pathological examination of the explanted stentless valve presented no inflammatory cell infiltration. The prosthetic valve regurgitation was considered to be due to small injury at the 1st operation. PMID- 21066852 TI - [Impact of preoperative 64-row multislice computed tomography for congenital aortic stenosis; report of a case]. AB - A 63-year-old woman was diagnosed as having severe aortic stenosis (AS) with 98 mmHg peak pressure gradient detected by echocardiography. Since, preoperative enhanced 64-row multislice computed tomography (MSCT) showed bicuspid aortic valve with only 2 sinuses of Valsalva, congenital aortic stenosis was suspected. The left and right coronary arteries originated from respective sinus of Valsalva, and severely thickened cusps of aortic valve were detected clearly by preoperative 64-row MSCT. Aortic valve replacement with a 21 mm ATS mechanical bileaflet prosthesis was performed without aortic annulus enlargement. The postoperative course was uneventful and postoperative 64-row MSCT indicated good performance of the ATS valve. Preoperative 64-row MSCT could be useful to detect complex aortic valve disease in detail. Moreover. 64-row MSCT might be a reliable tool to evaluate valvular heart disease. PMID- 21066853 TI - [Surgical treatment of left ventricular pseudoaneurysm after acute myocardial infarction in subacute phase; report of a case]. AB - A 81-year-old man who was complaining of chest pain was admitted. He was diagnosed as acute myocardial infarction. Coronary angiogram was performed and an occlusion of the circumflex coronary artery (#13) was diagnosed. Percutaneous coronary intervention (PCI) was done successfully. Cardiac tamponade was showed on the 3rd day after PCI. Percutaneous pericardial drainage was done and his hemodynamic was improved. Transthoracic echocardiogram showed left ventricular pseudoaneurysm with 2 cm in diameter and expanding to 5 cm in diameter after 3 weeks. Patch closure was carried out under cardiopulmonary bypass on subacute phase. His postoperative recovery was uneventful. Left ventricular pseudoaneurysm is a rare complication of acute myocardial infarction (AMI) and surgical treatment of this disease was discussed. PMID- 21066854 TI - [Simultaneously treated thymoma and lung cancer; report of a case]. AB - A 74-year-old man was admitted to our hospital in order to treat a mediastinal mass and 2 ground-glass attenuations in the right upper lobe detected by chest X ray and computed tomography (CT). Partial resection of right lung and thymectomy were performed. The mediastinal mass and 2 ground-glass attenuations in the right upper lobe proved to be thymoma and bronchioloalveolar carcinomas, respectively by pathology. PMID- 21066855 TI - [Thymoma with synchronous pulmonary metastasis; report of a case]. AB - We report a resected case of thymoma with a solitary pulmonary metastasis. A 63 year-old woman had pointed out a solitary nodule in right lung field on chest X ray. Computed tomography (CT) scan showed an anterior mediastinal tumor and a solitary lung nodule in the right lower lobe. Extended thymectomy and partial resection of right lung was performed. Pathological diagnosis showed an invasive thymoma (type B3) and a pulmonary metastasis. Post operative radiotherapy was administered and she is doing well 19 months following the resection. Thymoma with a solitary synclonous pulmonary metastasis is rare and is classified into Masaoka stage IVb. Generally, thymoma cases with distant metastasis are not indication for operation. But, if radical resection is possible, operation is recommended for good prognosis. PMID- 21066856 TI - [Experience with surgical resections of metachronous liver and bilateral pulmonary metastases from gastric cancer]. AB - A 75-year-old female patient underwent distal gastrectomy with lymph node dissection for gastric cancer. Six months later, computed tomography (CT) and magnetic resonance imaging (MRI) revealed liver metastasis and radio frequency ablation (RFA) was performed. Ten months later, she underwent a partial hepatectomy for recurrent hepatic metastasis. Then, pulmonary nodules were revealed 1 year later, and segmentectomy (S4 + S5) for left pulmonary metastasis and wedge resection for right middle lobe pulmonary metastasis were sequentially performed after 9 months and 10 months observation by CT, respectively. Two years have passed since the last surgery, and the patient has survived more than 5 years since initial gastrectomy. PMID- 21066857 TI - [High-sensitivity C-reactive protein and changes over time in forced expiratory volume in 1 second in adult-onset asthma]. AB - PURPOSE: To study the possibility that changes in high-sensitivity C-reactive protein (hs-CRP) may be a predictor of forced expiratory volume in 1 second (FEV1) decline over time in adult asthmatic subjects. METHODS: Subjects with adult-onset asthma with no smoking history underwent complete medical evaluation at Yoka Public Hospital in both 2005 and in 2008 (n = 26). We analyzed the correlation between hs-CRP levels and FEV1 changes over time, in relation to whether or not they received inhaled corticosteroid (ICS) therapy. We also measured the cardio-ankle vascular index (CAVI), intima-media-thickness (IMT), and fraction of exhaled nitric oxide (FeNO) of hospital staff members who acted as non ICS asthmatic subjects (n = 11), and also performed multiple regression analysis. RESULTS: In the non-ICS asthmatic subjects group (n = 19), there was a significant correlation between log (hs-CRP) levels and FEV1 changes (R = -0.734, P < 0.001). After controlling for age, body mass index, CAVI, IMT, and FeNO, hs CRP was found to be an independent risk factor. CONCLUSION: Hs-CRP levels are a predictor of FEV1 decline over time in adult-onset asthmatic patients with no smoking history, who are not receiving ICS therapy. PMID- 21066858 TI - [Clinical features of secondary spontaneous pneumothorax in interstitial pneumonia]. AB - Secondary spontaneous pneumothorax is a critical event in the clinical course of interstitial pneumonia. To investigate its clinical features, we retrospectively reviewed 21 cases (13 men and 8 women, age range 43 to 75, mean age 64.5) of interstitial pneumonia accompanied with pneumothorax, including 14 cases with chronic hypersensitivity pneumonitis, 4 cases of idiopathic interstitial pneumonia, and 3 cases of other etiology of interstitial pneumonia. The mean vital capacity percentage (VC) was 56%, and percentage of diffusing capacity of lung for carbon monoxide (DLco) was 46%. Fourteen cases (67%) were treated with steroids and eight cases (38%) were complicated by Aspergillus infection. The median survival time (MST) from the onset of pneumothorax was 214 days. The dosage of steroids and the frequency of Aspergillus infection were significantly higher in patients whose pneumothorax did not improve than in those whose pneumothorax improved. In conclusion, steroids and Aspergillus infection seem to be associated with the development of pneumothorax and poor outcome in interstitial pneumonia. PMID- 21066859 TI - [A case of primary pulmonary leiomyosarcoma showing rapid growth and fatal outcome]. AB - An 80-year-old man was admitted to our hospital with a 4.0 x 2.0 cm shadow accompanied by calcification, found on chest CT scans on a health check. The shadow was located in the left lower lobe (S10), and was attached to the pleura. A transbronchial biopsy did not yield a definitive diagnosis. A percutaneous needle biopsy yielded a diagnosis of leiomyosarcoma. A general examination did not show any metastatic lesions in other areas. However, the tumor grew rapidly, with pleural effusion, and therefore he was treated only by palliative therapy. He died from respiratory failure 90 days after onset. The primary site of the tumor was determined to be intrapulmonary area by radiographic and autopsy findings. We report a rare primary pulmonary leiomyosarcoma showing rapid growth and fatal outcome. PMID- 21066860 TI - [A case of synovial sarcoma of the mediastinum]. AB - A 65-year-old man consulted our hospital with a complaint of bloody sputum in February 2006, and chest computed tomography (CT) showed a mediastinal tumor. Percutaneous needle biopsy was performed. Pathological examination of the specimen revealed spindle-shaped cells; on immunohistochemical testing the tumor cells were positive for vimentin, keratin, EMA, CD99, actin, alpha-SMA, CD56, NF, and S100, and amplication of the SYT-SSX fusion gene was also seen. Thus, we confirmed a diagnosis of synovial sarcoma. The patient received chemotherapy, radiation therapy and hyperthermia therapy, but the tumor progressed and he died in October 2007. Synovial sarcoma commonly occurs in the vicinity of the large joints. We report an important case of mediastinal synovial sarcoma, which is comparatively rare. PMID- 21066861 TI - [Interstitial pneumonitis caused by seasonal influenza vaccine]. AB - A 74-year-old man who received seasonal influenza vaccination at a clinic developed fever and cough the following morning. He was referred to our hospital on the 5th day after vaccination because of bilateral pulmonary infiltration shadows on a chest X-ray film. Despite the administration of sulbactam/ampicillin and roxithromycin after admission, his symptoms did not improve. His bronchoalveolar lavage fluid (BALF) obtained by bronchoscopy on the 8th hospital day revealed a CD4/CD8 ratio of 6.8, 109 x 10(4)/ml, and 39% and 16% increases in lymphocyte fractions and eosinophil levels, respectively. Transbronchial lung biopsy showed organizing pneumonia. A drug-induced lymphocyte stimulation test (DLST) for seasonal influenza vaccine with BALF showed 210% of seasonal influenza (S.I). These results indicate that this vaccine caused pneumonitis with a hypersensitive reaction, according to drug-induced lung injury criteria. PMID- 21066862 TI - [A case of Goodpasture's syndrome complicated with cytomegalovirus pneumonia during treatment with plasma exchange and immunosuppressive therapy]. AB - A 63-year-old man presented to another hospital with hematuria and an elevated serum creatinine level. He was admitted, and hemodialysis was initiated. One month after admission, hemoptysis developed and the patient was referred to our hospital for further evaluation. Goodpasture's syndrome was diagnosed on the basis of elevated anti-glomerular basement membrane (anti-GBM) antibody levels. Due to massive alveolar hemorrhage, the patient was treated with plasma exchanges, pulse methylprednisolone and pulse cyclophosphamide followed by oral prednisolone, which lowered his anti-GBM antibody level. However, a chest radiograph obtained on the 56th hospital day showed bilateral ground glass opacities, and his condition deteriorated. Since his blood was positive for the cytomegalovirus pp65 antigen, ganciclovir was started. This improved his condition, with improvement in his chest radiograph and a decrease in the number of antigen-positive cells. It is important to consider the development of cytomegalovirus infection during the treatment of Goodpasture's syndrome with immunosuppressive therapy. PMID- 21066863 TI - [A case of allergic bronchopulmonary mycosis caused by Schizophyllum commune presenting with hyperattenuated mucoid impaction]. AB - A 64-year-old woman was admitted to our hospital because of cough, bloody sputum and chest pain in January 2007. Chest computed tomography (CT) on admission revealed hyperattenuated mucoid impaction and consolidation in the right S3b. She was given a diagnosis of allergic bronchopulmonary mycosis caused by Schizophyllum commune. Treatment with 200 mg/day itraconazole and 15 mg/day oral prednisolone was begun, and her symptoms and consolidation resolved. In December 2007, consolidation in the left lower lobe appeared after itraconazole was stopped and replaced with oral prednisolone with inhaled fluticasone propionate. She again received 200 mg/day itraconazole and 15 mg/day oral prednisolone, resulting in a reduction in her consolidation. In May 2008, itraconazole was stopped and oral prednisolone was changed to inhaled salmeterol fluticasone propionate. In November 2008, her symptoms appeared again, and chest CT demonstrated hyperattenuated mucoid impaction and consolidation in the right S8. A transbronchial biopsy revealed granulomatosis, Charcot-Leyden crystals, and mucus infiltrated by eosinophils and fungi. Schizophyllum commune was isolated from her bronchial lavage fluid. A recurrence of allergic bronchopulmonary mycosis was diagnosed. Retreatment with itraconazole and oral prednisolone resulted in improvement of her symptoms and chest radiographic findings. To the best of our knowledge this is the first reported case of allergic bronchopulmonary mycosis caused by Schizophyllum commune presenting with hyperattenuated mucoid impaction. PMID- 21066864 TI - [A rare case of large cell neuroendocrine carcinoma of the thymus]. AB - A 46-year-old man presented with chest pain at a local hospital in July 2007. Chest computed tomography (CT) showed a 48-mm mass in the anterior mediastinum. CT-guided percutaneous tumor biopsy demonstrated large cell neuroendocrine carcinoma of the thymus. He was referred to our hospital in August 2007. Because the tumor had already progressed to stage IVb according to the Masaoka classification of thymic epithelial tumors, the patient was treated with combination chemotherapy of cisplatin and irinotecan, which achieved a partial response. However, the tumor relapsed in February 2008. He died, despite 2 separate cycles of chemotherapy with docetaxel only and amrubicin only in August 2008. We encountered a rare case of large cell neuroendocrine carcinoma of the thymus treated with combination chemotherapy of cisplatin and irinotecan. PMID- 21066865 TI - [A case of spinal osteomyelitis due to Mycobacterium intracellulare with pulmonary Mycobacterium avium complex]. AB - A 76-year-old woman was admitted to our hospital in October 2007 because of fever and anorexia. Chest computed tomography demonstrated consolidation and reticular shadows in the right middle lobe and left lingula. Pulmonary nontuberculous mycobacteriosis was diagnosed based on isolation of Mycobacterium intracellulare from her sputum. Her symptoms, which included fever, were improved by chemotherapy with clarithromycin and ethambutol, but the treatment was halted due to adverse effects. Subsequently, she complained of lumbago from April 2008, and spinal osteomyelitis from the T-4 through T-5 vertebral bodies was suspected based on the findings of magnetic resonance imaging. Spinal osteomyelitis due to Mycobacterium intracellulare was diagnosed based on isolation of the bacteria from a tissue culture taken from the vertebral body. Surgery was performed after chemotherapy with clarithromycin, rifampicin and moxifloxacin. Spinal osteomyelitis caused by nontuberculous mycobacterium infection should be considered due to a worldwide increase in reported cases of pulmonary nontuberculous mycobacteriosis. PMID- 21066866 TI - [A case of fat embolism syndrome associated with pathological femoral fracture caused by metastatic adenocarcinoma of the lung]. AB - A 76-year-old woman with multiple bone metastases from lung adenocarcinoma was admitted due to a pathological femoral fracture. On the night after admission, her consciousness deteriorated rapidly and she developed progressive respiratory failure. Computed tomography of the chest revealed diffuse ground glass opacities in both lungs, and magnetic resonance imaging of the brain showed multiple acute infarctions. Her condition improved after several days of supportive treatment with oxygen, corticosteroids and diuretics. Fat embolism syndrome should be considered as a differential diagnosis if consciousness disturbance and respiratory failure occur in patients with metastatic bone carcinoma and pathological long bone fractures. PMID- 21066867 TI - [A case of non-small cell lung cancer with hemodialysis which responded to docetaxel monotherapy]. AB - A 56-year-old man receiving hemodialysis treatment was hospitalized for examination of a mass in the right middle lobe. Chest computed tomography showed a right hilar mass shadow accompanied by pleural effusion. Non-small cell lung cancer (NSCLC) was diagnosed by cytological examination of the pleural effusion. No epidermal growth factor receptor (EGFR) mutation was found. He was treated with 6 courses of docetaxel as first-line chemotherapy. Docetaxel was administered on the same day as hemodialysis. Adverse events, including hematotoxicity, were managed safely and no delay in administration occurred. This chemotherapy resulted in a partial response. Because docetaxel is metabolized in the liver and does not affect renal function, it can be administered as a standard regimen. This suggests that docetaxel monotherapy is an efficient therapy for non-small cell lung cancer patients receiving hemodialysis. PMID- 21066868 TI - [A case of sarcoidosis following chemotherapy for follicular lymphoma]. AB - A 53-year-old man, who had received salvage chemotherapy for follicular lymphoma, complained of fever and dry cough. High-resolution computed tomography of the chest showed bilateral diffuse ground-glass opacities with weak F18 fluorodeoxyglucose uptake on positron emission tomography. Transbronchial lung biopsy specimens revealed noncaseating epithelioid cell granulomas. His serum angiotensin-converting enzyme (ACE) level was elevated and ophthalmologic examination showed uveitis. Sarcoidosis was diagnosed, he was treated with corticosteroid eye drops, and his chest ground-glass opacities spontaneously resolved after 2 months. Here, we report a rare case of sarcoidosis with a review of the literature. PMID- 21066869 TI - [Two cases of intravascular diffuse large B-cell lymphoma diagnosed by transbronchial lung biopsy]. AB - We encountered 2 cases of intravascular diffuse large B-cell lymphoma (IVL) diagnosed by transbronchial lung biopsy (TBLB). The first patient reported fatigue and dyspnea on exertion, but chest radiography and computed tomography (CT) did not reveal any abnormalities. The other patient was referred to our hospital because of incidental findings of abnormalities on her chest radiograph. She felt well, and her physical examination was unremarkable. IVL is a rare type of extranodal lymphoma characterized by the presence of lymphoma cells only in the lumenas of small vessels. Major clinical symptoms such as fever, cough, dyspnea, and loss of body weight are not diagnostic, and chest radiographic findings are also nonspecific. Antemortem diagnosis is relatively difficult, and the prognosis is reported to be relatively poor, but it has been reported that long-term survival may result in patients treated with combination chemotherapy. Therefore, TBLB is a useful procedure for early diagnosis of IVL, and may contribute to good outcome. PMID- 21066870 TI - [Efficacy of combination therapy with bosentan and sildenafil for refractory pulmonary arterial hypertension associated with fibrotic lung in systemic sclerosis]. AB - A 54-year-old woman with a 20-year history of Raynaud phenomenon was admitted to our hospital complaining of progressive dyspnea on exertion since 5 years previously. Interstitial lung disease was diagnosed, accompanied by pulmonary arterial hypertension (PAH) associated with systemic sclerosis. After oxygen therapy and treatment with sildenafil, her clinical condition and PAH gradually improved. However, she was readmitted due to deterioration of Raynaud phenomenon and progressive dyspnea in March 2009. Right heart catheterization findings demonstrated that her mean pulmonary arterial pressure (PAP) was elevated, at 48 mmHg. Bosentan was therefore added to an increased dose of sildenafil. Consequently, her dyspnea, 6-min walking distance, serum brain natriuretic peptide level, and PAP improved. Combination therapy with bosentan and sildenafil was effective for this case of refractory PAH associated with fibrotic lung in systemic sclerosis. PMID- 21066871 TI - [Cytological findings in scintigraphically nonfunctioning thyroid nodules]. AB - BACKGROUND/AIM: The major one among the procedures for evaluating changes in the thyroid nodules is fine needle aspiration biopsy (FNAB). Thyroid scintigraphy is commonly used in diagnostic algorithm of nodules. Less than 5% of examined nodules show to be malignant. Scintigraphically, nodules could be classified as functional and nonfunctional. It is estimated that the risk of malignancy in nonfunctional nodules ranges from 8% to 25% and more. Aspiration punction provides 100% specific and positive predictive value. The aim of the study was to establish the distribution of cytological findings in nonfunctioning thyroid nodules. METHODS: The prospective study enrolled 112 patients, 104 women and 8 men, submitted to thyroid scintigraphy for known thyroid nodule disorder. Scintigraphy was performed about half an hour after iv administration of 74 MBq of sodium-pertehnetate. A pin hole collimator was used. Scintigrams were visually evaluated, and absence of radiopharmaceutic in a nodule was estimated as nonfunctional one. Such nodules were afterwards subjected to FNAB and material obtained was cytologically analyzed. RESULTS: In our patients nonfunctioning nodules comprised tissue of colloid struma, thyroid cyst, regular thyroid tissue, follicular lesion, oxiphillic lesion, papillary carcinoma tissue and tissue of lymphocytic thyroiditis. The most frequent cytological finding were colloid cysts (52%). A total of 70% were female nodules. Five cytological findings were histopathologically analyzed. CONCLUSION: Cytological finding of nonfunctional nodules determines of the decision on radical therapy, and our preliminary results imply the need of FNAB routine use in nuclear medicine practice. PMID- 21066872 TI - [Vaginal delivery versus cesarean section for term breech delivery]. AB - BACKGROUND/AIM: The optimal method of delivery for breech presentation at term still remains a matter of controversy. This is probably due to the fact that the skills of vaginal breech delivery are being lost. The aim of this study was to examine risk factors: mother's age, parity, labor's duration, estimated neonatal birth weight for the mode of breech presentation delivery at term as well as the influence of the delivery mode on neonatal outcome. METHODS: A retrospective study of 401 terms (more than 37 week's gestation) breech deliveries at the Institute of Gynecology and Obstetrics, Belgrade, from 2007 to 2008 was made. The following groups with respect to mode of delivery were included: the group I - vaginal delivery (VD) in 139 patients; the group II - urgent cesarean section (UCS) in 128 patients; and the group III - elective cesarean section (ECS) in 134 patients. Mother's age, parity, duration of VD, neonatal birth weight (BW), the Apgar score at 5th minute, and duration of stay in a neonatal intensive care unit (NICU) were determined. Neonatal mortality and major neonatal morbidity were compared according to the route of delivery. Fetuses and neonates with hemolytic disease and fetal and neonatal anomalies were excluded from the study. For statistical analyses we performed Student's t test, Chi2 likelihood ratio, Kruskall-Wallis test, Mann Whitney test, and ANOVA. RESULTS: The mean age of patients in the group I was 28.29 +/- 4.97 years, in the group II 29.68 +/- 5.92 years and in the group III 30.06 +/- 5.41 years. Difference in mother's age between the group I and III was significant (p = 0.022). In the group III there were 73.9% nuliparous similarly to the group II (73.4%). We performed ECS in 54.6% of the nuliparous older than 35 years, and 54.4% multiparous younger than 35 years were delivered by VD. The use of oxytocin for stimulation of vaginal labor was not associated with its duration (p = 0.706). Lowset maneuver was performed in 88.5% of the VD. Mean BW of neonates was 3189.93 +/- 399.42 g in the VD group, 3218.59 +/- 517.71 g in the UCS and 3427.99 +/- 460.04 g in the ECS group. Neonates of the estimated BW below 2500 g were delivered by UCS in 5.5% cases, vaginally in 3.6% cases and by ECS in 2.2% cases. Neonates of the estimated BW above 3 500 g were delivered by ECS in 44.8% cases, vaginally in 23.0% cases and by UCS in 30.5% cases. There was a statistically significant difference between the VD and UCS groups (p = 0.004). Neonatal well-being was diagnosed in 75.5% of the neonates in the VD group, in 72.4% of the neonates in the ECS group, and in 65.5% of the neonates in the UCS group. The Apgar score at 5th min > 8 was observed in 96% of the neonates in the VD group, in 97.5% of the neonantes in the ECS group and in 94.5% of the neonates in the UCS group. In breech presentation, perinatal asphyxia remained increased in the VD group by 9.4% as compared with the UCS group, 5.5% (p = 0.001) and the ECS group, 3.0% (p = 0.016). Neonates stayed in NICU significantly longer after UCS compared with VD or ECS (7.21 +/- 10.74 days vs 3.99 +/- 1.33 days and 5.34 +/- 2.88 days, respectively; p = 0.001 and p = 0.037, respectively). There was no diagnosed intracranial hemorrhage, brachial plexus injury and birth trauma in any groups. Also, there was no early neonatal death. CONCLUSION: For breech presentation elective cesarean section remains the major delivery method in nuliparous older than 35 years, while vaginal delivery is considered to be the method of choice in younger multiparous with ultrasonographically estimated neonatal birth weight 2500-3500 grams. PMID- 21066873 TI - [Accuracy of optical scanning methods of the Cerec 3D system in the process of making ceramic inlays]. AB - BACKGROUND/AIM: One of the results of many years of Cerec 3D CAD/CAM system technological development is implementation of one intraoral and two extraoral optical scanning methods which, depending on the current indications, are applied in making fixed restorations. The aim of this study was to determine the degree of precision of optical scanning methods by the use of the Cerec 3D CAD/CAM system in the process of making ceramic inlays. METHODS: The study was conducted in three experimental groups of inlays prepared using the procedure of three methods of scanning Cerec 3D system. Ceramic inlays made by conventional methodology were the control group. The accuracy of optical scanning methods of the Cerec 3D system computer aided design-computer aided manufacturing (CAD/CAM) was indirectly examined by measuring a marginal gap size between inlays and demarcation preparation by scanning electron microscope (SEM). RESULTS: The results of the study showed a difference in the accuracy of the existing methods of scanning dental CAD/CAM systems. The highest level of accuracy was achieved by the extraoral optical superficial scanning technique. The value of marginal gap size inlays made with the technique of extraoral optical superficial scanning was 32.97 +/- 13.17 mus. Techniques of intraoral optical superficial and extraoral point laser scanning showed a lower level of accuracy (40.29 +/- 21.46 mus for inlays of intraoral optical superficial scanning and 99.67 +/- 37.25 mus for inlays of extraoral point laser scanning). CONCLUSION: Optical scanning methods in dental CAM/CAM technologies are precise methods of digitizing the spatial models; application of extraoral optical scanning methods provides the highest precision. PMID- 21066874 TI - [Gelatinases A and B activities in the serum of patients with various coronary artery disease stages]. AB - BACKGROUND/AIM: The main characteristic of matrix metalloproteinases (MMPs) is the degradation of extracellular matrix. Synthesis of MMPs has been reported in coronary atherosclerotic lesions in patients with coronary disease (CD) suggesting a pathogenic role of MMPs in its development. Recently there is increasing evidence that gelatinase A (pro MMP-2) and gelatinase B (proMMP-9) play a pathogenic role in the development of the atherosclerotic plaques. The aim of the study was to determine, by the use of a gel image system, a possible presence of active gelatinases in the serum of the patients with CD, as well as if their activity is higher in these patients than in healthy people. METHODS: By gelatin zymography we analyzed the activity of proMMP-2 and proMMP-9 in the serum of 50 patients with various coronary artery disease stages and in the serum of 15 healthy controls. The activity was measured by using a gel image system (Kodak Image 1D 3.6.). RESULTS: ProMMP-2 and proMMP-9 activity was significantly higher in the serum of patients with CD compared to controls. There was higher activity of MMP-2 and MMP-9 in the serum of patients with acute myocardial infarction (AMI) compared to patients with stable angina pectoris, as well as higher proMMP 9 activity in patients with unstable angina pectoris compared to patients with stable angina pectoris. CONCLUSION: ProMMP-2 and proMMP-9 participate in processes associated with destabilizing plaques and understanding the processes of MMPs activation and regulation may have significant benefits in clinical interpretation. The reported higher proMMP-2 and proMMP-9 activity in the serum of patients with CD suggests a role of proMMP-2 and proMMP-9 in prognostic stratification of these patients and in designing new drugs. PMID- 21066875 TI - [Analysis of the consumption of dietary supplements for weight loss]. AB - BACKGROUND/AIM: Overweight and obesity may lead to a large number of health problems. Prevention and adequately timed treatment can lead to successful body mass regulation. The aim of the study was to analyze body mass index (BMI) of the examinees, usage of dietary supplement for weight loss (DSWL) by gender and particular products, types of information source and types of information about DSWL which provide doctors and pharmacists. METHODS: The survey was conducted in the region of the town of Nis. The survey was carried out among a random sample of 505 participants, 243 men and 262 women, older than 15 years. The tool used was a questionnaire. RESULTS: . There is a positive association between aging and BMI. DSWL are used by 20.39% of the examinees. The most of the examinees that use DSWL were overweight and used it for esthetic reasons. Before the use of DSWL, women usually practice diets and men practice fluid consumption. Television is the most important source of information about DSWL. Health care professionals mostly provide information about DSWL consumption (64.08%), and only a third of them provide all the information (usage, eating regime, fluid consumption, and physical activity). CONCLUSION: The prevalence of obesity emphasizes the need for concentrated efforts to prevent obesity in the early age. The only way to reduce the risk of DSWLs misuse is providing all of the necessary information by the health care professionals. As pharmacists are in the direct contact with patients they have the most important role in rational and effective use of DSWL. PMID- 21066876 TI - [Comparative analysis of linear morphometric parameters of the humane mandibula obtained by direct and indirect measurement]. AB - BACKGROUND/AIM: Reconstruction of lost, damaged or distorted mandibular bone presents a challenge from the functional side, as well as from the esthetic point of view. The aim of this study was to determinate potential differences between values of the basic linear moprhometric parameters (LMPs) obtained by direct and indirect manual measurement of the same humane mandibles, with accuracy testing of indirect measurement, which are routinely used in every-day clinical practice. The results are essential for defining of parametric model of the "standard mandible" and virtual modeling of the humane mandible in future researches as well as in clinical medicine. METHODS: A total number of 12 dry bony mandibles of human adults were studied. According to the standard anatomical landmarks, 10 standard LPMs were measured on each mandible by different manual methods: directly by a sliding caliper with nonius, and indirectly using standard software on two-dimensional (2D) reconstructions of mandibular multislice computed tomography (MSCT) scans. The values obtained by the different measuring methods were matched for the same LMPs. RESULTS: Statistically significant differences between compared LMPs values obtained by different measuring methods, appeared in the gnathio-interdental distance (Gn-IdD), as well as in the gnathio-condylar distance (Gn-CoD), bilaterally. In both parameters, significantly higher mean values (with percent of difference) were obtained by direct versus indirect measurement: Gn-IdD (21.57%; 29.2 mm vs 22.9 mm; p = 0.004); Gn-CoD left side (14.1%; 122.7 mm vs 105.4 cm; p = 0.001); Gn-CoD right side (11.87%; 124.7 mm vs 109.9 mm; p = 0.009). CONCLUSION: According to the observed inaccuracy of indirect measurement, we recommended the use of a correction factor for calculation of both Gn-IdD and Gn-CoD real vales, in defining of parametric model of the "standard mandible" based on indirect morphometry on 2D reconstructions of mandibular MSCT scans. Additional studies with larger number of specimens and quantification of anatomical variations regarding to sex, age, dentition status and ethnic origin, additionally should increase measurement accuracy and consequently reliability of future parametric model of the human mandible. PMID- 21066877 TI - [Selection of biomaterials in orthopedic surgery]. PMID- 21066878 TI - [The Mounier-Kuhn syndrome]. AB - BACKGROUND: The Mounier-Kuhn syndrome (MKS) or tracheobronchomegaly (TBM) is a rare condition of unknown frequency, up to now about 100 cases have been reported. It presents by marked dilatation of the trachea and major bronchi, recurrent respiratory infections and consecutive bronchiectasis and scars in lung parenchyme. Sometimes enlargement of transversal colon may be present. Diagnosis is usually made radiologically. CASES REPORT: We rewieved two patients 77 and 72 years old with typical clinical presentation and enlarged upper airways, in whom diagnosis of MKS was established by chest multislice computed tomography (MSCT). Transversal diameter of trachea was 30 mm in the first patient and 33 mm in the other one. Complications of syndrome (tracheal diverticulosis in the first patient, and pulmonary fibrosis, bulous emphysema and bronchiectasis in both patients) also were seen. Lung function tests showed mixed ventilation disorder, and disturbance of respiratory gases values in arterial blood samples. CONCLUSION: The Mounier-Kuhn syndrome is rare disorder, although diagnosis is often missed. Clinical presentation is similar to chronic obstructive pulmonary disease or bronchiectasis. Computed tomography is gold standard for diagnosis. Therapy is presumely supportive. PMID- 21066879 TI - [Some problems encountered in clinical efficacy evaluation of Chinese medicine]. PMID- 21066880 TI - [Pay attention to exploration on clinical research methodology of integrative medicine]. PMID- 21066881 TI - [Translational medicine and its application in research & development of integrative medicine]. AB - The background, concept and status quo of translational medicine at home and abroad were introduced systematically in this review, and the application mode of translational medicine in the research and development of Chinese medicine (CM) was analyzed. Targeting the characteristics of CM and the changes in the spectrum of diseases in China, some suggestions were made to strengthen the translational research in CM and integrative medicine. PMID- 21066882 TI - [Suggestions on clinical trial of integrative medicine on cardiovascular diseases]. PMID- 21066883 TI - [Relationship between neuro-psychological factors and effect of acupuncture in treating Bell's palsy]. AB - OBJECTIVE: To study the influence of neuro-psychological factors on the effect of acupuncture in the treatment of Bell's palsy and the overall prognosis in patients. METHODS: Fifty patients with Bell's palsy were randomized into the treatment group and the control group, and they were treated with manipulated and non-manipulated acupuncture, respectively. Scorings by subjective perceptive scale of acupuncture, Cartel personality test, and Hamilton Anxiety Scale were performed and the curative effect was assessed according House-Brackmann grading standards. RESULTS: The total effective rate of acupuncture was 78.0% (39/50), and that of manipulated acupuncture was better than that of non-manipulated acupuncture [89.2% (25/28) vs. 63.6% (14/22), P < 0.01]. Visual analogue scoring for perception of "Deqi", evaluated either by patients or by doctors, showed that the scores was higher in the treatment group than in the control group (P < 0.01). Cartel personality test (16PF) found that patients with personality factors of sociability, intellectuality, excitability, braveness, and independence were capable of getting "Deqi" more easily, there existed a significant correlation between personality factors and curative effect. By Hamilton Anxiety Scale scoring, 92.0% (46/50) of the patients were found being in an anxiety state, and the efficacy of treatment was negatively correlated with the degree of anxiety (r = -0.9491, P < 0.05). CONCLUSION: Neuro-psychological factors put great influence on the efficacy of treatment for Bell's palsy, multiple measures, such as drug-therapy, acupuncture, psychological intervention, rehabilitation therapy, etc., should be taken in combination for improving patients' prognosis. PMID- 21066884 TI - [Central modulating mechanism of ST36 (Zusanlii) acupuncturing on amplitude of low-frequency fluctuation in resting-state]. AB - OBJECTIVE: To observe and analyze the changes in brain amplitude of low-frequency fluctuation (ALFF) in resting-state induced by ST36 (Zusanli) point acupuncturing (Ac), and to discuss its central modulating mechanism. METHODS: Fifteen healthy young volunteers received ST36 Ac and non-acupoints Ac respectively, the resting state fMRI data were acquired before and 20 min after the withdrawal of AC. ALFF of encephalic regions were calculated using REST software, and one-sample t-test analysis was performed on the intragroup data acquired after Ac by statistical parametric mapping 5 (SPM5), to get the maps of ALFF changes induced by ST36 Ac and non-acupoints Ac respectively for analyzing the difference between them finally. RESULTS: ST36 Ac increased ALFF in widespread brain areas, including cerebral cortex (frontal, temporal, parietal and occipital lobe), brainstem, cerebellum, etc., with the amplitude and extent higher than those increased by non-acupoint Ac, showing statistical significance in ALEF of right cerebellar posterior lobe, fusiform gyrus, central posterior gyrus, central anterior gyrus, supramarginal gyrus, inferior parietal lobule, middle frontal gyrus (P < 0.05). Meanwhile, ALFF in brain areas of left temporal pole, superior temporal gyrus, mid-temporal gyrus, uncus, bilateral forepart of callosal gyrus, right amygdala, right inferior gyri orbitales were decreased by Ac, also showing significant difference between ST36 Ac and non-acupoint Ac (P < 0.05). CONCLUSION: ST36 Ac could induce ALFF changes in multiple functional regions of brain,and its mechanism is probably produced by modulating the respective functional center and complex network in brain to influence the target organs. PMID- 21066885 TI - [Integrated Chinese and Western medical treatment on postoperative fatigue syndrome in patients with gastric cancer]. AB - OBJECTIVE: To evaluate the effect of the combined use of Shenmai Injection (SMI) and enteral nutrition on postoperative fatigue syndrome (POFS) in patients with gastric cancer (GC). METHODS: Fifty-eight GC patients were randomized into the parenteral nutrition group (PNG, 19 cases), enteral nutrition group (ENG, 19 cases) and combined treatment group (CTG, 20 cases). The post-operative recovery in patients was observed; patients' conditions of fatigue, mood and sleep were evaluated respectively by visual analogue scale of fatigue, profile of mood states (POMS) and Pittsburgh sleep quality index (PSQI). Meanwhile, nutritional variables, such as serum contents of total protein, albumin, pre-albumin, were measured at different time points: before operation (d0) and the 1st, 5th, and 9th day (d1, d5 and d9) after operation. Immune variables such as subsets of lymphocytes (CD3, CD4, CD8), serum immunoglobulins (IgG, IgM, IgA) were also determined. RESULTS: Conditions of recovery, POMS and PSQI were better and the postoperative fatigue reduced more significantly in CTG than those in the other two groups (P < 0.05). On d9, levels of pre-albumin, CD3, CD4, CD4/CD8 in CTG were significantly higher than those in the PNG and ENG (P < 0.05), meantime, levels of albumin and IgA were higher in CTG than those in PNG (P < 0.05). CONCLUSION: Combined treatment of SMI and enteral nutrition can regulate mood and sleep to some extents, and reduce the postoperative fatigue through improving nutritional status and immune function, thus speeding up the recovery of patients. PMID- 21066886 TI - [Relationship between Chinese medicine syndrome type and psychological factor in patients with functional dyspepsia]. AB - OBJECTIVE: To explore the relationship between Chinese medicine syndrome type and psychological factor in patients with functional dyspepsia (FD). METHODS: With an epidemiologic method adopted, 297 FD patients received psychologic mensuration and their Chinese medicine syndrome type was differentiated. The distribution of Chinese medicine syndrome type in FD patients was studied and the differences among various types were analyzed using self-rating depression scale (SDS) and self-rating anxiety scale (SAS). RESULTS: (1) Patients' Chinese medicine syndrome could be differentiated into 5 types: the Pi-deficiency qi-stagnancy type (I), the Gan-qi accumulation type (II), the Gan-qi invading Wei type (III), the dampness-heat stagnating in Wei type (IV) and the Pi-Wei qi-deficiency type (V). Patients of type I (96 cases, 32.3%) held the dominant share. (2) Depressive and anxiety states presented in patients with various syndrome types, among them, patients of type II held the highest percentage of depressive status (30 cases, 62.5%), type III held the highest percentage of anxiety state (19 cases, 35.8%), while type IV possessed the lowest percentages of both. (3) Analysis between symptoms and syndrome types showed that post-prandial fullness presented in most patients of types V and I; early satiation presented more prominently in patients of type V; upper abdominal pain presented frequently in patients of types II and V, and upper abdominal burning sensation presented more evidently in patients of type IV. (4) Comparisons of SDS and SAS scores in patients with different syndrome types showed that the highest SDS score presented in type II, highest SAS score presented in type III; and the lowest scores of SDS and SAS all presented in type IV. CONCLUSIONS: Psychological states are different in FD patients with various syndrome types. The Chinese medicine pathogenetic mechanisms of FD is complex in deficiency/excessive nature, and the condition of disease is closely related with organs Gan and Pi. PMID- 21066887 TI - [Relationship between HBV DNA genotype and Chinese medicine syndrome pattern in patients with chronic hepatitis B]. AB - OBJECTIVE: To study the relationship between genotype of HBV DNA and Chinese medicine (CM) syndrome pattern in patients with chronic hepatitis B (CHB). METHODS: With an open parallel-controlled method adopted, CM syndrome types of 117 CHB patients were differentiated and their genotypes of HBV DNA in peripheral blood were determined to observe the relationship with syndrome pattern and diagnostic degree of hepatitis. RESULTS: (1) The HBV DNA genotype distribution in CHB patients was: type B in 17.51% (31 cases) of the 117 patients, type C in 131 (74.01%), type B/C in 11 (6.21%) and type D in 1 (0.56%), while the genotype in 3 cases was unable be determined. The proportion of patients with HBV DNA of type C was obviously higher than that with other types (P < 0.01). (2) Distribution of CM syndrome pattern was: 98 patients (55.37%) were differentiated as Gan stagnation Pi-deficiency pattern (I), 6 (3.39%) as Gan-Shen yin-deficiency pattern (II), 2 (1.13%) as Pi-Shen yang-deficiency pattern (III), 12 (6.78%) as damp-heat blocking pattern (IV) and 59 (33.33%) as blood-stasis obstruction pattern (V). Sixteen out of the 32 severe CHB patients were differentiated as pattern V, accounting for 50.00%, but showed no statistical difference in comparing with that in patients of other patterns. However, the HBeAg negative rates in patients of pattern V was 62.71% (37/59), significantly higher than that in patients of other patterns (P = 0.00), suggesting that the inflammatory injury of liver was rather severer, and the virus were eliminated partially in the inflammatory reaction. (3) 42.37% (25/59) in patients of pattern V had family history of CHB, the percentage was significantly higher than that in patients of pattern I (P < 0.05). CONCLUSIONS: HCV DNA of type C is predominant in CHB patients in China. Liver injury in CHB patients of CM syndrome pattern V is severer than that in patients of other patterns. HBV genotype shows no obvious correlativity with CM syndrome pattern of patients, but the cases with family CHB infection history of pattern V take a higher proportion. PMID- 21066888 TI - [Preventive effect of integrated Chinese and Western medicine on hepatic veno occlusive disease after hematopoietic stem cell transplantation]. AB - OBJECTIVE: To investigate the effect of compound Danshen injection combined with prostaglandin E1 low molecular weight heparin calcium to dextran-40 preventing hepatic veno-occlusive disease (HVOD) after hematopoietic stem cell transplantation (HSCT). METHODS: A total of 520 patients who received HSCT in the authors' hospital from May 1998 and December 2009 were subjected, among whom 231 patients received autologous peripheral blood stem cell transplantation, 125 received HLA-identical sibling HSCT, 49 received HLA-identical/mismatched unrelated HSCT and 115 received HLA-haplotype HSCT. All patients were treated by intravenous dripping of CSI 40-60 mL, dextran-40 250-500 mL, prostaglandin-E1 40 60 microg, and subcutaneous injection of low molecular weight heparin calcium 3 000-5 000 IU every day, the preventive effect on HVOD after HSCT was observed. RESULTS: HVOD occurred and caused death only in 1 case of the 520 patients observed, the incidence was 0.19%. Neither obvious adverse reaction nor coagulation disorder was found. CONCLUSION: Compound Danshen Injection combined with prostaglandin E1, low molecular weight heparin calcium and dextran-40 is a safe and effective protocol for the prevention of HVOD after HSCT. PMID- 21066889 TI - [Randomized comparative study on the lipid lowering effects of Zhikang Granule and simvastatin]. AB - OBJECTIVE: To compare the lipid lowering effects of Zhikang Granule (ZKG) and simvastatin. METHODS: Forty-five out-patients with hyperlipemia who met the entry criteria were enrolled and randomized into two groups in the ratio of 2: 1, 30 patients in the ZKG group and 15 patients in the simvastatin group. The lipid lowering effects and safety of treatment during the 24-week therapeutic period, as well as the influence of treatment on plasma high sensitivity C reactive protein (hs-CRP) level in patients were observed. RESULTS: No significant difference between the two groups was observed in serum levels of total cholesterol (TC), low density lipoprotein cholesterol (LDL-C), high density lipoprotein-cholesterol (HDL-C) and triglyceride (TG) at the 4th, 8th, 12th and 24th week (P > 0.05). However, as compared with baseline, significant reduction of TC and LDL-C in both groups was shown at all the observing time points (P < 0.01), while the changes in TG and HDL-C were insignificant (P > 0.05). The control rates of LDL-C and TC in the ZKG group and the simvastatin group were 86.7% (26/30) versus 100% (15/15) at the 4th week, 80.0% (24/30) versus 100% (15/15) at the 8th week, 53.3% (16/30) versus 60.0% (9/15) at the 12th week, and 90.0% (27/30) versus 93.3% (14/15) at the 24th week, respectively, all showed insignificant difference between groups. No statistical differences were found between groups in levels of plasma transaminase, creatinine, uric acid and hs-CRP (P > 0.05). CONCLUSION: ZKG has a definite effect in lowering LDL-C and TC, and it is safe in long-term administration. PMID- 21066890 TI - [Effect of precondition with GBE50 and Salviae miltionrrhizae on cycloxygenase-2 and its downstream effectors contents in ischemia/reperfusion myocardium]. AB - OBJECTIVE: To investigate the changes in contents of cycloxygenase-2 (COX-2) and its downstream effectors in rat's myocardial ischemia/reperfusion (I/R) model and observe the effects of precondition with GBE50 (Ginkgo biloba extract 50) and Salviae miltiorrhizae (SM) on them. METHODS: Rat's I/R model was established by 30-min left anterior descending coronary artery occlusion followed with 60-min reperfusion. Animals were divided into the model control group, the sham-operated group and the tested groups (received 1-week precondition with GBE50 and SM respectively via intragastric infusion before modeling). COX-2 mRNA expression in myocardium was detected by real-time PCR; contents of thromboxane B2 (TXB2) and 6 keto-prostaglandin F1alpha (6-keto-PGF1alpha) were measured by radioimmunoassay. RESULTS: The mRNA expression of COX-2 in the model group was obviously higher than that in the sham-operated group (P < 0.001), while that in the tested groups was down-regulated significantly (P < 0.01), and the content of TXB2 as well as the ratio of TXB2/PGF1alpha was reduced significantly (P < 0.05). Besides, SM also showed the up-regulation effect on 6-keto-PGF1alpha content in myocardium (P < 0.05). CONCLUSION: COX-2 affects the myocardium through thromboxane A2 and prostacyclin after I/R; both GBE50 and SM can inhibit the production of COX-2, but they may act in different paths. PMID- 21066891 TI - [Effect of banxia baizhu tianma decoction on the left ventricular hypertrophy of hypertrophied myocardium in spontaneously hypertensive rat]. AB - OBJECTIVE: To investigate the changes in renin angiotensin system (RAS) in hypertrophied myocardium of spontaneous hypertensive rat (SHR), and the effect of Banxia Baizhu Tianma Decoction (BBTD) on the changes in haemodynamic parameters and mRNA of signaling molecules of RAS at different periods. METHODS: Fifty-four male SHRs of 6 weeks old were randomly and equally divided into three groups: the untreated control group, the test group, and the positive control group, and they were treated respectively with distilled water, BBTD and captopril by dissolving in equal volume of water administrated via gavage for 18 weeks. Besides, 18 age matched Wistar-Kyoto (WKY) rats treated with distilled water were allocated in a normal control group. Rats were managed in batches at their age of 18, 24, and 32 weeks old. Rat's hemodynamic parameters were measured through carotid artery catheterization, myocardial pathology was observed, and their mRNA expressions of angiotensin (AGT), angiotensin-converting (ACE) and angiotension-converting 2 (ACE2) were determined by Real-time PCR. RESULTS: Compared with WKY rats, the arterial pressure and left ventricular mass index (LVMI)in SHR were significantly higher at 18, 24 and 32 weeks respectively (P < 0.01); average cycle rate showed in electrocardiogram was higher (P < 0.05), though the blood stream was similar; mRNA expressions of AGT and ACE in heart tissue were markedly higher (P < 0.01), but that of ACE2 at 18 and 24 weeks were lower (P < 0.01). Compared with untreated SHR, arterial pressure at 18 and 24 weeks was lower (P < 0.05); cardiac muscle structure was improved; LVMI at 24 weeks was improved (P < 0.05); the mRNA expressions of AGT and ACE were suppressed but that of ACE2 increased at 18, 24,and 32 weeks significantly in the test group after BBTD treatment (P < 0.05). CONCLUSIONS: Changes in RAS in the hypertrophied myocardium of SHR may be one of the molecular mechanisms for hypertension leading to left ventricular hypertrophy. BBTD can improve the hemodynamic parameters, regulate RAS, so as to lower the arterial pressure. PMID- 21066892 TI - [Pathogenetic mechanism of beta-arrestin1 in experimental colitis of rats and intervention effects of oxymatrine]. AB - OBJECTIVE: To investigate the pathogenetic mechanism of beta-arrestin1 in the rat's experimental colitis, whether the delta opioid receptor-beta-arrestin1 -Bcl 2 signal transduction pathway involves the pathological process of experimental colitis in rats, and whether oxymatrine could attenuate colitis through this pathway. METHODS: Twenty-six SD rats were randomly divided into four groups, the normal control group, the model group, the mesalazine treated group and the oxymatrine treated group (8 rats in the last group and 6 each in the others). The colitis model was established with trinitrobenzene sulfonic acid (TNBS), and rats in the latter two groups were treated by oxymatrine (intramuscular injection) and mesalazine (3 mL solution gavaged) for 15 days, respectively, while rats in the former two groups were fed with equal volume of distilled water. Symptoms of diarrhea and bloody stool as well as colonic patho-histologic changes were observed, and changes in expressions of delta opioid receptor, beta-arrestin1 and Bcl-2 in rat's colon tissue and spleen T lymphocytes were detected with immuno histochemistry and Western immune-blotting techniques, respectively. RESULTS: In contrast to the normal control group, expressions of delta opioid receptor, beta arrestin1 and Bcl-2 were significantly higher in the model group (P < 0.01); compared with the model group, they were significantly lower in the two treated groups (P < 0.01). CONCLUSIONS: Delta opioid receptor-beta-arrestin1 -Bcl-2 signal transduction pathway participates in the pathogenesis of TNBS-induced experimental colitis in rats. Oxymatrine can intervene the signal transduction, which may be one of the mechanisms of oxymatrine in attenuating colitis in rats. PMID- 21066893 TI - [Investigation of therapeutic mechanism of Weiweifang on experimental gastric ulcer in rats viewing from metabonomics]. AB - OBJECTIVE: To investigate the therapeutic mechanism of Weiweifang (WWF, a Chinese herbal preparation) on gastric ulcer in rats viewing from metabonomics. METHODS: Wistar rats were made to gastric model by acetic acid cauterization and randomized into the model group, the spontaneously healing group and the three WWF treatment groups, and a group of normal rats was set for control. Metabolic spectra of gastric mucosa extraction of rats were acquired with gas chromatography-mass spectrometry (GC-MS) technique. After being pre-processing, data were subjected to partial least squares discriminant analysis (PLS-DA) to discover the biomarkers in rats of the normal group and the model group. The therapeutic effect of WWF on experimental gastric ulcer was assessed by principal component analyses (PCA), and its action of mechanism was explained viewing from the changes of biomarkers. RESULTS: Spectra of biomarkers, including organic acids, fatty acids, amino acids, etc. in model rats were statistically different to those in normal rats, which demonstrated that the energy and substance metabolisms were disordered in rats with gastric ulcer. WWF could cure gastric ulcer effectively by way of regulating the metabolism of gastric mucosa. CONCLUSION: The therapeutic mechanism of WWF on experimental gastric ulcer in rats is revealed integrally by metabonomics in this study, displaying prominently the characteristics of Chinese medicine multiple targets comprehensive therapy. PMID- 21066894 TI - [Effect of Xiaozheng Rongmu powder for the treatment of liver cirrhosis in rats]. AB - OBJECTIVE: To observe the therapeutic effect of Xiaozheng Rongmu Powder (XRP) for the treatment of progressive CCl4-induced liver cirrhosis in rats. METHODS: Rat liver cirrhosis model was established by subcutaneous injection of 50% CCl4-olive oil 2 mL/kg twice a week for 12 weeks. Experimental rats were divided into the control group treated by saline and the two treatment groups, treated with XRP and Xiaochaihu Decoction, respectively, with the treatment starting from the 9th week of modeling. Rats were sacrificed at the terminal of experiment, the death rate, character of ascites, liver histological changes, liver function, mRNA expression of hepatocyte mitosis and the liver fibrosis associated markers in rats were observed. RESULTS: At the end of the 8th week of modeling, serum levels of ALT, AST and TBil were increased, and Alb decreased significantly in rats (P < 0.01), cirrhosis formation with ascites could be seen in all rats. Meantime, levels of vascular smooth muscle alpha-actin, transforming growth factor-beta1, collagen I A2, tumor necrosis factor-alpha, tissue inhibitor of melalloproteinase 1 mRNA increased, while matrix melalloproteinase-13 mRNA were decreased significantly (P < 0.01), with visible liver proliferation to some extents. Further changes of above-mentioned abnormalities and clear suppression of hepatocytes mitosis were found in the modeled rats at the end of the 12th week. As compared to those occurred in the control group, changes in the XRP treated group were significantly milder at the corresponding duration, and clearly active hepatocytes mitosis was shown. CONCLUSION: XRP, a Chinese drug with the effect of dissolving phlegm, removing stasis and supplementing qi, could reverse the progress of cirrhosis formation induced by CCl4, and it brings potential new hope for the treatment of advanced cirrhosis by Chinese medicine. PMID- 21066895 TI - [Effects of salvianolic acid B and diammonium glycyrrhizinate on portal pressure in rats]. AB - OBJECTIVE: To study the relaxant effects of glycyrrhizinate and salvianolic acid B on rat portal vein in vitro. METHODS: Healthy female Wistar rats were canalized from hepatic artery, portal vein and hepatic vein in vitro. Remained blood in liver was eliminated with heparinized Krebs-Henseleit solution through hepatic artery, then the liver was isolated under infusing manner. Being constricted with phenylephrine and relaxed with acetylcholine, and infused with glycyrrhizinate or salvianolic acid B, the portal pressures of infused rat livers were consistently monitored by BL-420S physiological experiment system. The median effective concentration (EC50) of the two agents were analyzed with non-linear various slope regression using Prism-4 software. RESULTS: EC50 of glycyrrhizinate in relaxing the phenylephrine-contracted portal was 1.5556 x 10(-9) mol/L, suggesting one of the mechanism of action of diammonium glycyrhizinate for the treatment of portal hypertension was direct relaxation. Salvianolic acid B showed constrictive action on the phenylephrine-retracted portal vein, the EC50 was 1.4639 x 10(-9) mol/L, indicating that its indirect control action was took part in the portal hypertension therapy synergistically. CONCLUSION: Under the mode with both controlled-velocity and monitored pressure, glycyrrhizinate showed relaxation and salvianolic acid B showed constriction on portal pressure in vitro. PMID- 21066896 TI - [Effect of Qingchang Suppository on intestinal permeability in rats with ulcerative colitis]. AB - OBJECTIVE: To observe the effect of Qingchang Suppository (QCS, a Chinese herbal preparation) on intestinal permeability in rat ulcerative colitis (UC) model induced by trinitrobenzene sulforic acid, and to explore the mechanism of QCS for healing the ulceration. METHODS: Labelled by FITC-dextran 4 000 (FD-4), the permeability of colonic membrane in UC rat and effect of QCS on it were observed in vitro and in vivo. RESULTS: In vivo study showed that the colonic FD-4 permeability of UC rat was increased significantly, being 6-fold of normal in 30 min. After treated with QCS of high/moderate dosage, it significantly attenuated to different degrees (P < 0.05). FD-4 permeability coefficient (Papp) determination in vitro showed that Papp in model rats increased to (5.001 +/- 1.316) x10(-8) cm/s in 120 min, being 2.5-fold of control; and which could be decreased by high/moderate dose QCS effectively (P < 0.05). CONCLUSION: QCS could suppress the high colonic permeability in UC model rats, improve the barrier function of intestinal membrane and promote the healing of ulceration. Qingchang Suppository; ulcerative colitis; intestinal permeability in UC model rats, improve the barrier function of intestinal membrane and promote the healing of ulceration. PMID- 21066897 TI - [Effects of Qiyao Xiaoke capsule on glycolipid metabolism in liver and skeletal muscle of rats with catch-up growth induced by high-fat diet]. AB - OBJECTIVE: To observe the effect of Qiyao Xiaoke Capsule (QXC, a Chinese preparation for invigorating qi and nourishing yin) on glycolipid metabolism in the liver and skeletal muscle of rats with catch-up growth (CUG) induced by high fat diet. METHODS: CUG model rats were made by limiting forge followed by high fat diet, and intervened with different dosages [1.8 (g/kg x d), 0.6 g/(kg x d)] of QXC. And the experiment was controlled with pioglitazone. Levels of blood glucose, serum free fatty acid (FFA), serum insulin (Fins), plasma adiponcetin (AC) and insulin resistance index (IRI) as well as the weights of peri-epididymis and peri-renal fat and their ratio to body weight were observed 8 weeks later. The contents of total cholesterol (TC), triglyceride (TG) and glucose uptake in skeletal muscle and liver were also determined. RESULTS: Insulin resistance in CUG rats can be induced by high-fat diet. Compared with the un-treated model rats, levels of Fins and AC were higher, IRI and FFA were lower in CUG rats after intervened by QXC (P < 0.05 or P < 0.01). Moreover, QXC at large dose showed the effects of reducing TG content in liver and skeletal muscle, advancing the glucose uptake in skeletal muscle, displaying an efficacy similar to that of pioglitazone (P > 0.05). CONCLUSION: QXC could improve the glycolipid metabolism in high-fat diet-induced CUG rats through different pathways of intervention to alleviate/delay the occurrence and development of insulin resistance in the liver and skeletal muscle. PMID- 21066898 TI - [Comparative study on the integration teaching materials of integrated Chinese and Western medicine]. AB - The publication of the new era nationwide and standardized teaching materials for higher medical school (a set containing 16 books) is the presentative achievement of the all-in-one integrative medical teaching material compilation, having prominent significance. In this paper, by classifying and comparing the contents in the book "Internal Medicine of Integrative Medicine", the flaws in theory, combination, field of view, feature, etc. of the materials were pointed out, and an assumption about integrating the writing resources, innovating the writing mode, giving prominence to the characteristic of the book and optimizing the writing content, etc, were suggested in order to advance the constructions of the integrative medical teaching materials. PMID- 21066899 TI - [Preliminary research on application of Q method in studying experiences of Chinese medicine famous veteran doctors]. AB - As the stands, one-sidedness of subjective understanding and subjective bias is surely existed in the study of Chinese medicine (CM) doctors' experiences, so to find appropriate methods for inheriting the real experiences of experts is needed. Since CM thinking presents as a system integral way of intention thinking and a mental process of subject, to study CM experts' experiences in virtue of Q method, a psychological research method for the subjective sense, must be feasible. The application of Q method was inspected in this article, it was considered to be capable of sorting the experts, integrating the opinions of experts and comparing their opinions from multi-pointviews. A test investigation was carried out by applying Q method to analyze the CM measures, getting from some chosen gynecology specialists, for regain pregnancy in women underwent spontaneous abortion, for exploring the applicative value of Q method in the co cognizance formation of experts' experiences. PMID- 21066900 TI - [English translation of terms of prescription-monarch, minister, assistant and guide]. PMID- 21066901 TI - [Progress in integrative medical study on primary ovarian insufficiency]. PMID- 21066902 TI - Medical devices; general and plastic surgery devices; classification of tissue adhesive with adjunct wound closure device intended for topical approximation of skin. Final rule. AB - The Food and Drug Administration (FDA) is classifying the tissue adhesive with adjunct wound closure device intended for topical approximation into class II (special controls). The special control that will apply to the device is the guidance document entitled ''Guidance for Industry and FDA Staff; Class II Special Controls Guidance Document: Tissue Adhesive With Adjunct Wound Closure Device Intended for the Topical Approximation of Skin.'' The agency is classifying the device into class II (special controls) in order to provide reasonable assurance of safety and effectiveness of the device. Elsewhere in this issue of the Federal Register, FDA is announcing the availability of a guidance document that will serve as the special control for this device type. PMID- 21066903 TI - Paul Keel, 3M's president of Skin & Wound Care. Interview by Chimere G Holmes. PMID- 21066904 TI - Tobacco killed my little brother. PMID- 21066905 TI - Culturally focused wellness intervention for American Indian women of a small southwest community: associations with alcohol use, abstinence self-efficacy, symptoms of depression, and self-esteem. AB - PURPOSE: This study examined the influence of a culturally focused wellness intervention on alcohol consumption, alcohol abstinence self-efficacy, depression, and self-esteem among women of a small American Indian community in the southwestern United States. Participation in two intervention approaches was compared: a curriculum-based health promotion-only approach and health promotion combined with cognitive-behavioral skills building (CBSB). DESIGN: The wellness intervention was tested in a prospective, randomized, two-group design with repeated measures. There was no control group. SETTING: An American Indian community in the Southwest. SUBJECTS: American Indian women, ages 18 to 50 (N = 268). INTERVENTION: A 10-session culturally focused curriculum-based health promotion intervention, with a CBSB component, was developed using a community based participatory research process. Comparisons were made between those who attended the health promotion plus CBSB intervention and those who attended the intervention without the CBSB component. MEASURES: Information regarding demographics, substance use, alcohol consumption, alcohol abstinence self efficacy, depressive symptoms, and self-esteem was collected through a structured interview. ANALYSIS: Regression was used to evaluate the effect of the intervention on alcohol consumption, alcohol abstinence self-efficacy, depressive symptoms, and self-esteem in CBSB and non-CBSB groups. RESULTS: Although there were no significant differences between the CBSB and non-CBSB groups, the results indicate a significant decrease in alcohol consumption and symptoms of depression, and a significant increase in alcohol abstinence self-efficacy and self-esteem, from baseline to the 6-month follow-up for both groups. CONCLUSION: Evidence suggests that this culturally focused health promotion intervention has a positive impact on alcohol use, alcohol abstinence self-efficacy, depressive symptoms, and self-esteem among American Indian women. PMID- 21066906 TI - Commentary: the Bipolar Affective Disorder: Lithium/Anticonvulsant Evaluation (BALANCE) study. PMID- 21066907 TI - From BALANCE to DSM-5: taking lithium seriously. PMID- 21066908 TI - A much needed BALANCE. PMID- 21066909 TI - The king is dead, long live the king! The restoration of BALANCE. PMID- 21066910 TI - [Bisphosphonates (BP) and osteonecrosis of the jaws; continuous treatment with Bisphosphonates should be considered]. PMID- 21066911 TI - [Bisphosphonates (BP) and osteonecrosis of the jaws; should administration of BP be discontinued at the early phase?]. PMID- 21066912 TI - Requirement for a history and physical examination before minor surgery. PMID- 21066913 TI - Marking instruments with color-coded tape. PMID- 21066914 TI - Wearing perfume in the perioperative setting. PMID- 21066915 TI - Opting into organ donation. PMID- 21066917 TI - What U.S. care reforms will mean for emergency nurses. Interview by Christian Duffin. PMID- 21066919 TI - New standards. PMID- 21066920 TI - How trauma networks can improve patient care. AB - The development of trauma networks in the U.K. has been proposed to standardise care and improve outcomes, and thereby reduce morbidity and mortality rates among severely injured patients. This article outlines the history of trauma networks in the United States and the advantages and disadvantages of setting them up in the U.K. PMID- 21066921 TI - Developing a social enterprise through right to request. AB - The 'right to request' the authority to run healthcare services was introduced by the previous government so that staff can respond to the needs of local communities by setting up social enterprises. This article explains how the right to request works in practice by describing how a social enterprise was set up in Bromley, Kent. PMID- 21066922 TI - Diagnosis and management of Paget-Schroetter's syndrome. AB - Paget-Schroetter's syndrome (PSS) is an effort-related syndrome involving upper extremity deep vein thromboses (UEDVTs) that usually occur in the subclavian or axillary veins. The aetiology is distinct from that of lower extremity DVTs (LEDVTs). Although rare, the syndrome can occur in young, otherwise healthy people who participate in upper extremity activity (Roche-Nagle et al 2007) such as footballer Gary Cahill, a defender at Bolton Wanderers, whose hopes of playing football at international level this season have diminished as a result of developing a UEDVT (BBC Sport 2010). This article discusses the incidence and aetiology, and provides a case study, of the syndrome. PMID- 21066923 TI - Role of urgent care staff in organ donation. AB - A detailed review of donation activity since the introduction of an embedded specialist nurse in organ donation (SNOD) in Norfolk and Norwich University Hospitals NHS Foundation Trust has confirmed the benefits of this role for the identification and referral of potential donors by emergency department (ED) staff. This article argues that, as EDs across the U.K. introduce SNODs, more organs will become available for transplant. PMID- 21066924 TI - Getting it right: caring for people who self-harm. AB - The treatment received in emergency departments by people who self-harm depends to a great extent on the behaviour of staff and how this is perceived by service users. In this article, a long-time service user describes how she was pleasantly surprised by the attitudes of the emergency nurses and doctors who cared for her after she had self-harmed. PMID- 21066925 TI - Non-urgent attendance at emergency departments. AB - AIM: To discover the factors influencing patients' decisions to attend emergency departments (EDs) for non-urgent treatment. METHOD: A sample of 196 patients self presenting at an NHS Lanarkshire ED were interviewed by telephone. FINDINGS: The results show that most of the sample members thought that their conditions required urgent attention and that their attendance at the ED was appropriate. The largest proportion of the sample presented with soft tissue injuries or haematomas. Females tended to attend because of others' advice more than did males, with families and friends rather than healthcare professionals being their most common source of healthcare advice. CONCLUSION: A targeted social marketing campaign is needed to address the misconceptions of people who self-present at EDs. The employment of nurse advisors to assess and divert patients to appropriate care services can reduce attendance at EDs and would educate attendees about help-seeking decisions. PMID- 21066926 TI - Arthroprosthetic cobaltism: identification of the at-risk patient. AB - MoM hip bearings are being scrutinized due to high early failure rates and concerns that the results of the revision surgeries will be poor. However, orthopedic surgeons and the general medical community are unaware that patients with MoM bearings are also at risk for cobaltism. Medical providers need to know that hip arthroplasty implantees that present with symptom complexes that include tinnitus, deafness, vertigo, visual changes, rashes, hypothyroidism, tremor, dyspnea on exertion, mood disorders, dementia, heart failure, and peripheral neuropathy may be presenting arthroprosthetic cobaltism. These patients need to be asked if they have had a hip replacement and if so what type. For those patients implanted with a MoM bearing or those with a history of hip revision for a failed ceramic bearing obtaining a [Co] is indicated. MoM implantees with renal failure are a particularly high risk for cobaltism. A [Co] can be measured by many reference laboratories from royal blue top trace elements tube of venous blood. Venipuncture with a standard needle is adequate as long as a red stoppered tube is drawn first. The radiographic appearance of a MoM bearing is readily apparent to an orthopedic surgeon. The patient's operative report will usually specify the bearing type. Given that the publicity of the recent ASR bearing recall medical providers will be contacted by worried patients concerned about their hip implants. Most patients with hip replacements will not know the brand or material of their bearings. Providing patients with copies of their hip implant inventory might avoid worry by the majority of patients with hip arthroplasties that are not at risk. Patients with a cobalt levels of greater than 7 mcg/l bear observation of neurologic and cardiac function. Those patients with levels greater than 20 should be advised to have revision of their hip arthroplasty to a bearing that eliminates cobalt. Most patients implanted with MoM bearing have cobalt levels greater than those allowed in industry and cobalt exposed workers may have an increased incidence of subclinical cognitive and cardiac impairments. This association merits further study. Table 1 is a summation of the previously referenced data of this paper that might assist the clinician in interpreting a [Co]. PMID- 21066928 TI - Disease registries, patients, and offices: how we ask questions about health. PMID- 21066927 TI - Clinical experiences for medical education in Alaska. AB - Several recent physician workforce reports in Alaska have called for a rapid increase in the number of state-supported medical school positions to between 30 and 50 new students per year, preferably through WWAMI--Alaska's Medical School. Here we compare the number of clinical experiences for undergraduate and graduate medical education in Alaska as compared with other states to determine if they are likely to be limiting factors for expansion. Data were primarily gathered from the Association of American Medical Colleges, the Accreditation Council for Graduate Medical Education and from Alaska WWAMI. The data demonstrate that: 1) Alaska currently supports far fewer medical student clerkship experiences per capita than other states; 2) Alaska currently supports far fewer medical residents per capita than other states; 3) neither of these is due to an inadequate physician workforce to provide the training, using other states as a standard; and 4) clinical experiences should not be a limiting factor for expansion of medical education in the state. PMID- 21066929 TI - Inequitable access: provider characteristics and reimbursement policies of primary care office sites in Milwaukee. AB - INTRODUCTION: Availability of primary physician care is a key determinant of health care access. While inequities in access can be related to individual and health care system characteristics, this study focused on the organizational characteristics of the health care system and examined the availability and accessibility of primary care physician offices in Milwaukee, Wisconsin. METHODS: The study design was a secondary analysis of data extracted from a database of information about physician offices established for case management purposes. Analyzed data related to provider characteristics--geographic distribution, types of practice, hours of practice, and acceptance of new patients--and reimbursement policies. RESULTS: Results indicated there were barriers to primary care access in Milwaukee. Although the majority of physicians accepted new patients, most providers were available only during standard business hours, were located outside the center city, and limited acceptance of patients who were on Medicaid or had no health insurance. IMPLICATIONS: Access improves when there is a medical home and a single clinician coordinating patient health care. This is the role of primary care, and this study supports the need for expanded availability of primary care practitioners. PMID- 21066930 TI - Influence of socioeconomic factors and race on birth outcomes in urban Milwaukee. AB - PURPOSE: A national study found that infants born in low socioeconomic areas had the worst infant mortality rates (IMRs) and the highest racial disparity. Racial disparities in birth outcomes are also evident in the city of Milwaukee, with African American infants at 3 times greater the risk than white infants. This study was conducted to examine the influence of socioeconomic status (SES) and race on birth outcomes in the city of Milwaukee. METHODS: Milwaukee ZIP codes were stratified into lower, middle, and upper SES groups. IMR, low birth weight, and preterm birth rates by race were analyzed by SES group for the years 2003 to 2007. RESULTS: The overall IMR for the lower, middle, and upper SES groups were 12.4, 10.7, and 7.7, respectively. The largest racial disparity in IMR (3.1) was in the middle SES group, versus lower (1.6) and upper (1.8) SES groups. The overall percent of low birth weight infants for the lower, middle, and upper SES groups was 10.9%, 9.5%, and 7.5%, respectively. Racial disparity ratios in low birth weight were 2.0, 1.9, and 1.9 for lower, middle and upper SES groups. The overall percent of preterm birth was 15.4%, 13.2%, and 10.6% of births within the lower, middle, and upper SES groups, respectively, with a disparity ratio of 1.6 across all SES groups. CONCLUSIONS: For all outcomes, African American infants born in the upper SES group fared the same or worse than white infants born in the lower SES group. Although higher SES appeared to have a protective effect for whites in Milwaukee, it did not have the same protective effect for African Americans. PMID- 21066931 TI - Self- and registry-reported cancer in a population-based longitudinal study. AB - PURPOSE: To evaluate the concordance of cancer diagnosis from self- and registry reports. METHODS: Self-reported diagnosis information from participants in a cohort study was compared with linkage data from the Wisconsin Cancer Reporting System. RESULTS: Overall, there was good agreement between self- and registry reported cancers, with 90% of all matches being considered an exact match. Concordance varied by cancer site; agreement was excellent for breast (85.4%) and prostate (78.9%) cancers. CONCLUSIONS: While self-reported cancer diagnoses for some cancers such as breast and prostate cancer are important sources of information and may be reliable substitutes when registry data are incomplete or not available, a combination of self and registry reports with mortality information may yield the most accurate information about cancer for purposes of health care planning and conducting epidemiologic studies. PMID- 21066932 TI - Cancer health disparities persist among African Americans in Wisconsin. AB - BACKGROUND: Cancer incidence and mortality rates have decreased over the last few decades, yet not all groups have benefited equally from these successes. This has resulted in increased disparities in cancer burden among various population groups. OBJECTIVE: This study examined trends in absolute and relative disparities in overall cancer incidence and mortality rates between African American and white residents of Wisconsin during the period 1995-2006. METHODS: Cancer incidence data were obtained from the Wisconsin Cancer Reporting System. Mortality data were accessed from the National Center for Health Statistics' public use mortality file. Trends in incidence and mortality rates during 1995 2006 for African Americans and whites were calculated and changes in relative disparity were measured using rate ratios. RESULTS: With few exceptions, African American incidence and mortality rates were higher than white rates in every year of the period 1995-2006. Although cancer mortality and incidence declined for both groups over the period, relative racial disparities in rates persisted over the period and account for about a third of African American cancer deaths. CONCLUSIONS: Elimination of cancer health disparities will require further research into the many contributing factors, as well as into effective interventions to address them. In Wisconsin, policymakers, health administrators, and health care professsionals need to balance resources carefully and set appropriate priorities to target racial inequities in cancer burden. PMID- 21066933 TI - Screening for obesity: clinical tools in evolution, a WREN study. AB - BACKGROUND: The US Preventive Services Task Force (USPSTF) recommends that clinicians screen all adult patients for obesity and offer intensive counseling and behavioral interventions to promote sustained weight loss for obese adults. This recommendation acknowledges the absence of evidence for patient-oriented benefits (lower morbidity or mortality). OBJECTIVES: We sought to determine temporal trends in clinician attitudes toward screening for obesity using body mass index (BMI) and other modalities, before and after introduction of an American Academy of Family Physicians (AAFP) obesity screening toolkit. METHODS: We performed 3 cross-sectional attitudinal surveys (2005-2007) of Wisconsin family physicians before and after they received the Americans In Motion - AIM to Change Toolkit. RESULTS: Response rates were 19.5% of 1429 in the 2005 survey, 21.7% of 1797 in the April 2007 survey, and 14.3% of 1580 in the December 2007 survey. Virtually all clinicians (98% -99%) reported in all 3 surveys that they routinely measured adult weight. There was a significant increase in reporting the routine measurement of adult height (from 57% to 74%) necessary for calculation of BMI. While most clinicians (91% in 2004 and 96% in 2007) agreed that it is important to screen all patients for obesity, there was less agreement that screening was feasible or effective. CONCLUSIONS: While many Wisconsin family physicians endorse screening for obesity, fewer were convinced about screening's feasibility. We were unable to determine if the mailing of the AIM kit had a causal effect on the temporal trends observed. PMID- 21066934 TI - Successful treatment of chronic anal fissure utilizing sacral nerve stimulation. AB - OBJECTIVE: This is a report of a novel treatment approach for chronic anal fissure using minimally invasive sacral nerve stimulation (SNS). MEASUREMENTS: The patient underwent uneventful placement of 1 temporary 8-electrode lead (Medtronic Inc, Minneapolis, Minnesota) for sacral nerve root stimulation. RESULTS: The patient experienced instantaneous relief of perineal pain after start of SNS and steady healing of the fissure by the end of the second week. CONCLUSION: SNS offers an alternative treatment option of chronic anal fissure for patients who choose not to use more invasive surgical interventions. PMID- 21066935 TI - The power of words. PMID- 21066936 TI - Assessing safe sleep: injury prevention for central city infants. PMID- 21066937 TI - Personalized medicine brings genetic knowledge to bear. PMID- 21066938 TI - Prescribing opioids during the diversion crackdown. PMID- 21066939 TI - The most expensive piece of paper you'll ever buy. PMID- 21066941 TI - Hands-on activities illustrate messages about health. Interview by Christian Duffin. PMID- 21066942 TI - Growth of social enterprises looks set to continue across England. PMID- 21066943 TI - Clinical assessment skills and the use of monitoring equipment. AB - The relationship between nursing and technology remains contested. This article debates whether technology--in particular the monitoring of cardiac function, oxygen saturation, respiratory rate, blood pressure and temperature--helps to provide better nursing care or whether it hinders nurses from developing their clinical assessment skills, and prevents them from providing humanistic and holistic care. PMID- 21066944 TI - Single and shared accommodation for young patients in hospital. AB - This study explores the suitability of 100 per cent single room occupancy for children and young people admitted to hospital in terms of their safety, socialisation and development, and demands on the workforce. A literature search, a sabbatical at Boston Children's Hospital in the United States by the author and surveys of health professionals, children and young people have shown that shared rooms should remain available. PMID- 21066945 TI - An overview of infantile hypertrophic pyloric stenosis. AB - In paediatric general surgery hypertrophic pyloric stenosis (HPS) is well researched and documented (Dudgeon 2005, Panteli 2009). Significant medical advances have improved its diagnosis, treatment and prognosis, and there is now almost a 100 per cent success rate (Panteli 2009). However, the cause of this disease process remains poorly understood (Dudgeon 2005, Panteli 2009). This article reviews the literature on the cause and management of HPS. PMID- 21066947 TI - Turning motherhood statements into reality. PMID- 21066946 TI - Nurse-led asthma services for children and young people: a survey of GPs' views. AB - AIM: To explore GPs' attitudes to nurse-led initiatives in the care of children with asthma. METHODS: A questionnaire was posted to 541 GPs in the study area, of whom 236 (43.6 per cent) responded. The data were analysed quantitatively. RESULTS: Of the 236 responders, 90 per cent did provide nurse-led services, 89 per cent believed that patients benefited from these, 84 per cent would consider referral to a nurse-led asthma clinic at the regional children's hospital and 79 per cent would refer to a satellite paediatric nurse-led clinic. CONCLUSION: GPs believe children's nurse-led asthma services benefit the GP's surgery, the regional children's hospital and the primary care trust. Improvements in communication between primary and secondary care are needed. PMID- 21066948 TI - Aging with grace: the evolution of health care. PMID- 21066949 TI - Opening up about mental illness. PMID- 21066950 TI - Hand hygiene project pays off. PMID- 21066951 TI - Renewal, reform and personal responsibility. PMID- 21066952 TI - Fatigue: stories from the front lines. PMID- 21066953 TI - Getting the message out about HIV/AIDS. Interview by Brenda Missen. PMID- 21066954 TI - So many voices, so little voice. PMID- 21066955 TI - Can we have an electronic medical record for every American by 2014? PMID- 21066956 TI - Electronic medical records. Life in the paperless world. Interview by Seth Eisenberg. PMID- 21066957 TI - What are the challenges of electronic medical record systems? PMID- 21066958 TI - How have electronic medical records impacted patient care? PMID- 21066960 TI - What every healthcare practitioner needs to know about REMS. PMID- 21066959 TI - What to do when caring for someone you know. PMID- 21066961 TI - New test may detect colon cancer in earlier stages. PMID- 21066962 TI - Help patients adhere to oral therapies. PMID- 21066963 TI - Before operating room nursing journals: operating room nursing in the pages of the Canadian Nurse 1940-1960. AB - The Canadian Nurses Association (CNA) values learning from nursing history to provide a contextual perspective in understanding how past events have shaped current nursing practice. Until the publication of operating room nursing journals, Canada's national nursing journal, The Canadian Nurse, served as an educational and professional resource for those nurses working in the operating room and for nurses whose work was related to, or connected with, the operating room. A historical review of early issues of The Canadian Nurse (first published in 1905) reveals a substantial amount of content related to operating room nursing in the twenty year period, beginning in the 1940s, that predated the existence of OR specialty journals. The content was, for the time, both detailed and informative. It was through this journal that operating room nurses, indeed all Canadian nurses, learned about new advances, employment opportunities, educational programs, professional associations, and the achievements of those in the profession. Operating Room Nursing, as an isolated and quickly emerging specialty, was introduced to other nurses via items in The Canadian Nurse journal. PMID- 21066964 TI - Cardiac myxoma: a 13-year experience in echocardiographic diagnosis. AB - INTRODUCTION: Transthoracic echocardiography is the method of choice for the diagnosis of cardiac myxomas, but the transesophageal approach provides a better definition of the location and characteristics of the tumor. The authors review their thirteen years' experience on the echocardiographic diagnosis of this pathology. METHODS: From 1994 to 2007, 41 cardiac tumors were diagnosed in our echocardiographic laboratory, of which 27 (65.85%) were cardiac myxomas. The exams and the patients' clinical files were retrospectively reviewed. RESULTS: Of the 27 patients, 22 (81.5%) were female, with a mean age of 62.1 +/- 13.6 years (25-84 years). The predominant clinical features were due to the obstruction caused by the tumor in more than two thirds of the patients, followed by constitutional symptoms in one third and embolic events in 30%. In the lab results, anemia was found in three patients and elevated sedimentation rate and CRP in two. In two patients the myxoma was found by chance. All the cases were of the sporadic type, although we found a prevalence of thyroid disease of 14% (4 patients). All patients underwent urgent surgical resection except one, in whom surgery was refused due to advanced age and comorbidities. The myxomas followed a typical distribution with 24 (88.8%) located in the left atrium, 18 of them attached to the atrial septum (AS) and two to the mitral valve. In one patient, the tumor involved both atria. The other two cases originated in the right atrium at the AS. Embolic phenomena were more frequent in small tumors (p = 0.027) and in those with a villous appearance (p = 0.032). Obstructive manifestations were associated with larger tumors (p = 0.046) and larger left atria (p = 0.048). In our series, there were no deaths during hospitalization or in the follow-up period of 5.2 +/- 3.7 years in 19 patients. There were two recurrences, both patients being successfully reoperated. CONCLUSION: Myxoma is the most common cardiac tumor. Transesophageal echocardiography provides excellent morphologic definition, aiding in diagnosis and follow-up. Most clinical manifestations are obstructive and are associated with larger tumors. Small tumors with a friable appearance have a higher chance of embolization. Surgical resection is usually curative and the long-term prognosis is excellent. PMID- 21066965 TI - Heart rate and prognosis in acute coronary syndromes. AB - INTRODUCTION AND OBJECTIVE: Resting heart rate (RHR) is inversely correlated with the average life span of living beings. In coronary patients, increased RHR has been associated with rapid progression of atherosclerosis. The aim of this study was to compare in-hospital and long-term outcome of acute coronary syndromes according to patients' RHR. METHODS: We prospectively studied 1720 consecutive patients, divided into two groups according to RHR at admission: group A--RHR > or =82 bpm (n=543), and group B--RHR < 82 bpm (n=1177). Epidemiological, clinical, laboratory and therapeutic data were analyzed for both groups. Appropriate statistical tests and multivariate analysis were used to identify independent predictors of in-hospital and one-year mortality. RESULTS: Group A included more women (35.9% vs. 27.0%, p < 0.001), older (68.36 +/- 12.74 vs. 66.39 +/- 12.43 years, p = 0.002), and diabetic patients (30.9% vs. 25.1%, p = 0.014) and non-smokers (85.8% vs. 81.4%, p = 0.024). They were admitted more often with ST-segment elevation myocardial infarction (31.5% vs. 21.5%, p < 0.001), presented higher Killip class at admission and had worse left ventricular ejection fraction (47.99 +/- 11.87% vs. 52.45 +/- 10.32%, p < 0.001). Peak myocardial markers, creatinine and blood glucose at admission were significantly higher in this group. Discharge medication was not statistically different. In hospital mortality (7.7% vs. 3.3%, p < 0.001) and morbidity (10.4% vs. 4.9%, p < 0.001) and one-year mortality (21.3% vs. 9.6%, p < 0.001) and morbidity (43.9% vs. 36.4%, p = 0.009) were higher in the group with RHR > or =82 bpm. The independent predictors of in-hospital mortality were age > or =70.5 years (p = 0.001), RHR > or =82 bpm at admission (p = 0.035) and previous type 2 diabetes (p = 0.004). Age > or =69.5 years (p < 0.001) and RHR > or =82 bpm (p = 0.008) were also independent predictors of one-year mortality, together with Killip class >I (p < 0.001) and ejection fraction < or =49.5% (p < 0.001). CONCLUSION: In our population of acute coronary syndrome patients, RHR > or =82 bpm was associated with worse short- and long-term outcome. PMID- 21066966 TI - Increased heart rate as a cardiovascular risk factor. PMID- 21066967 TI - Myocardial revascularization reverses adverse outcome in non-ST-elevation acute coronary syndromes complicated by heart failure. AB - BACKGROUND: Congestive heart failure (CHF) has a strong negative prognostic impact in patients with non-ST-elevation acute coronary syndromes (NSTE-ACS). The purpose of this study was to establish the effect of myocardial revascularization on prognosis in this setting. METHODS: We retrospectively studied 648 patients admitted with NSTE-ACS and not presenting cardiogenic shock. The effect of coronary revascularization on the outcome of patients with and without CHF was assessed using a propensity score-adjusted regression model. RESULTS: Congestive heart failure was present in 89 patients (14.5%) on hospital admission. During the index hospitalization, 426 patients received myocardial revascularization, through percutaneous coronary intervention (PCI) in 321 cases, and coronary artery bypass grafting (CABG) in 105. In a 6-month follow-up, 38 patients (6.2%) died and 42 (6.8%) experienced non-fatal myocardial infarction (MI). CHF was an independent predictor of both 6-month mortality (adjusted HR 3.6; 95% CI 1.86 6.95; p < 0.001) and 6-month death/(re-)MI (adjusted HR 2.1; 95% CI 1.3-3.5; p = 0.003). Revascularization significantly influenced the prognosis of patients presenting CHF (adjusted HR 0.31; 95% CI 0.13-0.73; p = 0.008), but not of those without CHF (adjusted HR 1.37; 95% CI 0.65-2.89; p = 0.4). A significant interaction was found between revascularization and the prognostic impact of CHF at admission (p = 0.021 for the interaction). CONCLUSIONS: In patients with NSTE ACS, clinical manifestations of CHF constitute a strong predictor of adverse medium-term outcome that may be significantly modified by myocardial revascularization. PMID- 21066968 TI - Usefulness of tissue Doppler imaging to predict arrhythmic events in adults with repaired tetralogy of Fallot. AB - INTRODUCTION: Adults with repaired tetralogy of Fallot (TOF) may be at risk for progressive right ventricular (RV) dilatation and dysfunction, which is commonly associated with arrhythmic events. In frequently volume-overloaded patients with congenital heart disease, tissue Doppler imaging (TDI) is particularly useful for assessing RV function. However, it is not known whether RV TDI can predict outcome in this population. OBJECTIVE: To evaluate whether RV TDI parameters are associated with supraventricular arrhythmic events in adults with repaired TOF. METHODS: We studied 40 consecutive patients with repaired TOF (mean age 35 +/- 11 years, 62% male) referred for routine echocardiographic exam between 2007 and 2008. The following echocardiographic measurements were obtained: left ventricular (LV) ejection fraction, LV end-systolic volume, LV end-diastolic volume, RV fractional area change, RV end-systolic area, RV end-diastolic area, left and right atrial volumes, mitral E and A velocities, RV myocardial performance index (Tei index), tricuspid annular plane systolic excursion (TAPSE), myocardial isovolumic acceleration (IVA), pulmonary regurgitation color flow area, TDI basal lateral, septal and RV lateral peak diastolic and systolic annular velocities (E' 1, A' 1, S' 1, E' s, A' s, S' s, E' rv, A' rv, S' rv), strain, strain rate and tissue tracking of the same segments. QRS duration on resting ECG, total duration of Bruce treadmill exercise stress test and presence of exercise-induced arrhythmias were also analyzed. The patients were subsequently divided into two groups: Group 1--12 patients with previous documented supraventricular arrhythmias (atrial tachycardia, fibrillation or flutter) and Group 2 (control group)--28 patients with no previous arrhythmic events. Univariate and multivariate analysis was used to assess the statistical association between the studied parameters and arrhythmic events. RESULTS: Patients with previous events were older (41 +/- 14 vs. 31 +/- 6 years, p = 0.005), had wider QRS (173 +/- 20 vs. 140 +/- 32 ms, p = 0.01) and lower maximum heart rate on treadmill stress testing (69 +/- 35 vs. 92 +/- 9%, p = 0.03). All patients were in NYHA class I or II. Clinical characteristics including age at corrective surgery, previous palliative surgery and residual defects did not differ significantly between the two groups. Left and right cardiac chamber dimensions and ventricular and valvular function as evaluated by conventional Doppler parameters were also not significantly different. Right ventricular strain and strain rate were similar between the groups. However, right ventricular myocardial TDI systolic (Sa: 5.4+2 vs. 8.5 +/- 3, p = 0.004) and diastolic indices and velocities (Ea, Aa, septal E/Ea, and RV free wall tissue tracking) were significantly reduced in patients with arrhythmias compared to the control group. Multivariate linear regression analysis identified RV early diastolic velocity as the sole variable independently associated with arrhythmic history (RV Ea: 4.5 +/- 1 vs. 6.7 +/- 2 cm/s, p = 0.01). A cut-off for RV Ea of < 6.1 cm/s identified patients in the arrhythmic group with 86% sensitivity and 59% specificity (AUC = 0.8). CONCLUSIONS: Our results suggest that TDI may detect RV dysfunction in patients with apparently normal function as assessed by conventional echocardiographic parameters. Reduction in RV early diastolic velocity appears to be an early abnormality and is associated with occurrence of arrhythmic events. TDI may be useful in risk stratification of patients with repaired tetralogy of Fallot. PMID- 21066969 TI - Comparison of body composition and body fat distribution of patients following a cardiac rehabilitation program and sedentary patients. AB - OBJECTIVE: The aim of this investigation was to analyze differences in body composition and body fat distribution between subjects following a structured cardiac rehabilitation program (CRP) and subjects not involved in any CRP. METHODS: Body composition and body fat distribution were assessed by dual-energy X-ray absorptiometry (DXA) in 62 male subjects, white, who had been diagnosed with coronary artery disease (CAD). The sample came from two groups: a CRP group (n=31) who had been engaged in phase IV of a CRP for more than a year (age: 58 +/ 10 years), and a non-CRP group (n=31) who were not involved in any CRP (age: 59 +/- 12 years). RESULTS: The non-CRP group showed higher values than the CRP group in the following variables: body weight (84.924 +/- 11.250 kg vs. 79.077 +/- 10.050 kg, p < 0.05), BMI (29.0 +/- 3.2 kg/m2 vs. 27.3 +/- 2.6 kg/m2, p < 0.05), body fat (BF) (24.584 +/- 6.696 kg vs. 20.010 +/- 7.340 kg, p < 0.05), % BF (28.6 +/- 4.9% vs. 24.7 +/- 6.7%, p < 0.05), trunk BF (14.563 +/- 4.408 kg vs. 11.430 +/- 4.743 kg, p < 0.01), % trunk BF (32.8 +/- 6.0% vs. 27.4 +/- 8.5%, p < 0.01), abdominal BF (2.934 +/- 1.068 kg vs. 2.083 +/- 1.058 kg, p < 0.01), % abdominal BF (37.2 +/- 6.1% vs. 31.3 +/- 9.4%, p < 0.01), visceral BF (2.401 +/- 0.693 kg vs. 1.731 +/- 0.826 kg, p < 0.01), % visceral BF (35.5 +/- 5.7% vs. 29.6 +/- 9.1%, p < 0.01), abdominal subcutaneous BF (0.533 +/- 0.421 kg vs. 0.353 +/- 0.257 kg, p = 0.05) and abdominal BF/BF ratio (0.12 +/- 0.03 vs. 0.10 +/- 0.02, p < 0.05). There were no significant differences in the other variables studied, including total and regional fat-free mass. CONCLUSION: These results show that the subjects who did not participate in any CRP had a more adverse profile of body composition and body fat distribution. The higher values found for specific fat depots, as well as for the total abdominal BF/BF ratio, confirm the more adverse body fat distribution in non-CRP subjects. These data are in agreement with results from intervention studies in CAD patients. PMID- 21066970 TI - Expression of subclinical atherosclerosis for different cardiovascular risk factors in young populations. AB - INTRODUCTION: Carotid intima-media thickness (cIMT) is considered an early marker for atherosclerosis, but there are few studies on the expression of this marker in younger populations. OBJECTIVES: To evaluate cIMT in younge patients (aged 30 50 years) and its expression according to cardiovascular risk factors. METHODS: We analyzed individuals admitted for an invasive cardiac procedure. Normal cIMT was defined as < 0.90 mm, thickened as 0.90-1.50 mm and atherosclerotic plaque as > 1.50 mm. Lipid profile, anthropometric parameters, fasting blood glucose and estimated GFR were also determined. RESULTS: A total of 106 patients were included (59% male), with a mean age of 43 +/- 5 years, 36% with hypertension, 22% smokers, 32% with known hyperlipidemia, 16% with diabetes, 39% under statin therapy and 40% with metabolic syndrome (AHA/NHLBI definition). Mean cIMT was 0.69 +/- 0.26 mm, and was normal in 74% of the patients, thickened in 20% and with atherosclerotic plaques in 6%. cIMT correlated directly with age (r = 0.26, p = 0.007), log fasting glucose (r = 0.21, p = 0.04), and log triglycerides (r = 0.24, p = 0.017), and tended to correlate with the number of components of metabolic syndrome (r = 0.17, p = 0.08). However, on multivariate analysis, only age remained as an independent predictor (r = 0.29, p = 0.005). Diabetic patients had greater cIMT (0.81 +/- 0.22 vs. 0.67 +/- 0.26 mm, p = 0.039) and there was a trend for greater cIMT in those with metabolic syndrome (0.75 +/- 0.29 vs. 0.66 +/- 0.23 mm, p = 0.09). There were no differences for the other risk factors, A higher number of risk factors in a single patient showed a trend for increased cIMT (p = 0.083) CONCLUSIONS: Age is the only independent determinant of cIMT in a young population. Diabetic patients have greater cIMT and a trend was seen in those with metabolic syndrome, possibly influenced by its relation with diabetes, one of the components of the metabolic syndrome. PMID- 21066971 TI - Screening for warning signs of sudden cardiac death in the young: the SCD-SOS questionnaire. AB - INTRODUCTION: Sudden cardiac death (SCD) is one of the most common causes of death in the young. It may be preceded by "red flags", but screening for these warning signs is not routinely performed. OBJECTIVE: To test a new questionnaire for fast clinical assessment of possible warning signs of serious heart disease in a young population. METHODS: We studied a population of 1472 university students and hospital employees (mean age 22.3 +/- 5.9 years; maximum age 40 years; 56.5% women), using a rapid-response questionnaire, evaluating major cardiac symptoms, past pathological and family history and medication: the Sudden Cardiac Death-Screening of Risk Factors (SCD-SOS) questionnaire. Descriptive statistical analysis and comparison of quantitative and nominal variables were performed using SPSS version 16.0. RESULTS: Of the questionnaires, 0.3% were blank and 3.5% had 1 to 3 missing answers (of a total of 8); 42.5% had no previous cardiac complaints, 27.8% had previous syncope and 24.5% chest pain; palpitations were reported by 23.5%, cardiac murmur by 5.9%, epilepsy or antiepileptic drugs by 1.6%, cardiovascular medication by 1.4% and family history of cardiac disease by 3.3%. A history of sudden unexplained or cardiovascular death in first- or second-degree family members was reported by 2.0%. Full analysis of the questionnaires identified 69 participants (4.7%) with at least one warning sign indicating need for cardiological evaluation, among whom 17 had two warning signs and three had three. CONCLUSIONS: SCD-SOS detected some cases requiring careful examination by a cardiologist. It could, however, be improved in some respects (characterization of chest pain, palpitations and family history of heart disease), in order to clearly identify possible high-risk patients. Applying this questionnaire together with an ECG may be a better way of risk stratifying this population. PMID- 21066972 TI - The reduction of infarct size--forty years of research--second of two parts. PMID- 21066973 TI - Acute myocardial infarction associated with anomalous origin of coronary arteries (a rare case of origin of the left coronary artery from the ostium of the right coronary artery). AB - Origin of the left coronary artery from the ostium or proximal segment of the right coronary artery (single coronary artery) is a rare congenital anomaly (0.03 to 0.4%) and an unusual angiographic finding (0.6 to 1.3%). The most common congenital anomaly is separate origin of the left anterior descending artery and circumflex artery from the left coronary sinus. The second most common anomaly is the origin of the circumflex artery from the right coronary sinus. We present a patient with acute inferior myocardial infarction and cardiogenic shock, in whom it was not possible to perform catheterization of the left coronary artery because of the absence of the ostium of the left coronary sinus. Multislice computed tomography of the coronary arteries was the tool that enabled us to visualize the origin and course of the left coronary artery. PMID- 21066974 TI - Pulmonary asymmetry on chest X-ray. AB - The unilateral absence of one pulmonary artery is a rare congenital abnormality. The authors report a clinical case of a two-year-old boy with no previous medical history who was referred for evaluation after the detection of pulmonary asymmetry on the chest X-ray with a right mediastinal shift. The CT scan and pulmonary perfusion scintigraphy pointed to an absent right pulmonary artery, which was confirmed by right heart catheterization and cardiac magnetic resonance imaging. This is an important pathology because early diagnosis and timely correction can prevent future complications. Since at this time the patient is asymptomatic, the authors opted for careful clinical vigilance. PMID- 21066975 TI - The importance of cardiac magnetic resonance imaging in the diagnosis of myocarditis--a case report. AB - The authors describe the case of a 16-year-old male, who went to the emergency department with a clinical setting characterized by chest pain, electrocardiographic pattern of inferoposterior acute myocardial infarction and elevated markers of myocardial necrosis. Cardiac catheterization revealed no significant coronary lesions and the transthoracic echocardiogram showed no segmental abnormalities. Myocarditis was suspected, and this diagnosis was confirmed by magnetic resonance imaging. This case demonstrates the difficulty of establishing a definitive diagnosis of myocarditis and the increasing importance of cardiac magnetic resonance imaging in this area. PMID- 21066976 TI - Primary diagnosis of atrial septal defect by computed tomography. PMID- 21066977 TI - Evidence and research perspectives for surgeons in the European Rectal Cancer Consensus Conference (EURECA-CC2). AB - PURPOSE: Although surgery remains the most important treatment of rectal cancer, the management of this disease has evolved to become more multidisciplinary to offer the best clinical outcome. The International Conference on Multidisciplinary Rectal Cancer Treatment: Looking for an European Consensus' (EURECA-CC2) had the duty to identify the degree of consensus that could be achieved across a wide range of topics relating to the management of rectal cancer helping shape future programs, investigational protocols and guidelines for staging and treatment throughout Europe. MATERIALS AND METHODS: Consensus was achieved using the Delphi method. Eight chapters were identified: epidemiology, diagnostics, pathology, surgery, radiotherapy and chemotherapy, treatment toxicity and quality of life, follow-up, and research questions. Each chapter was subdivided by topic, and a series of statements were developed. Each committee member commented and voted, sentence by sentence three times. Sentences which did not reach agreement after voting round #2 were openly debated during the Conference in Perugia (Italy) December 2008. The Executive Committee scored percentage consensus based on three categories: "large consensus", "moderate consensus", "minimum consensus". RESULTS: The total number of the voted sentences was 207. Of the 207, 86% achieved large consensus, 13% achieved moderate consensus, and only 3 (1%) resulted in minimum consensus. No statement was disagreed by more than 50% of members. All chapters were voted on by at least 75% of the members, and the majority was voted on by 85%. CONCLUSIONS: This Consensus Conference represents an expertise opinion process that may help shape future programs, investigational protocols, and guidelines for staging and treatment of rectal cancer throughout Europe. In spite of substantial progress, many research challenges remain. PMID- 21066978 TI - Quality assurance through outcome registration in colorectal cancer: an ECCO initiative for Europe. AB - In recent years there have been significant improvements in outcome of rectal cancer treatment. Both new surgical techniques as well as effective neoadjuvant treatment regimens have contributed to these improvements. It is key to spread these advances towards every rectal cancer patient and to make sure that not only patients who are treated within the framework of clinical trials may benefit from these advancements. Throughout Europe there have been interesting quality programs that have proved to facilitate the spread of up to date knowledge and skills among medical professionals resulting in improved treatment outcome. Nevertheless, between European countries remain differences in outcome and treatment schedules that cannot be easily explained. The European CanCer Organisation (ECCO) has recognised these importances's and created the 'European Registration of Cancer Care' (EURECCA) framework to develop a European colorectal audit structure. EURECCA will advance future treatment improvements and spread these to all European cancer patients. It provides opportunities to treat elderly and comorbid patients evidence based while it offers an unique insight in social economical healthcare matters. As such, ECCO has established the basis for a strong, multidisciplinary audit structure with the commitment to improve cancer care for every European cancer patient. PMID- 21066979 TI - Extended surgery and pelvic exenteration for locally advanced rectal cancer. What are the limits? AB - Historically, locally advanced rectal cancers with invasion of tumor into adjacent organs (T4 N1, 2 tumors) have been considered poor prognosis cancers treated with palliative intent. However with the advent of multi-modality therapy and improvement in surgical reconstructive techniques, extended resections for rectal tumors are possible with acceptable patient morbidity and excellent oncological outcomes. PMID- 21066980 TI - The minimally invasive approach, laparoscopic and robotic, in rectal resection for cancer. A single center experience. AB - BACKGROUND: Robotic approach for rectal cancer competes with laparoscopy in centers dedicated to minimally invasive surgery (MIS) due to the technologic advantage. This is a report of our experience with MIS for rectal cancer. METHODS: A series of 84 consecutive patients with laparoscopic resection (between 1995-2010) and 38 consecutive patients with robotic resection (between 2008-2010) for primary rectal cancer were analyzed. Hartmann's procedures were excluded. Clinical and pathologic outcomes were reviewed retrospectively. RESULTS: In the laparoscopic group (LG), 50 anterior rectal resections (ARR), 34 abdominal perineal resections (APR) were performed while in the robotic group(RG) there were 30 ARR and 8 APR. The median operative time was 182 min (140-220 min) in LG and 208 min (180-300 min) in RG (p = 0.0002). No statistically significant difference was noticed between the groups in terms of conversion, morbidity, anastomotic leak and postoperative stay rates. Margin clearance was obtained in all patients and the median number of removed lymph nodes was similar: 11.37 in RG vs. 11.07 in the LG (p = 0.65) with a higher rate of metastatic lymph node involvement in laparoscopy (p = 0.0l012). Blood loss was higher in LG (150 ml vs. 100 ml; p = 0.0001). There were 5 (5.9%) local recurrences in the LG at a median follow-up of 27.5 months and 2 (5.2%) in the RG at a median follow-up of 13 months (p = 0.43). CONCLUSIONS: Minimally invasive surgery for rectal cancer proved to be safe and efficient with similar results in the two groups. Technological advances of robotic approach compared to laparoscopy allowed better ergonomics, more refined dissection, easier preserving of hypogastric nerves and less blood loss. Long term outcomes are to be assessed in prospective randomized studies. PMID- 21066981 TI - Laparascopic colon resection for cancer: evidence based results. AB - About 90-92% of patients with carcinoma of the colon are treated surgically. For other surgeons, laparoscopic surgery for the treatment of malignancies remains controversial because of concerns about the adequacy of lymphadenectomy, the extent of resection, early findings of port-site metastasis and the lack of data on long-term results. In our experience, there are no differences between the laparoscopic and laparotomic techniques, and only advantages if the laparoscopic technique is use correctly. We essentially agree with the good results of many studies published in the last ten years, but we are extremely confident that it is necessary to have a good learning curve and a high-volume cases hospital to obtain good results through a laparoscopic approach. So laparoscopic colorectal surgery should be performed only by surgeons who have completed training in this approach and who perform the procedure often enough to maintain a good level of competence. PMID- 21066982 TI - Endoscopic treatment for early stage colorectal tumors: the comparison between EMR with small incision, simplified ESD, and ESD using the standard flush knife and the ball tipped flush knife. AB - BACKGROUND: Early stage colorectal tumors can be removed by endoscopic mucosal resection but larger such tumors (20 mm) may require piecemeal resection. Endoscopic submucosal dissection (ESD) using newly developed endo-knives has enabled en-block resection of lesions regardless of size and shape. However ESD for colorectal tumor is technically difficult. Therefore, we performed EMR with small incision (EMR with SI) for more reliable EMR, ESD with snaring (simplified ESD) and ESD using the standard Flush knife and the novel ball tipped Flush knife (Flush knife BT) for easier and safer colorectal ESD. AIMS: The aims of our study were (1) to compare the treatment results of the following 3 methods (EMR with SI/si-mplified ESD/ESD) for early stage colorectal tumors, and (2) to assess the performance of Flush knife BT in colorectal ESD. METHODS: We treated 24/44/468 colorectal tumors and examined the clinicopathological features and treatment results such as tumor size, resected specimen size, procedure time, en-bloc resection rate, complication rate. We also treated 58 colorectal tumors (LST NG:20, LST-G:36, other:2) using standard Flush knife and 80 colorectal tumors (LST-NG:32, LSTG:44, other:2) using Flush knife BT, and examined the clinicopathological features and treatment results mentioned above and also the procedure speed. RESULT: The median tumor size (mm) (EMR with SI/ simplified EMR/ESD) was 20/17/30 (EMR with SI vs. simplified ESD: p = n.s, simplified ESD vs. ESD: p < 0.0001). The median resected specimen size (mm) was 22.5/26/41 (EMR with SI vs. simplified ESD: p = 0.0018, simplified ESD vs. ESD: p < 0.0001). The procedure time (min.) was 19/27/60 (EMR with SI vs. simplified ESD: p = n.s, simplified ESD vs. ESD: p < 0.0001) The en-block resection rate (%) was 83.3/90.9 /98.9. The complication rate (post-operative bleeding rate/perforation p=n.s). In the treatment results of ESD for LSTs by knives, there was no difference between standard Flush knife and Flush knife BT for clinicopathological features and treatment results (procedure time, complication rate and en bloc R0 resection rate). However, procedure speed (cm2/min.) of LST-G was significantly faster in the Flush knife BT than in standard Flush knife. (standard Flush knife: 0.21 vs. Flush knife BT: 0.27, p = 0.034). CONCLUSION: EMR with small incision (EMR with SI) and ESD with snaring (simplified ESD) are good option to fill the gap between EMR and ESD in the colorectum, and also considered to become the nice training for the introduction of ESD. Flush knife BT appears to improve procedure speed compared with standard Flush knife, especially for LST-G in colo-rectal ESD. PMID- 21066983 TI - Predicting the risk and diminishing the consequences of anastomotic leakage after anterior resection for rectal cancer. AB - INTRODUCTION: Anastomotic leakage is one of the most serious early complications of any intestinal anastomosis. The morbidity and mortality are high and patients may be at increased risk of cancer recurrence. In colorectal surgery the risks are particularly high following low anterior resection. Factors which increase and decrease the risks are discussed. METHODS: A review of the main published risk factors for anastomotic leakage after anterior resection for rectal cancer together with the authors personal experience is reported. A review of a recent large randomized trial of a defunctioning stoma versus no stoma is outlined. RESULTS: The main factor influencing anastomotic leakage is the height of the anastomosis above the anal verge with the lower the anastomosis the higher the risk. All anastomoses within 7 cm of the anal verge are at increased risk which includes all patients who have had a total mesorectal excision. Neoadjuvant therapy (in particular long course radiotherapy or chemoradiotherapy) increases the risk. Male sex, older age, smoking, alcohol in excess, short course radiotherapy, obesity, general fitness, immunosuppression have been reported in some series as increasing the risk. A temporary diverting stoma decreases the consequences of leakage and reduces the need for emergency re-operation. Anastomotic leakage is associated with an increased postoperative death rate, reoperative rates, need for a permanent stoma and possibly an increase in local recurrence and decreased cancer specific and overall survival. CONCLUSION: Anastomotic leakage is a serious early complication following surgery for rectal cancer. The height of the anastomosis and neoadjuvant therapy are the main predictors of an increased risk. A diverting stoma diminishes the consequences of risk and reduces the need for emergency re-operation. PMID- 21066984 TI - Radiation bowel disease and its clinical implication. AB - Pre-operative radiotherapy may induce radiation colitis and tumour regression. Histological evaluation of radiation colitis needs to be reproducible to assess disease progression. The severity of radiation colitis can be assessed and graded according to its histological features. Increased severity of disease appears to be associated with a higher degree of cellular atypia and a lesser eosinophilic infiltrate. The severity of histological changes does not appear to be associated with post-operative complications. Tumour regression is an interesting phenomenon, the histological grading of which is of prognostic importance. Patients treated with long course radiotherapoy appear to have more incidences of postoperative complications. However, these are though to be related to the degree of tumour regression rather than to the type of radiotherapy. PMID- 21066985 TI - Morbidity predicting factors of penetrating colon injuries. AB - THE AIM: To analyze patients suffering from penetrating colon injuries management, clinical outcomes and factors, which predict higher morbidity and complications rate. METHODS: this was a retrospective analysis of prospectively collected data from patients with injured colon from 1995 to 2008. Age, time till operation, systolic blood pressure, part of injured colon, fecal contamination, PATI were registered. Monovariate and multivariate logistic regression was performed to determine higher morbidity predictive factors. RESULTS: 61 patients had penetrating colon injuries. Major fecal contamination of the peritoneal cavity and systolic blood pressure lower than 90 mmHg are independent factors determining the fecal diversion operation. Primary repair group analysis establish that major fecal contamination and systolic blood pressure lower than 90 mmHg OR = 4.2 and 0.96 were significant risk factors, which have contributed to the development of postoperative complications. And systolic blood pressure lower than 90 mmHg and PATI 20 predict OR = 0.05 and 2.61 higher morbidity. CONCLUSIONS: Fecal contamination of the peritoneal cavity and hypotension were determined to be crucial in choice of performing fecal diversion or primary repair. But the same criteria and PATI predict higher rate of postoperative complications and higher morbidity. PMID- 21066986 TI - Laparoscopic-assisted reversal of Hartmann's procedure. AB - BACKGROUND: Postoperative morbidity after reversal of Hartmann's procedure remains high. AIM: to evaluate efficacy of laparoscopic-assisted approach. PATIENTS AND METHODS: 36 patients (19 men, aged 55.71 +/- 1.5 years) underwent laparoscopic-assisted reversal procedures in May 2008 - June 2010. The comparable control group consisted of 35 patients (16 men, aged 51.5 +/- 13.9 years). RESULTS: operation time was 179.5 +/- 65.1 min, 266.9 +/- 71.8 min in controls. Blood loss was 64.7 +/- 33.7 ml, 181.8 +/- 120.4 ml in controls. No conversions occurred in the main group. In three patients of the main group preventive ileostomy was performed. There were 11 diverting stomas in the control group. Postoperative hospital stays were 9.1 +/- 2.7 days (12.9 +/- 3.4 days in controls). There were 2 (5.9%) postoperative complications in the main group: one wound infection and one parastomal fistula. No mortality occurred. In the control group 3 (9.1%) complications (wound infection and haematoma) were detected. CONCLUSION: laparoscopic-assisted reversal of Hartmann's procedure promotes faster rehabilitation, its results are not worse than after open approach. PMID- 21066987 TI - Sacral nerve stimulation for fecal incontinence. What has been achieved (current status). AB - INTRODUCTION: The indications for sacral nerve stimulation (SNS) for fecal incontinence expanded during the last decade. This report aims to analyse the clinical outcome of the current pragmatic use of sacral nerve stimulation in the treatment of fecal incontinence caused by various pathophysiological conditions. Secondly it intends to explore the most recent use of SNS in patients presenting with sphincteric lesions. METHOD: The literature was searched using Medline and Cochrane databases. The search was limited to publications in English. For the analysis, studies with less than 25 patients were excluded. For the presentation of SNS in patients with sphincter defects, all papers were included. RESULTS: Thirteen publications were included in the analysis of clinical outcome of SNS. Ten papers were identified reporting about the application of SNS in patients presenting with a morphological sphincter lesion. The most common outcome measures of clinical efficacy were frequency of incontinence and Cleveland Clinic Incontinence Score. All studies demonstrated a highly improved function, in all outcome measures used. The improvement was significant. The results are reproducible. Also in patients with sphincteric gaps ranging form 17 to 180 degree SNS was clinical efficient. The size of the lesion had not impact on the outcome. CONCLUSION: Sacral spinal nerve stimulation has evolved to become a clinical efficient therapy applicable in a wide spectrum of causes leading to fecal incontinence. It is now considered to be an essential part of the current surgical treatment algorithm for fecal incontinence. PMID- 21066988 TI - From mininvasive to maxinvasive surgery in colorectal cancer: modem evolution of oncologic specialized units. AB - In the last years a wide range of new technique offers the possibility to have R0 resection in colorectal cancer. We report our experience about Single Port Laparoscopic Surgery (SPL) for not advanced right colon cancer and about pelvectomy with cilindric Abdominal Perineal Resection (APR) for advanced rectal cancer. SPL offer mainly cosmetic advantages but also quicker recovery. No touch technique with adequate surgical margin and lymphectomy were respected. Operative time of SPL was 85-115 minutes, the incision was 5 cm long. There were no complications. Length of hospital stay was 4-6 days. With advanced pelvic cancer, pelvic exenteration with en-bloc resection is indicated. Then we propose a case of a 55 years old woman with a pelvic recurrence from a metastatic rectal cancer involving the right obturator fossa, the vaginal stump, the right ureter. Modern surgical technique give us the chance to offer the most appropriate oncologic surgical treatment. PMID- 21066989 TI - Ano-rectal pathologies encountered under special circumstances. AB - This paper represents the summary of the anorectal pathology in patients who have special conditions or are in certain age. Author offers his experience in handling the proctological entities in children, elderly, pregnant women, and patients with haemorrhoids who have inflammatory bowel conditions, malignancy or are HIV positive. These patients reqire special approach and are not seen every day in proctological ambulances, what rises many questions in the appropriatenes of standard treatments. PMID- 21066990 TI - Supportive therapies in ano-rectal diseases--are they really useful? AB - Epidemiological features of proctological disease are not well known because available studies have provided variable conclusions. Risk factors frequently mentioned include heredity, high socioeconomic level, obesity, smoking, a diet rich in fats, alcohol, spices and pepper as well low fluid intake have all been implicated. While treating patients with various ano-rectal pathologies, apart from definitive treatment, various additional or supportive therapies are being advised both by the family physicians and surgeons. These include local applications, sitz baths, modification in the diet and life style. But are these supportive therapies really beneficial or they are just empirical? This paper elaborates the various supportive therapies advocated in the common anal disorders like hemorrhoids, anal fissure and fistula and summarizes the advantages and pitfalls of these treatment additives. PMID- 21066991 TI - The role of pelvic MRI in assesment of combined surgical and infliximab treatment for perianal Crohn's disease. AB - AIM: To evaluate the role of pelvic MRI in diagnosis and assesment of combined surgical and infliximab treatment of perianal Crohn's disease (PACD). METHOD: 24 patients with signs of PACD were prospectively evaluated. They were previously treated with azathyoprin for a period of 6 months to 7 years and antibiotics and than started on Infliximab 5 mg/kg (IFX) at 0, 2 and 6 weeks induction protocol. Luminal CD activity was assesed by colonoscopy. Perianal Disease Activity Index (PDAI) was calculated to evaluate perianal fistulae activity. Surgical examination under anesthesia (EUA) was performed and non-cutting seton placed where appropriate. Pelvic MRI was performed in each patient before Infliximab treatment, and in half of the patients after IFX. MRI criteria were used to asses activity and remission of PACD. RESULTS: 14/24 (58.5%) patients had ileocolitis, 10/24 (41.5%) colitis, and in 22/24 (91.7%) rectum was affected. Median disease duration was 5.5 +/- 2.5 years. MRI revealed simple fistula in 4/24 (16.7%) and complex fistula in 20/24 (83.3%) patients. Abscess was present in 19/24 (79%) patients. Enterocutaneous and recto-vaginal fistula was found in 2 (8.3%) and 3 (12.5%) patients, respectively. Median PDAI before and 8 weeks after IFX treatment was 8.3 +/- 2.08 and 3.5 +/- 1.03, respectively (p = 0.00064). Incomplete response (reduction fistulae drainage by 50%) was found in 10/24 (42%) patients, complete response (no drainage) in 11/24 (46%) patients, while in 3/24 (12.5%) new fistula opened. Control pelvic MRI was performed in 13/24 (54%) patients. Of those, 9/13 (69%) had complete remission according to MRI criteria. Seton was removed after second IFX dose in 15/24 (62.5%) patients and placed again in 2/24 (8%) patients 4 months after completion of IFX treatment. CONCLUSION: In patients with PACD, pelvic MRI before and after IFX treatment is an important diagnostic tool to asses fistula tract localisation, reveal abscess, planning adequate treatment approach and assess the effect of treatment. Surgical decision to remove seton was in accordance with MRI criteria for remission in PACD. PMID- 21066992 TI - Dynamic magnetic resonance imaging and transperineal sonography in the assessment of patients presenting primarily with evacuatory difficulty: a short position paper. AB - Patients presenting with primary evacuatory difficulty have a multiplicity of pelvic floor and perineal soft tissue anomalies. The radiological assessment of these patients requires modalities which monitor the dynamic interaction of pelvic organs during provocative manoeuvres such as straining and simulated defaecation. The advantages and disadvantages of these complementary modalities, (dynamic magnetic resonance imaging, dynamic transperineal sonography and dynamic 2- and 3-dimensional endoanal sonography) are provided in this position paper. PMID- 21066993 TI - Single incision laparoscopic anterior resection for cancer using a "QuadiPort access system". AB - BACKGROUND: Single incision laparoscopic surgery (SILS) is developing rapidly and different devices are already available. However there is limited data in the literature about single port laparoscopic colorectal surgery. AIMS: We report the first case of a single incision laparoscopic anterior resection for cancer using the device "QuadiPort Access System. METHODS: A 66 year old female affected by adenocarcinoma of the rectosigmoid junction underwent a radical single incision laparoscopic anterior resection performed by an experienced laparoscopic team. RESULTS: The preoperative staging was T2NOM0. The total operative time was 135 min. Length of hospital stay was 6 days. The length of the specimen was 27 cm and 21 nodes were isolated. The pathological examination showed adenocarcinoma staged T3N2MX; G2. There was no postoperative morbidity and at the 6 month follow-up, the patient presented in well condition with no complications and free from cancer. CONCLUSION: Single incision laparoscopic anterior resection for locally advanced high rectal cancer seems to be feasible and the "QuadiPort Access System seems to be a valid device. To evaluate outcomes and costeffectiveness of SILS versus the standard laparoscopic colorectal surgery multicenter prospective randomised trials are necessary and the "QuadiPort Access System" could prove to be the device of choice. PMID- 21066994 TI - Radical perineal prostatectomy: the first experience. AB - OBJECTIVE: to present relatively uncommon surgical procedure for the treatment of localized prostate cancer. MATERIAL AND METHODS: Six patients with localized prostate cancer (PCa) underwent perineal radical prostatectomy (PRP) from 2006 to 2010. The average age was 65 +/- 5.2 years. The average preoperative PSA was 7.8 +/- 1.3 ng/ml. Two patients had pT2b stage, while four had pT2c stage. Gleason score ranged from 4-7. RESULTS: All patients had negative surgical margins. Average operative time was 109 +/- 20 minutes and average blood loss was 525 +/- 180 ml. The urethral catheter was removed after 12 days in all patients except one, who required prolonged catheterization due to urinary fistula. All patients were continent after three months. Average postoperative PSA was 0.07 +/- 0.03 ng/ml. CONCLUSION: Perineal radical prostatectomy is valuable surgical procedure that provides good oncological results as well as urinary and sexual function. PMID- 21066995 TI - Primary retroperitoneal hydatid cyst: report of 2 cases and review of 41 published cases. AB - This paper gives an overview of the literature between 2000 and 2010 on primary retroperitoneal hydatid cyst. We reported 2 cases of primary retroperitoneal hydatid cyst, and studies published in English literature on hydatid cyst developing in the retroperitoneal space were accessed via Pubmed and Google Scholar databases. Forty-one published primary retroperitoneal hydatid cyst cases were evaluated, and 2 patients (1 man, 78 years old; 1 woman, 75 years old) who presented with abdominal mass caused by retroperitoneal hydatid cyst were reported. Twenty-five of the patients were men (including our patient), and 18 were women; patients ranged in age from 3 to 80 years, and the median +/- standard deviation age was 41.37 +/- 20.4 years. On presentation, 72% of the patients complained of back or abdominal pain; 13.9% had urinary tract symptoms, and 65.1% were determined as having a palpable mass. Ultrasonography was performed on 93% of the patients, computed tomography was performed on 81.4%, magnetic resonance imaging was performed on 18.6%, and intravenous pyelography test was performed on 13.9%. The results of these tests showed a cystoid mass located on the left in 32.5% of the patients, on the right in 37.2%, and in the retrovesical area in 16.2%. Serologic tests determined 67.8% of the patients were indirect hemagglutination positive, and 71.4% were positive on enzyme-linked immunosorbent assay. As a surgical approach, total exision was performed on 55.8% of patients, partial cystectomy was performed on 39.5%, and 4.6% of patients underwent unroofing. If a cystic lesion is determined in the retroperitoneal area in a patient living in an area of endemic hydatid disease, a differential diagnosis of hydatid cyst should be considered. Clinical, radiologic, serologic, and histopathologic evaluations should be made for a differential diagnosis. PMID- 21066996 TI - Significance of PET/CT in determining actual TNM staging for patients with various lung cancers. AB - We investigated the difference in TNM stage of lung cancer provided by PET/CT (combining positron emission tomography and computed tomography) as compared with TNM stage obtained with conventional imaging studies (CI) with contrast-enhanced computed tomography (CT) and magnetic resonance imaging (MRI) with iron contrast media. Sixty-seven cases of lung cancer were included in this study. Overall, the rate of correction of TNM staging was 70.1% after PET/CT. The correction rate for each factor was 32.8% in T, 37.3% in N, and 37.3% in M. High rates of correction were observed in small cell lung cancer (SCLC), with 75% (6/8 cases) obtained by PET/CT. When SCLCs were divided into limited disease (n = 6) involving 1 hemithorax, including mediastinal and contralateral hilar lymph nodes, and others (extensive disease, n = 2), the correction rate was as high as 80% for limited disease. In conclusion, PET/CT can provide actual TNM staging and recognition for oncologists in staging, which would not mislead to selection of inadequate subsequent treatment. PMID- 21066997 TI - Evaluation of atrial arrhythmias following noncardiac thoracic surgery. AB - Atrial arrhythmias (AAs) after noncardiac thoracic surgery may be associated with increased mortality, length of stay (LOS), and health care expenditures. A retrospective analysis of adult patients who underwent thoracotomy at our institution from January 2002 to June 2008 was performed. Of 820 patients identified, 112 (14%) developed an AA. Overall mortality was 7.14% in the AA group and 3.11% in the non-AA group (relative risk, 2.30; 95% confidence interval, 1.06-4.91; P = 0.035). Median intensive care unit (ICU) LOS and total LOS were 4.0 and 7.0 days in the AA group and 3.0 and 5.0 days in the non-AA group (ICU LOS P < 0.01 and total LOS P < 0.001). Median health care expenditures in the AA group were approximately $37,000 versus $28,000 in the non-AA group (P < 0.001). The development of an AA in this patient population may be associated with increased mortality, ICU and total LOS, and health care expenditures. PMID- 21066998 TI - The effectiveness of transverse coloplasty in patients with ultra-lower rectal cancer. AB - Rectal cancer accounts for 40% of colon cancer, and postoperative defecatory function is considered to markedly affect the patients' quality of life. We performed transverse coloplasty in 33 patients with rectal cancer who had undergone an anal function preservation operation in which the anastomotic site was within 1 cm of the dentate line (ultra-low anterior resection) and evaluated its effectiveness in controlling the patients' defecatory function. The average daily defecation frequency 1, 6, and 12 months postoperatively was 7.8, 5, and 3.6 times daily following straight colorectal reconstruction (the anastomotic site was more than 5 cm from the dentate line) and 7.5, 3.5, and 2.4 times daily following transverse coloplasty, respectively. Concerning postoperative complications, anastomotic leakage, soiling, and constipation were observed in 1, 1, and 1 cases, respectively. Transverse coloplasty can be performed in a short time, and it is considered a safe and useful method to manage defecatory PMID- 21067000 TI - Five years' experience in endovenous laser therapy of lower extremity varicose veins. AB - The authors performed a total of 237 endolaser treatments for insufficiency of great and small saphenous veins and assessed 5-year results. It was found that this method, especially if performed with a 1470-nm-wavelength laser combined with instrumental removal of some lateral veins, was very effective. It was delicate for patients, and it significantly shortened the length of their work incapacity. The benefits of removal of insufficient veins in a whole leg during a single session with the patient under total anesthesia are stressed. PMID- 21066999 TI - Biochemical indicators of in-hospital complications following pancreatic surgery. AB - Early recognition of complications following pancreatic surgery could reduce morbidity and mortality. White cell counts (WCCs), platelets (PLTs), C-reactive protein (CRP) and albumin (ALB) are commonly used as guides in clinical decision making. However, the evidence to support their role as early indicators of complications is unclear. A retrospective cohort analysis of consecutive pancreatic surgical procedures between 2004 and 2008 was performed. Operative procedures, inflammatory markers--WCCs, PLTs, CRP, and ALB--preoperatively and on postoperative days (PODs) 1, 3, 5, 7, 9, 12, and 15, and clinical outcomes were recorded. WCC > 11 x 10(9)/L on POD5 was significantly associated with complications [odds ratio (OR), 2.60; P = 0.0067]. ALB < 28 g/L on POD7 was significantly associated with a postoperative complication (OR, 2.94; P = 0.0031). WCC > 12.2 x 10(9)/L and ALB < or = 28 g/L on POD7 were more likely to be associated with a complication (OR, 4.86; P = 0.0002). Postoperative WCC and ALB levels may be useful as aids to the early diagnosis of complications following pancreatic surgery. PMID- 21067001 TI - A mortality risk assessment model for cardiac valve replacement surgery and its application in the use of prophylactic extracorporeal membrane oxygenation. AB - This study was conducted to establish a quantitative model to predict the risk of in-hospital mortality for patients undergoing cardiac valve replacement and to decrease mortality in patients with predicted high risk using prophylactic extracorporeal membrane oxygenation (PECMO). We retrospectively reviewed the medical records of 4482 patients who underwent cardiac valve replacement from January 1994 to December 2004, at Anzhen Hospital, Beijing, China. A total of 158 patients were going to receive heart valve replacement. Associations between mortality and the demographic, clinical, and laboratory variables of patients were first assessed using univariate analysis. Six of 7 variables in the univariate analysis were statistically significant and were included in the multivariate analysis: renal function; age; left ventricular ejection fraction (EF); coronary artery disease (CAD); pulmonary artery pressure (PAP); and left ventricular end-diastolic diameter (LVEDD). The area under the receiver operating characteristic (ROC) curve (AUC) was 73.58%. Observed mortality in the group with PECMO (5.45%, 3/55) was significantly lower (Pearson Chi2 = 4.314, P = 0.038, P < 0.05) than in the group without PECMO (24.27%, 25/103). With the use of our scoring model, the risk of postoperative mortality in patients planning to undergo valve replacement can be predicted before the procedure is performed. For patients with predicted mortality greater than 10%, the use of PECMO during surgery, in addition to extracorporeal circulation, was found to decrease mortality. PMID- 21067002 TI - Clinical risk factors for postoperative bile leakage after liver resection. AB - In liver surgery, postoperative bile leakage occurs with a steady incidence and hampers the clinical course of patients, even giving rise to mortality. Clinical parameters of 20 patients complicated with postoperative bile leakage were retrospectively compared with those of 394 patients without this complication after liver resection. On multivariate analysis, significant independent risks for postoperative bile leakage included the following: (1) high-risk operative procedure that exposes the major Glisson's sheath on the cut surface, including caudate lobectomy, central bisegmentectomy, and right anterior sectionectomy, (2) repeated hepatectomy, and (3) the presence of intraoperative bile leakage. The subgroup of patients in whom (1) leakage occurred from an injured bile duct with stenosis, or (2) leakage occurred from the divided bile duct suffered for a prolonged period. Patients at high risk require more vigilant observation and expeditious treatment perioperatively. During this process, adequate classification of the type of biliary injury would be helpful to the clinician in determining optimal strategies. PMID- 21067003 TI - Clinical and dermoscopic progression of subungual hematomas. AB - Subungual pigmentation is a very common problem and can result from pigments derived from melanin, blood, or fungi. Subungual hematoma usually appears as a reddish to reddish-black pigment depending on the age of the bleed, and it can easily be misdiagnosed as melanoma. In this study, we tried to show the clinical and dermoscopic progression of subungual hematomas. Thirty-six patients with the history of first nail trauma within the last 7 days were included in the study. All the patients were evaluated three times with 3-week intervals. The distance from proximal nail fold to the hematoma was measured by a paper ruler. The area and the largest diameter of the hematoma were calculated by using the Molescore software. At the beginning, the most common dermoscopic finding was the homogen area (n = 36, 100%) followed by small globules (n = 32, 88.9%), large globules (n = 29, 80.6%), and streaks (n = 19, 52.8%). At week 3, the most common dermoscopic finding was the homogen area (n = 36, 100%) followed by large globules (n = 27, 75%), small globules (n = 19, 52.8%), and streaks (n = 11, 30.6%). At the sixth week, the most common dermoscopic finding was homogen area (n = 36, 100%) followed by large globules (n = 16, 44.4%), small globules (n = 7, 19.4%), and streaks (n = 2, 5.6%). The diameter and the area of the hematoma shrunk gradually between weeks 0, 3, and 6 (P < 0.001). Dermoscopy is a very useful tool in the evaluation and differential diagnosis of subungual hematomas. Determination that the pigmented plague grows out distally and shrinks gradually will support the diagnosis of subungual hematoma. PMID- 21067004 TI - Preoperative imaging diagnosis of carotid body tumors. AB - Carotid body tumors (CBTs) are relatively frequent lesions encountered at high altitudes, such in as the Andean Mountains. A correct preoperative diagnosis is essential for surgical planning and performance. For this reason, we have reviewed the evolution of our experience in the imaging diagnosis of these tumors. Between 1980 and June 2008, 160 CBTs were diagnosed. A total of 138 tumors were operated on, 4 are waiting for surgery, and 18 were not operated on because of age, medical conditions, or patient refusal. We have reviewed retrospectively the modalities of imaging diagnosis in our patients who underwent operation. Among the 138 tumors operated on, a correct preoperative diagnosis was done in 127 cases (92%). The preoperative diagnosis of the remaining 11 patients was unspecified benign tumor for 6 patients and neck lymph node for 5 patients. The imaging methods performed by different radiologists were conventional ultrasound, color Doppler ultrasound, carotid conventional angiography (CA), axial tomography, magnetic resonance and magnetic resonance angiography, and computed tomographic angiography (CTA). Most patients had more than one image study. Review of radiologist reports revealed a correct diagnosis in all carotid CA, magnetic resonance studies, and CTA. Additionally, CTA appeared to be a valuable method to predict the Shamblin group. Clinical suspicion and current image techniques permit a correct diagnosis in practically all cases of CBT. PMID- 21067005 TI - A newly developed degradable stent for pancreaticojejunostomy after pancreatoduodenectomy. AB - A novel technique of anastomosis with a short-term degradable stent for pancreaticojejunostomy has been developed and its shape-retentive capability evaluated under conditions of exposure to digestive fluid and its clinical feasibility. The stent was braided manually using Monocryl and PDS-II of 2-0 wire and heat treated at 80 degrees C for 3 hours under a vacuum. We measured its maximum resistance to compression strength and elastic modules of the stent. We evaluated the feasibility of the stent in 8 patients under pancreaticojejunostomy and hepaticojejunostomy. The compression strength of the stent was preserved for about 3 weeks, after which point it collapsed. The elastic features were retained under exposure to gastric fluid, but they were lost under an intestinal juice exposure. Using this stent, "suturing of tissue with the stent on" was possible as a novel technique of anastomosis and induced few complications. PMID- 21067006 TI - Sequential bypass on the beating heart can be achieved without compromising patient safety or regional myocardial blood flow. AB - For some cardiac surgeons, operating on the beating heart is the preferred method of coronary revascularization. In an effort to minimize manipulation of the aorta, we have used, in addition to an internal mammary artery (IMA) graft to the left anterior descending (LAD) coronary artery, a sequential reversed saphenous vein graft (rSVG) to revascularize the lateral, inferior, and posterior myocardium with a single proximal aortic anastomosis. In this report, we retrospectively summarize a recent series of off-pump coronary bypass grafting (OPCABG) cases, including the evaluation of distal conduit blood flow. Between January 1, 2005, and January 1, 2007, a consecutive series of 175 patients underwent OPCABG with 1 IMA graft and 1 sequential rSVG performed by a single surgeon (RLQ). The average number of grafts/patient was 3.4 (range, 3-5). Flow rates were measured in each segment of the sequential graft using a Transonic Flowmeter (HT314, Transonic Systems Inc, Ithaca, NY). All patients were given PO clopidogrel (75 mg/d) for 6 weeks beginning on postoperative day #1. Mean flow through the distal segment of the sequential venous bypass was 36 ml/min, which was not significantly influenced by the number of proximal coronary anastomoses nor by the size of the proximal coronary bed. The 30-day mortality and stroke rate was 0% (0/175). The incidence of postoperative atrial fibrillation in those patients with normal baseline sinus rhythm was 29% (49/169). No postoperative myocardial infarctions [enzyme/electrocardiographic (ECG) criteria] nor renal failure requiring dialysis occurred. As the complexity of the surgical candidate continues to increase, less invasive approaches to coronary revascularization will prevail. The results of this retrospective study indicate that this technique is safe, and that regional coronary blood flow is not compromised by the creation of sequential anastomoses. PMID- 21067007 TI - Fat replacement of the distal pancreas in a case of advanced gastric cancer. AB - Preoperative computed tomography in a 67-year-old man admitted with type 2 advanced gastric cancer involving the upper body of the stomach revealed marked atrophy of the left lobe of the liver and atrophy of the distal pancreas. Total gastrectomy with D2 lymph node dissection was planned; however, additional distal pancreatectomy with splenectomy was also performed during the operation because of direct invasion of the pancreas by the gastric cancer. Histopathologic examination of the resected pancreas revealed the absence of lobules, acini, conduits, and pancreatic ducts, with only islets of Langerhans found scattered in the adipose tissue. The findings revealed that the gastric cancer had directly invaded the fat, replacing the distal pancreas. In patients with fat-replaced pancreas, preoperative evaluation of direct invasion of adjacent organs/tissues by gastric cancer is difficult. PMID- 21067008 TI - DG-RAR (Doppler-guided recto-anal repair): a new mini invasive technique in the treatment of prolapsed hemorrhoids (grade III-IV): preliminary report. AB - We present preliminary data from a prospective observational study on an initial group of 40 patients, selected from our Department, affected by grade III-IV hemorrhoids and treated with a new less invasive technique called Doppler-guided recto-anal repair [DG-RAR; Agency for Medical Innovations GmbH (AMI), Feldkirch, Osterreich, Austria]. This study was performed by analyzing bleeding, pain, and prolapse in the preoperative period and after surgery. Follow-up ranged from 5 to 37 months. We used this technique to treat the "vascular factor" with a Doppler guided suture of the terminal branches of the hemorrhoidal arteries (HAL Doppler), and then we reduced hemorrhoidal prolapse [recto-anal repair (RAR)]. Recto-anal repair was performed with a special proctoscope with an oblique slot that when rotating shows a progressively wider portion of anorectal mucosa and submucosa in a longitudinal direction. Furthermore, this rotation enables the performance of a longitudinal pexy where the prolapse is located. The result is an immediate reduction of hemorrhoidal prolapse. Postoperative follow-up showed disappearance of pain and no bleeding. Relapse of prolapse occurred in 2 (5%) patients. Complications included 2 rectal impactions and 2 cases of thrombosis. The data appear encouraging for grade III-IV hemorrhoids treated with DG-RAR because of reduced trauma and a lower rate of complications with respect to other techniques used for prolapse reduction. PMID- 21067009 TI - Gastrosplenic fistula: etiologies, diagnostic studies, and surgical management. AB - A gastrosplenic fistula is a rare event. Reported causes include a spontaneous malignant fistula, chemotherapy for gastric or splenic malignancies, peptic ulcer disease, Crohn disease, and trauma. We report a case of a gastrosplenic fistula discovered on abdominal computed tomography with contrast, performed in a patient with a history suspicious for malignancy. In this case, no etiology was identified prior to the surgical repair of the lesion despite extensive workup. Due to continued gastrointestinal blood loss requiring multiple transfusions, the patient was taken to surgery for splenectomy and partial gastrectomy. It was at that time that the diagnosis of a large B-cell lymphoma was made. Postoperative chemotherapy was initiated and led to remission of the malignancy. Though most cases require definitive surgical repair, the treatment plans for gastrosplenic fistulas depend largely on the etiology of the lesion. PMID- 21067010 TI - How frequently do we encounter polytrauma patients with conscious disorder without intracranial hemorrhagic injury? AB - The purpose of this study was to clarify the rate and characteristics of conscious disorder without intracranial hemorrhagic injury in polytrauma patients. The medical records of polytrauma patients with conscious disorder without intracranial hemorrhagic injury were reviewed. Fifty-five patients (35.3% of 156 polytrauma patients with conscious disorder) were enrolled. Admission Glasgow Coma Scale (GCS) score was 15 in 34%, 14 in 22%, and less than 8 in 13%. In 39 patients (70.9%), clear causes of conscious disorder (alcohol and shock) were evident. A high rate of conscious disorder derived from shock was noted in the more severe conscious disorder categories. Conscious disorder due to alcohol was likely seen in patients whose GCS scores were 13 and 14. The rate of conscious disorder without intracranial hemorrhagic injury was high among polytrauma patients. We could not differentiate the pattern of conscious disorder in polytrauma patients without intracranial hemorrhagic injury from that seen in polytrauma patients with intracranial hemorrhagic injury. PMID- 21067011 TI - A case of paracecal hernia. AB - A 63-year-old male patient suddenly suffered right lower abdominal pain. The patient had tenderness and rebound tenderness at the right lower abdomen. Marked small bowel dilatation and an intestinal obstruction were evident upon abdominal X-ray and abdominal computed tomography (CT) imaging. CT imaging also revealed a dilated small bowel cluster in a wrapped round shape in the right lower abdomen. The cecum and the ascending colon were displaced inward. Strangulation in the ileocecal region was suspected, and emergency surgery was performed. A part of the small bowel was incarcerated within the retrocecal recess, and the intestinal tract was strangulated in the hernia orifice, by which paracecal hernia was diagnosed. The strangulated intestinal tract was repositioned, and the orifice to the hernia was closed. Paracecal hernia is a rare disease; an internal hernia should always be considered in patients with ileus without a history of surgery. PMID- 21067012 TI - Knowledge, attitudes and practices regarding human immunodeficiency virus/acquired immune deficiency syndrome and sexually transmitted infections among health care providers in Lahore, Pakistan. AB - BACKGROUND: Human Immunodeficiency Virus (HIV) infection is a global problem of extraordinary dimensions and has so far resulted in nearly 25 million deaths worldwide. Health care providers (HCPs) are considered to play a pivotal role in the provision of preventive and curative services to individuals suffering from HIV/AIDS and sexually transmitted infections. Pakistan, which was previously categorised as having a low-prevalence, high-risk HIV epidemic, is now facing a concentrated HIV epidemic among its most at-risk populations such as injecting drug users. The objective of this study was to assess the knowledge, attitudes and reported practices relating to HIV/AIDS and STIs among private and public sector health care providers providing clinical services in areas where women sell sex. METHODS: This was an exploratory quantitative study, where a structured questionnaire was administered in face-to-face interviews with 200 HCPs from the public and private sectors. Knowledge about AIDS and correct diagnosis of STIs were defined as according to the national guidelines of NACP. Pearson's chi square analysis was performed to test associations between predictors and level of knowledge of STIs in each group separately. Multivariate logistic regression analysis was employed to indicate predicting factors for correct management of STIs. RESULTS: Forty-five percent of the HCPs had correct knowledge about the transmission and prevention of HIV, whereas 21% had seen a patient with advanced HIV infection, only two HCPs had been trained to manage such cases and 82% were not aware of syndromic management of STIs. Only 10% could cite the 'correct treatment' of gonorrhoea, syphilis and vaginal discharge. The odds of having the 'correct knowledge' of diagnosing gonorrhoea and syphilis were 2.1 (CI 95%, 1.2 3.8) if the HCP was a female medical doctor working in public sector. CONCLUSION: Further intensive training is needed to improve the ability of relevant HCPs to correctly diagnose and effectively treat patients infected with HIV and STIs. PMID- 21067013 TI - Myomectomy at caesarean section: descriptive study of clinical outcome in a tropical setting. AB - BACKGROUND: In the tropics, leiomyoma are commonly encountered in women of the reproductive age group, although they are mostly asymptomatic. Surgery for uterine fibroid at caesarean section has remained controversial. OBJECTIVE: To analyse the clinical outcome of women that had selective caesarean myomectomy in a community teaching hospital. METHOD: Twenty-two women that had selective myomectomy at caesarean section between January 2002 and October 2007 were analysed. RESULTS: The patients mean age was 31.5 years with age range of 27-44 years. Of the 22 patients, 16 (72.7%) were primigravida, 19 (86.4%) of the patients had caesarean section at term, 2 (9.1%) and 1 (4.5%) ofthe patients were preterm and post term respectively. A significant number of the patients (16/22, 72.7%) had elective caesarean section and the remaining 6 (27.3%) patients had emergency caesarean section. The 3 leading indications for caesarean section among the patients were malpresentation/abnormal lie 36.4%, uterine fibroids 18.2%, and a previous caesarean section with complication in 13.6% ofthe patients. Indications for myomectomy at caesarean section were fibroid in lower uterine segment in 15 (68.2%) patients, pedunculated uterine fibroid in 4 (18.2%) patients and anterior subserous fibroid in 3 (13.6%) patients. Intraopertively in the 22 patients, 10 (45.5%) had fibroid(s) removed only in the lower uterine segment; while 6 (27.3%) patients each, had it removed in the upper uterine segment and both upper and lower uterine segments respectively. A total of 46 fibroids were removed in the 22 patients, of which 24 (52.2%) were subserous/pedunculated, 16 (34.8%) intramural and 6 (13.0%) were submucous. Of the 46 fibroids, 32 (69.9%) were between 6 to 10 cm size. Sixteen (72.2%) of the 22 patients lost between 751 to 1000 ml of blood intraoperatively with an average of 806.8 ml of blood loss. Two (9.1%) of the 22 patients had blood transfusion due to anaemia. Other complications encountered were puerperal pyrexia and sepsis in 2 (9.1%) patients, and fracture of the humerus and clavicle of the baby in 1 (2.3%) patient. There was no maternal and perinatal mortality. CONCLUSION: Selection of patients for caesarean myomectomy reduces blood loss, anaemia and other complications. PMID- 21067014 TI - Response rates to standard interferon treatment in HCV genotype 3a. AB - BACKGROUND: Chronic Hepatitis C infection infects almost 130 to 170 million or approximately 2.2-3% of world's population. HCV is one of the main causes of chronic liver disease leading to progressive liver injury, fibrosis, cirrhosis and liver cancer. It is also one of the leading indications for liver transplantation worldwide. The objective of the study was to determine the response of treatment with standard Interferon and Ribazole in treatment naive Hepatitis C infected patients. METHODS: This quasi-experimental study was carried out at the Department of Medicine, KRL General Hospital Islamabad, from January 2003 to January 2005. A total of 250 patients were enrolled in this descriptive study. All patients were anti HCV positive, PCR positive for HCV RNA and had 3a genotype. A non-probability purposive sampling technique was applied to collect data. After taking a written and informed consent; specially designed performa containing the patient profile, family transmission, and baseline laboratory values was filled. Patients were treated with a set protocol of Interferon plus Ribavarin therapy (IFN alpha 2a, 3 mIU thrice weekly for 24 weeks plus Ribavarin 1,000 to 1,200 mg/day) for six months. Chi-Square tests were used to analyse the data. Primary end point was a sustained virological response (SVR) that is response assessed after six months of completion of treatment. RESULTS: Response rates to standard Interferon plus Ribazole therapy were studied over two years period. Out of the total of 250 patients, 60 patients were excluded; as 30 patients did not meet inclusion criteria, 23 patients were lost to follow. Seven patients declined treatment. Out of the 190 patients, 155 (81.6%) achieved End of Treatment Complete Response (EOTCR) whereas 35 (18.4%) were nonresponders (NR). These 155 patients, who showed complete response were followed for six months after the treatment to assess sustained viral response, which was seen in 112 (72.25%) patients whereas 43 (27.7%) were relapsers. Response rates were co related with gender, baseline ALT and necro-inflammatory stage assessed by liver biopsy, probable risk factors and family history. CONCLUSION: Management of Hepatitis C with genotype 3a, with standard Interferon and Ribazole for six months showed lower SVR compared to that reported in previous international and local data. PMID- 21067015 TI - Comparative effectiveness and adverse effects of interferon alpha-2b plus ribavirin therapy in hepatitis 'C' for 26 weeks. AB - BACKGROUND: Hepatitis C is major emerging challenge for pathologists and treating physicians all over the world. Already 10 million Pakistani population has become anti-HCV positive. It is not only affecting hepatobiliary system but with passage of time research is revealing that Hepatitis C is going to involve almost every organ of the body. With timely diagnosis and treatment, millions of patients can be saved from morbidity and mortality. The nation has to sacrifice initial economic allocations to avoid later millions of mortalities and greater economic losses on affected patients and to support their families. The objective of this study was to evaluate effectiveness of combine therapy of Hepatitis C in local population of Pakistan. METHODS: This case series study was done at CMH Okara, Kohat, Abbottabad, and PAF Hospital, Shorkot from August 2000 to August 2009. All 1,000 patients from 10 to 60 years of age, confirmed anti-HCV Positive by ELISA and PCR Positive for HCV RNA, were subjected to Interferon alpha-2b and Ribavirin therapy for similar period of time. Response and adverse affects were observed by clinical examination, blood complete picture including platelet count and ALT fortnightly. PCR for HCV RNA and ultrasound abdomen (hepatobilliary system) was done quarterly during treatment and 6 monthly for 2 years after treatment to review the sustained response and relapse. RESULTS: Over all cure rate after 2 years was 855 (85.5%) excluding the 50 (5%) of initial resistant to one year treatment and 95 (9.5%) re-treated relapse cases. One hundred and forty-five (14.5%) patients were found to be resistant to treatment. CONCLUSION: Hepatitis C must be treated timely after proper diagnosis. Interferon and Ribavirin combination have shown high 'cure' rate in Hepatitis C. In spite of high cure rate of 85.5% with timely and proper treatment, low socio-economic status is a major problem for poor individuals to get treatment. Preventive aspect must be strictly followed and implemented. PMID- 21067016 TI - Distally based sural fasciocutaneous flap for soft tissue reconstruction of the distal leg, ankle and foot defects. AB - BACKGROUND: Soft tissue management around the lower third of the leg and foot presents a considerable challenge to the reconstructive plastic surgeon. The options in this region are limited. A durable flap is the preferred option for coverage of such defects. This descriptive study was conducted at Hayatabad Medical Complex and Said Anwar Medical Centre Peshawar over a period of 4 years to evaluate the efficacy of distally based Sural flap in coverage of the lower third of leg, ankle and foot defects, in 25 patients. METHODS: A descriptive study was conducted at the department of Plastic and Reconstructive Surgery at Hayatabad Medical Complex and Said Anwar Medical centre Peshawar. 25 patients with soft tissue defects over the distal leg and foot were included in this study. Distally based sural fasciocutaneous flap was used for coverage in all cases and its survival, successful coverage of the defect and donor site morbidity studied. RESULTS: Out of 25 flaps, 20 showed complete survival (80%). Partial flap loss was found in 2 patients (8%), marginal flap necrosis in 2 patients (8%) and complete loss in 1 patient (4%). CONCLUSIONS: The distally based sural flap is a versatile and reliable flap for the coverage of soft tissue defects of the distal lower extremity. The procedure is done as a single stage; the dissection is easy with short operating time and minimal morbidity. PMID- 21067017 TI - In-hospital outcome of acute myocardial infarction in correlation with 'thrombolysis in myocardial infarction' risk score. AB - BACKGROUND: Effective risk stratification is integral to management of acute coronary syndromes (ACS). The Thrombolysis in Myocardial Infarction (TIMI) risk score for ST-segment elevation myocardial infarction (STEMI) is a simple integer score based on 8 high-risk parameters that can be used at the bedside for risk stratification of patients at presentation with STEMI. OBJECTIVES: To evaluate the prognostic significance of TIMI risk score in a local population group of acute STEMI. METHODS: The study included 160 cases of STEMI eligible for thrombolysis. TIMI risk score was calculated for each case at the time of presentation and were then followed during their hospital stay for the occurrence of electrical and mechanical complications as well as mortality. The patients were divided into three risk groups, namely 'low-risk', 'moderate-risk' and 'high risk' based on their TIMI scores (0-4 low-risk, 5-8 moderate-risk, 9-14 high risk). The frequencies of complications and deaths were compared among the three risk groups. RESULTS: Post MI arrhythmias were noted in 2.2%, 16% and 50%; cardiogenic shock in 6.7%, 16% and 60%; pulmonary edema in 6.7%, 20% and 80%; mechanical complications of MI in 0%, 8% and 30%; death in 4.4%, 8%, and 60% of patients belonging to low-risk, moderate-risk and high-risk groups respectively. Frequency of complications and death correlated well with TIMI risk score (p = 0.001). CONCLUSION: TIMI risk score correlates well with the frequency of electrical or mechanical complications and death after STEMI. PMID- 21067019 TI - Use of Limberg flap for pilonidal sinus--a viable option. AB - BACKGROUND: Pilonidal sinus disease has been treated for a long time with conventional open excision technique. The rhomboid flap of Limberg is a transposition flap that has been pleaded for treatment of this condition. METHODS: We present our experience with the Limberg technique for both primary and recurrent pilonidal sinuses. One hundred and ten patients, with pilonidal sinus disease were treated with rhombic excision and Limberg transposition flaps. All sinus tracts were resected en bloc, and the fasciocutaneous Limberg flap was prepared from the gluteal region and closed it with a suction drain. RESULTS: Full primary healing was obtained in 110 patients. 1 patient had minimal necrosis of flap and 2 had gaping of flap. Minor infection occurred in 3 patients. But all these complications healed uneventfully. The average hospital stay was 3 days. Follow up period was 1 year and 1 recurrence occurred. CONCLUSIONS: Limberg transposition flap is a promising surgical technique to treat pilonidal sinus. PMID- 21067018 TI - Clinical efficacy of the various drugs used in the treatment of gonorrhoeae. AB - BACKGROUND: Gonorrhoea is a purulent inflammation of mucus membrane of the genital tract and is a highly contagious sexually transmitted disease (STD) caused by the bacterium Neisseria gonorrhoae. Both men and women can be infected through a variety of sexual contact behaviours including vaginal, anal or oral intercourses. This comparative study was carried out in New Gulail Polyclinic Jeddah, KSA from Jan 2003 to March 2004 to find the clinical efficacy of ciprofloxacin, ceftriaxone and spectinomycin, the three commonly used drugs, in the treatment of gonorrhoea. METHODS: A total number of 300 patients suffering from gonorrhoea were included in this study. They were randomly divided into 3 groups of 100 patients each. Group-A patients were given Tablet Ciprofloxacin 500 mg, Group-B patients Injection Ceftriaxone 500 mg, and Group-C patients were put on Injection Spectinomycin 2 gm, all in stat dosage. On the 5th day following the treatment, all patients were re-examined and their clinical and laboratory findings were recorded and analysed. RESULTS: On the 5th day following treatment the Group-A (n = 100) showed 9 (9%) partial response and 11 (11%) cases showed no response to Ciprofloxacin. In the Group-B (n = 100), 4 (4%) patients showed partial response and 6 (6%) patients showed no response to Ceftriaxone. In Group C, out of 100 patients, 4 (4%) cases reported with partial response and 2 (2%) patients showed no response to Spectinomycin. The efficacy of these anti microbial agents was the same in both the genders. CONCLUSION: Anti-microbial susceptibility of N. gonorrhoeae to various antibiotics is gradually decreasing. In order to ensure full cure, all gonorrhoea patients should be followed-up after a single dosage of any antibiotic. Further research should continue for newer, effective, and preferably oral medication for the treatment of gonorrhoea. PMID- 21067020 TI - Comparative study of inverting sutureline versus over and over continuous suturing in hypospadias repair. AB - BACKGROUND: Hypospadias is one of the most common congenital anomalies of the male newborns affecting 1 in 300. Urethral meatus lies ectopically on the ventral surface of penis proximal to its normal position. There is defective development of urethral spongiosum and ventral prepuce. Various degrees of chordee may be associated. Objective of the study was to study the incidence of urethrocutaneous fistula formation after hypospadias repair employing two different suturing techniques. This Prospective Randomised Descriptive Clinical Trial was conducted in Department of Surgery, Fauji Foundation Hospital, Rawalpindi and Basharat Hospital, Rawalpindi, during a period of five years from January 2005 till December, 2009. METHODS: A prospective study of 100 patients of glanular/penile hypospadias requiring repair was completed from January, 2005 till December, 2009. Fifty of these patients had urethral tube reconstruction using conventional over and over continuous suturing technique (Group-1). In another group of fifty patients continuous inverting sutures (Connel technique) commonly used in intestinal anastomosis was employed (Group-2). Polyglycolic acid sutures 4/0 to 6/0 as appropriate were used for all the repairs in both the groups by the same surgeon. RESULTS: Six (12%) urethrocutaneous fistulas formed in the Group-1 of fifty patients with over and over continuous suturing as compared to 4 (8%) in Group-II with equal number of patients where inverting suture technique was used. CONCLUSION: Inverting sutures reduce the incidence of urethrocutaneous fistula formation in hypospadias repair. PMID- 21067021 TI - Pregnancy with fibroids and its and its obstetric complication. AB - BACKGROUND: Pregnancy along with a fibroid is a high risk pregnancy which may lead to complication with unequal gravity. Objective of this study was to assess the prevalence and obstetric complications of fibroids during pregnancy, this cross-sectional study was carried out in the Department of Gynaecology, Unit 'C', Ayub Teaching Hospital Abbottabad. METHOD: Data of all patients who presented with fibroid during pregnancy during two years, i.e., from Jan 2006 to Dec 2007 was recorded on a proforma and analysed using SPPS-12. RESULTS: Thirty patients were diagnosed to have fibroids during pregnancy out of 3468 deliveries, thus prevalence was 0.865% in our hospital. The age of 50% cases was from 20 to 30 years, and 30 to 35 Year (27%). Twenty-one (70%) belonged to low socioeconomic status. Ninety percent patients reached up to term pregnancy between 37 to 40 weeks. Fibroids were found less common in patients in their first pregnancy (8, 23.66%). Twenty-one (70%) patients were delivered by caesarean section, and in 1 (3.33%) patient hysterotomy was performed. Failure to progress and foetal distress was the commonest indication for caesarean section (8, 38.09%) followed by breech presentation (4, 19.04%), cord prolapse (3, 14.28%) and fibroids in the lower segment (2, 9.52%). Anaemia was the commonest complication (20, 66.66%) followed by postpartum haemorrhage (PPH) (10, 33.33%). Breech presentation was the commonest malpresentation (4, 13.33%) associated with fibroids during pregnancy. Premature rupture of membranes and cord prolapse was seen in 3 (10%) patients each. Four (13.33%) patients underwent abdominal hysterectomy. Intra uterine growth restriction IUGR was seen in 2 patients (6.66%), 2 patients ended up with abortions, 1 patient had a spontaneous pregnancy loss and the other underwent hysterotomy due to low lying placenta and heavy bleeding per vaginum. Compound presentation, neglected transverse lie, stuck head of breech, placenta increta, retained placenta, low lying placenta, were the other complications occurring in one patient each. One patient died during anaesthesia. Neonatal outcome was encouraging as 20 (67%) babies were of average birth weight and only 4 (13.33%) babies had low APGAR score and needed NICU admission. Perinatal mortality was 37/1000 live births. CONCLUSION: Pregnancy with fibroids leads to increase in caesarean section rate due to dysfunctional labour and malpresentation. There is also increased incidence of post partum haemorrhage along with associated complication of anaemia, anaesthesia and surgery. PMID- 21067022 TI - An analysis of depth dose characteristics of photon in water. AB - BACKGROUND: Photon beam is most widely being used for radiation therapy. Biological effect of radiation is concerned with the evaluation of energy absorbed in the tissues. It was aimed to analyse the depth dose characteristics of x-ray beams of diverse energies to enhance the quality of radiotherapy treatment planning. METHODS: Depth dose characteristics of different energy photon beams in water have been analysed. Photon beam is attenuated by the medium and the transmitted beam with less intensity causes lesser absorbed dose as depth increases. Relative attenuation on certain points on the beam axis and certain percentage of doses on different depths for available energies has been investigated. RESULTS: Photon beam depth dose characteristics do not show identical attributes as interaction of x-ray with matter is mainly governed by beam quality. Attenuation and penetration parameters of photon show variation with dosimetric parameters like field size due to scattering and Source to Surface Distance due to inverse square law, but the major parameter in photon interactions is its energy. CONCLUSION: Detailed analysis of photon Depth Dose characteristics helps to select appropriate beam for radiotherapy treatment when variety of beam energies available. Evaluation of this type of characteristics will help to establish theoretical relationships between dosimetric parameters to confirm measured values of dosimetric quantities, and hence to increase accuracy in radiotherapy treatment. PMID- 21067023 TI - Aetiology, maternal and foetal outcome in 60 cases of obstetrical acute renal failure. AB - BACKGROUND: Acute renal failure is a serious complication in pregnancy. Not only does it result in significant maternal morbidity and mortality but also results in significant number of foetal loss. Although incidence of obstetrical acute renal failure has decreased in developed countries but still it is one of the major health problem of developing nations. The objective of this study was to study aetiology, maternal and foetal outcome in obstetrical acute renal failure. METHODS: This study was conducted at Department of Nephrology, Khyber Teaching Hospital, Peshawar from August 2006 to December 2007. It was a descriptive, case series study. Female patients with pregnancy and acute renal failure, irrespective of age, were included in the study. Patients were thoroughly examined and baseline urea, creatinine, serum electrolytes, peripheral smear, prothrombin time, partial thromboplastin time, fibrinogen degradation products, renal and obstetrical ultrasound were performed on each patient and 24-hr urinary protein and bacterial culture sensitivity on blood, urine or vaginal swabs were done in selected patients. Foetal and maternal outcome were recorded. Data were analysed using SPSS. RESULTS: A total of 60 patients were included in the study. Mean age of the patients was 29 +/- 5.4 years and duration of gestation was 33 +/ 4.9 weeks. Mean gravidity was 4 +/- 2.2. Sixteen patients (26.66%) were treated conservatively while 44 (73.33%) required dialysis. Postpartum haemorrhage was present in 14 (23.33%), postpartum haemorrhage and disseminated intravascular coagulation (DIC) in 11 (18.33%), eclampsia-preeclampsia in 8 (13.33%), antepartum haemorrhage in 8 (13.33%), antepartum haemorrhage with DIC in 6 (10%), DIC alone in 4 (6.66%), obstructed labour in 3 (5%), septic abortion in 3 (3.33%), HELLP (haemolysis elevated liver enzyme and low platelet) in 2 (3.33%), urinary tract infection with sepsis in 1 (1.66%) and puerperal sepsis in 1 (1.66%). Foetal loss was 40 (66.66%). Maternal mortality was 9 (15%) while 28 (46.66%) fully recovered. Among the rest 6 (30%) had partial recovery and 5 (8.33%) had dialysis dependent chronic kidney disease. CONCLUSION: Obstetrical acute renal failure not only results in foetal loss but also causes significant maternal morbidity and mortality. PMID- 21067024 TI - Frequency of anti-tuberculous therapy-induced hepatotoxicity in patients and their outcome. AB - BACKGROUND: Tuberculosis (TB) is a very common droplet infection especially in the northern areas. If untreated, the disease may be fatal within 5 years in more than half of cases. To study the frequency of anti-tuberculous therapy (ATT) induced hepato-toxicity was the subject of the present hospital based descriptive study. METHOD: The study was conducted in Medical Unit, Ayub Teaching Hospital and patients with diagnosed Tuberculosis in whom ATT was initiated were included in the study. The subsequent development of elevated liver enzyme levels and hepatitis, amongst some members of the study group; was diagnosed, with the help of clinical findings and Liver Function Tests (LFT's) and were dealt with according to severity. RESULTS: Out of the 500 patients studied 277 (55.4%) were male and 223 (44.6%) were female, 203 (40.5%) were in age group 21-35 years, 136 (27.1%) in age group 36-50 years, 141 (28.1%) in age group 51-65 years while 20 (4%) were above 65 years of age. Out of them 40 (8%) developed hepatotoxicity, 21 (4.2%) patients amongst the study group developed overt hepatitis, 20 (4%) of them made an uneventful recovery while 1 (0.2%) died of Fulminant Hepatic Failure (FHF). CONCLUSIONS: ATT-induced hepato-toxicity, was frequently encountered in patients put on ATT. PMID- 21067025 TI - Obesity, hyperlipidemia, and hyperuraecemia in young and old hypertensive patients. AB - BACKGROUND: There is strong correlation among hypertension, obesity, hyperlipidemia and hyperuricemia which are important risk factor for the cardiovascular disease. Objective of this study was to assess and compare the prevalence of obesity, hyperlipidemia and hyperuricemia among young and old hypertensive patients in the local setting. METHODS: This cross-sectional study was conducted at medical Out-patient Department at Shahina Jamil Teaching Hospital, Abbottabad, from September 2007 to February 2008. Eighty-six patients seen in the medical outpatient department were enrolled in the study. Patients with age 15 years or above and diagnosed as case of systemic hypertension were included. Patients with endocrine disease, pregnancy, coarctation of aorta, and renal disease leading to hypertension were excluded from the study. RESULTS: Total eighty-six patients with mean age of 53.7 +/- 12.9 years were included in the study. Patients were divided into younger age group (age < 46 years) and older age group (age > or = 46 years). Mean Body Mass Index (BMI) was 29.7 +/- 5.2 in the younger age group and 26.9 +/- 4.7 in the older age group, mean serum cholesterol level was 192.2 +/- 14.2 mg/dL in younger age group and 190.9 +/- 18.3 mg/dL in the older age group, mean serum triglyceride level was 170.5 +/- 13.7 mg/dL in younger age group and 166.6 +/- 21.4 mg/dL in the older age group and mean serum uric acid levels were 5.6 +/- 0.7 mg/dL in younger age group and 5.7 +/- 1.2 mg/dL in the older age group. Overweight and obese patients were 70.9% with its higher prevalence in younger (86.2%) as compared to older patients (63.2%). Hypercholesterolemia was found in 27.9% of the patients, with a frequency of 24.1% in younger patients and 29.8% in the older patients. Hypertriglyceridemia was seen in 66.3% of the patients, with a frequency of 69.0% in younger patients and 64.9% in the older patients. Hyperuricemia was present in 37.2% of the hypertensive patients with a frequency of 34.5% in the younger patients and 38.6% in the older patients. CONCLUSION: Hypercholesterolemia, hypertriglyceridemia, and hyperuricemia are not associated with the age of the hypertensive patients. Increased BMI is more frequent in the young as compared to the old hypertensive patients. PMID- 21067026 TI - Total thyroidectomy as primary elective procedure in multinodular thyroid disease. AB - BACKGROUND: Multinodular goitre is one of the commonest thyroid diseases encountered in the practice of surgery. The most common surgery being performed for multinodular goitre is subtotal thyroidectomy. Total thyroidectomy is designed to remove all of the thyroid tissue. The objective of this study was to evaluate total thyroidectomy as a primary elective procedure for treatment of multinodular thyroid disease. This descriptive study was carried out at Combined Military Hospital Rawalpindi from June 2003 to September 2006. METHODS: 88 patients of multinodular thyroid disease were included. Patients having evidence of recurrent laryngeal nerve damage, recurrent goitre, evidence of altered parathyroid functions or evidence of malignancy were excluded. All patients underwent total thyroidectomy by the same team of surgeons and the patients were closely followed up for postoperative complications especially in terms of recurrent laryngeal nerve damage and hypocalcaemic tetany. RESULTS: No major postoperative complication was noted. Only 1 patient (1.14%) developed unilateral recurrent laryngeal nerve damage and 2 patients (2.27%) developed transient hypocalcaemia that recovered quickly. CONCLUSION: Total thyroidectomy as a primary elective procedure in multinodular thyroid disease is a safe option and it removes the disease process completely, lowers local recurrence rates and avoids the substantial risks of reoperative surgery. PMID- 21067027 TI - Retinoblastoma in NWFP, Pakistan. AB - BACKGROUND: Retinoblastoma is a malignant intraocular tumour of childhood, if not detected early, it results in loss of eye as well as life. The Objective was to study the pattern of presentation of Retinoblastoma in order to detect the disease at an earlier stage. It was conducted at Supra Regional Referral Centre for Retinoblastoma, Department of Ophthalmology, Khyber Teaching Hospital, Peshawar, Pakistan from 1st July 1999 to 30th September 2002. METHODS: Seventy patients were registered and admitted for diagnostic evaluation. After initial interview a proforma was filled about history of disease. Examination under anaesthesia included indirect ophthalmoscopy with indentation. B-scan of the eye and CT scan of the Orbit was done when required. RESULTS: Forty (57.14%) patients presented with Leukocoria; other presentation included hyphaema, strabismus, proptosis, 56.48% patients presented in stage VB (Rees Elsworth classification). CONCLUSION: Early detection through better understanding of presenting features of the disease and application of diagnostic skills can reduce the advanced stage of Retinoblastoma. PMID- 21067028 TI - Protective role of vitamin C and E against sodium arsenate induced changes in developing kidney of albino mice. AB - BACKGROUND: Arsenic is a teratogenic agent present in the environment as oxides and arsenate and humans are exposed to it through contaminated drinking water, food, soil and air. This investigation was undertaken to evaluate protective role of Vitamin C and E against teratogenic injury produced by sodium arsenate in developing kidney of the mouse. METHODS: Twenty-four pregnant albino mice of BALB/c strain, were randomly divided into 4 groups of 6 each: A1, A2, A3 and A4. Group A1 served as the control and received weight related distilled water by intra-peritoneal (I/P) injection, group A2 was given a single doses of 35 mg/kg on 8th GD whereas groups A3 and A4 were treated with Vitamin C and E by IP injection, 9 mg/kg/day and 15 mg/kg/day respectively, starting from 8th day and continued for the rest of the pregnancy period. The foetal kidneys were weighed and histological studies carried out including micrometry on different components of nephron. RESULTS: Sodium arsenate toxicity manifested as an increase in weight of the kidneys, wider nephrogenic zone and significant reduction in the mean of number of mature renal corpuscles as compared to the control group (p < 0.000). There were moderate to severe necrotic and degenerative changes in proximal and distal convoluted tubules; glomeruli were hypercellular, the Bowman's spaces were obliterated. There was a statistically significant difference in mean diameter of renal corpuscles of group A2 when compared with groups A1, A3 and A4, (p < 0.000). CONCLUSIONS: The findings implied that groups receiving Vitamin C and E along with sodium arsenate showed an overall improvement in all parameters, indicating the protective role of Vitamin C and E against arsenic induced teratogenicity in developing kidney and are safe to use during pregnancy without deleterious effect on human conspectuses in arsenic exposed areas. PMID- 21067029 TI - Acute mesenteric ischemia: experience in a tertiary care hospital. AB - BACKGROUND: Acute mesenteric ischemia is an abdominal catastrophe. This has been described as a complex of diseases rather than a single clinical entity. The incidence in United States is 1 in 1000 hospital admissions. The objective of this descriptive study was to determine the clinical presentations and out come after surgery of patients with acute mesenteric ischemia. It was conducted at Dubai Hospital, Dubai, United Arab Emirates. METHODS: All patients having per operative or histopathological diagnosis of acute mesenteric ischemia from 2002 to 2006 were included. RESULTS: There were 16 patients in all. Their mean age was 51 years, 12 were male and 4 were female. Abdominal pain was present in 16 patients, vomiting in 12 and anorexia in 9 patients. Abdominal tenderness was present in 16 patients, abdominal distension and rebound tenderness in 12 patients. Five patients had hypertension, 4 had myocardial infarction and 4 had diabetes mellitus as risk factors. X-Ray abdomen was done in 13 patients, Ultrasound in 9 and CT Scan in one patient. Resection of bowel was done in 14 patients. Post operatively 5 patients developed pneumonia, 3 had wound dehiscence, 3 had sepsis, and 3 had Lower GI bleeding. Five patients were expired after surgery in the hospital. Four patients were lost to follow up. CONCLUSION: We should have a high index of suspicion for mesenteric ischemia in patients with unexplained abdominal pain. Early diagnosis and prompt surgical intervention improves the outcome. PMID- 21067030 TI - Affect of silymarin on serum levels of ALT and GGT in ethanol induced hepatotoxicity in albino rats. AB - BACKGROUND: Alcoholic liver disease is a worldwide health problem. At least 80% of heavy drinkers have been reported to develop steatosis, 10-35% alcoholic hepatitis, and approximately 10% liver cirrhosis. The objective of this study was to determine the affect of silymarin on the levels of serum ALT and GGT in ethanol induced hepatotoxicity in albino rats. This study was an experimental Randomised Control Trial (RCT), and was conducted at the experimental research laboratory of University of Health Sciences, Lahore, from January 2007 to December 2007. METHODS: Eighteen male albino rats of 6-8 weeks age, weighing 150 200 gm each were divided into 3 groups of 6 rats each. Group A served as control, Group B was given ethanol at a dose of 0.6 ml (0.5 gm)/100 gm/day and group C was given ethanol and silymarin at a dose of 0.5 gm/100 gm/day, and 20 mg/100 gm/day respectively for 8 weeks. At the end of the experiment, each animal was euthenised with chloroform. Blood was drawn from each animal by cardiac puncture for liver function tests (ALT and GGT). After taking blood sample, each euthenised animal was sacrificed and then its liver was removed for gross and histological examination. RESULTS: The mean values of serum alanine aminotransferase (ALT) in groups A, B and C were 28.16 +/- 7.13, 82.33 +/- 10.89 and 49.66 +/- 6.12 U/L respectively, whereas, the mean values of gamma-glutamyl transferase (GGT) in groups A, B and C were 27.33 +/- 3.05, 79.33 +/- 4.37 and 45.66 +/- 1.85 U/L respectively. ANOVA showed significant (p < 0.05) difference in mean value of these serum enzymes among groups. Post Hoc test, using the Tukey honestly significant difference (HSD) showed that there was significant (p < 0.05) increase in mean value of ALT and GGT in group B as compared to group A and C. This test also showed that there was significant (p < 0.05) decrease in mean value of these enzymes in-group C as compared to group B. CONCLUSION: Silymarin tends to normalise liver function test in alcoholic liver disease. PMID- 21067031 TI - Neonatal outcome in obstetric cholestasis patients at Ayub Teaching Hospital Abbottabad. AB - BACKGROUND: Obstetric cholestasis is a liver disease specific to pregnancy characterised by pruritus affecting the whole body but particularly the palms and soles and abnormal liver function tests. Objective of this cross sectional study was to evaluate obstetric cholestasis as a potential risk factor for adverse neonatal outcome. The study was conducted at Department of Obstetrics and Gynaecology, Unit 'B', Ayub Teaching Hospital, Abbottabad from April 1, 2007 to March 31, 2008. METHODS: All patients presenting with obstetric cholestasis irrespective of their age and parity were included in the study. Patients presenting with other causes of pruritus during pregnancy like Hepatitis (A, B, C), eczema, pruritus gravidarum and herpes gestationes were excluded from the study. Patients with liver involvement due to pre-eclampsia were also excluded. Baseline investigations, liver chemistries, viral screening, liver autoimmune screen, liver and obstetrical ultrasound were all done before the diagnosis was confirmed. Patients were treated symptomatically. Neonatal outcome was calculated in terms of increased incidence of passage of meconium, preterm delivery and foetal distress requiring delivery by Caesarean-Section. RESULTS: Thirty patients were selected. Babies of 10 patients did well after delivery, 8 required NICU care within first 24 hours of birth and rest were delivered with low APGAR score. Two babies were delivered stillborn. CONCLUSION: Pruritus is quite common in pregnancy with obstetric cholestasis being one of them and earlier detection of the disease allows better identification of foetuses at risk. PMID- 21067032 TI - Seroprevalence of hepatitis B in outdoor female patients of reproductive age group at Ayub Teaching Hospital Abbottabad. AB - BACKGROUND: Hepatitis B is inflammation of the liver caused by a Hepatitis B virus, having a parenteral mode of entry and infecting millions of people around the world. OBJECTIVE: The present study was planned to assess the seroprevalence of Hepatitis B in women of reproductive age in Hazara in order to promote disease prevention in the perinatal period by vaccination against Hepatitis B. SUBJECTS AND METHODS: Women 15-45 years old belonging to Hazara Division were included in this descriptive, cross-sectional study conducted over a period of one year at the Department of Microbiology, Ayub Medical College, Abbottabad in collaboration with the Department of Pathology, Ayub Teaching Hospital, Abbottabad from 1st March 2006 to 28th February 2007. Non-probability convenience sampling was done to select 500 females from those visiting Ayub Teaching Hospital Abbottabad as outdoor patients or accompanying person. History, examination, and serum alanine aminotransferase estimation were followed by the initial screening for Hepatitis B surface Antigen (HBsAg) using immunochromatographic device. Enzyme linked Immunosorbent assay was used to confirm the presence of HBsAg. RESULTS: HBsAg was detected in 3% of the women. History of multiple injections was present in 100%, blood transfusion in 22%, dental procedure in 46% and surgical procedure in 43% of the subjects. Only 1% women had been vaccinated. CONCLUSION: Seroprevalence of the Hepatitis B is not different from the figures reported by the studies previously conducted on general population in Pakistan. However it is different from those reported for the special groups. PMID- 21067033 TI - Seroprevalence of hepatitis C in pregnant females of Hazara division. AB - BACKGROUND: Hepatitis C Virus (HCV), the causative agent of Hepatitis C is prevalent in different countries including Pakistan. Studies have been conducted on various aspects of HCV in Pakistan. The present study was planed to see the prevalence of hepatitis C in pregnant females of Hazara Division. METHODS: Five hundred pregnant females of reproductive age group from Hazara Division selected by non probability convenient sampling technique were studied from 1st March 2006 to 28th February 2007 at Ayub Teaching Hospital, and District Headquarter Hospitals Abbottabad, Haripur and Mansehra. After initial serving by immunology technique positive cases were confirmed by Enzyme Linked Immunosorbant Essay (ELISA). RESULTS: Seroprevalence of HCV in pregnant females of Hazara division was 8.9%. Females aged from 25-35 constituted the largest group among positive cases. HCV was more prevalent in District Abbottabad as compared to the other districts of Hazara division. CONCLUSION: Seroprevalence of HCV in pregnant females of Hazara Division is different from the figures already reported form the other parts of Pakistan. PMID- 21067034 TI - Acid aspiration prophylaxis during anaesthesia for caesarean section: a survey among anaesthetists at Hyderabad. AB - BACKGROUND: Pulmonary aspiration of gastric contents leading to acid aspiration syndrome (AAS) is a well recognized risk factor during general anaesthesia (GA) for Caesarean section (CS). The cross sectional observational study was conducted during July 2008 to October 2008 at Department of Anaesthesiology and Intensive Care, Liaquat University of Medical & Health Sciences Jamshoro, Sindh, Pakistan. The objective was to assess anaesthetic practice patterns and measures to prevent aspiration of acid gastric contents in full-term pregnant women undergoing anaesthesia for Caesarean section. METHODS: A structured questionnaire regarding practice of anaesthesia for Caesarean section was distributed among anaesthetists working and practicing at Hyderabad. Results from the completed questionnaires were transferred to a Microsoft Excel spreadsheet and the responses represented as percentages. RESULTS: General anaesthesia was preferred by 75.4% anaesthetists for caesarean section, 83.6% anaesthetists used rapid sequence induction with cricoid pressure during general anaesthesia, 29.5% respondents restricted clear fluids for 2-3 hours. Antacids were used by 90% of the anaesthetists, while about 50% anaesthetists performed extubation when patients were fully awake. CONCLUSION: Recommended practice patterns and measures to prevent aspiration of acid gastric contents during anaesthesia for caesarean section are not observed by most of the anaesthetists working at Hyderabad. PMID- 21067035 TI - Serum adiponectin levels in patients with coronary artery disease. AB - BACKGROUND: Adiponectin is an adipocytokine secreted from white adipose tissue. Serum level of adiponectin has been shown to be reduced in several disease states like obesity and diabetes. Hypoadiponectinemia has also been included in the list of newer risk factors for Coronary Artery Disease (CAD). METHODS: In this analytical cross-sectional study serum adiponectin level was measured by Enzyme Linked Immunosorbant Assay (ELISA) in 60 cases of coronary artery disease (CAD) (both sexes, aged 40-60 yrs) and 60 healthy controls. RESULTS: Low levels of adiponectin were seen in CAD patients as well as controls. These levels were lower as compared to western standards. CONCLUSION: Our study conforms to the previous observation of lower serum adiponectin levels in South Asians compared to the western standards. Serum adiponectin level should be considered in the laboratory work-up of CAD patients. PMID- 21067036 TI - Factors causing non-breastfeeding in children under six months of age in district Nowshera, Pakistan. AB - BACKGROUND: In developing countries bottle feeding has emerged a big public health problem while in developed countries the trend is opposite. Prevalence of breast feeding in Pakistan is 90-98% but in some subgroups of population it is as low as 60-80%. The objectives of the study were to determine the causes of non breast feeding in children less than six months of age in district Nowshera, and assess practice of starting first breast feeding to the newborn. METHODS: A cross sectional study was conducted in ten union councils of district Nowshera. A total of 305 children under six month age were selected by simple random method. Data was collected on pre-designed questionnaire and analysed by descriptive statistics. RESULTS: The study included 198 children from rural and 107 from urban areas. Mothers/guardians of 71.8% children were uneducated. Causes of non breast feeding included perception of mothers of having insufficient milk (45.9%), working mothers (18.4%), mothers with chronic diseases (13.1%), children with congenital or acquired diseases (17%), mothers having next pregnancy (3.61%) whose mothers have been died (0.98 %) and twin babies (0.98%). On the other hand, 61% babies started breast feeding on first day, 19% on second, 10.8% on third and 3.9% after third day while 5.2% babies got no breast feeding at all. CONCLUSION: Main causes of non-breastfeeding in less than six month age are perception of having insufficient milk, working women and twin babies. PMID- 21067037 TI - Per-operative findings of blocked ventriculoperitoneal shunt: a study of 72 cases. AB - BACKGROUND: Most patients with hydrocephalus are treated with ventriculo peritoneal (VP) shunt placement; however, malfunction is common and is usually caused by mechanical failure. The aim of this study was to evaluate the per operative findings accompanying presumed VP shunt malfunction. METHODS: This is a descriptive study of 72 patients operated for shunt malfunction in the Department of Neurosurgery, Postgraduate Medical Institute, Lady Reading Hospital, Peshawar from January to December 2008. Presenting symptoms and signs, clinical shunt function, operative findings and outcome were recorded. RESULTS: Common presenting features were vomiting, irritability and general toxic look of patients. Male to female ratio was 3:1. Upper end block was observed in 39 patients while lower end and reservoir block was noted in 13 and 2 patients respectively. Choroids plexus was found in 29.2% of cases with upper end block, shunt revision was performed in most of cases (80.6%). CONCLUSION: The importance of clinical features cannot be overlooked in a patient with shunt malfunction. Upper end block due to choroids plexus is common operative finding. PMID- 21067038 TI - Radiofrequency catheter ablation for supraventricular tachycardias: experience at Peshawar. AB - BACKGROUND: Drug therapy is mostly employed in the management of supraventricular tachycardias (SVTs). However, radiofrequency catheter ablation has been found to be highly effective and safe in the treatment of SVTs. The current study is aimed at sharing our experience of 320 patients who presented with SVTs, and were treated with radiofrequency catheter ablation. METHODS: This descriptive study was carried out in the Cardiac Electrophysiology Laboratory of Lady Reading Hospital, Peshawar from October 2006 to December 2009. Standard 4-wire electrophysiological study was carried out to identify the mechanism of SVT in 320 consecutive patients. Radiofrequency catheter ablation was used to interrupt the tachycardia circuit. RESULTS: Out of a total 320 patients who underwent electrophysiologic study, 168 were found to have atrioventricular nodal re-entry as underlying mechanism; 121 patients were having accessory pathway responsible for re-entry (of these 95 presented with orthodromic reciprocating tachycardia and 26 as antidromic reciprocating tachycardia); 19 patients were having focal atrial tachycardia, 4 atrial fibrillation and 8 atrial flutter as the underlying cause for SVT. Radiofrequency catheter ablation was used with an overall success of 94% and a complication risk of complete AV block in 0.3% and recurrence rate of 3%. CONCLUSION: Radiofrequency catheter ablation is safe and highly effective mode of treatment of SVTs. PMID- 21067039 TI - Frequency of cerebral infarction and haemorrhage in the patients of stroke. AB - BACKGROUND: Stroke is rapidly developing phenomena of symptoms and signs of focal, and at times global, loss of cerebral function with no apparent cause other than that of vascular origin. The objective was to know the frequency of cerebral infarction and haemorrhage in one hundred patients of stroke in a period of one year. METHODS: Data was collected by consecutive sampling technique. Total one hundred patients of stroke were collected for the study. They were assessed through a detailed history of hypertension, diabetes mellitus, smoking, previous stroke, transient ischemic attack (TIA), previous myocardial infarction, angina, atrial fibrillation, alcohol intake, drugs used for hypertension/diabetes mellitus. Blood pressure was recorded at arrival and 24 hours after admission. RESULTS: There were 70% males and 30% females. Twenty percent of the patients were in the age range of 51-60 years, 26% of the patients were in the age range of 61-70 years and 18% were in the age range of 71-80 years. Cerebral infarction was present in 72% patients while cerebral haemorrhage was present in 28% patients. Hypertension was the most common risk factor among these stroke patients. Average blood pressure was 180/100 mmHg. CONCLUSION: Cerebral infarction is the commonest form of stroke. Hypertension is the leading risk factor in stroke patients. PMID- 21067040 TI - How early do antibiotics have to be to impact mortality in severe sepsis? A prospective, observational study from an emergency department. AB - BACKGROUND: The objective of this study was to assess the promptness of antibiotic administration to patients presenting with sepsis and the effects on survival and length of hospitalization. METHODS: Consecutive, adult patients presenting with Systemic Inflammatory Response Syndrome (SIRS) to the emergency department of the Aga Khan University hospital were enrolled in a prospective, observational study over a period of 4 months. Univariate, multivariate regression modeling and one-way ANOVA were used to examine the effects of various variables on survival and for significant differences between timing of antibiotic administration and survival, two-sided p values < 0.05 were considered significant. RESULTS: One hundred and eleven patients were enrolled. Severe sepsis was present in 52% patients; the most frequent organism isolated was Salmonella typhi (18%). Overall mortality was 35.1%. One hundred (90.1%) patients received intravenous antibiotics in the Emergency room; average time from triage to actual administration was 2.48 +/- 1.86 hours. The timing of antibiotic administration was significantly associated with survival (F statistic 2.17, p = 0.003). Using a Cox Regression model, we were able to demonstrate that survival dropped acutely with every hourly delay in antibiotic administration. On multivariate analysis, use of vasopressors (adjusted OR 23.89, 95% CI 2.16,263, p = 0.01) and Escherichia coli sepsis (adjusted OR 6.22, 95% CI 1.21,32, p = 0.03) were adversely related with mortality. CONCLUSIONS: We demonstrated that in the population presenting to our emergency room, each hourly delay in antibiotic administration was associated with an increase in mortality. PMID- 21067041 TI - Neutrophil function (innate immunity) during Ramadan. AB - BACKGROUND: Fasting during the month of Ramadan is one of the essential religious practices of Muslims. The aim of this study was to evaluate opsonisation, phagocytosis, and nitroblue tetrazolium (NBT) reduction by white blood cells in normal, healthy, male subjects under non-fasting (before Ramadan) and fasting (after Ramadan) conditions. METHODS: In this study, 13 Muslim men, aged 28-54 years, whose health was confirmed by health application forms, gave blood samples one week before the beginning of the holy month of Ramadan and during the last week of Ramadan. Blood samples were tested for neutrophil phagocytosis, serum opsonisation power, and NBT reduction. RESULTS: Despite a decline in the neutrophil phagocytic index and serum opsonisation index, the percentage of neutrophils participating in phagocytosis increased with fasting. In addition, there was an increase in the percentage of neutrophils demonstrating NBT reduction. Although there was a decrease in opsonisation of the serum, the increased percentage of opsonisation compensated for this defect. CONCLUSION: This study demonstrates the beneficial effect of fasting during Ramadan on neutrophil phagocytic function. PMID- 21067042 TI - Comparison of vaginal and abdominal hysterectomy: peri- and post-operative outcome. AB - BACKGROUND: Abdominal and vaginal hysterectomies are the two predominant operative modalities for various uterine conditions; however the indications for selecting a particular procedure in any setting may not be optimally defined. This study was undertaken to evaluate the appropriate route of hysterectomy (abdominal or vaginal) in a hospital population for women with benign disease by comparing peri-operative and post-operative complications. METHODOLOGY: This quasi-experimental study was undertaken at the Department of Obstetrics and Gynaecology, Fauji Foundation Hospital/Foundation University Medical College, Rawalpindi from January to December 2007. Eighty subjects were equally divided into vaginal and abdominal hysterectomy groups by convenience (non-probability) sampling. The primary outcome measures were operative time, primary haemorrhage, wound infection, post-operative analgesia, febrile morbidity, hospital stay and secondary haemorrhage; secondary outcome measure were estimated cost, re admission and reopening. RESULTS: There were no differences in the patients' mean age, parity, body mass index, and preoperative haemoglobin levels between groups. Vaginal hysterectomy was associated with less febrile morbidity, wound infection operative time, economic cost, bleeding requiring transfusion and re-admission than abdominal hysterectomy. Main indication for women having abdominal operation was leiomyomas, whereas more women having uterovaginal prolapse had vaginal hysterectomy. CONCLUSION: Patients requiring a hysterectomy for benign lesions having a moderate-sized uterus can be offered vaginal route for surgery. PMID- 21067043 TI - Use of supero-temporal free conjunctivo-limbal autograft in the surgical management of pterygium: our technique and results. AB - BACKGROUND: A pterygium is a fibro-vascular, wing shaped encroachment of the conjunctiva on to the cornea. The prevalence rates ranges from 0.7 to 31% among different populations and also influenced by age, race, and exposure to solar radiations. Ultraviolet light-induced damage to the limbal stem cell barrier with subsequent conjunctivalisation of the cornea is the currently accepted aetiology of this condition. Indication of surgery include visual impairment, cosmetic disfigurement, motility restriction, recurrent inflammation and interference with contact lens wear. This Study was conducted to know the safety, efficacy and success with supero-temporal free conjunctivo-limbal auto graft transplantation in the surgical management of pterygium. METHODS: Prospective case series where supero temporal free conjunctivo-limbal auto grafting was performed on 72 eyes of 72 patients with vascular progressive pterygia. Pterygium recurrence was considered a surgical failure. Recurrence was defined as fibro vascular tissue crossing the corneo-scleral limbus on to the clear cornea in the area of previous pterygium excision before 6 months. RESULTS: Recurrence of pterygium was noted in three out of 72 eyes (4.16%), after a minimum follow up of 12 months to maximum of 25 months (Mean 14.6 months). No major intra-operative or postoperative complications were encountered. CONCLUSION: Supero temporal free conjunctivo limbal auto graft appears to be a safe and effective technique in the surgical management of pterygium. The inclusion of limbal tissue in the conjunctival auto graft following pterygium excision appears to be essential to ensure low recurrence rate. PMID- 21067044 TI - Comparison of distance between maxillary central incisors and incisive papilla in dentate individuals with different arch forms. AB - BACKGROUND: After loss of natural teeth, provision of prosthodontic services almost becomes necessity in the present day living. To provide effective mastication teeth have peculiar forms. To make it more efficient both functionally and biologically, they are arranged in particular geometric manner referred to as dental arch. The objective of this study was to compare the distance between mesial edge of the maxillary central incisors and posterior border of the incisive papilla in dentate individuals with different arch forms. METHODS: After sample selection, impressions were made for upper and lower arches and the resultant casts were standardised. Arch forms were assessed by their morphological description. Measurements on cast were recorded for incisive papilla (IP) and maxillary central incisor (CI) distance after securing it on cast surveyor. RESULTS: Ovoid arch form was the most frequently observed arch form both in males (57%) and females (68%) while their combination (Ovoid Square and Ovoid tapered) were the least commonly observed arch forms (4% and 5% each). Gender seems to be important in about 1/4th of the dentate individuals, regarding both the type of the arches and CI-IP distance. CONCLUSION: Ovoid type of arches was the commonest arch form seen in either sex. There is no significant difference between males and females in 3/4th of dentate individuals, regarding the type of dental arch as well as CI-IP distance. PMID- 21067045 TI - Factors affecting survival in patients with oesophageal atresia and tracheo oesophageal fistula. AB - OBJECTIVE: To evaluate the various factors affecting survival in babies with oesophageal atresia and tracheo-oesophageal fistula. DESIGN: Descriptive study. SETTING: The study was carried out at the Department of Paediatric Surgery, The Children's Hospital, Pakistan Institute of Medical Sciences (PIMS), Islamabad from March 2004 to March 2005. PATIENTS AND METHODS: All neonates with oesophageal atresia (EA) and tracheo-oesophageal fistula (TEF) during the study period were included in the study. Patients having isolated EA were excluded. A total of 80 patients were included in the study. Patients were received from the emergency department, OPD and Neonatal ICU. Diagnosis was confirmed by passing a radio opaque orogastric tube. Investigations were done to look for other associations. After stabilisation, right thoracotomy was performed, fistula was ligated and divided. An attempt was made to do a primary oesophago oesopahgostomy. Nasogastric feeding was started on 2nd post-operative day. A contrast oesophagogram was performed on the 7th postoperative day and having ruled out leak, oral feeding was started. RESULTS: Out of the total, 33 (41%) survived and 47 (58%) patients died. Out of 47 deaths 20 (25%) died before surgery and 27 (34%) died after surgery. Mean follow up period was 6 months. Sixteen (20%) patients had anastomotic leak, 24 (30%) had anastomotic stricture, and 64 (80%) patients had postoperative pneumonia. CONCLUSION: We conclude that proper antenatal check ups will detect the problem early, avoid home deliveries and hence improve survival. Pneumonitis and septicaemia significantly affect survival. Availability of ICU is one of the main determinants of survival. The likely cause of high mortality rate in pre-operative patients in our series is non-availability ofNICU due to limited space in our setup. PMID- 21067046 TI - Prevalence of malignancy in goitre--a review of 718 thyroidectomies. AB - BACKGROUND: Thyroid malignancies are a heterogeneous group oftumours which show considerable variability in biological behaviour, histological appearances and response to therapy. Thyroid cancer is uncommon and represents only 1% of all malignancies. Objective was to determine the prevalence of malignancy in patients presenting with goitre. This prospective, observational study was conducted at Department of Surgery, Fauji Foundation Hospital, Rawalpindi from January 1999 to December 2008. METHODS: All patients requiring surgery for goitre were included in the study. Postoperatively histopathologies of specimens were evaluated in all patients. RESULTS: 718 patients were operated and post operative histopathology specimens were reviewed. 2.92% of patients were found to have malignancy. Prevalence of papillary and follicular carcinoma was 33.33% each. Anaplastic carcinoma was found in 23.81% of patients followed by Hurthle cell carcinoma in 9.53% of patients. CONCLUSION: All postoperative thyroid specimens should be subjected to histopathology. Prevalence of follicular carcinoma and anaplastic carcinoma is relatively higher in our country due to high incidence of iodine deficiency goitre. PMID- 21067047 TI - Practice of surgeons regarding examination of pathological specimens in operating room. AB - BACKGROUND: The debate of routine versus selective submission of specimens for histopathology makes it important to know the present practice of surgeons. The objective of this study was to determine the proportion of general surgeons examining the gall bladder and appendix specimens in operating room before submission for histopathology. METHODS: This questionnaire based survey was conducted for the general surgeons working in the city of Hyderabad, Pakistan during May-June 2008. The data was entered and analysed in SPSS 11.0 software statistical program to determine the impact of designation, hospital category and experience on the responses of surgeons. RESULTS: Overall, 42 out of 47 qualified general surgeons working in Hyderabad, Pakistan responded the questionnaire. About 23.8% and 4.8% reported to be always examining gall bladder and appendix specimens respectively in operating room. About 66.7% and 40.5% reported to be submitting every gall bladder and appendix specimen respectively for histopathology. Lack of practice and poverty were reported as the common causes of not examining the specimen in operating room and not submitting every specimen for histopathology respectively. About 25.8% and 81.8% from public and private sector hospitals respectively reported to be submitting every appendix specimen for histopathology. About 88.2% and 52% of surgeons having experience of five years or less and experience of more than five years respectively reported to be submitting every gall bladder specimen for histopathology. CONCLUSIONS: There is widespread variation in the practice of general surgeons regarding operating room examination as well as submission of specimens for histopathology. PMID- 21067048 TI - Patterns of presentation of chronic ischemic heart disease with and without previous myocardial infarction. AB - BACKGROUND: The prevalence of Ischemic Heart Disease (IHD) is on the rise, from increasing lifespan of population and availability of better medical facilities. We studied chronic IHD cases with and without previous myocardial infarction, in Hazara, NWFP, Pakistan to evaluate left ventricular (LV) dysfunction, wall motion abnormalities and complications of IHD. METHODS: All patients presenting with history of chest pain in Medical 'C' Unit, Ayub Teaching Hospital, Abbottabad from June 2004 to May 2005 were included in the study. Patients with non-cardiac chest pain were excluded from the study. Cases with congenital and rheumatic heart disease, cardiomyopathies, unstable angina and acute MI were excluded. Patients with IHD with or without myocardial infarction (MI) were studied for left ventricular dysfunction (ejection fraction, left atrial size, E/A ratio), wall motion abnormalities and complications of IHD (Mitral regurgitation, Ventricular Septal Defect (VSD), LV aneurysm, LV clot). Clinical and echocardiographic evaluation was done in each case. RESULTS: Out of 183 cases of chronic IHD, 123 patients were without previous MI and 60 had had previous MI. Ejection fraction (EF) was 45% +/- 15 in the group without MI and 35 +/- 11% in cases with MI. Left Atrium (LA) size was 35 +/- 6 mm and 39 +/- 4 mm in the two groups respectively. LV diastolic dysfunction was seen in 17% in the first and 24% in the second group respectively. Global hypokinesia was seen in 8% and 17% in the 2 groups respectively. Regional Wall Motion Abrormality (RWMA) was observed in 12% in patients without MI and in 58% cases with MI. Mitral regurgitation was seen in 10 and 20% in the 2 groups respectively LV clots, VSD, LV and aneurysm were seen in 8.4, 5, and 6.5% respectively, only in cases with previous MI. CONCLUSION: LV dysfunction, wall motion abnormalities and mitral regurgitation were more common in IHD cases with previous heart attack. PMID- 21067049 TI - Bacterial spectrum and susceptibility patterns of pathogens in adult febrile neutropenic patients: a comparison between two time periods. AB - BACKGROUND: The aim of this study was to study trends in bacterial spectrum and susceptibility patterns of pathogens in adult febrile neutropenic patients during two time periods. METHODS: We retrospectively reviewed the medical records of 379 adult oncology patients admitted with chemotherapy induced febrile neutropenia at our institute during years 2003 and 2006. RESULTS: A total of 151 organisms were isolated during the two calendar years. Gram negative bacteria accounted for 57.6% of organisms, while gram positive organisms accounted for 42.3% of the total isolates. The most common organisms were: Escherichia coli (23.1%), Staphylococcus epidermidis (13.9%), Pseudomonas aeruginosa (12.5%) and Staphylococcus aureus (7.9%). The number of gram positive isolates showed an increase from 35% in 2003 to 47.2% in 2006 (p = 0.13). During each calendar year, Staphylococcus epidermidis and Staphylococcus aureus were 100% susceptible to vancomycin and 33% strains of Staphylococcus aureus were methicillin resistant. Escherichia coli and Pseudomonas aeruginosa strains were highly sensitive to piperacillin/tazobactam and amikacin during both time periods. Resistance of Pseudomonas aeruginosa strains to ciprofloxacin increased from 0% in 2003 to 50% in 2006 (p = 0.03). CONCLUSIONS: Gram negative organisms are the predominant organisms in adult febrile neutropenic patients at our institute. Initial empirical therapy with piperacillin/tazobactam seems appropriate to cover most gram negative pathogens while vancomycin to be added for suspected gram positive infections. During the two calendar years resistance of Pseudomonas aeruginosa strains to ciprofloxacin has significantly increased. PMID- 21067050 TI - Outcome of childhood acute lymphoblastic leukaemia after induction therapy--3 years experience at a single paediatric oncology centre. AB - BACKGROUND: Acute lymphoblastic leukaemia (ALL) is the most common paediatric malignancy. It represents 25% of all childhood cancers and approximately 75% of all cases of childhood leukaemia. A sharp peak of ALL incidence is observed at 2 5 years of age. Objective was to see the bone marrow remission pattern at the end of induction therapy in paediatric ALL patients in our setup. It was a Descriptive case series and conducted at Paediatric Oncology Department, Children Hospital complex Multan from December, 2005 to December, 2008. METHODS: Thirty eight paediatric ALL patients were included in the study. Diagnosis was based on history, examination, blast cells count on peripheral blood film and bone marrow biopsy and immunophenotyping on peripheral blood/bone marrow aspirate. According to UK ALL 2003 protocol all patients were given 4-drug induction therapy, i.e., vincristine, prednisolone/dexamethasone, L-aspiragenase and daunomycin. Bone marrow biopsy was repeated at day 28 of induction therapy and remission pattern was seen. RESULTS: Out of 38 Patients, 26 (68%) were males. Age range was between 2-12 years (Mean 5.4 years). Bone Marrow Biopsy was done in 38 (100%) and Immunophenotyping in 34 (89%) patients. At day 28 of induction therapy, 28 (74%) patients went into complete remission (< 5% blast cells in bone marrow), 2 (5%) into partial remission (5-25% blast cells in bone marrow) and 1 (3%) was not in remission (> 25% blast cells in the bone marrow). Seven (18%) patient died due to febrile neutropenia and sepsis during the course of induction therapy. CONCLUSION: ALL in children is curable with effective chemotherapy. Remission can be achieved in most of these patients after induction therapy. However outcome can be improved with effective control of infections. PMID- 21067051 TI - Hemisoleus muscle flap, a better option for coverage of open fractures involving middle third of tibia. AB - BACKGROUND: Local reconstructive options for middle third of leg make good use of Soleus muscle flap. Soleus being the prime ankle planter flexor and stabiliser of the ankle in ambulation cannot be sacrificed without significant morbidity. Soleus is a bipennate muscle with independent blood supply of each half. Using one half retains its important function, increases arc of rotation, and makes it easy to orientate for coverage of defect of any shape thus obviating the need for use of whole Soleus muscle flap. Due to this geometrical advantage, it is a superior option than the whole Soleus. We conducted a study to evaluate the reliability of the medial hemisoleus muscle flap for coverage of middle third tibial defects. METHODS: This descriptive study was conducted at department of plastic surgery, Jinnah Hospital, Lahore from August 2008 to May 2009. Ten patients with middle third tibial defects were included in the study. All the patients were provided soft tissue coverage with proximally based medial hemisoleus muscle flap with split thickness skin graft on it. RESULTS: All the flaps survived with primary healing of the wound except one patient who developed wound infection which settled after wound drainage and irrigation. CONCLUSION: Hemisoleus muscle flap is a valuable local option for soft tissue coverage of middle third of lower leg. It does not sacrifice the whole function of the Soleus muscle. Due to its longer arc of rotation, this flap can cover the defects of different size and shape in middle third of leg. PMID- 21067052 TI - Management of supracondylar fracture of humerus in children by close reduction and immobilization of the elbow in extension and supination. AB - BACKGROUND: A Supracondylar fracture of the humerus is the most common fracture in children around the elbow and is also called first decade injury. If not treated properly it may lead to disability due to elbow stiffness, and sequel of neuro-vascular injuries like Volkmann ischemic contracture etc. This study was undertaken to determine the outcome of close reduction and immobilization of the elbow in extension and supination in displaced supracondylar fractures of the humerus in children. METHOD: This Quasi-experimental study was conducted in the Department of Orthopaedics, Postgraduate Medical Institute, Lady Reading Hospital Peshawar, from January 2007 to December 2007. The inclusion criteria was Gartland Type III (completely displaced) supracondylar fractures of the humerus and the exclusion criteria was open or closed Gartland type-III fracture associated with neurovascular injury. Close reduction under general anaesthesia was done and the elbow was immobilized in extension by the application of 2 plaster slabs according. The follow up is based on the overall rating using the modified Flynn global criteria. RESULTS: Out of 70 cases, in 56 cases (80%), reduction was acceptable; while in 14 cases (20%) it was unacceptable. Those with unacceptable reduction, 2nd attempt of close reduction were done but only eight were successful and the other six children required open reduction and internal reduction. Those with acceptable reduction went in to union with the carrying angle +/- 5 degrees of the contra-lateral side and full range-of-motion. Excellent result were achieved in 35 cases (50%), good in 15 cases (21.43%), fair in 4 cases (5.71%) and poor in 6 (8.75%) patients. CONCLUSION: The extension method of close reduction and immobilisation with two slabs is safe method and give better cosmetic results in uncomplicated type III injures as compared to the flexion method. PMID- 21067053 TI - Determination of medical education environment in Punjab private and public medical colleges affiliated with University of Health Sciences, Lahore-Pakistan. AB - BACKGROUND: The main purpose of this study was to identify differences, if any, in the Medical Education Climate between the Private and Public Medical Colleges in the Province of Punjab affiliated with the University of Health Sciences, Lahore and to gather recommendations from students on measures that need to be taken to improve the environment. METHODS: This Mixed Quantitative and Qualitative Prospective Study was conducted in 2008. The population of the study consisted of 1612 MBBS Final Year Medical Students of both Private and Public Medical Colleges. Stratified Random Sampling was done to ensure representation of both Sectors. Dundee Ready Education Environment Measure (DREEM) was used to assimilate Quantitative Data and a Questionnaire consisting of 10 items was used to accumulate Qualitative Data. To analyse Quantitative Data, t-test and Chi square tests were used. Common themes were identified in the Qualitative Data. RESULTS: All the SIX Research Hypotheses were rejected and Null Hypotheses were upheld. Analysis of Qualitative Data indicated a number of Examination, Curriculum, Teaching Methodology, Teacher and Peer related Stressors without discrimination in students of both Private and Public Sectors. Solutions by students focused on improving co-ordination between Institutions and University of Health Sciences as well as developing and delivering Clinically-Centred, Community-Oriented and Problem-Based Education through development of appropriate Teaching Methodologies. CONCLUSIONS: Even though there is no difference in the Medical Education Climate between the Private and Public Medical Education Sectors, the Environment is less than Ideal. However, this can be improved through shifting the onus of Education from Teacher-Centred Didactic Approach to a more Student-Centred Self-Learning Strategy. In this paradigm shift the UHS, Lahore needs to play a pivotal role in order to effectively train the Trainers and standardise this change throughout Punjab. PMID- 21067054 TI - Mesalazine-induced eosinophilic variant of Wegener's granulomatosis in an ulcerative colitis patient. AB - A 24-year-old woman presented with two weeks history of progressive shortness of breath associated with sharp chest pain. She had been on mesalazine for two years for Ulcerative Colitis. Chest X-ray showed bilateral pulmonary infiltrates with left sided pleural effusion. Blood investigations revealed, positive pANCA, negative cANCA and peripheral eosinophillia. Video assisted thoracoscopic lung biopsy specimen was consistent with eosinophillic variant of Wegener's granulomatosis. She responded to combination of withdrawal of mesalazine and high dose steroids. To our knowledge this is the first reported case of mesalazine induced eosinophilic variant of Wegener's granulomatosis. PMID- 21067055 TI - Intracranial haemangiopericytoma: a very rare entity having a high malignant/metastatic potential. AB - Intracranial haemangiopericytomas are very rare tumours. Their radiological appearance resembles that of meningioma. Recommended treatment is total surgical excision, if possible, followed by radiotherapy. A vigilant, long-term clinical and radiological follow up is very essential due to a high rate of late onset recurrence along with neural and extraneural metastases. A case report and review of literature is presented. PMID- 21067057 TI - Leprosy and HIV, where are we at? AB - The impact of leprosy and HIV co-infection is an evolving picture. Surprisingly the outcomes that were feared, of more lepromatous disease has not materialised. But with the roll-out of antiretroviral therapy, the emergence of leprosy as Immune Reconstitution Inflammatory Syndrome is re-focusing attention on the characteristics of this important co-infection. PMID- 21067058 TI - A big challenge in case finding at low endemic situation: analysis on 1462 new leprosy patients detected in China in 2007. AB - OBJECTIVE: To understand the characteristics of newly detected leprosy patients at low endemic situation in China in order to provide information for better leprosy control. METHODS: A study was carried out using a designed questionnaire in a retrospective method in China in 2007. RESULTS: Among 1462 new patients studied, the average age at diagnosis was 41.5 +/- 15.8 years. Nearly half of new patients were detected by a passive method at the skin clinic. This might relate to a long delay between disease onset and diagnosis (3.23 +/- 45 years) as well as a high rate of disability Grade 2 among new patients (22.6%). There were 363 (24.8%) patients who came from non-leprosy endemic areas, 844 (57.7%) patients who came from non-leprosy families and 409 (28.0%) patients who came from villages where leprosy outbreaks had never occurred before. CONCLUSION: Case finding within low endemic areas of China is a big challenge in leprosy control. Maintaining sustainable leprosy control and strengthening leprosy training among dermatologists may be the important strategy in the future. PMID- 21067059 TI - Decentralisation of leprosy control activities in the municipality of Betim, Minas Gerais State, Brazil. AB - OBJECTIVES: The present study aims at evaluating the decentralisation of the leprosy control activities in the municipality of Betim, Minas Gerais, Brazil. DESIGN: A quantitative study was undertaken using data from SINAN (Sistema Nacional de Agravos de Notificacao) and patient records. The study included 435 new cases registered and living in Betim. The pre (1995-2000) and post (2001 2005) decentralisation periods were compared using the chi-square test. Besides this, units which already had leprosy control activities were compared to those which implemented them from 2001 on. RESULTS: There was a decrease in the percentage of cases diagnosed with deformities after decentralisation, as well as an increase in the number of cases detected through self-reporting. More patients were notified closer to home after decentralisation. The selected indicators did not show any difference between the quality of assistance regarding prevention of disabilities when comparing health units which already had leprosy activities to those which implemented them from 2001 onwards. CONCLUSIONS: Decentralisation, in Betim, did not lead to an increase in the number of cases, but led to earlier diagnosis and patients being treated closer to their homes. The study did not show evidence of decrease in the quality of care after decentralisation. PMID- 21067060 TI - Factors which influenced the decentralisation of leprosy control activities in the municipality of Betim, Minas Gerais State, Brazil. AB - This is the second part of a study conducted to evaluate the decentralisation of leprosy control activities in Betim, Minas Gerais, Brazil. OBJECTIVES: To identify factors which hindered or facilitated the decentralisation of leprosy control activities in Betim municipality and to evaluate the quality of care after decentralisation. DESIGN: The study comprised a 2 1/2 hour focus group discussion with 10 professionals who participated in the decentralisation process. The group included health service managers and professionals from different backgrounds and types of health services involved in leprosy control. RESULTS: The following factors were identified as having hindered decentralisation: staff attitude, lack of staff training, stigma and health system organisation and management. The facilitating factors were: staff training in Betim, existence of a Municipal Reference Centre. The group considered that quality of care improved after decentralisation. CONCLUSIONS: The problems identified cannot be addressed with simple, immediate solutions. They require coordination with partners both within and outside the municipal health secretariat. Ongoing staff training is essential and should be done using existing expertise in the municipality. An easily accessible reference centre is important as a place for training and backup for newly trained professionals. PMID- 21067061 TI - Neurophysiological patterns of ulnar nerve neuropathy in leprosy reactions. AB - BACKGROUND: Leprosy neuropathy, despite being primarily demyelinating, frequently leads to axonal loss. Neurophysiological examination of the nerves during Type 1 (T1R) and Type 2 reactions (T2R) may give some insight into the pathophysiological mechanisms. METHODS: Neurophysiological examinations were performed in 28 ulnar nerves during a clinical trial of steroid treatment effectiveness, 19 patients with T1R and nine with T2R. The nerves were monitored during a period of 6 months; there were eight assessments per nerve, for a total of 224 assessments. Nine neurophysiological parameters were assessed at three sites of the ulnar nerve. The compound motor action potential amplitudes elicited at wrist, elbow and above, as well as the conduction velocity and temporal dispersion across the elbow, were chosen to focus on the changes occurring in the parameters at the elbow tunnel. RESULTS AND CONCLUSION: Neurophysiological changes indicating axonal and demyelinating processes during both T1R and T2R were detected across the elbow. Changes in demyelination, i.e. a Conduction Block, as a primary event present during T2R, occurring as an acute phenomenon, were observed regularly; in T1R Temporal Dispersion, a subacute phenomenon, was seen. During treatment remyelination occurred after both types of reactions. PMID- 21067062 TI - Hand atrophy in a leprosy patient--treatment with polymethylmethacrylate. AB - Leprosy, an infectious disease caused by Mycobacterium leprae, affects mostly the skin and peripheral nerves. The polymethylmethacrylate has been used as bone cement, knee and intraocular implants as a bioexpansor, filling the area where it is applied. We describe the case of a Brazilian male with tuberculoid leprosy who developed muscular wasting between the metacarpals of both hands. Ten years after leprosy treatment, he was submitted to five applications of 10% polymethylmethacrylate. The treatment was successful, improving the appearance of his hands leading to a positive impact on the patient's life. PMID- 21067063 TI - Histoid leprosy in an HIV positive patient taking cART. PMID- 21067064 TI - Zoster-like segmental presentation of lepromatous leprosy. PMID- 21067065 TI - Review of leprosy research evidence (2002-2009) and implications for current policy and practice. AB - INTRODUCTION: The ILEP Technical Commission (ITC) advises ILEP member associations on technical aspects of leprosy. A major review of research evidence in leprosy was published prior to the International Leprosy Congress in 2002. This current report updates that review based on research published between 2002 2009 and focuses on interventions for prevention, early diagnosis, chemotherapy, reactions, prevention of disability, stigma measurement and reduction and rehabilitation in leprosy. METHODS: A systematic search of electronic databases of published literature for systematic reviews, controlled trials and ongoing trials was conducted in July 2009. The search identified 13 reviews and 21 controlled trials. The data from these studies were extracted and the references cited by these studies reviewed. Each member of the ITC took responsibility to review this evidence for each of the 7 topics and prepared a report summarising the evidence and making recommendations. These findings were presented and discussed at a Forum held in London in March 2010. The report was finalised following this Forum. The evidence was graded using a standard grading system for levels of evidence. However for some topics the evidence used qualitative and other designs which do no conform to this grading but was considered relevant and appropriate. PMID- 21067066 TI - Nutrition and health. PMID- 21067068 TI - Novel alternatives for anti-angiogenetic therapy and therapeutic angiogenesis. AB - Anti-angiogenic therapy and therapeutic angiogenesis have been proposed as opposite strategy for the treatment of cancer and ischemic diseases, respectively. However, both approaches do not provide long term solutions of these pathological conditions and have failed in clinical trials. Therefore, novel strategies are needed. In the current work we describe: 1) how vessels sense and re-adapt oxygen supply and, hence, perfusion in case of oxygen shortage, therefore identifying PHD2 oxygen sensor as a novel target to normalize the tumor vasculature, reduce cancer hypoxia and prevent cancer cell extravasations and dissemination; 2) anti-P1GF as a efficient and safe tool in cancer therapy that does not prune excessively pathological vessels and does not affect the normal vasculature; 3) hypoxia tolerance via inhibition of the oxygen sensor PHD1 as alternative strategy to therapeutic angiogenesis. Altogether, our findings provide new alternatives in the treatment of ischemic disorders and cancer, largely unmet medical problems to date. PMID- 21067067 TI - Endocrine and metabolic disturbances in critical illness: relation to mechanisms of organ dysfunction and adverse outcome. AB - Critically ill patients face a high risk of death, which is mostly due to non resolving multiple organ failure. The plethora of endocrine and metabolic disturbances that hallmark critical illness may play a key role. The major part of our research performed during the period 2004-2009 focused on the disturbed glucose metabolism that commonly develops during critical illness. The onset of this research interest was the landmark randomized clinical study on strict blood glucose control (80-110 mg/ dl) with intensive insulin therapy performed by Prof. Van den Berghe and our clinical team members. This study, published in 2001 in the New England Journal of Medicine, showed reduced morbidity and improved survival with intensive insulin therapy versus toleration of hyperglycemia up to 215 mg/dl. This review summarizes our findings in both patients and animal models on mechanisms contributing to the clinical benefits of strict blood glucose control. Intensive insulin therapy appeared to lower blood glucose levels by ameliorating insulin sensitivity and stimulation of glucose uptake in skeletal muscle, whereas hepatic insulin resistance was not affected. The therapy also improved the lipid profile and the immune response and attenuated inflammation. Maintenance of strict normoglycemia appeared essentially most important, rather than elevating insulin levels. Avoiding hyperglycemia protected the endothelium and the mitochondria. In our animal model, nutritional interventions counteracted the hypercatabolic state of critical illness and insulin improved myocardial contractility, but only when normoglycemia was maintained. Interestingly, we identified the adipose tissue as a functional storage depot for toxic metabolites during critical illness. PMID- 21067069 TI - We've come a long way. President Swatts reflects on her time as ADAA President. PMID- 21067070 TI - Interleukin Genetics, Inc. and the University of Michigan to assess predictive value of new genetic test for periodontal disease. PMID- 21067071 TI - 10 untruths your staff will tell you. Debunking "the facts of life" in the dental office. PMID- 21067072 TI - A link in the chain. Dental processing plays a vital role in basic military training. PMID- 21067073 TI - Rising through the chain of command. U.S. Army DENCOM Commander promoted and named 26th Chief of Army Dental Crops. PMID- 21067074 TI - Back to school? The 'no nit' policy. PMID- 21067075 TI - Pertussis: an overview of the disease, immunization, and trends for nurses. AB - Pertussis is a vaccine-preventable childhood disease characterized by bouts of "whooping cough." In 2006, vaccination guidelines for pertussis were recommended to include Tdap for routine use in adolescents 11 to 18 years of age and single doses for adults 19 to 64 years of age. Despite these revisions in the vaccination guidelines for adolescents, the incidence of the disease continues to increase in the U.S. The vaccine for pertussis is an essential component in disease prevention. Nurses have an important role in the prevention of pertussis through vaccination education and administration, as well as in the early identification of disease outbreaks. PMID- 21067076 TI - Sibling experiences after a major childhood burn injury. AB - The purpose of this research project was to understand, primarily from the sibling perspective, the effect of a child's major burn injury on his or her sibling. A mixed method qualitative dominant design was implemented using the life story method for the qualitative portion. Additionally, the Sibling Relationship Questionnaire -Revised (SRQ-R) was used as a structured interview guide and for calculating scoring data to explore sibling relationship factors of warmth/closeness, rivalry, conflict, and relative status/power. Participants from 22 family cases (one or multiple family members) and 40 individuals were interviewed. To capture impact on the family over time, interviews began a minimum of two years post-burn. The central thematic pattern for the sibling relationship in families having a child with a major burn injury was that of normalization. Two components of normalization were described: areas of normalization and the process of adjustment. Areas of normalization were found in play and other activities, in school and work, and in family relations with siblings. The process of adjustment was varied and often gradual, involved school and work re-entry, and in some instances, seemed to change life perspective. Clinical implications in providing family-centered care can focus on promoting normalization by assessing and supporting siblings who may only be occasionally seen in the hospital or clinic. PMID- 21067077 TI - International adoption families: a unique health care journey. AB - The purpose of this study was to identify and describe the health care experiences of families with an internationally adopted child. Content analysis of data from 107 adoptive parents was used to identify themes that characterized health care experiences of the families. Four themes were identified: a) Coming home: Like a lobster thrown into a boiling pot; b) Vigilance: Is my child healthy today? Will my child be healthy tomorrow?; c) Unique health care needs of international adoption families: We are different; and d) Importance of support by health care providers: Do they know or care? Health care providers need to be aware of the unique experiences of the increasing number of international adoption families. The themes identified provide insight into the health care experiences of international adoption families and the crucial role of health care providers in helping international adoption families feel supported on their journey. PMID- 21067078 TI - A biomedical device to improve pediatric vascular access success. AB - PURPOSE: to evaluate the effectiveness of a vein-viewing device on the success of venipunctures performed by staff nurses on a pediatric surgical unit. METHOD: this prospective, non-randomized study examined pediatric inpatients from the age of newborn to 17 years requiring vascular access at a tertiary care center in northeast Florida. The number of attempts, age of the patient, and time required to establish successful vascular access using a vein-viewing device were self reported by nursing staff (experimental group, n = 91, mean age 9 years, range 3 days to 17 years) as well as staff, patient, and parental comments about the device. These data were compared to baseline data (control group, n = 150, mean age 5.7 years, range 11 days to 17 years) previously collected on the same unit without using the device. The outcome variables were first-attempt success rate, the number of attempts per patient, and the time to procedure completion. FINDINGS: when comparing the two groups, the first-attempt success rate increased from 49.3% to 80.2%, the mean number of attempts per patient decreased from 1.97 to 1.29, and the percentage of procedures completed in 15 minutes or less increased from 52.8% to 86.7%. Results were statistically significant for all outcome variables between the two groups and also when re-analyzed in subgroups controlling for age. CONCLUSIONS: use of a vein-viewing device significantly improved first-attempt venipuncture success rate, decreased the number of attempts per patient, and decreased procedure time for the study population. The device was well received by patients, families, and staff. PMID- 21067079 TI - Haiti revisited: the more things change, the more they stay the same. PMID- 21067080 TI - Care of the child with the desire to change genders - part III: male-to-female transition. AB - In Part I of this three-part article, the psychological and medical care of the child wishing to change gender was described. In Part II, preparation for surgery for young adults who were genetically female and undergo surgery to transition to male identity was presented. This third part presents the surgical treatments for gender reassignment procedures for a genetic male wishing to reassign as female. Discussion is included about how parents are responding to these wishes from their prepubescent and teenage children. PMID- 21067081 TI - Teen with a genital lesion. PMID- 21067082 TI - Use of lipid emulsions for treatment of local anesthetic toxicity: a case report. AB - Local anesthetic toxicity remains a clinical concern when performing regional anesthesia. Because signs and symptoms of toxicity may not appear for 20 to 30 minutes after administration of local anesthetic, vigilance is crucial. When signs and symptoms of local anesthetic toxicity appear, traditional standards mandate resuscitative measures, including maintenance of oxygenation, elimination of seizures, and treatment of cardiac arrhythmias. However, intravenous administration of lipid emulsions may offer a viable adjunctive treatment of local anesthetic toxicity. The number of case reports demonstrating successful use of lipid emulsions is growing. Continued research remains pivotal to improve understanding of the theory of lipid emulsion pharmacology and application to clinical practice. PMID- 21067083 TI - Total knee arthroplasty in a patient with diastrophic dwarfism. AB - Diastrophic dwarfism is an autosomal recessive disease that predominantly occurs in the Finnish population (1 in 33,000) but has been known to occur worldwide. Affected patients present with multiple cartilaginous anomalies and early degeneration of weight-bearing joints. Once past infancy, life expectancy is favorable and patients may undergo multiple surgical procedures throughout their lifetime to repair .or replace affected joints. The characteristic short trunk of these patients in addition to scoliosis, cervical kyphosis, and involvement of articular cartilages can create unique ventilation and airway challenges for anesthesia providers involved in their care. PMID- 21067084 TI - Postoperative patient-controlled analgesia in the pediatric population: a literature review. AB - An exciting revolution in pediatric pain control has evolved in anesthesia during the past 2 decades. The creative use of systemic analgesic techniques has dramatically improved the quality of postoperative pain management. The postsurgical pediatric population is reaping the benefits of such advancements in acute pain management, as there is an increasing use of patient-controlled analgesia (PCA). The goal of PCA is to provide safe and effective postoperative pain control by achieving a continuous level of analgesia in the body, along with the opportunity for Nursbolus doses as requested by the patient. The aim of this analgesic technique is optimal pain relief and a high level of patient and parent satisfaction. This review of the literature addresses safety issues, indications, contraindications, complications, and dosing regimens related to pediatric PCA. Recommendations for continuous pulse oximetry and sedation monitoring, along with individualized dosage requirements, are presented to decrease the incidence of complications. Overall, the literature shows that PCA provides adequate pain control and high levels of satisfaction for the pediatric postsurgical population and their families. PMID- 21067085 TI - Case report: management of elective cesarean delivery in the presence of placenta previa and placenta accreta. AB - The rate of cesarean delivery in the United States is at an all-time high. With the increased rate of primary and repeated cesarean delivery, a corresponding increase in the occurrence rate of placenta previa and placenta accreta has been observed. The purpose of this case report is to discuss the obstetric disorder of placenta previa with the concurrent occurrence of placenta accreta. A review of the actual management and course of a patient undergoing elective cesarean delivery with the aforementioned concurrent disorders will be undertaken. This will be followed by a general discussion related to the management of an obstetric patient undergoing elective cesarean delivery with known placenta previa and placenta accreta. PMID- 21067086 TI - Xenon as an anesthetic agent. AB - Discovered in 1898 by British chemists, xenon is a rare gas belonging to the noble gases of the periodic table. Xenon is used in many different ways, from high-intensity lamps to jet propellant, and in 1939, its anesthetic properties were discovered. Xenon exerts its anesthetic properties, in part, through the noncompetitive inhibition of N-methyl-D-aspartate receptors. Currently, xenon is being used primarily throughout Europe; however, the high price of manufacturing and scavenging the noble gas has discouraged more widespread use. As technology in anesthetic delivery improves, xenon is being investigated further as a possible replacement for nitrous oxide as an inhalational agent. This article reviews the anesthetic properties of xenon and current and potential research about the gas. PMID- 21067088 TI - Thoracotomy for tracheal disruption after traumatic intubation: a case report. AB - Tracheal dissection is an uncommon complication of endotracheal intubation. A large source of morbidity and mortality in anesthesia is associated with airway issues. Several airway complications can be avoided or minimized by proper technique and vigilance. Emergency thoracotomy surgery is required in patients who suffer lower tracheal trauma. A tracheal tear poses additional challenges to traditional airway management, demanding vigilant planning and collaboration among the entire operative team. This case report details the airway management strategies employed during an emergent thoracotomy for a patient who suffered tracheal perforation during endotracheal intubation. A discussion of airway anatomy, airway considerations, intubation complications, and one-lung ventilation techniques is provided. Airway management techniques for one-lung ventilation are highly variable, requiring an extensive knowledge of equipment, clinical implications, and technical challenges. It is important for clinicians to be skilled in the use of several airway devices and to be prepared for any unexpected situation such as the case being presented. PMID- 21067087 TI - Implications of pharmacogenomics for anesthesia providers. AB - The practice of anesthesia has long been considered an art and a science, with interpatient variability in drug response being the rule, rather than the exception. Pharmacogenomics, which studies the role of genetics in drug response, is emerging as a discipline that may impact anesthetic management. The purpose of this review is to provide clinicians with basic knowledge related to pharmacogenomics and its implications in anesthesia. This review focuses on pharmacogenomics related to commonly used drugs in anesthesia. Pharmacogenomics as a predictor of drug response is increasingly used in medicine and drug development. By expanding the knowledge base of anesthesia providers, pharmacogenomic considerations have the potential to improve therapeutic outcomes and individualize drug therapy, while avoiding toxic effects and treatment failure. However, because pharmacogenomics may not fully explain variability in drug response, implementation should be in conjunction with traditional anesthesia considerations. PMID- 21067089 TI - Stress-related cardiomyopathy in a 31-year-old woman. AB - Stress-related cardiomyopathy (SRC), initially referred to as Tako-Tsubo cardiomyopathy and later as apical ballooning syndrome, has been largely observed in postmenopausal women. It is frequently precipitated by a stressful event. This is a case report of a 31-year-old woman who experienced SRC immediately after a bilateral mastectomy. PMID- 21067090 TI - Treatment of tracheal and bronchial tumors and tracheal and bronchial stent placement. AB - Tumors of and trauma to the trachea and bronchi can result in loss of integrity of the airway and death. Once treated primarily with thoracic surgery, these conditions are increasingly being managed with interventional pulmonary procedures outside the operating room but requiring the assistance of anesthetists. These interventional procedures require airway instrumentation, necessitating anesthesia care for ventilation and obtundation of airway reflexes. A thorough preoperative assessment and consultation with the pulmonologist or surgeon performing the procedure are essential for successful completion of the tumor and scar reduction and subsequent stent placement. In addition, anesthetists may care for patients undergoing nonpulmonary surgery who have an existing bronchial or tracheal stent or patients requiring correction of displaced stents. A discussion on the preferred methods for caring for patients in these situations is included. PMID- 21067091 TI - The last editorial. PMID- 21067092 TI - Traces: making sense of urodynamics testing. AB - Urodynamics testing is used to describe lower urinary tract function, and diagnose functional disorders affecting the bladder, urethra, and pelvic floor. Urologic clinicians play a central role in urodynamics testing; they are primarily responsible for performing more than 75% of evaluations completed in North America. While the value of a well-done urodynamics assessment is well established, comparatively few clinicians have received formal training in the area. This article describes hydrodynamic principles underlying the measurement of intravesical, abdominal, and detrusor pressures. This is the first in a series of articles that will review principles of best urodynamics practices. PMID- 21067093 TI - Demystifying nocturia: identifying the cause and tailoring the treatment. AB - Nocturia is a common problem with a significant impact on quality of life. The etiology of nocturia is multifactorial. Recent standardized terminology with respect to nocturia has been developed to promote more efficient communication among providers/specialists. A careful history, physical examination, and use of a voiding diary are important steps in identifying the etiology of nocturia and assist in tailoring the treatment regimen. PMID- 21067094 TI - Identification of pre-operative and intra-operative variables predictive of pressure ulcer development in patients undergoing urologic surgical procedures. AB - This study examined variables predictive of pressure ulcers among patients undergoing urologic surgical procedures. Anesthesia duration and total time of the diastolic blood pressure was less than 50 Hgmm were statistically significant predictors. Dynamic pressure-relieving devices are recommended to reduce incidences of pressure ulcer incidence. PMID- 21067095 TI - Female stress urinary incontinence: office-based urethral bulking agent procedure. AB - Urethral bulking agents have been used extensively to treat women with stress urinary incontinence due to intrinsic sphincter deficiency. This article presents the authors' experience with one of the currently approved urethral bulking agents, Macroplastique, in a urology office setting. PMID- 21067096 TI - New guidelines regarding head lice. PMID- 21067097 TI - Holiday season is a good time to think about oral health. ALl sweets are not created equal. PMID- 21067098 TI - Bladder health: what goes in & what comes out. PMID- 21067099 TI - An invisible issue: when a grandparent suffers from Alzheimer's disease. PMID- 21067100 TI - Healthy holiday eating for children with diabetes. PMID- 21067101 TI - A busy year for MedChi. PMID- 21067102 TI - Sea changes and anchors. PMID- 21067103 TI - "The EMRs are coming!! The EMRs are coming!!" Introduction. PMID- 21067104 TI - Electronic medical records--the path forward for Maryland physicians. PMID- 21067105 TI - "Meaningful use". What is it and why should I care? PMID- 21067106 TI - Electronic health records and marketplace influences. PMID- 21067107 TI - Management service organizations: an alternative for electronic health record adoption. PMID- 21067108 TI - Promoting use of health IT: why be a meaningful user? PMID- 21067109 TI - CRISP: an introduction. PMID- 21067110 TI - The beat goes on: Maryland medicine makes history--celebrating 50 years of CPR. PMID- 21067111 TI - Maryland's first mobile coronary care unit. PMID- 21067112 TI - MedChi releases statement to the media regarding getting insurers out from between patients and doctors. PMID- 21067113 TI - The eponyms of physical diagnosis. PMID- 21067114 TI - Ryan House: home-style comfort, heart-felt care. PMID- 21067115 TI - Making music: a medicinal dose. PMID- 21067116 TI - How are we doing? One hospice's patient advocacy program. PMID- 21067117 TI - What does CMS know about your hospice that you don't? PMID- 21067118 TI - Revisiting hospice cost containment: CMS seeks input on options for retooling the aggregate cap. PMID- 21067120 TI - Benchmarks in financial performance for private pay in home health. PMID- 21067119 TI - Take out the papers and the trash. PMID- 21067121 TI - New techniques boost traditional marketing efforts. PMID- 21067122 TI - Three minutes of truth. PMID- 21067123 TI - Hospice: a coming of age when it's most needed. PMID- 21067124 TI - Distribution of soluble uranium in the nuclear cell compartment at subtoxic concentrations. AB - Uranium is naturally found in the environment, and its extensive use results in an increased risk of human exposure. Kidney cells have mainly been used as in vitro models to study effects of uranium exposure, and very little about the effects on other cell types is known. The aim of this study was to assess the impact of depleted uranium exposure at the cellular level in human kidney (HEK 293), liver (HepG2), and neuronal (IMR-32) cell lines. Cytotoxicity studies showed that these cell lines reacted in a roughly similar manner to depleted uranium exposure, responding at a cytotoxicity threshold of 300-500 MUM. Uranium was localized in cells with secondary ion mass spectrometry technology. Results showed that uranium precipitates at subtoxic concentrations (>100 MUM). With this approach, we were able for the first time to observe the soluble form of uranium in the cell at low concentrations (10-100 MUM). Moreover, this technique allows us to localize it mainly in the nucleus. These innovative results raise the question of how uranium penetrates into cells and open new perspectives for studying the mechanisms of uranium chemical toxicity. PMID- 21067125 TI - Selective retardation of perfume oil evaporation from oil-in-water emulsions stabilized by either surfactant or nanoparticles. AB - We have used dynamic headspace analysis to investigate the evaporation rates of perfume oils from stirred oil-in-water emulsions into a flowing gas stream. We compare the behavior of an oil of low water solubility (limonene) and one of high water solubility (benzyl acetate). It is shown how the evaporation of an oil of low water solubility is selectively retarded and how the retardation effect depends on the oil volume fraction in the emulsion. We compare how the evaporation retardation depends on the nature of the adsorbed film stabilizing the emulsion. Surfactant films are less effective than adsorbed films of nanoparticles, and the retardation can be further enhanced by compression of the adsorbed nanoparticle films by preshrinking the emulsion drops. PMID- 21067126 TI - Structure of aggregates of dialkyl urea derivatives in solutions. AB - Combined IR spectroscopy, dipole moment, and average molecular weight measurements and DFT calculations on the self-aggregation of N,N'- and N,N dialkylureas in solvents of different polarities were performed. It was found that, to acquire a better understanding of the mechanisms of associations, the simultaneous use of all of these methods is required. It was found that symmetric dialkyl derivatives of urea associate much more strongly, giving in CCl(4) even a 12-fold mass of monomers, in contrast to asymmetric ones, where the average molecular weight reaches only a 2-fold mass of monomers. The very strong influence of solvents was discovered. The aggregation is much weaker in more polar chloroform and 1,2-dichloroethane leading to only a 2-fold increase in the average molecular weight. A strong influence of the symmetry of dialkylurea molecules was also found. Dipole moments of symmetric N,N'-dialkylurea increase with concentration, suggesting a rather linear arrangement of vectors in an aggregate. For asymmetric N,N-derivatives dipole moments decrease with concentration. DFT calculations were used to predict the form of aggregation. PMID- 21067127 TI - Molecular dynamics of amorphous gentiobiose studied by solid-state NMR. AB - A solid-state NMR (SSNMR) study is reported on the effect of temperature on the molecular mobility of amorphous gentiobiose, which is complemented with data obtained from crystalline samples. (13)C cross-polarization/magic-angle-spinning (CPMAS) spectra and (1)H MAS spectra were obtained for gentiobiose at natural abundance, in the amorphous state, from 293 K up to the glass transformation region (T(g) = 359 K). Two well-defined molecular mobility regimes were observed, corresponding to different motional modes. NMR results on molecular dynamics are discussed and compared with those obtained by thermally stimulated depolarization currents (TSDC) and dielectric relaxation spectroscopy (DRS). SSNMR spectra presented evidence for a new polymorphic form of gentiobiose, not yet reported in the literature, which is obtained by slow heating of the amorphous solid up to 364 K inside the NMR zirconia rotor. PMID- 21067128 TI - Structural and electronic effects on one-bond spin-spin coupling constants 1J(B N), 1J(B-H), and 1J(B-F) for complexes of nitrogen bases with BH3 and its fluoro substituted derivatives. AB - Ab initio equation-of-motion coupled cluster (EOM-CCSD) one-bond spin-spin coupling constants (1)J(B-N), (1)J(B-H), and (1)J(B-F) have been evaluated for complexes X:BH(n)F(3-n) with X = N(2), NCH, NCLi, H(2)CNH, NF(3), and NH(3), for n = 0-3. These complexes can be classified as either covalent or van der Waals complexes, on the basis of their binding energies and B-N distances. (1)J(B-N) for covalent complexes varies significantly from -19 to +9 Hz, whereas (1)J(B-N) is less than 2 Hz for van der Waals complexes. An absolute value of (1)J(B-N) of 3 Hz or greater indicates that the complex is covalently bonded, but a small value of this coupling constant does not necessarily mean that it is a van der Waals complex, in view of the variation among these complexes found for (1)J(B-N) as a function of the B-N distance. Deformation of the boron acid upon complex formation and electron donation by the nitrogen base has opposing effects on both (1)J(B-H) and (1)J(B-F). These effects are relatively small in van der Waals complexes. In covalent complexes, electron donation has the dominant effect on (1)J(B-H), and on (1)J(B-F) in complexes with BH(2)F and BHF(2), but acid deformation has the dominant effect on (1)J(B-F) in complexes with BF(3). Values of both (1)J(B-H) and (1)J(B-F) reflect the van der Waals or covalent nature of the B-N bond. PMID- 21067129 TI - Phenyl-ring-bearing cationic surfactants: effect of ring location on the micellar structure. AB - A series of isomeric cationic surfactants (S1-S5) bearing a long alkyl chain that carries a 1,4-phenylene unit and a trimethyl ammonium headgroup was synthesized; the location of the phenyl ring within the alkyl tail was varied in an effort to understand its influence on the amphiphilic properties of the surfactants. The cmc's of the surfactants were estimated using ionic conductivity measurements and isothermal calorimetric titrations (ITC); the values obtained by the two methods were found to be in excellent agreement. The ITC measurements provided additional insight into the various thermodynamic parameters associated with the micellization process. Although all five surfactants have exactly the same molecular formula, their micellar properties were seen to vary dramatically depending on the location of the phenyl ring; the cmc was seen to decrease by almost an order of magnitude when the phenyl ring was moved from the tail end (cmc of S1 is 23 mM) to the headgroup region (cmc of S5 is 3 mM). In all cases, the enthalpy of micellization was negative but the entropy of micellization was positive, suggesting that in all of these systems the formation of micelles is both enthalpically and entropically favored. As expected, the decrease in cmc values upon moving the phenyl ring from the tail end to the headgroup region is accompanied by an increase in the thermodynamic driving force (DeltaG) for micellization. To understand further the differences in the micellar structure of these surfactants, small-angle neutron scattering (SANS) measurements were carried out; these measurements reveal that the aggregation number of the micelles increases as the cmc decreases. This increase in the aggregation number is also accompanied by an increase in the asphericity of the micellar aggregate and a decrease in the fractional charge. Geometric packing arguments are presented to account for these changes in aggregation behavior as a function of phenyl ring location. PMID- 21067130 TI - Internalization of carbon black and maghemite iron oxide nanoparticle mixtures leads to oxidant production. AB - The risk of potential human exposure to mixed nanomaterials in consumer, occupational, and medicinal settings is increasing as nanomaterials enter both the workplace and the marketplace. In this study, we investigated the toxicity of mixed engineered carbon black (ECB) and maghemite iron oxide (Fe(2)O(3)) nanoparticles in a cellular system to understand the mechanism of toxicity and potential methods of toxicity mitigation. Lung epithelial cells (A549) were exposed to mixed Fe(2)O(3) and ECB nanoparticles, mixed Fe(2)O(3) and ECB nanoparticles with the addition of L-ascorbic acid, and mixed Fe(2)O(3) and surface-oxidized engineered carbon black (ox-ECB) nanoparticles. The nanoparticles were characterized using transmission electron microscopy, nitrogen adsorption surface area measurement (BET), X-ray diffraction, and surface charge measurement. The carbon black nanoparticles were also characterized with a reductive capacity assay and by X-ray photoelectron spectroscopy (XPS). The cellular uptake of nanoparticles was analyzed via transmission electron microscopy and fluorescence microscopy; the cellular uptake of iron was quantified using inductively coupled plasma mass spectrometry (ICP-MS). Both the MTT assay and the ethidium homodimer and calcein AM live/dead assay were used to measure cellular proliferation and cytotoxicity, respectively. The dichlorofluorescein diacetate (DCFH-DA) assay was used to measure the intracellular generation of reactive oxygen species. Results show that both Fe(2)O(3) and ECB (or Fe(2)O(3) and ox-ECB) were co-internalized in intracellular vesicles. Additionally, after exposure to the mixture of nanoparticles, the amount of acidified lysosomes increased over time. The cellular uptake of Fe(2)O(3) nanoparticles was unaffected by mixing with ECB. Significant oxidant production occurred in cells exposed to mixed Fe(2)O(3) and ECB, but not in cells exposed to mixed Fe(2)O(3) and ox-ECB or in cells exposed to Fe(2)O(3) and ECB with the addition of ascorbic acid. Furthermore, exposure to mixed Fe2O3 and ECB nanoparticles yielded a dose-dependent decrease in the level of cellular proliferation (MTT assay) and a decrease in cellular viability (ethidium homodimer and calcein AM live/dead assay) that were not seen in the Fe(2)O(3) and ox-ECB scenario. The results support the hypothesis that exposure to mixed Fe(2)O(3) and ECB nanoparticles produces oxidants that are mediated by the surface reductive capability of ECB when both particle types are colocalized in acidic cellular compartments. This oxidant production mechanism may lead to oxidative stress, but it can be mitigated by an antioxidant such as ascorbic acid or by surface treatment of the ECB to decrease its surface reductive capacity. PMID- 21067131 TI - Direct comparison of the hygroscopic properties of ammonium sulfate and sodium chloride aerosol at relative humidities approaching saturation. AB - Holographic optical tweezers are used to make comparative measurements of the hygroscopic properties of single component aqueous aerosol containing sodium chloride and ammonium sulfate over a range of relative humidity from 84% to 96%. The change in RH over the course of the experiment is monitored precisely using a sodium chloride probe droplet with accuracy better than +/-0.09%. The measurements are used to assess the accuracy of thermodynamic treatments of the relationship between water activity and solute mass fraction with particular attention focused on the dilute solute limit approaching saturation vapor pressure. The consistency of the frequently used Clegg-Brimblecombe-Wexler (CBW) treatment for predicting the hygroscopic properties of sodium chloride and ammonium sulfate aerosol is confirmed. Measurements of the equilibrium size of ammonium sulfate aerosol are found to agree with predictions to within an uncertainty of +/-0.2%. Given the accuracy of treating equilibrium composition, the inconsistencies highlighted in recent calibration measurements of critical supersaturations of sodium chloride and ammonium sulfate aerosol cannot be attributed to uncertainties associated with the thermodynamic predictions and must have an alternative origin. It is concluded that the CBW treatment can allow the critical supersaturation to be estimated for sodium chloride and ammonium sulfate aerosol with an accuracy of better than +/-0.002% in RH. This corresponds to an uncertainty of <=1% in the critical supersaturation for typical supersaturations of 0.2% and above. This supports the view that these systems can be used to accurately calibrate instruments that measure cloud condensation nuclei concentrations at selected supersaturations. These measurements represent the first study in which the equilibrium properties of two particles of chemically distinct composition have been compared simultaneously and directly alongside each other in the same environment. PMID- 21067132 TI - Overtone spectra of 2-mercaptoethanol and 1,2-ethanedithiol. AB - Vibrational spectra of vapor-phase 1,2-ethanedithiol and 2-mercaptoethanol were recorded to investigate weak intramolecular interactions. The spectra were recorded with conventional absorption spectroscopy and laser photoacoustic spectroscopy in the 2000-11,000 cm(-1) region. The room temperature spectra of each molecule are complicated by contributions from several conformers. Anharmonic oscillator local-mode calculations of the OH- and SH-stretching transitions have been performed to facilitate assignment of the different conformers in the spectra. We observe evidence of hydrogen-bond-like interactions from OH to S, but not from SH to O or S. The OH to S intramolecular interaction in 2-mercaptoethanol is weak and comparable to that found in the OH to O interaction in ethylene glycol. PMID- 21067133 TI - Photophysical studies of trans bis(phenylethynyldiisopropylamidinato)bis(acetato)dimetal complexes involving MM quadruple bonds where M = Mo or W. AB - The title compounds trans-M(2)(O(2)CMe)(2)[C((i)PrN)(2)C=C-Ph](2), I (M = Mo) and II (M = W), show electronic absorptions in the visible region of the spectrum assignable to (1)MLCT [M(2)delta to phenylethynylamidinate pi*]. These compounds show dual emission from S(1) and T(1) states. For both I and II, S(1) is (1)MLCT, but for I the T(1) state is shown to be MMdeltadelta* while for II T(1) is (3)MLCT. The lifetimes of the S(1) and T(1) states have been determined by femtosecond and nanosecond transient absorption spectroscopy: for I S(1) ~ 20 ps and T(1) ~ 100 MUs and for II S(1) ~ 6 ps and T(1) ~ 5 MUs. From solvent dependence of the absorption and emission spectra, we suggest that the S(1) states are localized on one amidinate ligand though the initial absorption is to a delocalized state. PMID- 21067134 TI - Noncovalent interactions in extended systems described by the effective fragment potential method: theory and application to nucleobase oligomers. AB - The implementation of the effective fragment potential (EFP) method within the Q CHEM electronic structure package is presented. The EFP method is used to study noncovalent pi-pi and hydrogen-bonding interactions in DNA strands. Since EFP is a computationally inexpensive alternative to high-level ab initio calculations, it is possible to go beyond the dimers of nucleic acid bases and to investigate the asymptotic behavior of different components of the total interaction energy. The calculations demonstrated that the dispersion energy is a leading component in pi-stacked oligomers of all sizes. Exchange-repulsion energy also plays an important role. The contribution of polarization is small in these systems, whereas the magnitude of electrostatics varies. Pairwise fragment interactions (i.e., the sum of dimer binding energies) were found to be a good approximation for the oligomer energy. PMID- 21067135 TI - Aptamer-Based Sensitive Detection of Target Molecules via RT-PCR Signal Amplification. AB - In the efforts to explore an aptamer-based approach for target sensing and detection with higher sensitivity and specificity, instead of directly labeling aptamer with fluorophores, we proposed a new strategy by attaching a polymerase chain reaction (PCR) template to an oligonucleotide aptamer selected by systematic evolution of ligands by exponential enrichment (SELEX), so that after aptamer target binding, the template moiety serves as the PCR template in real time quantitative PCR (RT-PCR), and therefore, the binding event can be reported by the following RT-PCR signals. Using the subtractive SELEX method, the oligonucleotide aptamers specific for the Fc fragment of mouse IgG were selected and subjected to coupling with the PCR dsDNA template by using overlap and the asymmetric extension PCR method. The target binding affinity of the PCR template tethered aptamer has been proven by electrophoretic mobility shift assay (EMSA), and further template tethered aptamer mediated real-time quantitative PCR (A-PCR) was conducted to validate the application for such a template tethered aptamer to be a sensitive probe for IgG detection. The results show that the protocols of A PCR can detect 10-fold serial dilutions of the target, demonstrating a new mechanism to convert aptamer target binding events to amplified RT-PCR signal, and the feasibility of the PCR template tethered aptamer as a facile, specific, and sensitive target probing and detection is established. This new approach also has potential applications in multiple parallel target detection and analysis in a wide range of research fields. PMID- 21067137 TI - New immunoassay platform utilizing yeast surface display and direct cell counting. AB - In this study, we report a new immunoassay platform using yeast cell surface display. This method holds promise for very low limit of detection (LOD) and is suitable for 2-Plex antibody recognition. Instead of adopting a conventional enzyme linked immunosorbent assay (ELISA) protocol by detecting the enzymatic activities or other physicochemical properties of the labeled analytes, this approach determines the quantity of an antibody analyte by directly counting the amount of "modified" yeast cells bound with antibody on the cell surface. c-myc and hemagglutinin (HA) tags were employed as an epitope model to demonstrate our approach. This yeast surface display based cell counting immunoassay (abbreviated as YSD-CCI) for anti-c-myc has a detection limit of 0.2 ng/mL, which is about 80 times higher than that of a conventional yeast ELISA under a similar condition. Moreover, the YSD-CCI's capability for 2-Plex antibody detection was demonstrated by simultaneous detection of anti-c-myc and anti-HA using engineered yeast cells expressing intracellular enhanced green fluorescent protein (EGFP) and mCherry, respectively. This proof-of-concept study paves the way for a new ultrasensitive multiplexed immunoassay method for diagnostic applications. PMID- 21067136 TI - Charge density and molecular weight of polyphosphoramidate gene carrier are key parameters influencing its DNA compaction ability and transfection efficiency. AB - A series of polyphosphoramidates (PPAs) with different molecular weights (MWs) and charge densities were synthesized and examined for their DNA compaction ability and transfection efficiency. A strong correlation was observed between the transfection efficiency of PPA/DNA nanoparticles and the MW and net positive charge density of the PPA gene carriers in three different cell lines (HeLa, HEK293, and HepG2 cells). An increase in MW and net positive charge density of PPA carrier yielded higher DNA compaction capacity, smaller nanoparticles with higher surface charges, and higher complex stability against challenges by salt and polyanions. These favorable physicochemical properties of nanoparticles led to enhanced transfection efficiency. PPA/DNA nanoparticles with the highest complex stability showed comparable transfection efficiency as PEI/DNA nanoparticles likely by compensating the low buffering capacity with higher cellular uptake and affording higher level of protection to DNA in endolysosomal compartment. The differences in transfection efficiency were not attributed by any difference in cytotoxicity among the carriers, as all nanoparticles showed a minimal level of cytotoxicity under the transfection conditions. Using PPA as a model system, we demonstrated the structural dependence of transfection efficiency of polymer gene carrier. These results offer more insights into nanoparticle engineering for nonviral gene delivery. PMID- 21067139 TI - Consecutive terminal GU pairs stabilize RNA helices. AB - Consecutive GU pairs at the ends of RNA helices provide significant thermodynamic stability between -1.0 and -3.8 kcal/mol at 37 degrees C, which is equivalent to approximately 2 orders of magnitude in the value of a binding constant. The thermodynamic stabilities of GU pairs depend on the sequence, stacking orientation, and position in the helix. In contrast to GU pairs in the middle of a helix that may be destabilizing, all consecutive terminal GU pairs contribute favorable thermodynamic stability. This work presents measured thermodynamic stabilities for 30 duplexes containing two, three, or four consecutive GU pairs at the ends of RNA helices and a model to predict the thermodynamic stabilities of terminal GU pairs. Imino proton NMR spectra show that the terminal GU nucleotides form hydrogen-bonded pairs. Different orientations of terminal GU pairs can have different conformations with equivalent thermodynamic stabilities. These new data and prediction model will help improve RNA secondary structure prediction, identification of miRNA target sequences with GU pairs, and efforts to understand the fundamental physical forces directing RNA structure and energetics. PMID- 21067140 TI - Detachment force of particles from air-liquid interfaces of films and bubbles. AB - The detachment force required to pull a microparticle from an air-liquid interface is measured using atomic force microscopy (AFM) and the colloidal probe technique. Water, solutions of sodium dodecyl sulfate (SDS), and silicone oils are tested in order to study the effects of surface tension and viscosity. Two different liquid geometries are considered: the air-liquid interface of a bubble and a liquid film on a solid substrate. It was shown that detaching particles from liquid films is fundamentally different than from bubbles or drops due to the restricted flow of the liquid phase. Additional force is required to detach a particle from a film, and the maximum force during detachment is not necessarily at the position where the particle breaks away from the interface (as seen in bubble or drop systems). This is due to the dynamics of meniscus formation and viscous effects, which must be considered if the liquid is constrained in a film. The magnitude of these effects is related to the liquid viscosity, film thickness, and detachment speed. PMID- 21067138 TI - Mechanistic studies of the yeast polyamine oxidase Fms1: kinetic mechanism, substrate specificity, and pH dependence. AB - The flavoprotein oxidase Fms1 from Saccharomyces cerevisiae catalyzes the oxidation of spermine and N(1)-acetylspermine to yield spermidine and 3 aminopropanal or N-acetyl-3-aminopropanal. The kinetic mechanism of the enzyme has been determined with both substrates. The initial velocity patterns are ping pong, consistent with reduction being kinetically irreversible. Reduction of Fms1 by either substrate is biphasic. The rate constant for the rapid phase varies with the substrate concentration, with limiting rates for reduction of the enzyme of 126 and 1410 s(-1) and apparent K(d) values of 24.3 and 484 MUM for spermine and N(1)-acetylspermine, respectively. The rapid phase is followed by a concentration-independent phase that is slower than turnover. The reaction of the reduced enzyme with oxygen is monophasic, with a rate constant of 402 mM(-1) s( 1) with spermine at 25 degrees C and 204 mM(-1) s(-1) with N(1)-acetylspermine at 4 degrees C and pH 9.0. This step is followed by rate-limiting product dissociation. The k(cat)/K(amine)-pH profiles are bell-shaped, with an average pK(a) value of 9.3 with spermine and pK(a) values of 8.3 and 9.6 with N(1) acetylspermine. Both profiles are consistent with the active forms of substrates having two charged nitrogens. The pH profiles for the rate constant for flavin reduction show pK(a) values of 8.3 and 7.2 for spermine and N(1)-acetylspermine, respectively, for groups that must be unprotonated; these pK(a) values are assigned to the substrate N4. The k(cat)/K(O(2))-pH profiles show pK(a) values of 7.5 for spermine and 6.8 for N(1)-acetylspermine. With both substrates, the k(cat) value decreases when a single residue is protonated. PMID- 21067141 TI - Simple method for preparation of porous polyimide film with an ordered surface based on in situ self-assembly of polyamic acid and silica microspheres. AB - In this Article, we addressed a facile method for the fabrication of porous polyimide film with an ordered surface based on the solvent-evaporation-assisted in situ self-assembly of polyamic acid (PAA, precursor of polyimide) and silica microspheres during vacuum-drying of PAA/silica colloid solution. Hydroxyl groups on the surface of silica microspheres have strong hydrogen-bonding with PAA chains, which improve the dispersion of silica microspheres in PAA/DMF solution and further help the self-assembly of PAA/silica colloid solution via solvent evaporation. The approach is simple, neither the preparation of special template nor complex preparation process and precise control over condition is necessary. Furthermore, the method could be employed for mass production of ordered porous polyimide films, and by changing the content and size of silica microspheres, the pore size and porous structure of the porous polyimide films could be tunable. The wettability behavior of the as-prepared porous polyimide films is also studied; the ordered surface topography of the porous polyimide films could change the wettability from hydrophilicity to hydrophobicity. PMID- 21067142 TI - Protein PEGylation attenuates adsorption and aggregation on a negatively charged and moderately hydrophobic polymer surface. AB - Covalent grafting of poly(ethylene glycol) chains to proteins ("PEGylation") is emerging as an effective technique to increase the in vivo circulation time and efficacy of protein drugs. PEGylated protein adsorption at a variety of solid/aqueous interfaces is a critical aspect of their manufacture, storage, and delivery. A special category of block copolymer, PEGylated proteins have one or more water-soluble linear polymer (PEG) blocks and a single globular protein block that each exert distinct intermolecular and surface interaction forces. We report the impact of PEGylation on protein adsorption at the interface between aqueous solutions and solid films of poly(lactide-co-glycolide) (PLG), a moderately hydrophobic and negatively charged polymer. Using the model protein lysozyme with controlled degrees of PEGylation, we employ total internal reflection fluorescence techniques to measure adsorption isotherms, adsorption reversibility, and the extent of surface-induced aggregation. Lysozyme PEGylation reduces the extent of protein adsorption and surface-induced aggregation and increases the reversibility of adsorption compared to the unconjugated protein. Results are interpreted in terms of steric forces among grafted PEG chains and their effects on protein-protein interactions and protein orientation on the surface. PMID- 21067143 TI - Modeling receding contact lines on superhydrophobic surfaces. AB - We use mesoscale simulations to study the depinning of a receding contact line on a superhydrophobic surface patterned by a regular array of posts. For the simulations to be feasible, we introduce a novel geometry where a column of liquid dewets a capillary bounded by a superhydrophobic plane that faces a smooth hydrophilic wall of variable contact angle. We present results for the dependence of the depinning angle on the shape and spacing of the posts and discuss the form of the meniscus at depinning. We find, in agreement with ref 17 , that the local post concentration is a primary factor in controlling the depinning angle and show that the numerical results agree well with recent experiments. We also present two examples of metastable pinned configurations where the posts are partially wet. PMID- 21067144 TI - Inhibition effect of poly(gamma-glutamic acid) on lead-induced toxicity in mice. AB - The objectives of this study were to evaluate the efficiency in treatment of lead induced intoxication in mice with gamma-PGA as chelating agent and compare with the drug (meso-2,3-dimercaptosuccinic acid). The results showed the incorporation of gamma-PGA at 200 and 400 mg/kg could reduce the accumulation of lead in the liver, heart, and testis; however, the latter was more effective in decreasing the lead content in the kidney and spleen. Nevertheless, both doses failed to inhibit the lead accumulation in the lung and brain. Additionally, both doses of gamma-PGA could reduce TBARs in the kidney and brain, as well as elevate delta aminolevulinic acid dehydrase (delta-ALAD) activity in blood and decrease glutamic pyruvic transaminase (GPT) and lactic dehydrogenase (LDH) activities in the serum. For hematological parameters, both white blood cells (WBCs) and hematocrite (HCT) were raised by 400 mg/kg of gamma-PGA, while for both doses of gamma-PGA, a slight decline in hemoglobin (HGB), mean cell volume (MCV), mean cell hemoglobin (MCH), and mean cell hemoglobin concentration (MCHC) was observed, with the red blood cells (RBCs) being unaffected. PMID- 21067145 TI - Molecular characterization of the interaction between siRNA and PAMAM G7 dendrimers by SAXS, ITC, and molecular dynamics simulations. AB - A prerequisite for the use of dendrimers as drug delivery vehicles is the detailed molecular understanding of the drug interaction. The purpose of this study was to characterize the self-assembly process between siRNA and generation 7 poly(amidoamine) dendrimers and the resulting dendriplexes in aqueous solution using structural and calorimetric methods combined with molecular dynamics simulations. Complexes with a length scale of 150 nm showed a decreasing size with increasing amine-to-phosphate ratio by dynamic light scattering. At the molecular level, individual dendrimers studied by small-angle X-ray scattering (SAXS) showed no change in size upon siRNA binding, suggesting a rigid sphere behavior. Isothermal titration calorimetry (ITC) demonstrated exothermic binding with a concentration-dependent collapse of complexes. Both the experimentally determined DeltaH(bind) and size were in close accordance with molecular dynamics simulations. This study demonstrates the unique complementarity of SAXS, ITC, and modeling for the detailed description of the molecular interactions between dendrimers and siRNA during dendriplex formation. PMID- 21067146 TI - Photodegradation pathways and mechanisms of the herbicide metamifop in a water/acetonitrile solution. AB - The herbicide metamifop and related compounds were irradiated by UV (lambda = 300 nm) for various time periods, and degradation products were analyzed by gas chromatography and gas chromatography-mass spectrometry to assess the fate of metamifop in the environment. Nearly 10% of metamifop degraded within 30 min of irradiation and >80% degraded after 4 h. Among the metabolites, N-(2 fluorophenyl)-2-hydroxy-N-methylpropionamide (HPFMA) formed at the highest level (50.8%), followed by N-methyl-2-fluoroaniline (NMFA, 8.5%), N-methyl-2-oxo-N phenylpropionamide (MOPPA, 6.6%), N-(2-fluorophenyl)-2-(4-hydroxyphenoxy)-N methylpropionamide (HPPFMA, 3.9%), and 4-(6-chlorobenzooxazol-2-yloxy)phenol (CBOP, 1.2%) after 4 h of irradiation. HPPFMA degraded further to yield HPFMA (32.5%). CBOP also degraded to give 6-chloro-3H-benzooxazol-2-one (CBO, 6.6%). It is proposed that homolytic fission of C-O bonds occurred at the early stage of photolysis and further reactions with a hydroxyl radical and/or a hydrogen radical formed various metabolites. Standards, which are not commercially available, were synthesized in the authors' laboratory. PMID- 21067147 TI - Slow relaxation of the magnetization in non-linear optical active layered mixed metal oxalate chains. AB - New Co(II) members of the family of multifunctional materials of general formula [DAMS](4)[M(2)Co(C(2)O(4))(6)].2DAMBA.2H(2)O (M(III) = Rh, Fe, Cr; DAMBA = para dimethylaminobenzaldehyde and [DAMS(+)] = trans-4-(4-dimethylaminostyryl)-1 methylpyridinium) have been isolated and characterized. Such new hybrid mixed metal oxalates are isostructural with the previously investigated containing Zn(II), Mn(II), and Ni(II). This allows to preserve the exceptional second harmonic generation (SHG) activity, due to both the large molecular quadratic hyperpolarizability of [DAMS(+)] and the efficiency of the crystalline network which organizes [DAMS(+)] into head-to-tail arranged J-type aggregates, and to further tune the magnetic properties. In particular, the magnetic data of the Rh(III) derivative demonstrate that high spin octacoordinated Co(II) centers behave very similarly to the hexacoordinated Co(II) ones, being dominated by a large orbital contribution. The Cr(III) derivative is characterized by ferromagnetic Cr(III)-Co(II) interactions. Most relevantly, the Fe(III) compound is characterized by a moderate antiferromagnetic interaction between Fe(III) and Co(II), resulting in a ferrimagnetic like structure. Its low temperature dynamic magnetic properties were found to follow a thermally activated behavior (tau(0) = 8.6 * 10(-11) s and DeltaE = 21.4 K) and make this a candidate for the second oxalate-based single chain magnet (SCM) reported up to date, a property which in this case is coupled to the second order non linear optical (NLO) ones. PMID- 21067148 TI - Two heptacopper(II) disk complexes with a [Cu(7)(MU(3)-OH)(4)(MU-OR)(2)](8+) core. AB - The reaction of CuX(2) (X(-) ? F(-)) salts with 1 equiv of 3-pyridyl-5-tert butylpyrazole (HL) in basic methanol yields blue solids, from which disk complexes of the type [Cu(7)(MU(3)-OH)(4)(MU-OR)(2)(MU-L)(6)](2+) and/or the cubane [Cu(4)(MU(3)-OH)(4)(HL)(4)](4+) can be isolated by recrystallization under the appropriate conditions. Two of the disk complexes have been prepared in crystalline form: [Cu(7)(MU(3)-OH)(4)(MU-OCH(2)CF(3))(2)(MU-L)(6)][BF(4)](2) (2) and [Cu(7)(MU(3)-OH)(4)(MU-OCH(3))(2)(MU-L)(6)]Cl(2).xCH(2)Cl(2) (3.xCH(2)Cl(2)). The molecular structures of both compounds as solvated crystals can be described as [Cu?Cu(6)(MU-OH)(4)(MU-OR)(2)(MU-L)(6)](2+) (R = CH(2)CF(3) or CH(3)) adducts. The [Cu(6)(MU-OH)(4)(MU-OR)(2)(MU-L)(6)] ring is constructed of six square pyramidal Cu ions, linked by 1,2-pyrazolido bridges from the L(-) ligands and by basal, apical-bridging hydroxy or alkoxy groups, while the central Cu ion is bound to the four metallamacrocyclic hydroxy donors in a near-regular square planar geometry. The L(-) ligands project above and below the metal ion core, forming two bowl-shaped cavities that are fully (R = CH(2)CF(3)) or partially (R = CH(3)) occupied by the alkoxy R substituents. Variable-temperature magnetic susceptibility measurements on 2 demonstrated antiferromagnetic interactions between the Cu ions, yielding a spin-frustrated S = (1)/(2) magnetic ground state that is fully populated below around 15 K. Electrospray ionization mass spectrometry, UV/vis/near-IR, and electron paramagnetic resonance measurements imply that the heptacopper(II) disk motif is robust in organic solvents. PMID- 21067149 TI - Surface-enhanced Raman signal for terbium single-molecule magnets grafted on graphene. AB - We report the preparation and characterization of monolayer graphene decorated with functionalized single-molecule magnets (SMMs). The grafting ligands provide a homogeneous and selective deposition on graphene. The grafting is characterized by combined Raman microspectroscopy, atomic force microscopy (AFM), and electron transport measurements. We observe a surface-enhanced Raman signal that allowed us to study the grafting down to the limit of a few isolated molecules. The weak interaction through charge transfer is in agreement with ab initio DFT calculations. Our results indicate that both molecules and graphene are essentially intact and the interaction is driven by van der Waals forces. PMID- 21067151 TI - Supramolecular and chemical cascade approaches to molecular sensing. PMID- 21067150 TI - Transmembrane pH-gradient liposomes to treat cardiovascular drug intoxication. AB - Injectable scavenging nanocarriers have been proposed as detoxifying agents when there are no specific antidotes to treat pharmacological overdoses. They act by capturing the drug in situ, thereby restricting distribution in tissues. In the clinic, the only systems used for that purpose are parenteral lipid emulsions, which are relatively inefficient in terms of uptake capacity. In this study, we investigated long-circulating liposomes with a transmembrane pH gradient as treatment for diltiazem intoxication. The unique ion-trapping properties of the vesicles toward ionizable compounds were exploited to sequester the drug in the bloodstream and limit its pharmacological effect. After in vitro optimization of the formulation, the in vivo scavenging properties of the liposomes were demonstrated by examining the drug's pharmacokinetics. The reduced volume of distribution and increased area under the plasma concentration versus time curve in animals treated with liposomes indicated limited tissue distribution. The vesicles exerted a similar but more pronounced effect on deacetyl-diltiazem, the principal active metabolite of the drug. This in vivo uptake of both drug and metabolite altered the overall pharmacological outcome. In rats receiving an intravenous bolus of diltiazem, the liposomes tempered the hypotensive decline and maintained higher average blood pressure for 1 h. The detoxifying action of liposomes was even stronger when the rats received higher doses of the drug via perfusion. In conclusion, the present work provided clear evidence that liposomes with a transmembrane pH gradient are able to change the pharmacokinetics and pharmacodynamics of diltiazem and its metabolite and confirmed their potential as efficient detoxifying nanocarriers. PMID- 21067152 TI - Quantitative techniques for assessing and controlling the dispersion and biological effects of multiwalled carbon nanotubes in mammalian tissue culture cells. AB - In vivo studies have demonstrated that the state of dispersion of carbon nanotubes (CNTs) plays an important role in generating adverse pulmonary effects. However, little has been done to develop reproducible and quantifiable dispersion techniques to conduct mechanistic studies in vitro. This study was to evaluate the dispersion of multiwalled carbon nanotubes (MWCNTs) in tissue culture media, with particular emphasis on understanding the forces that govern agglomeration and how to modify these forces. Quantitative techniques such as hydrophobicity index, suspension stability index, attachment efficiency, and dynamic light scattering were used to assess the effects of agglomeration and dispersion of as prepared (AP), purified (PD), or carboxylated (COOH) MWCNTs on bronchial epithelial and fibroblast cell lines. We found that hydrophobicity is the major factor determining AP- and PD-MWCNT agglomeration in tissue culture media but that the ionic strength is the main factor determining COOH-MWCNT suspendability. Bovine serum albumin (BSA) was an effective dispersant for MWCNTs, providing steric and electrosteric hindrances that are capable of overcoming hydrophobic attachment and the electrostatic screening of double layer formation in ionic media. Thus, BSA was capable of stabilizing all tube versions. Dipalmitoylphosphatidylcholine (DPPC) provided additional stability for AP-MWCNTs in epithelial growth medium (BEGM). While the dispersion state did not affect cytotoxicity, improved dispersion of AP- and PD-MWCNTs increased TGF-beta1 production in epithelial cells and fibroblast proliferation. In summary, we demonstrate how quantitative techniques can be used to assess the agglomeration state of MWCNTs when conducting mechanistic studies on the effects of dispersion on tissue culture cells. PMID- 21067153 TI - Synthesis of sulfur-sulfur bond formation from thioamides promoted by 2,3 dichloro-5,6-dicyanobenzoquinone. AB - A mild and efficient synthesis of sulfur-sulfur bond formation from thioformanilides with 2,3-dichloro-5,6-dicyanobenzoquinone (DDQ) is described. Functionality on the aromatic ring plays a key role in the formation of a sulfur sulfur bond. PMID- 21067154 TI - Highly efficient field emission from carbon nanotube-nanohorn hybrids prepared by chemical vapor deposition. AB - Electrically conductive carbon nanotubes (CNTs) with high aspect ratios emit electrons at low electric fields, thus applications to large-area field emission (FE) devices with CNT cathodes are attractive to save energy consumption. However, the poor dispersion and easy bundling properties of CNTs in solvents have hindered this progress. We have solved these problems by growing single walled CNTs (SWNTs) on single-walled carbon nanohorn (SWNH) aggregates that have spherical forms with ca. 100-nm diameters. In the obtained SWNT-SWNH hybrids (NTNHs), the SWNTs diameters were 1-1.7 nm and the bundle diameters became almost uniform, that is, less than 10 nm, since the SWNTs were separated by SWNH aggregates. We also confirmed that a large-area FE device with NTNH cathodes made by screen printing was highly and homogeneously bright, suggesting the success of the hybrid strategy. PMID- 21067155 TI - A bioelectronic platform using a graphene-lipid bilayer interface. AB - The electronic properties of graphene can be modulated by charged lipid bilayer adsorbing on the surface. Biorecognition events which lead to changes in membrane integrity can be monitored electrically using an electrolyte-gated biomimetic membrane-graphene transistor. Here, we demonstrate that the bactericidal activity of antimicrobial peptides can be sensed electrically by graphene based on a complex interplay of biomolecular doping and ionic screening effect. PMID- 21067156 TI - Boronyl ligand as a member of the isoelectronic series BO(-) -> CO -> NO(+): viable cobalt carbonyl boronyl derivatives? AB - Recently the first boronyl (oxoboryl) complex [(c-C(6)H(11))(3)P](2)Pt(BO)Br was synthesized. The boronyl ligand in this complex is a member of the isoelectronic series BO(-) -> CO -> NO(+). The cobalt carbonyl boronyls Co(BO)(CO)(4) and Co(2)(BO)(2)(CO)(7), with cobalt in the formal d(8) +1 oxidation state, are thus isoelectronic with the familiar homoleptic iron carbonyls Fe(CO)(5) and Fe(2)(CO)(9). Density functional theory predicts Co(BO)(CO)(4) to have a trigonal bipyramidal structure with the BO group in an axial position. The tricarbonyl Co(BO)(CO)(3) is predicted to have a distorted square planar structure, similar to those of other 16-electron complexes of d(8) transition metals. Higher energy Co(BO)(CO)(n) (n = 3, 2) structures may be derived by removal of one (for n = 3) or two (for n = 2) CO groups from a trigonal bipyramidal Co(BO)(CO)(4) structure. Structures with a CO group bridging 17-electron Co(CO)(4) and Co(BO)(2)(CO)(3) units and no Co-Co bond are found for Co(2)(BO)(2)(CO)(8). However, Co(2)(BO)(2)(CO)(8) is not viable because of the predicted exothermic loss of CO to give Co(2)(BO)(2)(CO)(7). The lowest lying Co(2)(BO)(2)(CO)(7) structure is a triply bridged (2BO + CO) structure closely related to the experimental Fe(2)(CO)(9) structure. However, other relatively low energy Co(2)(BO)(2)(CO)(7) structures are found, either with a single CO bridge, similar to the experimental Os(2)(CO)(8)(MU-CO) structure; or with 17-electron Co(CO)(4) and Co(BO)(2)(CO)(3) units joined by a single Co-Co bond with or without semibridging carbonyl groups. Both triplet and singlet Co(2)(BO)(2)(CO)(6) structures are found. The lowest lying triplet Co(2)(BO)(2)(CO)(6) structures have a Co(CO)(3)(BO)(2) unit coordinated to a Co(CO)(3) unit through the oxygen atoms of the boronyl groups with a non-bonding ~4.3 A Co...Co distance. The lowest lying singlet Co(2)(BO)(2)(CO)(6) structures have either two three-electron donor bridging eta(2)-MU-BO groups and no Co...Co bond or one such three-electron donor BO group and a formal Co-Co single bond. PMID- 21067157 TI - Oxoferryl porphyrin/hydrogen peroxide system whose behavior is equivalent to hydroperoxoferric porphyrin. AB - The reaction between H(2)O(2) and a pyridine-coordinated ferric porphyrin encapsulated by a cyclodextrin dimer yielded a hydroperoxoferric porphyrin intermediate, PFe(III)-OOH, which rapidly decomposed to oxoferryl porphyrin (PFe(IV)?O). Upon reaction with H(2)O(2), PFe(IV)?O reverted to PFe(III)-OOH, which was converted to carbon monoxide-coordinated ferrous porphyrin under a CO atmosphere. PFe(IV)?O in the presence of excess H(2)O(2) behaves as PFe(III)-OOH. PMID- 21067158 TI - Mechanism of H(2) evolution from a photogenerated hydridocobaloxime. AB - Proton transfer from the triplet excited state of brominated naphthol to a difluoroboryl bridged Co(I)-diglyoxime complex, forming Co(III)H, was monitored via transient absorption. The second-order rate constant for Co(III)H formation is in the range (3.5-4.7) * 10(9) M(-1) s(-1), with proton transfer coupled to excited-state deactivation of the photoacid. Co(III)H is subsequently reduced by excess Co(I)-diglyoxime in solution to produce Co(II)H (k(red) = 9.2 * 10(6) M( 1) s(-1)), which is then protonated to yield Co(II)-diglyoxime and H(2). PMID- 21067160 TI - Effect of the structure of the diamine backbone of P-N-N-P ligands in iron(II) complexes on catalytic activity in the transfer hydrogenation of acetophenone. AB - The asymmetric transfer hydrogenation of aromatic ketones can be efficiently accomplished using catalysts that are based on platinum group metals which are more toxic and less abundant than iron. For that reason the discovery of iron based catalysts for the use in this transformation is important. To address this issue, we synthesized a new series of iron(II)-based precatalysts trans [Fe(Br)(CO)(PPh(2)CH(2)CH?NCHRCHRN?CHCH(2)PPh(2))]BPh(4) (5a-5d) containing P-N-N P ligands with the diamines (R,R)-1,2-diaminocyclohexane (a), (R,R)-1,2-diphenyl 1,2-diaminoethane (b), (R,R)-1,2-di(4-methoxyphenyl)-1,2-diaminoethane (c), and ethylenediamine (d) incorporated in the backbone using a convenient one-pot synthesis using readily available starting materials. All of the complexes, when activated with a base, show a very high activity in the transfer hydrogenation catalysis of acetophenone, using 2-propanol as a reducing agent under mild conditions. A comparison of the TOF of complexes 5a-5d show that the catalytic activity of complexes increase as the size of the substituents in the backbone of ligands increases (d < a < b = c). PMID- 21067159 TI - Characterization of conformational adsorbate changes on a tissue-derived substrate using Fourier transform infrared spectroscopy. AB - Fourier transform infrared (FT-IR) spectroscopy is utilized to observe adsorbate interactions with a tissue-derived collagen scaffold extracted from the Bruch's membrane of pig eyes. The characterization includes conformational changes in isoleucine, polyisoleucine, collagen-binding peptide, RGD-tagged collagen-binding peptide, and laminin after adsorption onto the substrate. Isotopically labeled isoleucine is further utilized to understand changes in the biomolecular structure upon binding to a tissue-derived surface. The adsorbates associated with the collagen scaffold predominately through hydrophobic interactions and hydrogen bonding. The results of this study can be used to improve our understanding of surface chemistry changes during the engineering of biomimetic scaffolds before and after biomolecule adsorption. PMID- 21067161 TI - CoFe2O4-TiO2 and CoFe2O4-ZnO thin film nanostructures elaborated from colloidal chemistry and atomic layer deposition. AB - CoFe(2)O(4)-TiO(2) and CoFe(2)O(4)-ZnO nanoparticles/film composites were prepared from directed assembly of colloidal CoFe(2)O(4) in a Langmuir-Blodgett monolayer and atomic layer deposition (ALD) of an oxide (TiO(2) or ZnO). The combination of these two methods permits the use of well-defined nanoparticles from colloidal chemistry, their assembly on a large scale, and the control over the interface between a ferrimagnetic material (CoFe(2)O(4)) and a semiconductor (TiO(2) or ZnO). Using this approach, architectures can be assembled with a precise control from the Angstrom scale (ALD) to the micrometer scale (Langmuir Blodgett film). The resulting heterostructures present well-calibrated thicknesses. Electron microscopy and magnetic measurement studies give evidence that the size of the nanoparticles and their intrinsic magnetic properties are not altered by the various steps involved in the synthesis process. Therefore, the approach is suitable to obtain a layered composite with a quasi-monodisperse layer of ferrimagnetic nanoparticles embedded in an ultrathin film of semiconducting material. PMID- 21067163 TI - DFT study of paramagnetic adducts of tris-(8-hydroxyquinoline)aluminum (III). AB - Radicals formed by the addition of hydrogen (H) or muonium (Mu) to tris(8 hydroxyquinoline)aluminum(III) (Alq(3)) have been studied using density functional theory (DFT) calculations. Drew et al. (Phys. Rev. Lett. 2008, 100, 116601) studied Alq(3) using the longitudinal field muon spin relaxation technique and assumed the formation of muoniated radicals and rapid intermolecular electron hopping with a rate of (1.4 +/- 0.2) * 10(12) s(-1). In this work, the results of DFT calculations on Alq(3), the H/Mu adducts of Alq(3), and the corresponding anions and cations are reported. The energy required to transfer an electron to or from the H/Mu adducts of Alq(3) is prohibitively large, ranging from 4.09 to 5.68 eV, which suggests that the unpaired electron does not hop onto neighboring molecules and that there is no long-range diffusion of the unpaired electron. The hyperfine coupling constants for the muoniated radicals were calculated and used to predict avoided level crossing resonance fields, which will allow experimenters to confirm that the unpaired electron is localized in close proximity to the muon. PMID- 21067162 TI - Important roles of Tyr43 at the putative heme distal side in the oxygen recognition and stability of the Fe(II)-O2 complex of YddV, a globin-coupled heme based oxygen sensor diguanylate cyclase. AB - YddV from Escherichia coli (Ec) is a novel globin-coupled heme-based oxygen sensor protein displaying diguanylate cyclase activity in response to oxygen availability. In this study, we quantified the turnover numbers of the active [Fe(III), 0.066 min(-1); Fe(II)-O(2) and Fe(II)-CO, 0.022 min(-1)] [Fe(III), Fe(III)-protoporphyrin IX complex; Fe(II), Fe(II)-protoporphyrin IX complex] and inactive forms [Fe(II) and Fe(II)-NO, <0.01 min(-1)] of YddV for the first time. Our data indicate that the YddV reaction is the rate-determining step for two consecutive reactions coupled with phosphodiesterase Ec DOS activity on cyclic di GMP (c-di-GMP) [turnover number of Ec DOS-Fe(II)-O(2), 61 min(-1)]. Thus, O(2) binding and the heme redox switch of YddV appear to be critical factors in the regulation of c-di-GMP homeostasis. The redox potential and autoxidation rate of heme of the isolated heme domain of YddV (YddV-heme) were determined to be -17 mV versus the standard hydrogen electrode and 0.0076 min(-1), respectively. The Fe(II) complexes of Y43A and Y43L mutant proteins (residues at the heme distal side of the isolated heme-bound globin domain of YddV) exhibited very low O(2) affinities, and thus, their Fe(II)-O(2) complexes were not detected on the spectra. The O(2) dissociation rate constant of the Y43W protein was >150 s(-1), which is significantly larger than that of the wild-type protein (22 s(-1)). The autoxidation rate constants of the Y43F and Y43W mutant proteins were 0.069 and 0.12 min(-1), respectively, which are also markedly higher than that of the wild type protein. The resonance Raman frequencies representing nu(Fe-O(2)) (559 cm( 1)) of the Fe(II)-O(2) complex and nu(Fe-CO) (505 cm(-1)) of the Fe(II)-CO complex of Y43F differed from those (nu(Fe-O(2)), 565 cm(-1); nu(Fe-CO), 495 cm( 1)) of the wild-type protein, suggesting that Tyr43 forms hydrogen bonds with both O(2) and CO molecules. On the basis of the results, we suggest that Tyr43 located at the heme distal side is important for the O(2) recognition and stability of the Fe(II)-O(2) complex, because the hydroxyl group of the residue appears to interact electrostatically with the O(2) molecule bound to the Fe(II) complex in YddV. Our findings clearly support a role of Tyr in oxygen sensing, and thus modulation of overall conversion from GTP to pGpG via c-di-GMP catalyzed by YddV and Ec DOS, which may be applicable to other globin-coupled oxygen sensor enzymes. PMID- 21067165 TI - Molecular dynamics simulations of laser-induced incandescence of soot using an extended ReaxFF reactive force field. AB - Laser-induced incandescence (LII) of soot has developed into a popular method for making in situ measurements of soot volume fraction and primary particle sizes. However, there is still a lack of understanding regarding the generation and interpretation of the cooling signals. To model heat transfer from the heated soot particles to the surrounding gas, knowledge of the collision-based cooling as well as reactive events, including oxidation (exothermic) and evaporation (endothermic) is essential. We have simulated LII of soot using the ReaxFF reactive force field for hydrocarbon combustion. Soot was modeled as a stack of four graphene sheets linked together using sp(3) hybridized carbon atoms. To calculate the thermal accommodation coefficient of various gases with soot, graphene sheets of diameter 40 A were used to create a soot particle containing 2691 atoms, and these simulations were carried out using the ReaxFF version incorporated into the Amsterdam Density Functional program. The reactive force field enables us to simulate the effects of conduction, evaporation, and oxidation of the soot particle on the cooling signal. Simulations were carried out for both reactive and nonreactive gas species at various pressures, and the subsequent cooling signals of soot were compared and analyzed. To correctly model N(2)-soot interactions, optimization of N-N and N-C-H force field parameters against DFT and experimental values was performed and is described in this paper. Subsequently, simulations were performed in order to find the thermal accommodation coefficients of soot with various monatomic and polyatomic gas molecules like He, Ne, Ar, N(2), CO(2), and CH(4). For all these species we find good agreement between our ReaxFF results and previously published accommodation coefficients. We thus believe that Molecular Dynamics using the ReaxFF reactive force field is a promising approach to simulate the physical and chemical aspects of soot LII. PMID- 21067164 TI - Triplet excited state distortions in a pyrazolate bridged platinum dimer measured by X-ray transient absorption spectroscopy. AB - The excited-state structure of a dinuclear platinum(II) complex with tert-butyl substituted pyrazolate bridging units, [Pt(ppy)(MU-(t)Bu(2)pz)](2) (ppy = 2 phenylpyridine; (t)Bu(2)pz = 3,5-di-tert-butylpyrazolate) is studied by X-ray transient absorption (XTA) spectroscopy to reveal the transient electronic and nuclear geometry. DFT calculations predict that the lowest energy triplet excited state, assigned to a metal-metal-to-ligand charge transfer (MMLCT) transition, has a contraction in the Pt-Pt distance. The Pt-Pt bond length and other structural parameters extracted from fitting the experimental XTA difference spectra from full multiple scattering (FMS) and multidimensional interpolation calculations indicates a metal-metal distance decrease by approximately 0.2 A in the triplet excited state. The advantages and challenges of this approach in resolving dynamic transient structures of nonbonding or weak-bonding dinuclear metal complexes in solution are discussed. PMID- 21067166 TI - On the behavior of solutions of xenon in liquid n-alkanes: solubility of xenon in n-pentane and n-hexane. AB - The solubility of xenon in liquid n-pentane and n-hexane has been studied experimentally, theoretically, and by computer simulation. Measurements of the solubility are reported for xenon + n-pentane as a function of temperature from 254 to 305 K. The uncertainty in the experimental data is less than 0.15%. The thermodynamic functions of solvation such as the standard Gibbs energy, enthalpy, and entropy of solvation have been calculated from Henry's law coefficients for xenon + n-pentane solutions and also for xenon + n-hexane, which were reported in previous work. The results provide a further example of the similarity between the xenon + n-alkane interaction and the n-alkane + n-alkane interactions. Using the SAFT-VR approach we were able to quantitatively predict the experimental solubility for xenon in n-pentane and semiquantitatively that of xenon in n hexane using simple Lorentz-Berthelot combining rules to describe the unlikely interaction. Henry's constants at infinite dilution for xenon + n-pentane and xenon + n-hexane were also calculated by Monte Carlo simulation using a united atom force field to describe the n-alkane and the Widom test particle insertion method. PMID- 21067167 TI - Variation of the ultrafast fluorescence quenching in 2,6-sulfanyl-core substituted naphthalenediimides by electron transfer. AB - The ultrafast fluorescence quenching of 2,6-sulfanyl-core-substituted naphthalenediimides was investigated by transient spectroscopy. We find a strong dependence of the relaxation on the chemical structure of the substituent. Direct linking of an aryl rest to the sulfur atom leads to a strong red shift of the fluorescence in 1 ps and the disappearance of the emission in 5-7 ps depending on the polarity and viscosity of the solvent. This complex behavior is interpreted with the help of quantum chemical calculations. The calculations suggest that the initial relaxation corresponds to a planarization of the substituents and an associated partial electron transfer. This is followed by a twisting of the phenylsulfanyl substituents out of the molecular plane that allows a complete localization of the electron-donating orbital on the aryl group. Finally the back transfer happens in another 5-7 ps. For an additional methylene spacer group between the sulfur and the aryl, this sequence of relaxation steps is not possible and a simple exponential decay, slower by about 1 order of magnitude, is found. PMID- 21067168 TI - Ligand exchange reactions on Au(38) and Au(40) clusters: a combined circular dichroism and mass spectrometry study. AB - The thiolate-for-thiolate ligand exchange reaction between the stable Au(38)(2 PET)(24) and Au(40)(2-PET)(24) (2-PET: 2-phenylethanethiol) clusters and enantiopure BINAS (BINAS: 1,1'-binaphthyl-2,2'-dithiol) was investigated by circular dichroism (CD) spectroscopy in the UV/vis and MALDI mass spectrometry (MS). The ligand exchange reaction is incomplete, although a strong optical activity is induced to the resulting clusters. The clusters are found to be relatively stable, in contrast to similar reactions on [Au(25)(2-PET)(18)](-) clusters. Maximum anisotropy factors of 6.6 * 10(-4) are found after 150 h of reaction time. During the reaction, a varying ratio between Au(38) and Au(40) clusters is found, which significantly differs from the starting material. As compared to Au(38), Au(40) is more favorable to incorporate BINAS into its ligand shell. After 150 h of reaction time, an average of 1.5 and 4.5 BINAS ligands is found for Au(38) and Au(40) clusters, respectively. This corresponds to exchange of 3 and 9 monodentate 2-PET ligands. To show that the limited exchange with BINAS is due to the bidentate nature of the ligand, exchange with thiophenol was performed. The monodentate thiophenol exchange was found to be faster, and more ligands were exchanged when compared to BINAS. PMID- 21067170 TI - NMR studies of the stability, protonation States, and tautomerism of (13)C- AND (15)N-labeled aldimines of the coenzyme pyridoxal 5'-phosphate in water. AB - We have measured the pH-dependent (1)H, (13)C, and (15)N NMR spectra of pyridoxal 5'-phosphate ((13)C(2)-PLP) mixed with equal amounts of either doubly (15)N labeled diaminopropane, (15)N(alpha)-labeled l-lysine, or (15)N(epsilon)-labeled l-lysine as model systems for various intermediates of the transimination reaction in PLP-dependent enzymes. At low pH, only the hydrate and aldehyde forms of PLP and the free protonated diamines are present. Above pH 4, the formation of single- and double-headed aldimines (Schiff bases) with the added diamines is observed, and their (13)C and (15)N NMR parameters have been characterized. For 1:1 mixtures the single-headed aldimines dominate. In a similar way, the NMR parameters of the geminal diamine formed with diaminopropane at high pH are measured. However, no geminal diamine is formed with l-lysine. In contrast to the aldimine formed with the epsilon-amino group of lysine, the aldimine formed with the alpha-amino group is unstable at moderately high pH but dominates slightly below pH 10. By analyzing the NMR data, both the mole fractions of the different PLP species and up to 6 different protonation states including their pK(a) values were obtained. Furthermore, the data show that all Schiff bases are subject to a proton tautomerism along the intramolecular OHN hydrogen bond, where the zwitterionic form is favored before deprotonation occurs at high pH. This observation, as well as the observation that around pH 7 the different PLP species are present in comparable amounts, sheds new light on the mechanism of the transimination reaction. PMID- 21067169 TI - An aldol-based build/couple/pair strategy for the synthesis of medium- and large sized rings: discovery of macrocyclic histone deacetylase inhibitors. AB - An aldol-based build/couple/pair (B/C/P) strategy was applied to generate a collection of stereochemically and skeletally diverse small molecules. In the build phase, a series of asymmetric syn- and anti-aldol reactions were performed to produce four stereoisomers of a Boc-protected gamma-amino acid. In addition, both stereoisomers of O-PMB-protected alaninol were generated to provide a chiral amine coupling partner. In the couple step, eight stereoisomeric amides were synthesized by coupling the chiral acid and amine building blocks. The amides were subsequently reduced to generate the corresponding secondary amines. In the pair phase, three different reactions were employed to enable intramolecular ring forming processes: nucleophilic aromatic substitution (S(N)Ar), Huisgen [3+2] cycloaddition, and ring-closing metathesis (RCM). Despite some stereochemical dependencies, the ring-forming reactions were optimized to proceed with good to excellent yields, providing a variety of skeletons ranging in size from 8- to 14 membered rings. Scaffolds resulting from the RCM pairing reaction were diversified on the solid phase to yield a 14 400-membered library of macrolactams. Screening of this library led to the discovery of a novel class of histone deacetylase inhibitors, which display mixed enzyme inhibition, and led to increased levels of acetylation in a primary mouse neuron culture. The development of stereo-structure/activity relationships was made possible by screening all 16 stereoisomers of the macrolactams produced through the aldol based B/C/P strategy. PMID- 21067171 TI - Hydrogen-bonding versus van der Waals interactions in self-assembled monolayers of substituted isophthalic acids. AB - Self-assembled monolayers of a series of isophthalic acids (5 octadecyloxyisophthalic acid, 5-decyloxyisophthalic acid, 5-hexyloxyisophthalic acid, and 5-pentyloxyisophthalic acid) formed on highly ordered pyrolytic graphite (HOPG) at the solid-liquid interface were studied using scanning tunneling microscopy (STM). Although these molecules have the same dicarboxyl headgroup, their hydrocarbon tails are of different lengths. Hydrogen-bonding between headgroups and van der Waals interactions between the hydrocarbon tails control the final morphology of the monolayer. The STM images show that both van der Waals interactions (vdWs) and hydrogen-bonding (H-B) compete to control the structure, but the final structure of the monolayer is determined by balance between the two interactions. PMID- 21067172 TI - Kinetics and mechanism of N-Boc cleavage: evidence of a second-order dependence upon acid concentration. AB - The kinetics of the HCl-catalyzed deprotection of the Boc-protected amine, thioester 2 to liberate AZD3409 1 have been studied in a mixture of toluene and propan-2-ol. The reaction rate was found to exhibit a second-order dependence upon the HCl concentration. This behavior was found to have a degree of generality as the deprotection of a second Boc-protected amine, tosylate 3 to yield amine 4 using HCl, sulfuric acid, and methane sulfonic acid showed the same kinetic dependence. In contrast the deprotection of tosylate 3 with trifluoroacetic acid required a large excess of acid to obtain a reasonable rate of reaction and showed an inverse kinetic dependence upon the trifluoroacetate concentration. These observations are rationalized mechanistically in terms of a general acid-catalyzed separation of a reversibly formed ion-molecule pair arising from the fragmentation of the protonated tert-butyl carbamate. PMID- 21067174 TI - Determination of the kinetic profile of a dinuclear platinum anticancer complex in the presence of sulfate: introducing a new tool for the expedited analysis of 2D [(1)H,( 15)N] HSQC NMR spectra. AB - Two-dimensional (2D) [(1)H, (15)N] heteronuclear single-quantum coherence (HSQC) NMR experiments of the kinetics of aquation and sulfation of the dinuclear platinum anticancer complex [{trans-PtCl(NH(3))(2)}(2)(MU NH(2)(CH(2))(6)NH(2))](2+) (1,1/t,t, 1) in 15 mM sulfate solution are reported using conditions (298 K, pH 5.4) identical to those previously used for other anionic systems (phosphate and acetate), allowing for a direct comparison. Sulfate is the fourth most abundant anion in human plasma. The rate constant for the aquation step (k(H)) is higher than that previously found in the presence of phosphate, but the anation rate constants are similar. The rate constant for sulfate displacement of the aqua ligand (k(L)) is approximately three times higher than that of phosphate, and a further major difference between these two anions is the very high k(-L) for loss of sulfate, suggesting that when formed in plasma the sulfato species will be substitution labile. We also introduce a novel (free) plug-in, '2D NMR analysis', developed for the expedited integration and analysis of 2D [(1)H, (15)N] HSQC NMR spectra. We have found that this plug-in significantly reduces the amount of time taken in the analysis of experiments with no loss to the quality of the data. PMID- 21067173 TI - Fluoride-promoted cross-coupling of chloro(mono-, di-, or triphenyl)germanes with aryl halides in "moist" toluene. Multiple transfer of the phenyl group from organogermane substrates and comparison of the coupling efficiencies of chloro(phenyl)germanes with their corresponding stannane and silane counterparts. AB - The trichlorophenyl-, dichlorodiphenyl-, and chlorotriphenylgermanes undergo Pd catalyzed cross-couplings with aryl bromides and iodides in the presence of tetrabutylammonium fluoride in toluene with addition of the measured amount of water. One chloride ligand on the Ge center allows efficient activation by fluoride to promote transfer of one, two, or three phenyl groups from the organogermanes. The corresponding chlorophenylstannanes were found to be more reactive than chlorophenylsilanes, which in turn were more effective than chlorophenylgermanes. One chloride ligand on the Ge or Si center allows efficient activation by fluoride to promote transfer of up to three aryl groups from germane or silicon. However, no haloligand was necessary to be present on the Sn center, since tetraphenyltin efficiently transferred up to four phenyl groups during fluoride-promoted couplings with aryl halides. (19)F NMR studies suggested formation of the fluorophenylgermanes and the hypervalent germanate species as possible intermediates. PMID- 21067175 TI - Dependence of the chemical properties of macrocyclic [Ni(II)(2)L(MU-O(2)CR)](+) complexes on the basicity of the carboxylato coligands (L(2-) = macrocyclic N(6)S(2) ligand). AB - The dependence of the properties of mixed ligand [Ni(II)(2)L(MU-O(2)CR)](+) complexes (where L(2-) represents a 24-membered macrocyclic hexaamine dithiophenolato ligand) on the basicity of the carboxylato coligands has been examined. For this purpose 19 different [Ni(II)(2)L(MU-O(2)CR)](+) complexes (2 20) incorporating carboxylates with pK(b) values in the range 9 to 14 have been prepared by the reaction of [Ni(II)(2)L(MU-Cl)](+) (1) and the respective sodium or triethylammonium carboxylates. The resulting carboxylato complexes, isolated as ClO(4)(-) or BPh(4)(-) salts, have been fully characterized by elemental analyses, IR, UV/vis spectroscopy, and X-ray crystallography. The possibility of accessing the [Ni(II)(2)L(MU-O(2)CR)](+) complexes by carboxylate exchange reactions has also been examined. The main findings are as follows: (i) Substitution reactions between 1 and NaO(2)CR are not affected by the basicity or the steric hindrance of the carboxylate. (ii) Complexes 2-20 form an isostructural series of bisoctahedral [Ni(II)(2)L(MU-O(2)CR)](+) compounds with a N(3)Ni(MU-SR)(2)(MU-O(2)CR)NiN(3) core. (iii) They are readily identified by their nu(as)(CO) and nu(s)(CO) stretching vibration bands in the ranges 1684-1576 cm(-1) and 1428-1348 cm(-1), respectively. (iv) The spin-allowed (3)A(2g) -> (3)T(2g) (nu(1)) transition of the NiOS(2)N(3) chromophore is steadily red shifted by about 7.5 nm per pK(b) unit with increasing pK(b) of the carboxylate ion. (v) The less basic the carboxylate ion, the more stable the complex. The stability difference across the series, estimated from the difference of the individual ligand field stabilization energies (LFSE), amounts to about 4.2 kJ/mol [Delta(LFSE)(2,18)]. (vi) The "second-sphere stabilization" of the nickel complexes is not reflected in the electronic absorption spectra, as these forces are aligned perpendicularly to the Ni-O bonds. (vii) Coordination of a basic carboxylate donor to the [Ni(II)(2)L](2+) fragment weakens its Ni-N and Ni-S bonds. This bond weakening is reflected in small but significant bond length changes. (viii) The [Ni(II)(2)L(MU-O(2)CR)](+) complexes are relatively inert to carboxylate exchange reactions, except for the formato complex [Ni(II)(2)L(MU O(2)CH)](+) (8), which reacts with both more and less basic carboxylato ligands. PMID- 21067176 TI - Selective oxygen-plasma-etching technique for the formation of ZnO-FTO heterostructure nanotubes and their rectified photocatalytic properties. AB - A novel ZnO-FTO heterostructure nanotube array was produced by combining a chemical solution process with oxygen-plasma etching. In this approach, presynthesized ZnO nanorod arrays act as templates, and FTO nanoparticles are deposited onto the ZnO nanorods by a simple spray pyrolysis method. X-ray photoelectron spectroscopy analysis demonstrated that the oxygen-plasma treatment decreased the O(2-)/OH(-) concentration ratio, resulting in dissociation of the Zn-O bonds and the outward diffusion of Zn cations to form an interior hollow, which is related to the formation of the hydroxyl functional group, Sn-OH, at the FTO surface. An etching evolution mechanism of the ZnO-FTO nanotubes via oxygen plasma was tentatively proposed in this study. Time-dependent photocurrent (I-T) measurements under ON-OFF cycles of UV illumination confirm that the 20-min etched sample exhibits a rectified photoresponse characteristic and a dark current increased by about 3 orders of magnitude over that of the unetched sample, which is attributed to the increased carrier concentration created at the surface conductive layer. This investigation offers an alternative selective etching method to lay the framework for nanoscale three-dimensional electrodes for solar-cell applications. PMID- 21067177 TI - Monitoring of naturally produced brominated phenoxyphenols and phenoxyanisoles in aquatic plants from the Philippines. AB - Naturally produced brominated phenoxyphenols (OH-PBDEs) and phenoxyanisoles (MeO PBDEs) were analyzed in aquatic plants (16 genera of green, brown, and red algae and angiosperms) collected from Luzon Island, the Philippines. Two brominated phenoxyphenols, 2'-hydroxy-2,3',4,5'-tetrabromodiphenyl ether (2'-OH-BDE68) and 6 hydroxy-2,2',4,4'-tetrabromodiphenyl ether (6-OH-BDE47), were detected in the phenolic fraction of extracts from most of the specimens; Sargassum oligosystum had the highest concentrations (101 ng/g fresh weight (fw)). The corresponding phenoxyanisole, 2'-methoxy-2,3',4,5'-tetrabromodiphenyl ether (2'-MeO-BDE68), was most abundant in Sargassum aff. bataanense (229 ng/g fw), followed by Padina sp., and 6-methoxy-2,2',4,4'-tetrabromodiphenyl ether (6-MeO-BDE47) was predominant in Jania adhaerens (29 ng/g fw). Hydroxy-pentaBDEs, hydroxy-methoxy-tetraBDEs, dihydroxy-tetraBDEs, dihydroxy-tetrabromobiphenyl, and hydroxy-tetrabromodibenzo p-dioxins were also detected. The present study demonstrates that these aquatic plant species could be an abundant source of OH-PBDEs and MeO-PBDEs found in higher trophic organisms in the Asia-Pacific region. PMID- 21067178 TI - Chitosan-glucose conjugates: influence of extent of Maillard reaction on antioxidant properties. AB - Chitosan-glucose conjugates were prepared using Maillard reaction chemistry. Water-soluble and acid-soluble chitosan-glucose mixtures were heated at pH 4.9 and 6.0 at 98 degrees C. Mixtures at pH 6.0 containing acid-soluble chitosan gelled when heating was continued after reaching 98 degrees C and withstood gelation for only 30 min at pH 4.9. In contrast, mixtures containing water soluble chitosan could be heated without gelation at pH 6.0 and 4.9. Examination of the extent of Maillard reaction and antioxidant properties showed that acid soluble chitosan reacted for 30 min at pH 4.9 had the highest extent of reaction as judged by increased absorbance, the highest degree of modification to the amino group as evidenced by Fourier transform infrared and shifts of the endotherms by differential scanning calorimetry, and the highest antioxidant activity as indicated by ferric reducing power and oxygen radical absorbance capacity. There were significant correlations (p < 0.05) between indices of browning and antioxidant activity. PMID- 21067179 TI - Health benefits of vitamins and secondary metabolites of fruits and vegetables and prospects to increase their concentrations by agronomic approaches. AB - Fruits and vegetables (FAVs) are an important part of the human diet and a major source of biologically active substances such as vitamins and secondary metabolites. The consumption of FAVs remains globally insufficient, so it should be encouraged, and it may be useful to propose to consumers FAVs with enhanced concentrations in vitamins and secondary metabolites. There are basically two ways to reach this target: the genetic approach or the environmental approach. This paper provides a comprehensive review of the results that have been obtained so far through purely agronomic approaches and brings them into perspective by comparing them with the achievements of genetic approaches. Although agronomic approaches offer very good perspectives, the existence of variability of responses suggests that the current understanding of the way regulatory and metabolic pathways are controlled needs to be increased. For this purpose, more in-depth study of the interactions existing between factors (light and temperature, for instance, genetic factors * environmental factors), between processes (primary metabolism and ontogeny, for example), and between organs (as there is some evidence that photooxidative stress in leaves affects antioxidant metabolism in fruits) is proposed. PMID- 21067180 TI - Tricetin, a dietary flavonoid, induces apoptosis through the reactive oxygen species/c-Jun NH2-terminal kinase pathway in human liver cancer cells. AB - This study is the first to investigate the anticancer effect of tricetin (TCN) in two human liver cancer cell lines, Hep G2 and PLC/PRF/5. TCN induced cancer cell death treatment by triggering mitochondrial and death receptor 5 (DR5) apoptotic pathways. Exposure of Hep G2 and PLC/PRF/5 cells to TCN resulted in cellular glutathione reduction and ROS generation, accompanied by JNK activation and apoptosis. Both of the antioxidants vitamin C and catalase significantly decreased apoptosis by inhibiting the phosphorylation of JNK and subsequently triggering DR5 cell death pathways. The reduction of JNK expression by siRNA decreased TCN-mediated Bim cleavage, DR5 up-regulation, and apoptosis. Furthermore, daily TCN intraperitoneal injections in nude mice with PLC/PRF/5 subcutaneous tumors resulted in an approximately 60% decrease of mean tumor volume, compared with vehicle-treated controls. Taken together, the results of the present study indicate that TCN-induced cell death in liver cancer cells is initiated by ROS generation and that both intrinsic and extrinsic apoptotic pathways contribute to the cell death caused by this highly promising cancer chemopreventive agent. PMID- 21067181 TI - Growth inhibitory, antiandrogenic, and pro-apoptotic effects of punicic acid in LNCaP human prostate cancer cells. AB - Prostate cancer is a commonly diagnosed cancer in men, and dietary chemoprevention by pomegranate (Punica granatum) extracts has shown noticeable benefits. In this study, we investigated the growth inhibitory, antiandrogenic, and pro-apoptotic effects of 13 pure compounds found in the pomegranate in androgen-dependent LNCaP human prostate cancer cells. Cells deprived of steroid hormones were exposed to increasing concentrations (1-100 MUM) of pomegranate compounds in the presence of 0.1 nM dihydrotestosterone (DHT), and the inhibition of cell growth was measured by WST-1 colorimetric assay after a 4 day exposure. Four compounds, epigallocatechin gallate (EGCG), delphinidin chloride, kaempferol, and punicic acid, were found to inhibit DHT-stimulated cell growth at concentrations of 10 MUM and above. These four pomegranate compounds inhibited DHT-stimulated androgen receptor nuclear accumulation and the expression of the androgen receptor-dependent genes prostate specific antigen and steroid 5alpha reductase type 1 at concentrations >=10 MUM. We determined the possible contribution of apoptosis to the observed decrease in cell growth and found that three compounds, EGCG, kaempferol, and, in particular, punicic acid, induced DNA fragmentation after a 24 h treatment, at concentrations in the 10-100 MUM range. Punicic acid, an important fatty acid in pomegranate seeds, was further found to induce intrinsic apoptosis via a caspase-dependent pathway. In conclusion, punicic acid, the main constituent of pomegranate seed (70-80%), exhibited potent growth inhibitory activities in androgen-dependent LNCaP cells, which appear to be mediated by both antiandrogenic and pro-apoptotic mechanisms. PMID- 21067182 TI - Biostructural and pharmacological studies of bicyclic analogues of the 3 isoxazolol glutamate receptor agonist ibotenic acid. AB - We describe an improved synthesis and detailed pharmacological characterization of the conformationally restricted analogue of the naturally occurring nonselective glutamate receptor agonist ibotenic acid (RS)-3-hydroxy-4,5,6,7 tetrahydroisoxazolo[5,4-c]pyridine-7-carboxylic acid (7-HPCA, 5) at AMPA receptor subtypes. Compound 5 was shown to be a subtype-discriminating agonist at AMPA receptors with higher binding affinity and functional potency at GluA1/2 compared to GluA3/4, unlike the isomeric analogue (RS)-3-hydroxy-4,5,6,7 tetrahydroisoxazolo[5,4-c]pyridine-5-carboxylic acid (5-HPCA, 4) that binds to all AMPA receptor subtypes with comparable potency. Biostructural X-ray crystallographic studies of 4 and 5 reveal different binding modes of (R)-4 and (S)-5 in the GluA2 agonist binding domain. WaterMap analysis of the GluA2 and GluA4 binding pockets with (R)-4 and (S)-5 suggests that the energy of hydration sites is ligand dependent, which may explain the observed selectivity. PMID- 21067183 TI - Tiopronin gold nanoparticle precursor forms aurophilic ring tetramer. AB - In the two step synthesis of thiolate-monolayer protected clusters (MPCs), the first step of the reaction is a mild reduction of gold(III) by thiols that generates gold(I) thiolate complexes as intermediates. Using tiopronin (Tio) as the thiol reductant, the characterization of the intermediate Au(4)Tio(4) complex was accomplished with various analytical and structural techniques. Nuclear magnetic resonance (NMR), elemental analysis, thermogravimetric analysis (TGA), and matrix-assisted laser desorption/ionization-mass spectrometry (MALDI-MS) were all consistent with a cyclic gold(I)-thiol tetramer structure, and final structural analysis was gathered through the use of powder diffraction and pair distribution functions (PDF). Crystallographic data has proved challenging for almost all previous gold(I)-thiolate complexes. Herein, a novel characterization technique when combined with standard analytical assessment to elucidate structure without crystallographic data proved invaluable to the study of these complexes. This in conjunction with other analytical techniques, in particular mass spectrometry, can elucidate a structure when crystallographic data is unavailable. In addition, luminescent properties provided evidence of aurophilicity within the molecule. The concept of aurophilicity has been introduced to describe a select group of gold-thiolate structures, which possess unique characteristics, mainly red photoluminescence and a distinct Au-Au intramolecular distance indicating a weak metal-metal bond as also evidenced by the structural model of the tetramer. Significant features of both the tetrameric and the aurophilic properties of the intermediate gold(I) tiopronin complex are retained after borohydride reduction to form the MPC, including gold(I) tiopronin partial rings as capping motifs, or "staples", and weak red photoluminescence that extends into the Near Infrared region. PMID- 21067184 TI - DNA binding characteristics of mithramycin and chromomycin analogues obtained by combinatorial biosynthesis. AB - The antitumor antibiotics mithramycin A and chromomycin A(3) bind reversibly to the minor groove of G/C-rich regions in DNA in the presence of dications such as Mg(2+), and their antiproliferative activity has been associated with their ability to block the binding of certain transcription factors to gene promoters. Despite their biological activity, their use as anticancer agents is limited by severe side effects. Therefore, in our pursuit of new structurally related molecules showing both lower toxicity and higher biological activity, we have examined the binding to DNA of six analogues that we have obtained by combinatorial biosynthetic procedures in the producing organisms. All these molecules bear a variety of changes in the side chain attached to C-3 of the chromophore. The spectroscopic characterization of their binding to DNA followed by the evaluation of binding parameters and associated thermodynamics revealed differences in their binding affinity. DNA binding was entropically driven, dominated by the hydrophobic transfer of every compound from solution into the minor groove of DNA. Among the analogues, mithramycin SDK and chromomycin SDK possessed the higher DNA binding affinities. PMID- 21067185 TI - Visualizing and tuning thermodynamic dispersion in metalloprotein monolayers. AB - In coupling the redox state of an adsorbed molecule to its spectral characteristics redox profiles can be directly imaged by means of far-field fluorescence. At suitable levels of dilution, on optically transparent electrode surfaces, reversible interfacial electron transfer processes can be followed pixel by pixel down to scales which approach the molecular. In mapping out switching potentials across a surface population, thermodynamic dispersion, related to variance in the orientation, electronic coupling, protein fold, electric field drop, and general surface order, can be quantified. The self assembled monolayer buffering the protein from the underlying metallic electrode surface not only acts to tune electronic coupling between the two but also potentially provides a variable more easily segmented from other contributions to molecular dispersion. We have, specifically, considered the possibility that the supporting monolayer crystallinity is a significant contributor to the subsequently observed spread in half-wave potentials. We report here that this is indeed the case and that this spread diminishes from 17 to 12 mV for the blue copper protein azurin as the supporting alkanethiol layer crystallinity increases. The work herein, then, presents not only a direct determination of submonolayer scale variance in redox character but also a means of tuning this through gross surface and entirely standard chemical means. PMID- 21067186 TI - Postsynthetic guanine arylation of DNA by Suzuki-Miyaura cross-coupling. AB - Direct radical addition reactions at the C(8)-site of 2'-deoxyguanosine (dG) can afford C(8)-Ar-dG adducts that are produced by carcinogenic arylhydrazines, polycyclic aromatic hydrocarbons, and certain phenolic toxins. Such modified nucleobases are also highly fluorescent for sensing applications and possess useful electron transfer properties. The site-specific synthesis of oligonucleotides containing the C(8)-Ar-G adduct can be problematic. These lesions are sensitive to acids and oxidants that are commonly used in solid-phase DNA synthesis and are too bulky to be accepted as substrates for enzymatic synthesis by DNA polymerases. Using the Suzuki-Miyaura cross-coupling reaction, we have synthesized a number of C(8)-Ar-G-modified oligonucleotides (dimers, trimers, decamers, and a 15-mer) using a range of arylboronic acids. Good to excellent yields were obtained, and the reaction is insensitive to the nature of the bases flanking the convertible 8-Br-G nucleobase, as both pyrimidines and purines are tolerated. The impact of the C(8)-Ar-G lesion was also characterized by electrospray ionization tandem mass spectrometry, UV melting temperature analysis, circular dichroism, and fluorescence spectroscopy. The C(8)-Ar-G modified oligonucleotides are expected to be useful substrates for diagnostic applications and understanding the biological impact of the C(8)-Ar-G lesion. PMID- 21067187 TI - Leaving group activation and pyrophosphate ionic state at the catalytic site of Plasmodium falciparum orotate phosphoribosyltransferase. AB - Plasmodium falciparum orotate phosphoribosyltransferase (PfOPRT) catalyzes the reversible pyrophosphorolysis of orotidine 5'-monophosphate (OMP). Transition state analysis from kinetic isotope effects supports a dianionic orotic acid (OA) leaving group. Isotope-edited Fourier transform infrared (FTIR) spectrometry complemented by homology modeling and quantum chemical calculations were used to characterize the orotate hydrogen-bond network for PfOPRT. Bond stretch frequencies for C(2)?O and C(4)?O of OMP were established from (13)C-edited FTIR difference spectra. Both frequencies were shifted downward by 20 cm(-1) upon formation of the Michaelis complex. Hydrogen-bond interactions to the orotate moiety induce strong leaving group polarization by ground-state destabilization. The C(2)?O bond is 2.7 A from two conserved water molecules, and the C(4)?O bond is within 2.4 A of the NH(2)(omega) of Arg241 and the peptide NH of Phe97. Relative to free OMP, the N1 atom of PfOPRT-bound OMP indicates a DeltapK(a) of 4.6. The decreased basicity of N1 supports leaving group activation through a hydrogen-bond network at the PfOPRT active site. PfOPRT in complex with (18)O-PPi and a proposed transition-state analogue revealed a trianionic PPi nucleophile with no significant P..O bond polarization, supporting a mechanism proceeding through the migration of the ribocation toward the PPi. These results along with previous PfOPRT transition-state analyses provide reaction coordinate information for the PfOPRT-catalyzed OMP pyrophosphorolysis reaction. PMID- 21067188 TI - Kinetics of ion transfer at the ionic liquid/water nanointerface. AB - Ion transfer (IT) processes in ionic liquids (ILs) are essential for their applications in electrochemical systems and chemical separations. In this Article, the first measurements of IT kinetics at the IL/water interface are reported. Steady-state voltammetry was performed at the nanometer-sized polarizable interface between water and ionic liquid, [THTDP(+)][C(4)C(4)N(-)], immiscible with it that was formed at the tip of a nanopipet. Kinetic measurements at such interfaces are extremely challenging because of slow mass transfer rates in IL, which is ~700 times more viscous than water. The recently developed new mode of nanopipet voltammetry, common ion voltammetry, was used to overcome technical difficulties and ensure the reliability of the extracted kinetic parameters of IT. The results suggest that the rate of interfacial IT depends strongly on solution viscosity. Voltammetric responses of nanopipets of different radii were analyzed to evaluate the effect of the electrical double layer at the liquid/liquid interface on IT kinetics. The possibility of the influence of the charged pipet wall on ion transport was investigated by comparing currents produced by cationic and anionic species. Possible effects of relaxation phenomena at the IL/water interface on IT voltammograms have also been explored. PMID- 21067189 TI - Identification of highly reactive sequences for PLP-mediated bioconjugation using a combinatorial peptide library. AB - Chemical reactions that facilitate the attachment of synthetic groups to proteins are useful tools for the field of chemical biology and enable the incorporation of proteins into new materials. We have previously reported a pyridoxal 5' phosphate (PLP)-mediated reaction that site-specifically oxidizes the N-terminal amine of a protein to afford a ketone. This unique functional group can then be used to attach a reagent of choice through oxime formation. Since its initial report, we have found that the N-terminal sequence of the protein can significantly influence the overall success of this strategy. To obtain short sequences that lead to optimal conversion levels, an efficient method for the evaluation of all possible N-terminal amino acid combinations was needed. This was achieved by developing a generalizable combinatorial peptide library screening platform suitable for the identification of sequences that display high levels of reactivity toward a desired bioconjugation reaction. In the context of N-terminal transamination, a highly reactive alanine-lysine motif emerged, which was confirmed to promote the modification of peptide substrates with PLP. This sequence was also tested on two protein substrates, leading to substantial increases in reactivity relative to their wild-type termini. This readily encodable tripeptide thus appears to provide a significant improvement in the reliability with which the PLP-mediated bioconjugation reaction can be used. This study also provides an important first example of how synthetic peptide libraries can accelerate the discovery and optimization of protein bioconjugation strategies. PMID- 21067190 TI - Slow exchange model of nonrigid rotational motion in RNA for combined solid-state and solution NMR studies. AB - Functional RNA molecules are conformationally dynamic and sample a multitude of dynamic modes over a wide range of frequencies. Thus, a comprehensive description of RNA dynamics requires the inclusion of a broad range of motions across multiple dynamic rates which must be derived from multiple spectroscopies. Here we describe a slow conformational exchange theoretical approach to combining the description of local motions in RNA that occur in the nanosecond to microsecond window and are detected by solid-state NMR with nonrigid rotational motion of the HIV-1 transactivation response element (TAR) RNA in solution as observed by solution NMR. This theoretical model unifies the experimental results generated by solution and solid-state NMR and provides a comprehensive view of the dynamics of HIV-1 TAR RNA, a well-known paradigm of an RNA where function requires extensive conformational rearrangements. This methodology provides a quantitative atomic level view of the amplitudes and rates of the local and collective displacements of the TAR RNA molecule and provides directly motional parameters for the conformational capture hypothesis of this classical RNA-ligand interaction. PMID- 21067191 TI - On the interaction of ionic detergents with lipid membranes. Thermodynamic comparison of n-alkyl-+N(CH3)3 and n-alkyl-SO4-. AB - Ionic detergents find widespread commercial applications as disinfectants, fungicides, or excipients in drug formulations and cosmetics. One mode of action is their ease of insertion into biological membranes. Very little quantitative information on this membrane-binding process is available to date. Using isothermal titration calorimetry (ITC) and dynamic light scattering (DLS), we have made a systematic comparison of the binding of cationic and anionic detergents to neutral and negatively charged lipid membranes. The detergents investigated were n-alkyl chains carrying either the trimethylammonium chloride ( (+)N(CH3)3Cl-) or the sodium sulfate (-SO4-Na(+)) headgroup with chain lengths of n = 10-16. The titration of lipid vesicles into detergent solutions provided the binding enthalpy and the binding isotherm in a model-independent manner. At 25 degrees C the membrane binding enthalpies, DeltaH(mem)(0), were small (-0.4 to 4.2 kcal/mol) and showed little correlation with the length of the alkyl chains. The ITC binding isotherms were analyzed in terms of a surface partition model. To this purpose, the surface concentration, cM, of detergent immediately above the plane of binding was calculated with the Gouy-Chapman theory. The surface concentration corrects for electrostatic attraction or repulsion and can be larger or smaller than the bulk detergent concentration, c(eq), at equilibrium. The analysis provides the chemical or hydrophobic binding constant, K(D)(0), of the detergent and the corresponding free energy. The free energies of binding, DeltaG(mem)(0), vary between -4 and -10 kcal/mol. They show a linear dependence on the chain length, which can be used to separate the contributions of the polar group and the hydrocarbon tail in membrane binding. The neutral maltose and the cationic (+)N(CH3)3 headgroup show steric repulsion energies of about 2.5 kcal/mol counteracting the hydrophobic binding of the alkyl tail, whereas the anionic SO4- headgroup makes almost no contribution to membrane binding. The chemical nature of the headgroup influences the packing density of the hydrocarbon chains in the lipid bilayer with (+)N(CH3)3 eliciting the weakest chain-chain interaction. The minimum repulsive interaction of the SO4- polar group makes the sodium n-alkyl-sulfates much stronger detergents than the nonionic or cationic counterparts, the binding constants, K(D)(0), being 10-50 times larger than those of the corresponding n-alkyl-trimethylammonium chlorides. The membrane insertion was further compared with micelle formation of the same detergent. A cooperative aggregation model which includes all possible aggregation states is proposed to analyze micelle formation. The partition function can be defined in closed form, and it is straightforward to predict the thermodynamic properties of the micellar system. When aggregated in micelles, the detergent polar groups are in direct interaction and are not separated by lipid molecules. Under these conditions the SO4- group exhibits a strong electrostatic repulsive effect of 3.2 kcal/mol, while the contributions of the maltose and (+)N(CH3)3 headgroups are very similar to those in the lipid bilayer. PMID- 21067192 TI - Molecular level insights into thermally induced alpha-chymotrypsinogen A amyloid aggregation mechanism and semiflexible protofibril morphology. AB - Understanding nonnative protein aggregation is critical not only to a number of amyloidosis disorders but also for the development of effective and safe biopharmaceuticals. In a series of previous studies [Weiss et al. (2007) Biophys. J. 93, 4392-4403; Andrews et al. (2007) Biochemistry 46, 7558-7571; Andrews et al. (2008) Biochemistry 47, 2397-2403], alpha-chymotrypsinogen A (aCgn) and bovine granulocyte colony stimulating factor (bG-CSF) have been shown to exhibit the kinetic and morphological features of other nonnative aggregating proteins at low pH and ionic strength. In this study, we investigated the structural mechanism of aCgn aggregation. The resultant aCgn aggregates were found to be soluble and exhibited semiflexible filamentous aggregate morphology under transmission electron microscopy. In addition, the filamentous aggregates were demonstrated to possess amyloid characteristics by both Congo red binding and X ray diffraction. Peptide level hydrogen exchange (HX) analysis suggested that a buried native beta-sheet comprised of three peptide segments (39-46, 51-64, and 106-114) reorganizes into the cross-beta amyloid core of aCgn aggregates and that at least ~50% of the sequence adopts a disordered structure in the aggregates. Furthermore, the equimolar, bimodal HX labeling distribution observed for three reported peptides (65-102, 160-180, and 229-245) suggested a heterogeneous assembly of two molecular conformations in aCgn aggregates. This demonstrates that extended beta-sheet interactions typical of the amyloid are sufficiently strong that a relatively small fraction of polypeptide sequence can drive formation of filamentous aggregates even under conditions favoring colloidal stability. PMID- 21067193 TI - Thin film formation of silica nanoparticle/lipid composite films at the fluid fluid interface. AB - We report a new and simple method for the formation of thin films at the interface between aqueous silica Ludox dispersions and lipid solutions in decane. The lipids used are stearic acid, stearyl amine, and stearyl alcohol alongside silica Ludox nanoparticle dispersions of varying pH. At basic pH thin films consisting of a mixture of stearic acid and silica nanoparticles precipitate at the interface. At acidic and neutral pH we were able to produce thin films consisting of stearyl amine and silica particles. The film growth was studied in situ with interfacial shear rheology. In addition to that, surface pressure isotherm and dynamic light scattering experiments were performed. The films all exhibit strong dynamic rheological moduli, rendering them an interesting material for applications such as capsule formation, surface coating, or as functional membranes. PMID- 21067194 TI - Property and reactivity of fluoro(silyl)acetylenes and fluoro(stannyl)acetylenes. AB - Fluoro(silyl)acetylenes and fluoro(stannyl)acetylenes underwent a radical addition reaction of THF to furnish the corresponding fluorinated cyclic ethers in moderate to good yields. These intriguing addition reaction proved to proceed via a radical reaction mechanism. PMID- 21067195 TI - Synthetic approaches to bicyclic diazenium salts. AB - Bicyclic diazenium salts have been prepared from alpha-chloroazo species via a Lewis acid-mediated intramolecular cycloaddition. An alternative, more direct, route to these salts by the reaction of hydrazones with dimethylsulfonium ditriflate is also described. Terminal olefins provided mixtures of fused and bridged bicyclic diazenium salts. The ratio of the fused and bridged species was observed to depend on the electronics of the N-aryl substituent, which is explained by considering a concerted asynchronous cycloaddition mechanism. PMID- 21067196 TI - Theoretical study of the inner hydrogen migration in the beta-substituted 5,10,15,20-tetraphenylporphyrins. AB - In order to investigate the mechanism of the N-H migration in asymmetrical metal free porphyrins, four porphyrins of electron-withdrawing or electron-donating substituent at the beta-position were studied theoretically. For porphyrin 2 (R = OMe), 3 (R = Me), and 4 (R = NO(2)), four different asynchronous N-H migration pathways exist due to symmetry reasons. The corresponding trans-, cis-, and transition state geometries were analyzed using a normal structure decomposition method. Our data show that the hydrogen migration of porphyrin 2, 3, and 4 in clockwise (A, B) are much more preferred than counterclockwise (C, D) direction. PMID- 21067197 TI - Potentiometric investigation of protonation reactions at aqueous-aqueous boundaries within a dual-stream microfluidic structure. AB - The laminar flow regime prevailing in pressure-driven flow through a Y-shaped microfluidic channel was utilized to create a stable boundary between two aqueous liquids. Transverse transport of ions between these two liquids gave rise to a diffusion potential, which was monitored by measurement of the open circuit potential. In this report, the influence on the cross-channel potential distribution of protonation reactions occurring in the boundary zone between the two co-flowing liquids is presented. The proton source was present in one of the co-flowing streams, and an uncharged proton acceptor was present in the other aqueous stream. The time-dependent transport equation for diffusion and migration was augmented by chemical reaction terms and was solved for all species present in both streams as a theoretical basis for the analysis. Within this model, the system was assumed to be homogeneous along the channel height, and effects of nonuniform velocity profiles were neglected. A reduction in potential by several millivolts was predicted for a protonation reaction occurring close to the boundary between the two aqueous streams, provided that the mobility of the protonated species was lower than the mobility of the co-cation in the background electrolyte (alkali metal cation in this case). The magnitude of the decrease in the potential was greater for protonated molecules with lower mobility or if the mobility of the background electrolyte cation was increased. Experimental results are presented for imidazole and D-histidine as proton acceptors present in 10 mM KCl, 10 mM NaCl, or 10 mM CsCl solution and co-flowing with a stream of 10 mM hydrochloric acid, which served as the proton source. Decreases in measured potential, in line with the predicted diminished potential, were obtained. PMID- 21067198 TI - Magnetic "fishing" assay to screen small-molecule mixtures for modulators of protein-protein interactions. AB - Protein-protein interactions are an intricate part of biological pathways and have become important targets for drug discovery. Here we present a two-stage magnetic bead assay to functionally screen small-molecule mixtures for modulators of protein-based interactions, with simultaneous affinity-based isolation of active compounds and identification by mass spectrometry. Proteins of interest interact in solution prior to the addition of Ni(II)-functionalized magnetic beads to recover an intact protein-protein complex through affinity capture of a polyhistidine-tagged primary target ("protein-complex fishing"). Protein-complex fishing, utilizing His(6)-tagged calmodulin (CaM) as the primary (bait) protein and melittin (Mel) as the target, was used to screen a mass-encoded library of 1000 bioactive compounds (50 mixtures, 20 compounds each) and successfully identified three known antagonists, three naturally occurring phenolic compounds previously reported to disrupt CaM-activated phosphodiesterase activity, and two newly identified modulators of the CaM-Mel interaction, methylbenzethonium and pempidine tartrate. The ability to produce quantitative inhibition data is also shown through the development of dose-dependent response curves and the determination of inhibition constants (K(I)) for the novel compound methylbenzethonium (K(I) = 14-49 nM) and two known antagonists, calmidazolium (K(I) = 1.7-7.5 nM) and trifluoperazine (K(I) = 1.2-3.0 MUM), with the latter two values being in close agreement with literature values. PMID- 21067199 TI - Reactive adsorption of NO2 on copper-based metal-organic framework and graphite oxide/metal-organic framework composites. AB - Composites of a copper-based metal-organic framework (MOF) and graphite oxide (GO) were tested for NO2 adsorption and retention of NO in dry and moist conditions. The samples were analyzed before and after exposure to NO2 by thermal analysis, Fourier transform infrared spectroscopy (FTIR), X-ray diffraction, and adsorption of nitrogen at -196 degrees C. In dry conditions, the composites exhibit an enhanced NO2 breakthrough capacity compared to MOF and GO separately. This improvement is linked to the increased porosity and the reactive adsorption of NO2 on copper, which leads to the formation of bidentate and monodentate nitrate. Even though less NO2 is adsorbed in moist conditions than in dry ones, the materials are more stable than in dry conditions and the NO retention is enhanced. Water in the challenge gas competes with NO2 to bind to copper, and thus, the number of reactive adsorption sites on which NO2 can be adsorbed/reacted decreases. PMID- 21067200 TI - Bilayer formation between lipid-encased hydrogels contained in solid substrates. AB - Solidified biomolecular networks that incorporate liquid-supported lipid bilayers are constructed by attaching lipid-encased, water-swollen hydrogels contained in oil. Poly(ethylene glycol) dimethacrylate (PEG-DMA) and a free-radical photoinitiator are added to an aqueous lipid vesicle solution such that exposure to ultraviolet light results in solidification of neighboring aqueous volumes. Bilayer formation can occur both prior to photopolymerization with the aqueous mixture in the liquid state and after solidification by using the regulated attachment method (RAM) to attach the aqueous volumes contained within a flexible substrate. In addition, photopolymerization of the hydrogels can be performed in a separate mold prior to placement in the supporting substrate. Membranes formed across a wide range of hydrogel concentrations [0-80% (w/v); MW=1000 g/mol PEG DMA] exhibit high electrical resistances (1-10 GOmega), which enable single channel recordings of alamethicin channels and show significant durability and longevity. We demonstrate that just as liquid phases can be detached and reattached using RAM, reconfiguration of solid aqueous phases is also possible. The results presented herein demonstrate a step toward constructing nearly solid state biomolecular materials that retain fluid interfaces for driving molecular assembly. This work also introduces the use of three-dimensional printing to rapidly prototype a molding template used to fabricate polyurethane substrates and to shape individual hydrogels. PMID- 21067201 TI - Fluoroalkylated silicon-containing surfaces-estimation of solid-surface energy. AB - The design of robust omniphobic surfaces, which are not wetted by low-surface tension liquids such as octane (gammalv=21.6 mN/m) and methanol (gammalv=22.7 mN/m), requires an appropriately chosen surface micro/nanotexture in addition to a low solid-surface energy (gammasv). 1H,1H,2H,2H-Heptadecafluorodecyl polyhedral oligomeric silsesquioxane (fluorodecyl POSS) offers one of the lowest solid surface energy values ever reported (gammasv~10 mN/m) and has become the molecule of choice for coating textured surfaces. In this work, we synthesize and evaluate a series of related molecules that either retain the POSS cage and differ in fluoroalkyl chain length or that retain the fluorodecyl chains surrounding a linear or cyclic molecular structure. The solid-surface energy (gammasv) of these molecules was estimated using contact angle measurements on flat spin-coated silicon wafer surfaces. Zisman analysis was performed using a homologous series of n-alkanes (15.5<=gammalv<=27.5 mN/m), whereas Girifalco-Good analysis was performed using a set of polar and nonpolar liquids with a wider range of liquid surface tension (15.5<=gammalv<=72.1 mN/m). The hydrogen-bond-donating, hydrogen bond-accepting, polar, and nonpolar (dispersion) contributions to the solid surface energy of each compound were determined by probing the surfaces using a set of three liquid droplets of either acetone, chloroform, and dodecane or diiodomethane, dimethyl sulfoxide, and water. PMID- 21067202 TI - In situ thermal preparation of polyimide nanocomposite films containing functionalized graphene sheets. AB - Graphene oxides (GO) were exfoliated in N,N-dimethylformamide by simple sonication treatment of the as-prepared high quality graphite oxides. By high speed mixing of the pristine poly(amic acid) (PAA) solution with graphene oxide suspension, PAA solutions containing uniformly dispersed GO can be obtained. Polyimide (PI) nanocomposite films with different loadings of functionalized graphene sheets (FGS) can be prepared by in situ partial reduction and imidization of the as-prepared GO/PAA composites. Transmission electron microscopy observations showed that the FGS were well exfoliated and uniformly dispersed in the PI matrix. It is interesting to find that the FGS were highly aligned along the surface direction for the nanocomposite film with 2 wt % FGS. Tensile tests indicated that the mechanical properties of polyimide were significantly enhanced by the incorporation of FGS, due to the fine dispersion of high specific surface area of functionalized graphene nanosheets and the good adhesion and interlocking between the FGS and the matrix. PMID- 21067203 TI - Side effect reduction of encapsulated hydrocortisone crystals by insulin/alginate shells. AB - Insulin/alginate (ALG) microcapsules for controllable release and side effect reduction of a glucocorticoid have been fabricated via the layer-by-layer (LbL) assembly technique. Insulin and ALG are deposited alternately onto hydrocortisone (HC) crystals to form a core-shell structure. This insulin/ALG microcapsule can prolong the release of HC under physical conditions and control the HC release rate by adjusting the number of insulin/ALG bilayers adsorbed onto HC crystals. The release of insulin from the capsule wall exhibits a little lag, compared with that of the HC. It is a great advantage for this system because hyperglycemia caused by HC usually arises a few hours after its administration, which could be inhibited by the delayed release of insulin from the shell of the microcapsule. This synergy effect might enable a new way of using one carrier to deliver two kinds of drugs and reduce their side effects at the same time. PMID- 21067204 TI - Radioadaptive response induced by alpha-particle-induced stress communicated in vivo between zebrafish embryos. AB - We report data demonstrating that zebrafish embryos irradiated by alpha particles can release a stress signal into the water, which can be communicated to the unirradiated zebrafish embryos sharing the same water medium and thereby inducing a radioadaptive response in these unirradiated zebrafish embryos. The effects of radiation on the whole embryos were studied through quantification of apoptotic signals at 24 h post fertilization through staining with the vital dye acridine orange, followed by counting the stained cells under a microscope. In these experiments, dechorionated embryos were irradiated and then partnered with two other groups of unirradiated embryos, namely the bystander group (no more further treatments) and adaptive group (subjected to a further challenging dose) of embryos. The adaptive group of embryos were then separately further irradiated with a challenging dose. The results show that the number of apoptotic signals for the adaptive group is smaller than that for the corresponding control group, while that for the bystander group is larger than that for the corresponding control group. These suggest that the stress communicated in vivo between the irradiated zebrafish embryos and those unirradiated embryos sharing the same medium will induce radioadaptive response in the unirradiated embryos. PMID- 21067205 TI - Chemically tunable electrochemical dissolution of noncontinuous polyelectrolyte assemblies: an in situ study using ecAFM. AB - The electrochemically triggered dissolution of noncontinuous polyelectrolyte assemblies presenting distinct nanomorphologies and its tuning by chemical cross linking were monitored locally, in situ, by electrochemical atomic force microscopy. Poly-l-lysine and hyaluronic acid deposited layer-by-layer on indium tin oxide electrodes at specific experimental conditions formed well-defined nanostructures whose morphologies could be easily and precisely followed along the dissolution process. In addition to shrinkage of polyelectrolyte nanodroplets, ecAFM images revealed the faster dissolution of coalesced structures compared to droplet-like complexes, and the readsorption of dissolved polyelectrolytes onto slower dissolving neighboring structures. Covalently cross linked PLL/HA assemblies dissolved only partially, and exhibited slower dissolution rates compared to native multilayers, with a clear dependence on the cross-link density. Tuning the electrochemical dissolution of polyelectrolyte multilayers through chemical cross-linking opens new prospects for future biomedical applications, such as the development of advanced drug or gene delivery platforms allowing for tightly controlled releases of different compounds at specific rates. PMID- 21067206 TI - Absolute configuration of (-)-gambogic acid, an antitumor agent. AB - (-)-Gambogic acid (1), a biologically active "caged xanthone" from gamboge, the dried resin of Garcinia hanburyi, is of interest as a potential anticancer agent. The planar structure of (-)-gambogic acid has been determined previously by analysis of its detailed NMR data and confirmed by single-crystal X-ray diffraction, with the absolute configuration at C-13 deduced as R through a series of chemical degradations. Using (-)-morellic acid (2), an analogue of (-) gambogic acid, as a model compound, the 5R, 7S, 10aS, 13R, 27S absolute configuration of (-)-gambogic acid was determined for the first time by comparison of physical and spectroscopic data, especially experimental and calculated electronic circular dichroism. PMID- 21067207 TI - Stability of coronene at high temperature and pressure. AB - The infrared response of coronene (C(24)H(12)) under pressure and temperature conditions up to 10 GPa and 300 degrees C is examined in situ using a diamond anvil cell and synchrotron-source Fourier transform infrared (FTIR) spectroscopy. Coronene is a polycyclic aromatic hydrocarbon that is present in the interstellar medium and meteorites which may have contributed to the Earth's primordial carbon budget. It appears to undergo a reversible phase transition between 2 and 3.2 GPa at ambient temperature; new intramolecular bonds in the region 840-880 cm(-1) result from compression. We document the shift of spectral features to higher wavenumbers with increasing pressure but find this change suppressed by increased temperature. By investigating the stability of coronene over a range of naturally occurring conditions found in a range of environments, we assess the survival of the molecule through various terrestrial and extraterrestrial processes. Coronene has previously been shown to survive atmospheric entry during Earth accretion; this can now be extended to include survival through geological processes such as subduction and silicate melting of the rock cycle, opening the possibility of extraterrestrial coronene predating terrestrial accretion existing on Earth. PMID- 21067209 TI - Conversion of 1,4-diketones into para-disubstituted benzenes. AB - Reaction of acetylides with aldehydes to form but-2-yne-1,4-diols, followed by triple bond reduction and oxidation of the hydroxyl groups, gives 1,4-diketones; these react with vinyllithium, and the resulting diols undergo ring-closing metathesis to form 2-cyclohexene-1,4-diols. Dehydration, usually by acid treatment, then gives benzenes carrying substituents in a 1,4 relationship. Use of substituted vinyllithiums provides further substitution on the final benzene rings. The method can be applied to the synthesis of C5-aryl carbohydrates. PMID- 21067208 TI - Development of a trajectory model for predicting attachment of submicrometer particles in porous media: stabilized NZVI as a case study. AB - A new trajectory simulation algorithm was developed to describe the efficiency of a single collector (pore) to catch submicrometer particles moving through saturated porous media. A constricted-tube model incorporating the deterministic (interception, hydrodynamic retardation, van der Waals force and gravitational sedimentation), stochastic (Brownian diffusion), and thermodynamic (electrostatic and steric repulsion force) mechanisms was established to predict the transport and deposition of surface modified nanoscale zerovalent iron (NZVI) particles by applying Lagrangian trajectory analytical approach. The simulation results show good agreement with the results predicted by existing energy-barrier-free models except for the particle size less than 100 nm at low approach velocity. The number of realizations per start location could be decreased down to 100 with the simulations still exhibiting acceptable relative standard deviation for engineering purposes. With the consideration of energy barriers, the model successfully describes the breakthrough curve of polymer-modified NZVI in a benchtop soil column as well. The novel simulation scheme can be a useful tool for predicting the behavior of the nanoscale colloidal particles moving through filter beds or saturated soil columns under conditions with repulsion and attraction forces among surfaces. PMID- 21067210 TI - Clerodane diterpenes from Casearia arguta that act as synergistic TRAIL sensitizers. AB - Casearia arguta was investigated as part of the ongoing search for synergistic TRAIL (tumor necrosis factor-alpha-related apoptosis-inducing ligand) sensitizers. As a result of this study, argutins A-H, eight new highly oxygenated clerodane diterpenes, were isolated from the plant Casearia arguta collected in Guatemala. The modified Mosher ester method was utilized to establish the absolute configuration of argutins A and F. Each of the argutins showed varying levels of synergy with TRAIL. Argutin B showed the highest TRAIL sensitization; the synergistic effect of argutin B and TRAIL together was 3-fold greater than argutin B alone. PMID- 21067211 TI - Polyelectrolyte coating provides a facile route to suspend gold nanorods in polar organic solvents and hydrophobic polymers. AB - The widely used and versatile polyelectrolyte layer-by-layer (LbL) nanoparticle coating strategy allows for gold nanorods to be transferred from aqueous media into a broad range of polar organic solvents without aggregation. The uniform dispersity and stability of the nanorods in organic solvents allows for uniform incorporation of nanorods into a variety of hydrophobic polymers. PMID- 21067212 TI - Distance-dependent diffusion-controlled reaction of *NO and O2*- at chemical equilibrium with ONOO-. AB - The fast reaction of (*)NO and O(2)(*-) to give ONOO(-) has been extensively studied at irreversible conditions, but the reasons for the wide variations in observed forward rate constants (3.8 <= k(f) <= 20 * 10(9) M(-1) s(-1)) remain unexplained. We characterized the diffusion-dependent aqueous (pH > 12) chemical equilibrium of the form (*)NO + O(2)(*-) = ONOO(-) with respect to its dependence on temperature, viscosity, and [ONOO(-)](eq) by determining [ONOO(-)](eq) and [(*)NO](eq). The equilibrium forward reaction rate constant (k(f)(eq)) has negative activation energy, in contrast to that found under irreversible conditions. In contradiction to the law of mass action, we demonstrate that the equilibrium constant depends on ONOO(-) concentration. Therefore, a wide range of k(f)(eq) values could be derived (7.5-21 * 10(9) M(-1) s(-1)). Of general interest, the variations in k(f) can thus be explained by its dependence on the distance between ONOO(-) particles (sites of generation of (*)NO and O(2)(*-)). PMID- 21067213 TI - First halogen anion-bridged (MMX)(n)-type one-dimensional coordination polymer built upon d(10)-d(10) dimers. AB - The complex [Ag(2)(PhPPy(2))(2)(NCCH(3))(2)](ClO(4))(2) [PhPPy(2) = bis(2 pyridyl)phenylphosphine] reacts with NH(4)Cl to form an insoluble one-dimensional polymer of the type (MMX)(n), {[Ag(2)(PhPPy(2))(2)Cl](ClO(4))}(n). The binuclear unit, Ag(2)(PhPPy(2))(2)(2+), exhibits two PhPPy(2) tridentate ligands bridging the two Ag atoms in a head-to-tail fashion with C(2h) symmetry, and the Ag...Ag distance [3.0942(11) A, X-ray] suggests argentophilic interactions. Each Ag center adopts a distorted trigonal-bipyramidal geometry, coordinated by one P atom and two pyridyl arms at the equatorial positions and interacting with one Cl ion and one Ag ion at the axial positions. The short Ag-Cl bond length [2.5791(7) A] indicates the presence of some covalent character. The solid-state absorption bands spread all the way to 600 nm have been interpreted by means of density functional theory (DFT) and time-dependent DFT (B3LYP), and the lowest-energy excited states are assigned to metal/halide-to-pyridyl charge transfer, consistent with the d(10) electronic configuration of Ag. The calculated oscillator strengths are low because of the poor molecular orbital overlaps in the charge-transfer components. The novel material exhibits a luminescence band centered at about ~520 nm. PMID- 21067214 TI - The importance of peptide detectability for protein identification, quantification, and experiment design in MS/MS proteomics. AB - Peptide detectability is defined as the probability that a peptide is identified in an LC-MS/MS experiment and has been useful in providing solutions to protein inference and label-free quantification. Previously, predictors for peptide detectability trained on standard or complex samples were proposed. Although the models trained on complex samples may benefit from the large training data sets, it is unclear to what extent they are affected by the unequal abundances of identified proteins. To address this challenge and improve detectability prediction, we present a new algorithm for the iterative learning of peptide detectability from complex mixtures. We provide evidence that the new method approximates detectability with useful accuracy and, based on its design, can be used to interpret the outcome of other learning strategies. We studied the properties of peptides from the bacterium Deinococcus radiodurans and found that at standard quantities, its tryptic peptides can be roughly classified as either detectable or undetectable, with a relatively small fraction having medium detectability. We extend the concept of detectability from peptides to proteins and apply the model to predict the behavior of a replicate LC-MS/MS experiment from a single analysis. Finally, our study summarizes a theoretical framework for peptide/protein identification and label-free quantification. PMID- 21067215 TI - How to conceptualize catalytic cycles? The energetic span model. AB - A computational study of a catalytic cycle generates state energies (the E representation), whereas experiments lead to rate constants (the k representation). Based on transition state theory (TST), these are equivalent representations. Nevertheless, until recently, there has been no simple way to calculate the efficiency of a catalytic cycle, that is, its turnover frequency (TOF), from a theoretically obtained energy profile. In this Account, we introduce the energetic span model that enables one to evaluate TOFs in a straightforward manner and in affinity with the Curtin-Hammett principle. As shown herein, the model implies a change in our kinetic concepts. Analogous to Ohm's law, the catalytic chemical current (the TOF) can be defined by a chemical potential (independent of the mechanism) divided by a chemical resistance (dependent on the mechanism and the nature of the catalyst). This formulation is based on Eyring's TST and corresponds to a steady-state regime. In many catalytic cycles, only one transition state and one intermediate determine the TOF. We call them the TOF-determining transition state (TDTS) and the TOF-determining intermediate (TDI). These key states can be located, from among the many states available to a catalytic cycle, by assessing the degree of TOF control (X(TOF)); this last term resembles the structure-reactivity coefficient in classical physical organic chemistry. The TDTS-TDI energy difference and the reaction driving force define the energetic span (deltaE) of the cycle. Whenever the TDTS appears after the TDI, deltaE is the energy difference between these two states; when the opposite is true, we must also add the driving force to this difference. Having deltaE, the TOF is expressed simply in the Arrhenius-Eyring fashion, wherein deltaE serves as the apparent activation energy of the cycle. An important lesson from this model is that neither one transition state nor one reaction step possess all the kinetic information that determines the efficiency of a catalyst. Additionally, the TDI and TDTS are not necessarily the highest and lowest states, nor do they have to be adjoined as a single step. As such, we can conclude that a change in the conceptualization of catalytic cycles is in order: in catalysis, there are no rate-determining steps, but rather rate-determining states. We also include a study on the effect of reactant and product concentrations. In the energetic span approximation, only the reactants or products that are located between the TDI and TDTS accelerate or inhibit the reaction. In this manner, the energetic span model creates a direct link between experimental quantities and theoretical results. The versatility of the energetic span model is demonstrated with several catalytic cycles of organometallic reactions. PMID- 21067217 TI - Arachidin-1, a peanut stilbenoid, induces programmed cell death in human leukemia HL-60 cells. AB - The stilbenoids, arachidin-1 (Ara-1), arachidin-3, isopentadienylresveratrol, and resveratrol, have been isolated from germinating peanut kernels and characterized as antioxidant and anti-inflammatory agents. Resveratrol possesses anticancer activity, and studies have indicated that it induces programmed cell death (PCD) in human leukemia HL-60 cells. In this study, the anticancer activity of these stilbenoids was determined in HL-60 cells. Ara-1 had the highest efficacy in inducing PCD in HL-60 cells, with an approximately 4-fold lower EC50 than resveratrol. Ara-1 treatment caused mitochondrial membrane damage, activation of caspases, and nuclear translocation of apoptosis-inducing factor, resulting in chromosome degradation and cell death. Therefore, Ara-1 induces PCD in HL-60 cells through caspase-dependent and caspase-independent pathways. Ara-1 demonstrates its efficacy as an anticancer agent by inducing caspase-independent cell death, which is an alternative death pathway of cancer cells with mutations in key apoptotic genes. These findings indicate the merits of screening other peanut stilbenoids for anticancer activity. PMID- 21067218 TI - 1-(5-Carboxyindol-1-yl)propan-2-one inhibitors of human cytosolic phospholipase A2alpha: effect of substituents in position 3 of the indole scaffold on inhibitory potency, metabolic stability, solubility, and bioavailability. AB - Indole-5-carboxylic acids with 3-aryloxy-2-oxopropyl residues in position 1 have been found to be potent inhibitors of human cytosolic phospholipase A2alpha (cPLA2alpha). In the course of structure-activity relationship studies, we investigated the effect of a substitution of indole 3 position with acyl, alkyl, and oxadiazole residues. The highest increase of inhibitory potency could be achieved by a 3-methyl-1,2,4-oxadiazol-5-yl-moiety. Appropriate compound 40 revealed an IC50 of 0.0021 MUM against isolated cPLA2alpha. In a cellular assay applying human platelets 40 blocked cPLA2alpha activity even with an IC50 of 0.0006 MUM. Metabolic stability and aqueous solubility of the target compounds were also determined. Furthermore, one selected compound was tested for peroral bioavailability in mice. PMID- 21067219 TI - Synthesis and characterization of fused pyrrolo[3,2-d:4,5-d']bisthiazole containing polymers. AB - The synthesis of a novel electron-deficient fused pyrrolo[3,2-d:4,5 d']bisthiazole is reported from 2-bromothiazole. This was copolymerized with thiophene, selenophene, thienothiophene, and bithiophene by microwave-assisted Stille polycondensation. The resulting polymers exhibited small optical band gaps combined with low-lying HOMO energy levels and demonstrated semiconducting behavior in organic field effect transistors. PMID- 21067220 TI - Efficient syntheses of the unknown quinolino[2,3-c]cinnolines; synthesis of neocryptolepines. AB - A facile, efficient, three-step protocol for the synthesis of the unknown quinolino[2,3-c]cinnoline 5 is introduced. In addition, a new approach for the preparation of the biologically active neocryptolepines 8 in good overall yields is described. PMID- 21067221 TI - Total synthesis of TAK-kinase inhibitor LL-Z1640-2 via consecutive macrocyclization and transannular aromatization. AB - The biomimetic total synthesis of LL-Z1640-2 (3) is reported without the use of phenol protection. The aromatic unit was constructed via the transannular aromatization of macrocyclic triketo-ester 2, which in turn was synthesized by macrolactonization using an intramolecular trapping of a triketo-ketene derived from dioxinone 1. PMID- 21067222 TI - Di- and trisubstituted gamma-lactams via Rh(II)-carbenoid reaction of N-Calpha branched, N-bis(trimethylsilyl)methyl alpha-diazoamides. Synthesis of (+/-)-alpha allokainic acid. AB - Acyclic N-C(alpha)-branched, N-bis(trimethylsilyl)methyl (N-BTMSM) diazoamides undergo regio-, chemo-, and diastereoselective Rh(II)-carbenoid C-H insertion to give 4,5-disubstituted and 3,4,5-trisubstituted gamma-lactams. The conformational influence of the N-BTMSM group and the electronic effect of the O-pivaloyl moiety of the C(alpha)-oxymethylene unit are essential for the observed regioselectivity. The synthesis of alpha-allokainic acid demonstrates the utility of the method. PMID- 21067223 TI - Microwave-assisted, Pd(0)-catalyzed cross-coupling of diazirines with aryl halides. AB - Pd(0)-catalyzed cross-coupling reactions of diazirines with aryl halides under microwave heating conditions afford a series of substituted olefins. A reaction mechanism involving the migratory insertion of the Pd carbene intermediate is proposed. PMID- 21067224 TI - Facile fabrication and optical property of hollow SnO2 spheres and their application in water treatment. AB - Hollow SnO(2) spheres with smooth surface have been fabricated by a low temperature template-free solution phase route via self-assembly of small nanocrystalline particles. These hollow spheres have a very thin shell thickness of about 10 nm and are built from SnO(2) nanocrystals of an average size of 5.3 nm. The evacuation behavior of inside-out Ostwald ripening can be used to explain the formation of hollow spheres according to results of time-dependent reactions. The cathodoluminescence spectrum indicates a blue shift of the band gap emission peak of SnO(2), originating from quantum confinement effect due to the nanoscale size of SnO(2) particles. The as-prepared SnO(2) hollow spheres were also found to exhibit excellent performance in wastewater treatment. PMID- 21067225 TI - Effect of water contact on the density distributions of thin supported polymer films investigated by an X-ray reflectivity method. AB - The diffusion processes of water molecules into polymer films (PMMA/PS homopolymers and random copolymers) in contact with liquid water were investigated using gravimetric methods and X-ray reflectivity (XRR) analysis. Methods of water contact and XRR measurement were designed for studying the systems in the nonequilibrium state of diffusion. Gravimetric measurements confirmed the Fickian diffusion behavior of films in contact with water. Vertical density distributions in PMMA and methylmethacrylate-rich copolymer films demonstrate the existence of a water-rich layer at the interface. However, with further absorption of water into the film, the overall density increased throughout the film. The results suggest that the diffusion of water into the polymer film occurs to recover density uniformity with a high concentration of water molecules at the surface. Some XRR data for the PS- and styrene-rich copolymer films could not be fit and converted to a vertical density distribution because of their huge diffusion coefficients. However, the reflectivity curves for these films and the vertical density distribution after sufficient water contact suggested that the surfaces of these films were commonly diffused after water contact. Atomic force microscopy (AFM) analysis demonstrated that the surface roughness of these films actually increased with water content. PMID- 21067226 TI - Series of comparable dinuclear group 4 neo-pentoxide precursors for production of pH dependent group 4 nanoceramic morphologies. AB - A series of similarly structured Group 4 alkoxides was used to explore the cation effect on the final ceramic nanomaterials generated under different pH solvothermal (SOLVO) conditions. The synthesis of [Ti(MU-ONep)(ONep)(3)](2) (1, ONep = OCH(2)C(CH(3))(3)) and {[H][(MU-ONep)(3)M(2)(ONep)(5)(OBu(t))]} where M = Zr (2) and Hf (3, OBu(t) = OC(CH(3))(3)) were realized from the reaction of M(OBu(t))(4) (M = Ti, Zr, Hf) and H-ONep. Crystallization of 1 from py led to the isolation of [Ti(MU-ONep)(ONep)(3)](2)(MU-py) (1a) whereas the dissolution of 2 or 3 in py yielded {(MU(3)-O)(MU(3)-OBu(t))[(MU-ONep)M(ONep)(2)](3)} M = Zr (2a) and Hf (3a). The structurally similar congener set of 1-3 was used to investigate variations of their resultant nanomaterials under solvothermal conditions at high (10 M KOH), low (conc. (aq) HI), and neutral (H(2)O) pH conditions. Reproducible nanodots, -squares, and -rods of varied aspect ratios were isolated based on cation and the reaction pH. The hydrolysis products were reasoned to be the "seed" nucleation sites in these processes, and studying the hydrolysis behavior of 1-3 led to the identification of [Ti(6)(MU(3)-O)(7)(MU-O)(MU ONep)(2)(ONep)(6)](2) (1b) for 1 but yielded 2a and 3a for 2 and 3, respectively. A correlation was found to exist between these products and the final nanomaterials formed for the acidic and neutral processes. The basic route appears to be further influenced by another property, possibly associated with the solubility of the final nanoceramic material. PMID- 21067227 TI - Crystal structures and solution properties of discrete complexes composed of saddle-distorted molybdenum(v)-dodecaphenylporphyrins and keggin-type heteropolyoxometalates linked by direct coordination. AB - Reactions of a saddle-distorted Mo(V)-porphyrin complex, [Mo(DPP)(O)(H(2)O)]ClO(4) (1.ClO(4); DPP(2-) = dodecaphenylporphyrin dianion), with tetra-n-butylammonium (TBA) salts of Keggin-type heteropolyoxomatalates (POMs), alpha-[XW(12)O(40)](n-) (X = P, n = 3, 2; X = Si, n = 4, 3; X = B, n = 5; 4), in ethyl acetate/acetonitrile gave 2:1 complexes formulated as [{Mo(DPP)(O)}(2)(HPW(12)O(40))] (5), [{Mo(DPP)(O)}(2)(H(2)SiW(12)O(40))] (6), and [(n-butyl)(4)N](2)[{Mo(DPP)(O)}(2)(HBW(12)O(40))] (7) under mild reaction conditions. The crystal structures of the complexes were determined by X-ray crystallography. In these three complexes, named Porphyrin Hamburgers, the POM binds to two Mo(V) centers of porphyrin units directly via coordination of two terminal oxo groups. In spite of the similarity of those POM's structures, those Porphyrin Hamburgers exhibit different coordination bond angles between POM and the Mo(V) center in the porphyrin: 5 and 7 show two different coordination bond angles in one molecule in contrast to 6, which exhibits only one coordination bond angle. The Porphyrin Hamburgers involve protonation of the POM moieties to adjust the charge balance, as confirmed by spectroscopic titration with bases. In the crystals, the Porphyrin Hamburgers form two-dimensional (2D) sheets in the ac plane based on pi-pi interactions among peripheral phenyl substituents. Stacking of the 2D sheets toward the b axis constructs a 3D layered structure involving channels running into the crystallographic [1 0 0] and [0 0 1] directions in the crystal to include solvent molecules of crystallization for 5-7, and also counter cations for 7. Three complexes were revealed to be stable enough to maintain their structures even in solutions to show molecular ion peaks in the MALDI-TOF MS measurements. They also exhibited different electron paramagnetic resonance (EPR) signals because of the Mo(V) (S = 1/2, I = 0) centers, reflecting the difference in the crystal structures. In addition, these complexes showed reversible multistep redox processes as observed in their cyclic voltammograms in benzonitrile to demonstrate high stability throughout the redox reactions in solution. PMID- 21067228 TI - Surface characterization of bovine milk phospholipid monolayers by Langmuir isotherms and microscopic techniques. AB - Monolayers were prepared from phospholipids extracted from bovine milk and used as a model system to mimic the native milk fat globule membrane (MFGM) surface structure in various microscopic experiments. The natural complex mixtures of phospholipids were isolated from bovine raw milk, raw cream, processed whole milk, and buttermilk powder by total lipid extraction and solid-phase extraction. A Langmuir film balance mounted on an epifluorescence microscope was used to analyze the physical behavior of the monolayer films and the phase coexistence resulting from the formation of phospholipid microdomains within these films. Atomic force microscopy was used for nanometer-scale topographic resolution of the microdomains. This study allowed comparison of the behavior of phospholipid monolayers from dairy products at different stages of processing, analysis of the formation of microdomains, and the study of the effect of milk processing on lipid-lipid interactions and phase coexistence. It was observed that milk processing changes the physical behavior of phospholipid monolayers by altering the phospholipid profile and the fatty acid distribution. PMID- 21067229 TI - Iron-mediated radical halo-nitration of alkenes. AB - Radical halo-nitration of alkenes using iron(III) nitrate nonahydrate and halogen salt has been developed. The present reaction proceeds by radical addition of nitrogen dioxide generated by thermal decomposition of iron(III) nitrate nonahydrate and subsequent trapping of the resultant radical by a halogen atom in the presence of halogen salt. Application of this method to synthesis of nitroalkenes is also described. The practicality of the present method using nontoxic and inexpensive iron reagents has been shown by the application to broad alkenes. PMID- 21067230 TI - In situ trapping of Boc-2-pyrrolidinylmethylzinc iodide with aryl iodides: direct synthesis of 2-benzylpyrrolidines. AB - Addition of (S)-(+)-tert-butyl 2-(iodomethyl)pyrrolidine-1-carboxylate to activated zinc, aryl halides, and a catalyst derived from Pd(2)(dba)(3) (2.5 mol %) and SPhos (5 mol %) in DMF allows trapping of the corresponding organozinc reagent, with formation of Boc-protected 2-benzylpyrrolidines (20-72%). PMID- 21067231 TI - Investigations on the stability of stevioside and rebaudioside a in soft drinks. AB - The stability of the two steviol glycosides stevioside and rebaudioside A and the possible formation of the aglycon steviol in different soft drinks were analyzed in samples spiked with stevioside or rebaudioside A after 24, 48, and 72 h storage times at 80 degrees C. Degradation of up to 70% was observed, and stevioside was less stable than rebaudioside A. Stevioside and rebaudioside A and their degradation products were analyzed by high-performance liquid chromatography with ultraviolet detection (UV-HPLC) on a HILIC analytical column, and the identity of the degradation products was confirmed by liquid chromatography-electrospray ionization mass spectrometry (LC-ESI-MS(n)) in negative mode. A UV-HPLC method was developed using a C18 analytical column to exclude the presence of the aglycon steviol, which gave a positive response in the forward mutation assay using the sensitive Salmonella typhimurium TM677 strain. The recoveries of steviol with this method ranged from 95.9 to 109.2%, and the calibration curves were linear from 1 to 100 MUg/mL with R(2) = 0.9999. The limit of detection was 1 MUg/mL. Confirmation by LC-ESI-MS(n) resulted in a LOD of 6 ng/mL. The absence of steviol in the degraded samples could be unambiguously confirmed by UV-HPLC and by LC-ESI-MS(n). PMID- 21067232 TI - Concise total syntheses of (+)-strictifolione and (6R)-6-[(4R,6R)-4,6-dihydroxy 10-phenyldec-1-enyl]-5,6-dihydro-2H-pyran-2-one. AB - Concise and efficient asymmetric total syntheses of (+)-strictifolione 1 and (6R) 6-[(4R,6R)-4,6-dihydroxy-10-phenyldec-1-enyl]-5,6-dihydro-2H-pyran-2-one 2 have been achieved based on the strategic application of one-pot double allylboration and ring-closing metathesis reactions. The total syntheses proceeded in only five and seven steps, respectively, from readily available 3-butenal and represent the shortest syntheses of 1 and 2 reported to date. PMID- 21067233 TI - Conceptual study on maillardized dietary fiber in coffee. AB - There is a methodological and conceptual overlap between coffee melanoidins and dietary fiber. Green Uganda coffee beans were roasted in a range from 8.1 to 21.6% of weight loss to evaluate melanoidins and dietary fiber. Samples were characterized by color, moisture, solubility, water activity, carbohydrates, polyphenols, protein, soluble dietary fiber (SDF), and melanoidins content. Hydroxymethylfurfural and chlorogenic acids were also measured as chemical markers of the extent of roasting. Melanoidins rapidly increased from 5.6 (light roasting) to 29.1 mg/100 mg soluble dry matter (dark roasting). A melanoidins like structure was already present in green coffee that might overestimate up to 21.0% of the melanoidins content as determined by colorimetric methods. However, its contribution is variable and very likely depends on the method of drying applied to green coffee. SDF content (mg/100 mg soluble dry matter) gradually increased from 39.4 in green coffee to 64.9 at severe roasting conditions due to incorporation of neoformed colored structures and polyphenols. Then, SDF progressively turns to a maillardized structure, which increased from 11.0 to 45.0% according to the roasting conditions. It is concluded that the content of coffee melanoidins includes a substantial part of dietary fiber and also that coffee dietary fiber includes melanoidins. A conceptual discussion on a new definition of coffee melanoidins as a type of maillardized dietary fiber is conducted. PMID- 21067234 TI - Novel helix-constrained nociceptin derivatives are potent agonists and antagonists of ERK phosphorylation and thermal analgesia in mice. AB - The nociceptin opioid peptide receptor (NOP, NOR, ORL-1) is a GPCR that recognizes nociceptin, a 17-residue peptide hormone. Nociceptin regulates pain transmission, learning, memory, anxiety, locomotion, cardiovascular and respiratory stress, food intake, and immunity. Nociceptin was constrained using an optimized helix-inducing cyclization strategy to produce the most potent NOP agonist (EC50 = 40 pM) and antagonist (IC50 = 7.5 nM) known. Alpha helical structures were measured in water by CD and 2D (1)H NMR spectroscopy. Agonist and antagonist potencies, evaluated by ERK phosphorylation in mouse neuroblastoma cells natively expressing NOR, increased 20-fold and 5-fold, respectively, over nociceptin. Helix-constrained peptides with key amino acid substitutions had much higher in vitro activity, serum stability, and thermal analgesic activity in mice, without cytotoxicity. The most potent agonist increased hot plate contact time from seconds up to 60 min; the antagonist prevented this effect. Such helix constrained peptides may be valuable physiological probes and therapeutics for treating some forms of pain. PMID- 21067236 TI - Substituent effects on the electron affinities and ionization energies of tria-, penta-, and heptafulvenes: a computational investigation. AB - The extent of substituent influence on the vertical electron affinities (EAs) and ionization energies (IEs) of 43 substituted tria-, penta-, and heptafulvenes was examined computationally at the OVGF/6-311G(d)//B3LYP/6-311G(d) level of theory and compared with those of tetracyanoquinodimethane (TCNQ) and tetrathiafulvalene (TTF) as representing strong electron-acceptor and -donor compounds, respectively. The substituents X at the exocyclic positions of the fulvenes were either NH(2), H, or CN, while the substituents Y at the ring positions were H, Cl, F, CN, or NH(2). The variations of the EAs and IEs were rationalized by qualitative arguments based on frontier orbital symmetries for the different fulvene classes with either X or Y being constant. The minimum and maximum values found for the calculated EAs of the tria-, penta-, and heptafulvenes were 0.51 2.05, 0.24-3.63, and 0.53-3.14 eV, respectively, and for the IEs 5.27-9.96, 7.07 10.31, and 6.35-10.59 eV, respectively. Two of the investigated fulvenes outperform TCNQ (calcd EA = 2.63 eV) and one outperforms TTF (calcd IE = 6.25 eV) with regard to acceptor and donor abilities, respectively. We also evaluated the properties of bis(fulvene)s, i.e., compounds composed of a donor-type heptafulvene fused with an acceptor-type pentafulvene, and it was revealed that these bis(fulvene)s can be designed so that the IE and EA of the two separate fulvene segments are retained, potentially allowing for the design of compact donor-acceptor dyads. PMID- 21067235 TI - Practical 4'-phosphopantetheine active site discovery from proteomic samples. AB - Polyketide and nonribosomal peptides constitute important classes of small molecule natural products. Due to the proven biological activities of these compounds, novel methods for discovery and study of the polyketide synthase (PKS) and nonribosomal peptide synthetase (NRPS) enzymes responsible for their production remains an area of intense interest, and proteomic approaches represent a relatively unexplored avenue. While these enzymes may be distinguished from the proteomic milieu by their use of the 4'-phosphopantetheine (PPant) post-translational modification, proteomic detection of PPant peptides is hindered by their low abundance and labile nature which leaves them unassigned using traditional database searching. Here we address key experimental and computational challenges to facilitate practical discovery of this important post translational modification during shotgun proteomics analysis using low resolution ion-trap mass spectrometers. Activity-based enrichment maximizes MS input of PKS/NRPS peptides, while targeted fragmentation detects putative PPant active sites. An improved data analysis pipeline allows experimental identification and validation of these PPant peptides directly from MS2 data. Finally, a machine learning approach is developed to directly detect PPant peptides from only MS2 fragmentation data. By providing new methods for analysis of an often cryptic post-translational modification, these methods represent a first step toward the study of natural product biosynthesis in proteomic settings. PMID- 21067237 TI - Heterometallic architectures based on the combination of heteroleptic copper and cobalt complexes with silver salts. AB - A strategy for the formation of heterometallic coordination polymers based on novel copper(II) and cobalt(III) heteroleptic complexes (acacCN)Cu(dpm) and (acacCN)Co(dpm)(2) (acacCN = 3-cyanoacetylacetonate; dpm = dipyrrin) is presented. Using dipyrrins appended with a p- or m-pyridyl group, dpm-4py and dpm 3py, four novel copper and cobalt complexes were prepared and characterized both in solution and in the solid state. These two classes of complexes show different electrochemical properties upon investigation by cyclic voltammetry in CH(2)Cl(2). While the copper complexes show only irreversible reduction processes, the voltammogram of the cobalt species reveals the presence of two quasi-reversible reductions. In the solid state, the copper(II) compounds self assemble to form one-dimensional architectures upon coordination of the peripheral pyridyl group to the copper center, as characterized by single-crystal X-ray diffraction. Owing to the filled coordination sphere of the octahedral cobalt centers, the (acacCN)Co(dpm-py)(2) compounds crystallize as isolated molecules. Upon reaction with silver salts, these complexes form crystalline heterometallic architectures with different organization and dimensionality, depending on the nature of the metal center and the position of the nitrogen atom in the pyridyl group. The two copper complexes lead to the formation of trinuclear species, {[(acacCN)Cu(dpm-py)](2)Ag}(+), resulting from coordination of the pyridyl groups to the silver cations. However, while meta-functionalized complexes self-assemble into an extended architecture via weak interaction of the peripheral nitrile of the acacCN ligand to the Ag(+) cation, this interaction is not present in the para-functionalized analogue. In both networks based on the Ag(BF(4)) salt, coordination of the tetrafluoroborate anion to the silver center in the rather rare chelate mode is observed. Upon assembly of the cobalt metallatectons with silver salts, two-dimensional (2D) coordination polymers are obtained in crystalline form, resulting, however, from different sets of interactions. Indeed, no coordination of the peripheral nitrile of the acacCN ligand is observed in the network incorporating the m-pyridyl-appended dpm; coordination of the pyridyl groups to the silver center and d(10)-d(10) interactions lead to a 2D architecture. In the case of the para analogue, a 2D honeycomb network is observed owing to coordination of the Ag(I) ion to two pyridyl nitrogen atoms and to one peripheral nitrile group of a acacCN ligand. This latter polymer represents a geometrical hybrid of the networks reported in the literature based on homoleptic Co(dpm-4py)(3) and Cr(acacCN)(3) complexes. PMID- 21067238 TI - Structure and dynamics of N,N-diethyl-N-methylammonium triflate ionic liquid, neat and with water, from molecular dynamics simulations. AB - We investigated by means of molecular dynamics simulations the properties (structure, thermodynamics, ion transport, and dynamics) of the protic ionic liquid N,N-diethyl-N-methylammonium triflate (dema:Tfl) and of selected aqueous mixtures of dema:Tfl. This ionic liquid, a good candidate for a water-free proton exchange membrane, is shown to exhibit high ion mobility and conductivity. The radial distribution functions reveal a significant long-range structural correlation. The ammonium cations [dema](+) are found to diffuse slightly faster than the triflate anions [Tfl](-), and both types of ions exhibit enhanced mobility at higher temperatures, leading to higher ionic conductivity. Analysis of the dynamics of ion pairing clearly points to the existence of long-lived contact ion pairs. We also examined the effects of water through characterization of properties of dema:Tfl-water mixtures. Water molecules replace counterions in the coordination shell of both ions, thus weakening their association. As water concentration increases, water molecules start to connect with each other and then form a large network that percolates through the system. Water influences ion dynamics in the mixtures. As the concentration of water increases, both translational and rotational motions of [dema](+) and [Tfl](-) are significantly enhanced. As a result, higher vehicular ionic conductivity is observed with increased hydration level. PMID- 21067239 TI - Volatile composition and sensory properties of Shiraz wines as affected by nitrogen supplementation and yeast species: rationalizing nitrogen modulation of wine aroma. AB - The effects of yeast assimilable nitrogen (YAN) supplementation on Shiraz volatile composition and sensory properties have been investigated. A low YAN Shiraz must (YAN 100 mg/L) was supplemented with nitrogen in the form of diammonium phosphate (DAP) to a final YAN of either 250 or 400 mg/L. Fermentation was carried out with either Saccharomyces cerevisiae or Saccharomyces bayanus , with maceration on skins. For both yeast strains, high DAP additions increased the ratings of positive sensory attributes such as "red fruit" and "dark fruit" and decreased the "yeast/cheese", "vegetal", and "earth/dirty" attributes. For the S. cerevisiae yeast moderate DAP addition resulted in higher "reduced" attribute scores. DAP supplementation had a strong influence on formation of acetates, fatty acid ethyl esters, higher alcohols, hydrogen sulfide, ethyl mercaptan, methyl mercaptan, DMS, and DES. Partial least-squares regression analysis of chemical and sensory data indicated that esters, sulfides, and mercaptans were associated with fruit-related descriptors, whereas hydrogen sulfide was associated with the "reduced" attribute. Nitrogen-related variations in the concentration of other yeast metabolites such as ethanol and 2- and 3 methylbutanoic acids also affected perceived fruitiness. Depending on yeast species DAP supplementation to a low nitrogen must can result in increased reduction off-odor. PMID- 21067240 TI - Bioavailability of cyanidin glycosides from natural chokeberry (Aronia melanocarpa) juice with dietary-relevant dose of anthocyanins in humans. AB - The aim of this study was to investigate the bioavailability of anthocyanins from chokeberry juice with a dietary-relevant dose of anthocyanins. Thirteen healthy volunteers consumed chokeberry juice providing 0.8 mg of anthocyanins/kg of body weight. Before and after juice consumption, blood and urine were collected. Concentration of anthocyanins was measured with HPLC-PDA-MS-ESI. Cyanidin-3 galactoside comprised 66% of total chokeberry anthocyanins. Eight cyanidin derivatives were found in blood and urine after juice consumption. The maximum plasma anthocyanin concentration of 32.7 +/- 2.9 nmol/L was reached at 1.3 +/- 0.1 h after juice consumption. The anthocyanins' urine excretion rate (62.9 +/- 5.0 nmol/h) was the highest within the first 2 h. In total, 0.25 +/- 0.02% of the ingested anthocyanins was excreted by the renal route during 24 h, mainly as metabolites of cyanidin. According to these observations, after consumption of a dietary-relevant dose of anthocyanins as natural chokeberry juice, anthocyanins and their metabolites were present in plasma and urine of volunteers. PMID- 21067241 TI - IsobariQ: software for isobaric quantitative proteomics using IPTL, iTRAQ, and TMT. AB - Isobaric peptide labeling plays an important role in relative quantitative comparisons of proteomes. Isobaric labeling techniques utilize MS/MS spectra for relative quantification, which can be either based on the relative intensities of reporter ions in the low mass region (iTRAQ and TMT) or on the relative intensities of quantification signatures throughout the spectrum due to isobaric peptide termini labeling (IPTL). Due to the increased quantitative information found in MS/MS fragment spectra generated by the recently developed IPTL approach, new software was required to extract the quantitative information. IsobariQ was specifically developed for this purpose; however, support for the reporter ion techniques iTRAQ and TMT is also included. In addition, to address recently emphasized issues about heterogeneity of variance in proteomics data sets, IsobariQ employs the statistical software package R and variance stabilizing normalization (VSN) algorithms available therein. Finally, the functionality of IsobariQ is validated with data sets of experiments using 6-plex TMT and IPTL. Notably, protein substrates resulting from cleavage by proteases can be identified as shown for caspase targets in apoptosis. PMID- 21067242 TI - In silico protein interaction analysis using the global proteome machine database. AB - Experiments to probe for protein-protein interactions are the focus of functional proteomic studies, thus proteomic data repositories are increasingly likely to contain a large cross-section of such information. Here, we use the Global Proteome Machine database (GPMDB), which is the largest curated and publicly available proteomic data repository derived from tandem mass spectrometry, to develop an in silico protein interaction analysis tool. Using a human histone protein for method development, we positively identified an interaction partner from each histone protein family that forms the histone octameric complex. Moreover, this method, applied to the alpha subunits of the human proteasome, identified all of the subunits in the 20S core particle. Furthermore, we applied this approach to human integrin alphaIIb and integrin beta3, a major receptor involved in the activation of platelets. We identified 28 proteins, including a protein network for integrin and platelet activation. In addition, proteins interacting with integrin beta1 obtained using this method were validated by comparing them to those identified in a formaldehyde-supported coimmunoprecipitation experiment, protein-protein interaction databases and the literature. Our results demonstrate that in silico protein interaction analysis is a novel tool for identifying known/candidate protein-protein interactions and proteins with shared functions in a protein network. PMID- 21067243 TI - Cancer drug-resistance and a look at specific proteins: Rho GDP-dissociation inhibitor 2, Y-box binding protein 1, and HSP70/90 organizing protein in proteomics clinical application. AB - Resistance to anti-cancer drugs is a well recognized problem and very often it is responsible for failure of the cancer treatment. In this study, the proteome alterations associated with the development of acquired resistance to cyclin depedent kinases inhibitor bohemine, a promising anti-cancer drug, were analyzed with the primary aim of identifying potential targets of resistance within the cell that could pave a way to selective elimination of specific resistant cell types. A model of parental susceptible CEM T-lymphoblastic leukemia cells and its resistant counterpart CEM-BOH was used and advanced 2-D liquid chromatography was applied to fractionate cellular proteins. Differentially expressed identified proteins were further verified using immunoblotting and immunohistochemistry. Our study has revealed that Rho GDP-dissociation inhibitor 2, Y-box binding protein 1, and the HSP70/90 organizing protein have a critical role to play in resistance to cyclin-depedent kinases inhibitor. The results indicated not only that quantitative protein changes play an important role in drug-resistance, but also that there are various other parameters such as truncation, post-translational modification(s), and subcellular localization of selected proteins. Furthermore, these proteins were validated for their roles in drug resistance using different cell lines resistant to diverse representatives of anti-cancer drugs such as vincristine and daunorubicin. PMID- 21067244 TI - Agricultural and Food Chemistry contributions to fulfilling the promise of biofuels. PMID- 21067245 TI - Model set. PMID- 21067246 TI - Life-cycle nitrogen trifluoride emissions from photovoltaics. AB - Amorphous- and nanocrystalline-silicon thin-film photovoltaic modules are made in high-throughput manufacturing lines that necessitate quickly cleaning the reactor. Using NF3, a potent greenhouse gas, as the cleaning agent triggered concerns as recent reports reveal that the atmospheric concentrations of this gas have increased significantly. We quantified the life-cycle emissions of NF3 in photovoltaic (PV) manufacturing, on the basis of actual measurements at the facilities of a major producer of NF3 and of a manufacturer of PV end-use equipment. From these, we defined the best practices and technologies that are the most likely to keep worldwide atmospheric concentrations of NF3 at very low radiative forcing levels. For the average U.S. insolation and electricity-grid conditions, the greenhouse gas (GHG) emissions from manufacturing and using NF3 in current PV a-Si and tandem a-Si/nc-Si facilities add 2 and 7 g CO2(eq)/kWh, which can be displaced within the first 1-4 months of the PV system life. PMID- 21067247 TI - Electrostatic interaction and complex formation between gum arabic and bovine serum albumin. AB - The interaction of gum arabic (GA) and bovine serum albumin (BSA) has been investigated through turbidity and light scattering intensity measurements and by the use of dynamic light scattering, laser Doppler velocimetry, and isothermal titration calorimetry. It has been shown that GA and BSA can form soluble and insoluble complexes depending on the solution pH and the mixing ratio and is a function of the net charge on the complex. Soluble complexes were obtained when the electrophoretic mobility was greater than +/-1. 5 MUm s(-1) V(-1) cm(-1). Changes in the value of the isoelectric point of the complexes with mixing ratio and isothermal titration calorimetric data indicated that complexes formed at pHs 3 and 4 consisted of ~60 BSA molecules for every GA molecule, while at pH 5 there were ~10 BSA molecules per GA molecule. Calorimetric studies also indicated that the interaction occurred in two stages at both pH 3 and pH 4, but that the nature of the interaction at these two pH values was significantly different. This was attributed to differences in the relative magnitude of the positive and negative charges on the BSA and GA, respectively, and possibly due to changes in the BSA conformation. The fact that there is an interaction at pH 5, which is above the isoelectric point of the BSA, is due to the interaction of the carboxylate groups on the GA with positive patches on the BSA or to the charge regulation of the protein-polysaccharide system brought about by changes in dissociation equilibria. Complexation is reduced as the ionic strength of the solvent increases and is prevented at a NaCl concentration of 120 mM. PMID- 21067248 TI - Calcineurin inhibitors in pediatric atopic dermatitis: a review of current evidence. AB - Atopic dermatitis (AD) is a common, chronic inflammatory dermatosis with a prevalence of 7-21% in school-aged children. Childhood AD has a profound impact on the social, personal, emotional, and financial perspectives of families. For the last half-century, topical corticosteroids of different potencies have been the mainstay of topical therapy. In recent years, two topical calcineurin inhibitors (TCIs), tacrolimus and pimecrolimus, have become available for the treatment of AD. Both tacrolimus and pimecrolimus have been extensively evaluated in the management of pediatric AD. Trials comparing them with placebo, topical corticosteroids, and each other have shown them to be effective and safe for continuous short-term use, and for noncontinuous use for up to 4 years. Long-term safety of TCIs is not known as they have been in clinical use for less than a decade. PMID- 21067249 TI - Flagellate dermatitis as a bleomycin-specific adverse effect of cytostatic therapy: a clinical-histopathologic correlation. AB - In oncology, bleomycin is a frequently used drug for the treatment of several malignancies. In particular, it is part of chemotherapy protocols in testicular cancer. We report on two patients with testicular cancer who received bleomycin including chemotherapy and developed flagellate dermatitis. This is a typical adverse effect of bleomycin therapy; however, its pathophysiology has not yet been clarified. We discuss possible pathophysiologic mechanisms for this reaction. In general, it has been postulated that histopathologic findings in flagellate dermatitis share similarities with those observed in fixed drug eruptions. In fact, published cases in the literature have shown a broad variety of histologic changes and the histopathologic investigation of our two patients was not indicative of fixed drug eruption-like changes. Histology of one patient showed a superficial and deep, perivascular and periadnexal infiltrate of lymphocytes and eosinophils with a prominent perisudoral distribution, whereas the other patient was remarkable only for the presence of a rather sparse, superficial, perivascular lymphocytic infiltrate with occasional eosinophils and a few melanophages. Epidermal changes, in particular necrotic keratinocytes, were not present in either patient. We provide an overview of all reported histologic changes in bleomycin-induced flagellate dermatitis, including our experience with two patients. Based on these data, we present a summary of the clinical and histologic features. PMID- 21067251 TI - Assessment of the potential for displacement interactions with sugammadex: a pharmacokinetic-pharmacodynamic modelling approach. AB - BACKGROUND: Sugammadex is a gamma-cyclodextrin that binds with high affinity to the neuromuscular blocking agents (NMBAs) rocuronium (bromide) and vecuronium (bromide) by encapsulation. Cyclodextrins are known to form inclusion complexes with other compounds. OBJECTIVES: We utilized a previously developed pharmacokinetic-pharmacodynamic model to identify potential clinically relevant displacement interactions with sugammadex. The potential for sugammadex to capture other drug molecules, thereby reducing their efficacy, is not discussed here. METHODS: Isothermal titration calorimetry (ITC) was used to determine the binding affinity (estimated by association rate constant [k(ass)]) between sugammadex and 300 commonly prescribed drugs. The screening included drugs commonly used in or shortly after anaesthesia, commonly prescribed drugs such as antidepressants and cardiovascular drugs, drugs (both steroidal and nonsteroidal) acting on steroidal receptors (such as the corticosteroids hydrocortisone, prednisolone and dexamethasone), and the selective estrogen receptor modulator toremifene. The model took into account the population pharmacokinetic pharmacodynamic relationships of sugammadex, rocuronium and vecuronium, the binding affinities of the NMBAs and other compounds as determined by ITC, and the relationship between the free concentration of NMBA with sugammadex in the presence of a third complexed compound. Using the model, the critical concentrations of a concomitantly administered compound required to result in a train-of-four (TOF) ratio of <0.9, indicating reoccurrence of neuromuscular blockade, for each plasma concentration of sugammadex and NMBA were calculated. For compounds with a k(ass) value of >= 2.5 * 104 mol/L likely to be administered during sugammadex reversal, the combinations of k(ass) and maximum plasma drug concentration (C(max)) were entered into a graph, consisting of a critical line established using a conservative approach, and those compounds above this critical line potentially resulting in a TOF ratio <0.9 were subsequently identified. Clinical validation was performed in a post hoc analysis of data from ten sugammadex studies, in which the impact of various drugs administered perioperatively on neuromuscular recovery was assessed for up to 1 hour after sugammadex administration. RESULTS: ITC analysis demonstrated that the binding affinity of rocuronium and vecuronium for sugammadex was very high, with k(ass) values of 1.79 * 107 mol/L and 5.72 * 106 mol/L, respectively. Only three compounds (flucloxacillin, fusidic acid and toremifene) were found to have critical combinations of k(ass) and C(max), and thus the potential for displacement. Sugammadex was administered to 600 patients for reversal of rocuronium- or vecuronium-induced blockade in the ten analysed studies, in which 21 co-administered drugs were selected for analysis. No reoccurrence of blockade occurred in any patient. CONCLUSION: Of 300 drugs screened, only three (flucloxacillin, fusidic acid and toremifene) were found to have potential for a displacement interaction with sugammadex, which might potentially be noticed as a delay in recovery of the TOF ratio to 0.9. A clinical study found no evidence of a clinically relevant displacement interaction of flucloxacillin with sugammadex; these findings confirm the highly conservative nature of the modelling and simulation assumptions in the present study. PMID- 21067250 TI - Pharmacoeconomic impact of adverse events of long-term opioid treatment for the management of persistent pain. AB - Opioids are the most powerful analgesic drugs currently available and consequently form an essential part of the treatment options for malignant and non-malignant chronic pain. However, the benefits of these medications can be offset by gastrointestinal adverse events such as nausea, vomiting and constipation, as well as adverse events affecting the CNS. These occur relatively frequently in patients receiving long-term opioids for pain relief and are a cause of additional patient suffering and reduced work and social functioning, measured as reductions in quality-of-life outcomes. Consequently, adverse events are often the cause of treatment non-compliance or discontinuation (non persistence). A literature search was conducted using BIOSIS Previews, EMBASE, Cochrane Collaboration and MEDLINE databases to identify references with specific relevance to the measurement of health outcomes related to adverse events of long term opioid treatment of chronic pain. The results of this search highlighted that clinical interventions required to manage adverse events associated with opioids, and to provide alternative methods of pain control, both incur direct costs. These are largely driven by the cost of medical consults and drug supplies. Indirect costs are generated from work absences and reduced social functioning. Estimated preference ratings, providing an insight into the trade off between effective pain control and adverse events, have shown that utility decrements associated with an increase in adverse-event severity were similar in size to those caused by a shift from well controlled to poorly controlled pain. Given the rising prevalence of chronic pain conditions (affecting one in five adult Europeans), the direct and indirect costs incurred from the management of adverse events with long-term opioids are likely to be multiplied, contributing to the socioeconomic burden of chronic pain. For this reason, the adverse-event profile of opioid-based analgesics should be improved to achieve more efficient long-term pain control. PMID- 21067252 TI - Conversion from epoetin alfa to darbepoetin alfa for management of anaemia in a community chronic kidney disease centre: a retrospective cohort study. AB - BACKGROUND: The management of anaemia in chronic kidney disease (CKD) to achieve current guideline goals is difficult and is hindered by multiple factors, including problems with the scheduling and adjustment of dosing of erythropoiesis stimulating agents (ESAs) and the frequency of required ESA administration to achieve target haemoglobin (Hgb) levels. OBJECTIVE: The primary objective of this study was to examine whether converting a large cohort of CKD patients receiving epoetin alfa to darbepoetin alfa would decrease the frequency of drug administration while permitting an acceptable management of CKD-related anaemia. METHODS: In this retrospective cohort study of practice in a community-based CKD anaemia clinic, we evaluated the effects of conversion of a baseline group of 283 patients from epoetin alfa to darbepoetin alfa with a goal of decreasing the frequency of ESA administration while maintaining Hgb levels within a target range. The study observation period extended for 15 months after the initial conversion. An additional 256 CKD patients were started on darbepoetin alfa during the observation period and the frequency of their injections and the range of their Hgb levels were also monitored. RESULTS: Following the conversion to darbepoetin alfa, we were able to increase the number of patients on once-monthly injections from 21% to 76% while keeping Hgb levels in the target range and maintaining stable blood pressure control. The mean number of ESA injections/patient/month decreased from 2.1 to 1.3. CONCLUSION: In a community based CKD anaemia clinic, conversion from epoetin alfa to darbepoetin alfa resulted in a decreased frequency of injections needed to maintain Hgb levels within an accepted target range. PMID- 21067253 TI - ACE Inhibitor and ARB utilization and expenditures in the Medicaid fee-for service program from 1991 to 2008. AB - BACKGROUND: Angiotensin-converting enzyme (ACE) inhibitors and angiotensin receptor blockers (ARBs) are widely prescribed for the treatment of hypertension and heart failure, as well as for kidney disease prevention in patients with diabetes mellitus and the management of patients after myocardial infarction. OBJECTIVE: To (a) describe ACE inhibitor and ARB utilization and spending in the Medicaid fee-for-service program from 1991 through 2008, and (b) estimate the potential cost savings for the collective Medicaid programs from a higher ratio of generic ACE inhibitor utilization. METHODS: A retrospective, descriptive analysis was performed using the National Summary Files from the Medicaid State Drug Utilization Data, which are composed of pharmacy claims that are subject to federally mandated rebates from pharmaceutical manufacturers. For the years 1991 2008, quarterly claim counts and expenditures were calculated by summing data for individual ACE inhibitors and ARBs. Quarterly per-claim expenditure as a proxy for drug price was computed for all brand and generic drugs. Market shares were calculated based on the number of pharmacy claims and Medicaid expenditures. RESULTS: In the Medicaid fee-for-service program, ACE inhibitors accounted for 100% of the claims in the combined market for ACE inhibitors and ARBs in 1991, 80.6% in 2000, and 64.7% in 2008. The Medicaid expenditure per ACE inhibitor claim dropped from $37.24 in 1991 to $24.03 in 2008 when generics accounted for 92.5% of ACE inhibitor claims; after adjusting for inflation for the period from 1991 to 2008, the real price drop was 59.2%. Brand ACE inhibitors accounted for only 7.5% of the claims in 2008 for all ACE inhibitors but 32.1% of spending; excluding the effects of manufacturer rebates, Medicaid spending would have been reduced by $28.7 million (9%) in 2008 if all ACE inhibitor claims were generic. The average price per ACE inhibitor claim in 2008 was $24.03 ($17.64 per generic claim vs. $103.45 per brand claim) versus $81.98 per ARB claim. If the ACE inhibitor ratio had been 75% in 2008 rather than 64.7%, the Medicaid program would have saved approximately 13% or about $41.8 million, again excluding the effects of manufacturer rebates. If the ACE inhibitor ratio had been 90% in 2008, the cost savings for the combined Medicaid fee-forservice programs would have been about 33% or about $102.3 million. The total cost savings opportunity with 100% generic ACE inhibitor utilization in 2008 and an ACE inhibitor ratio of 75% was $75.1 million (24%) or $142.3M (46%) with a 90% ACE inhibitor ratio. CONCLUSION: Factors that affect Medicaid spending by contributing to increased utilization of ACE inhibitors and ARBs, such as the rising prevalence of hypertension, heart disease, and diabetes, can be offset by reduction in the average price attained through a higher proportion of ACE inhibitors and a higher percentage of generic versus brand ACE inhibitors. PMID- 21067254 TI - Evaluation of health plan member use of an online prescription drug price comparison tool. AB - BACKGROUND: Health plans have implemented tiered copayment systems to incentivize members to use less expensive medications. However, members need drug price information to make comparisons among therapeutic alternatives. Many health plans and pharmacy benefit management companies have implemented online prescription drug price comparison tools to provide such information. There has been little published evaluation of these tools. OBJECTIVE: To evaluate use of an online price comparison tool- MyPharmacyTools (MPT)- by the measures of (a) the extent to which the tool was used, (b) changes in use over the first year after implementation, and (c) the types of members who were most likely to use the tool. METHODS: Data were provided by a 500,000-member integrated health plan with approximately 156,250 enrolled families. The sample included only families with continuous eligibility for all members from July 1, 2006, through June 30, 2008; use of 1 of 7 common copayment structures; and use of the pharmacy benefit in every quarter of the study period. Data collected on each member, using pharmacy claims for the time period July 1, 2007, through June 30, 2008, included annual drug costs (total, out-of-pocket, plan-paid, and mail order) and number of unique drugs and unique generic drugs taken during the third quarter of 2007. Data collected also included whether the member had each of several selected chronic diseases (as inferred from drug claims for the third quarter of 2007) and demographics. Age, gender, and family size were taken from eligibility files. Other demographic data were imputed to members from the demographics of the ZIP code in which they resided. MPT was made available to members on July 1, 2007. Use of MPT was measured as the number of times members logged into the site for each quarter during the subsequent year. Statistical analyses were conducted at the family rather than at the individual level, and families were defined as users if any family member used MPT at least once during the year. Between-group comparisons were evaluated with t-tests, Pearson chi-square tests, and analyses of variance. RESULTS: Data were analyzed for 8,909 families composed of 28,537 health plan members, of which 464 (5.2%) families used MPT at least once between July 2007 and June 2008. A total of 141 families used MPT in the first quarter it was available, 170 families used it in the second quarter, 185 families in the third quarter, and 182 families during the fourth quarter. Users had significantly higher mean [SD] total drug costs ($4,477 [$9,647] vs. $2,848 [$3,473], P < 0.001) and used significantly more unique drug products (7.7 [5.7] vs. 5.9 [4.5], P < 0.001) and unique generic drug products (5.0 [3.9] vs. 3.9 [3.2], P < 0.001) than did nonusers. Users were significantly more likely than nonusers to use drugs for behavioral diseases (47.0% vs. 39.7%, P = 0.002), hypercholesterolemia (35.8% vs. 27.0%, P < 0.001), gastric disorders (32.8% vs. 23.0%, P < 0.001), diabetes (18.3% vs. 12.8%, P < 0.001), epilepsy (21.1% vs. 10.6%, P < 0.001), cardiovascular problems (48.3% vs. 37.5%, P < 0.001), and asthma (14.0% vs. 10.7%, P = 0.025). Families that used MPT were less likely to have a female subscriber than were nonusers (39.7% vs. 49.0%, P < 0.001). Otherwise, here were no statistically significant demographic differences between users and nonusers. Families using MPT in more quarters of the year had higher out-of-pocket (P < 0.001) and mail order drug costs (P < 0.001), took a larger number of drugs (P = 0.003) and generic drugs (P = 0.019), were more likely to use drugs for diabetes (P = 0.049) and cardiovascular disease (P = 0.013), and used drugs for a greater number of chronic diseases (P = 0.049), compared with less frequent MPT users. CONCLUSIONS: About 5% of families in a sample from a large integrated health plan used an online prescription drug cost comparison tool during the first year it was available. Use increased over the year. Users were more likely to have several chronic diseases, took more prescription drugs, and had higher drug costs than nonusers. Further, users with more chronic diseases and more prescriptions were more likely to use the tool consistently throughout the year. These results indicate that the tool was successful in reaching health plan members who could most benefit from comparative prescription drug price information. PMID- 21067255 TI - Payer costs for inpatient treatment of pathologic fracture, surgery to bone, and spinal cord compression among patients with multiple myeloma or bone metastasis secondary to prostate or breast cancer. AB - BACKGROUND: Patients with bone metastasis secondary to prostate or breast cancer or multiple myeloma are predisposed to skeletal-related events (SREs), such as surgery or radiation to the bone, pathologic fracture, and spinal cord compression. Inpatient costs of these and other SREs represent an estimated 49% 59% of total costs related to SREs. However, information on payer costs for hospitalizations associated with SREs is limited, especially for costs associated with specific SREs by tumor type. OBJECTIVE: To examine costs from a payer perspective for SRE-associated hospitalizations among patients with multiple myeloma or bone metastasis secondary to prostate or breast cancer. METHODS: Patients with SRE hospitalizations were selected from the MarketScan commercial and Medicare databases (January 1, 2003, through June 30, 2009). Sampled patients had at least 2 medical claims with primary or secondary ICD-9-CM diagnosis codes for prostate cancer, breast cancer, or multiple myeloma and at least 1 subsequent hospitalization with principal diagnosis or procedure codes indicating bone surgery, pathologic fracture, or spinal cord compression. For patients with prostate cancer or breast cancer, a diagnosis code for bone metastasis was also required. If secondary diagnoses or procedure codes for SREs were present in the claim, they were used to more precisely identify the type of SRE for which the patient was treated, resulting in 3 mutually exclusive categories: spinal cord compression with or without pathologic fracture and/or surgery to the bone; pathologic fracture with or without surgery to the bone; and only surgery to the bone. Related readmissions within 30 days of a previous SRE-associated hospitalization date of discharge were excluded to minimize the risk of underestimating costs. Mean health plan payments per hospitalization, measured as net reimbursed amounts paid by the health plan to a hospital after subtracting patient copayments and deductibles, were analyzed by cancer type and type of SRE. RESULTS: A total of 555 patients contributed 572 hospitalizations that met the study criteria for prostate cancer, 1,413 patients contributed 1,542 hospitalizations for breast cancer, and 1,361 patients contributed 1,495 hospitalizations for multiple myeloma. The mean age range was 61 to 72 years, and the mean length of stay per admission was 5.9 to 11.6 days across the 3 tumor types. The ranges of mean health plan payment per hospital admission across tumor types were $43,691-$59,854 for spinal cord compression, with or without pathologic fracture and/or surgery to the bone; $22,390-$26,936 for pathologic fracture without spinal cord compression, with or without surgery to the bone; and $31,016-$42,094 for surgery to the bone without pathologic fracture or spinal cord compression. CONCLUSIONS: The inpatient costs associated with treating SREs are significant from a payer perspective. Our study used a systematic process for patient selection and mutually exclusive categorization by SRE type and provides a per episode estimate of the inpatient financial impact of cancer related SREs assessed in this study from a third-party payer perspective. PMID- 21067256 TI - All-cause health care utilization and costs associated with newly diagnosed multiple sclerosis in the United States. AB - BACKGROUND: Multiple sclerosis (MS) is a costly and crippling neurologic disease. Approximately 250,000 to 400,000 persons in the United States are currently diagnosed with MS. Most individuals experience their first symptoms between the ages of 20 and 40 years; therefore, this disease may have substantial impact over many years of life on health, quality of life, productivity, and employment. Whereas a number of studies have utilized a cross-sectional design to evaluate the costs associated with MS, no study has used a large administrative claims database to analyze the direct costs associated with newly diagnosed MS. OBJECTIVE: To estimate the additional health care utilization and costs in otherwise healthy patients with newly diagnosed MS. METHODS: This was a retrospective cohort analysis of the Medstat MarketScan Commercial Claims and Encounters database, which is composed of medical and pharmacy claims for approximately 8 million beneficiaries from 45 U.S. commercial health plans. Cases extracted from the database included adults aged 18 to 64 years with either (a) at least 2 medical claims with a diagnosis of MS (ICD-9-CM code 340) in any diagnosis field on the claim or (b) 1 prescription (medical or pharmacy) claim for injectable MS drug therapy (interferon beta-1a, interferon beta- 1b, glatiramer acetate) for dates of service between January 1, 2004, and December 31, 2006. Natalizumab was not used to identify MS cases, but was used to exclude potential comparison group subjects. The index date for patients with MS was the first qualifying diagnosis or pharmacy claim. Each MS patient was matched to 5 "healthy comparison" cases without MS diagnoses or treatment using the following variables: region, insurance type, gender, relation to employee, age, and enrollment period. Cases with any condition listed in the Charlson Comorbidity Index were excluded from both the MS and "healthy comparison" cohorts. Each "healthy comparison" case was assigned the index date of the matching MS patient. Continuous enrollment 12 months pre- and post-index was required for both the MS and "healthy comparison" groups. Costs broken down by type of utilization were adjusted to 2010 dollars using the appropriate medical component of the Consumer Price Index. Use of services and costs were compared using chi-square, t-tests, parametric and nonparametric tests. RESULTS: 1,411 MS cases (65.6% female) were matched to 7,055 "healthy comparison" cases (65.6% female). In the analyses of all-cause health care services during the 12-month post-index period, MS patients were significantly more likely to use all categories of health services examined. Compared with the "healthy comparison" group, new MS patients were 3.5 times as likely to be hospitalized (15.2% vs. 4.3% for MS vs. comparison, respectively), twice as likely to have at least 1 emergency room (ER) visit (25.5% vs. 12.2%) and 2.4 times as likely to have at least 1 visit for physical, occupational, or speech therapy (23.7% vs. 9.9%; P < 0.001 for all comparisons). MS patients also had higher mean 12-month costs related to each category of service (inpatient services $4,110 vs. $836; radiology services $1,693 vs. $259; ER $432 vs. $189; office visits $849 vs. $310; therapies $295 vs. $81, respectively; all P values < 0.001). Total mean 12-month all-cause health care costs were significantly higher for MS patients than for the "healthy comparison" group ($18,829 vs. $4,038, respectively, P < 0.001). Claims attributed to MS by diagnosis code in any field on the claim or use of an MS injectable drug accounted for a mean cost of $8,839 (46.9%), and MS injectable drugs accounted for $4,573 (24.3%) of total all-cause health care costs. CONCLUSIONS: Newly diagnosed MS patients have significantly higher rates of hospitalizations, radiology services, and ER and outpatient visits compared with non-MS "healthy comparison" patients. MS presents a considerable burden to the U.S. health care system within the first year of diagnosis. PMID- 21067257 TI - Price increases and new drugs drive increased expenditures for multiple sclerosis. PMID- 21067258 TI - Lessons learned from randomized trials and recent experience with health information technology: promising interventions meet real-world patient care. PMID- 21067259 TI - Secondary malignancies after hematopoietic stem cell transplantation. AB - Hematopoietic stem cell transplantation (HSCT) offers patients with malignant and nonmalignant diseases the oportunity to pursue life-prolonging therapy. The number of survivors after successful HSCT is continually increasing. However, HSCT can induce tissue and organ damage that occurs not only "on treatment" , but long after completing therapy. Secondary malignancies belong to serious late complications after HSCT. A significant association of certain risk factors with increased likelihood of secondary malignancies after HSCT has been published over the last ten years. Better knowledge of pathogenesis of these complications, their early identification and treatment may contribute to better health outcomes of allogeneic and autologous hematopoietic stem cell transplantation recipients. We review here the incidence and risk factors of secondary malignancies after hematopoietic stem cell transplantation. PMID- 21067260 TI - Olfactomedin 4, a novel marker for the differentiation and progression of gastrointestinal cancers. AB - Olfactomedin 4 (OLFM4) is a member of olfactomedin domain-containing protein family. Human OLFM4 is preferentially expressed in the gastrointestinal tract (stomach, small intestine and colon), prostate, and bone marrow. Recent studies demonstrate that OLFM4 is involved in the establishment and/or development of some types of malignancies, especially in gastrointestinal cancers. Induction of OLFM4 in cancer cells has a novel antiapoptotic action and promotes proliferation of cancer cells. OLFM4 regulates cell cycle and promotes S phase transition in proliferation of cancer cells. In addition, OLFM4 is associated with cancer adhesion and metastasis. In this minireview, we mainly focus on the OLFM4 expression and its biological significances in tumor differentiation and progression as well as the contributions of OLFM4 to tumorigenesis. PMID- 21067261 TI - Ex vivo assessment of protective effects of carvacrol against DNA lesions induced in primary rat cells by visible light excited methylene blue (VL+MB). AB - Carvacrol belongs to frequently occurring phenolic components of essential oils (EOs) and it is present in many kinds of plants. Biological effect of this phenol derivative on human beings is however not sufficiently known. The present study was undertaken to evaluate the level of VL+MB-induced oxidative DNA lesions in hepatocytes and testicular cells (freshly isolated from control or carvacrol watered rats) by the modified single cell gel electrophoresis (SCGE). The results showed that carvacrol significantly reduced the level of VL+MB-induced oxidized bases (EndoIII- and Fpg-sensitive sites) only in hepatocytes but not in testicular cells. Chromosomal aberration assay of primary hepatocytes, isolated from control or carvacrol-watered rats did not testify any genotoxic activity of carvacrol. We suggest that in vivo applied synthetic carvacrol, whose antioxidative activity was confirmed by DPPH assay, exhibits primarily a strong hepatoprotective activity against oxidative damage to DNA. PMID- 21067262 TI - Omental milky spots in screening gastric cancer stem cells. AB - The existence of cancer stem and progenitor cells in solid tumors has been widely postulated. However, neither the cancer stem cells nor the cancer progenitor cells have been definitively identified and functionally characterized. Here we propose a new strategy to identify and isolate gastric cancer stem cells -using omental milky spots to screen gastric cancer stem cells in peritoneal metastasis mouse models of gastric cancer. In this study, we used the property that the macrophages in omental milky spots are cytotoxic against tumor cells and so able to screen and collect cancer stem cells. Our findings suggest that macrophages in omental milky spots have not only cytotoxic properties against tumor cells but also provide a microenvironment within milky spots in which cancer stem cells are capable to survive and grow into micrometastasis. Omental milky spot become a cancer stem cell niche in this situation. Further we studied the omental milky spots for screening gastric cancer cells (OMSS-GCCs) and found that omental milky spot enriched the volume of gastric cancer stem cells. Tumors were consistently generated after an injection of 1*103 OMSS-GCCs. OMSS-GCCs high express CD133 and low express CD324. Omental milky spots are a highly efficient "natural filter" for screening gastric cancer stem cells. PMID- 21067263 TI - EGFR and HER-2 status of non-small cell lung cancer brain metastasis and corresponding primary tumor. AB - We investigated EGFR and HER-2 status in brain metastatic non-small cell lung cancer (NSCLC) and compared them to EGFR and HER-2 status of primary NSCLC. Evaluated were 66 cases of brain metastatic NSCLC, including 20 cases of corresponding primary NSCLC. HER-2 status was investigated by immunohistochemistry (IHC) and fluorescent in situ hybridization (FISH), and EGFR status was evaluated by IHC. HER-2 overexpression and/or amplification was/were observed in three cases (4.5 %) of 66 cases of brain metastatic NSCLC, and 23 cases (34.8%) demonstrated EGFR overexpression. Among 20 cases of primary and corresponding metastatic NSCLC, one case showed HER-2 overexpression and amplification in both primary and metastatic tumor. On the other hand, EGFR overexpression was noted in four cases of primary NSCLC and nine cases of metastatic NSCLC. Five cases showed EGFR gain in metastatic NSCLC. Brain metastatic NSCLC demonstrated different expression patterns of the abovementioned biomarkers, particularly EGFR when compared to primary NSCLC. Therefore, HER-2 and EGFR status are suggested to be evaluated in brain metastatic NSCLC for targeted monotherapy. PMID- 21067264 TI - Epigenetic modulation of gene expression of human leukemia cell lines - induction of cell death and senescence. AB - Histone deacetylase inhibitors (HDACi) are emerging new class of anticancer agents that act by inhibiting cell growth, inducing cell cycle arrest and apoptosis of various cancer cells. However, in some conditions, apoptosis can be blocked and non apoptotic cell death and irreversible growth arrest, namely senescence, can be activated as potential tumor-suppressor mechanism. Here we evaluated the dosage effects of HDAC inhibitors suberoylanilide hydroxamic acid (SAHA) and valproic acid (VPA) in a series of human leukaemia cell lines. We investigated, what concentration of SAHA and VPA can optimally induce apoptosis, growth inhibition or stress-induced premature senescence. We have found that SAHA inhibited proliferation and induced apoptosis in concentration 1000x lower than VPA. The senescence phenotype was preferentially induced by lower dosage of HDACi and required longer incubation time (5 days) while apoptosis was induced by higher dosage and appeared already after 24h. The optimal doses for the induction of cell death are 2,5-5 MUM of SAHA and 2,5-5 mM of VPA. These doses of HDACi induce both apoptosis and senescence of studied leukemia cell lines. PMID- 21067265 TI - Evaluation of TNF superfamily molecules release by neutrophils and B leukemic cells of patients with chronic B - cell lymphocytic leukemia. AB - It was demonstrated that TNF superfamily proteins may affect significantly the time of leukemic cells' survival in the course of B-cell chronic lymphocytic leukemia (B-CLL). The aim of our study was to evaluate the expression and release of BAFF (B-cell activating factor), APRIL (a proliferation-inducing ligand) and TRAIL (TNF-related apoptosis inducing ligand) molecules belonging to the cytokines of the superfamily of the tumor necrosis factor (TNF) by neutrophils (PMNs) and, for comparison, B cells isolated from the blood of patients with B CLL vs. their concentration in the blood serum. 40 patients suffering from B-CLL and a control group of 15 healthy subjects were included in the study. Cytoplasmic fractions of PMNs and B cells were analyzed with the use of western blotting for the presence of TRAIL, BAFF and APRIL. Soluble TRAIL, BAFF and APRIL in the culture supernatants and the serum were assessed using ELISA kits. PMNs and B cells of patients with B-CLL before treatment demonstrated the statistically significantly higher expression of APRIL and BAFF proteins when compared with the control group of healthy subjects. In contrast, the expression of TRAIL protein in both types of cells of patients was statistically significantly lower than its expression in the control cells. In the supernatants of PMN and B lymphocytes of patients the decreased concentrations of sBAFF, unchanged of APRIL and increased of sTRAIL molecules were demonstrated. The results of studies carried out in patients with B-CLL before treatment indicate that the relations demonstrated between APRIL, BAFF and TRAIL molecules, released by neutrophils and B cells and relations between their concentrations in the serum can significantly influence the development of B-CLL. PMID- 21067266 TI - Fine-needle aspiration biopsy of cervical lymph nodes: factors in predicting malignant diagnosis. AB - The objective of the study was to determine the predicting factors in malignant diagnosis in ultrasonography guided fine-needle aspiration biopsy of cervical lymph nodes. Design is retrospective follow-up study. Ultrasonography guided fine needle aspiration biopsies of cervical lymph nodes were performed in 290 patients. The mean age was 45.5 +/- 14.4 years (range; 15-85). 207 (71.4%) and 83 (28.6%) were women and men, respectively. Cytopathologist was not present in any biopsy procedure. Factors in predicting malignancy were age, gender, presence of primary malignancy, localization (Level 1-6), hypoechogenicity with loss of echogenic hilum, microcalcification, cystic feature, minimum and maximum sizes, and index value (minimum size/maximum size). Factors were analyzed by univariate and multivariable tests. The mean minimum size and index value of the lymph nodes were 10.4 +/- 5.5 mm and 0.58 +/- 0.18, respectively. Age, gender, microcalcification, cystic feature, minimum size, and index value were poor predictors in malignancy. Predictors were presence of primary malignancy (p-< 0.001), the level of localization (p=0.001), and hypoechogenicity (p-< 0.001)- in malignancy. Microcalcification and cystic parts were specific US findings of metastasis of thyroid carcinoma; nevertheless cystic parts were seen more specific finding in the other malignancies. Malignant lymph nodes were often found in the presence of primary malignancy, mid neck and lower neck localizations as Level 3-6, and markedly hypoechoic lymph nodes. In 131 patients with a primary thyroid carcinoma, the predictors for malignancy were localization where the most often regions were Level 3, 4, and 6 and hypoechogenicity. Malignancy rate was relatively low in patients with thyroid malignancy than those with non thyroid malignancies in Level 5. Level 6 was the most difficult area for biopsy due to postoperative changes. Microcalcification was specific only in thyroid carcinoma, whereas cystic parts were more specific in the other malignancies PMID- 21067267 TI - Leptin and adiponectin in pancreatic cancer: connection with diabetes mellitus. AB - The aim of this study was to analyze the relationship of serum leptin as well as adiponectin and the manifestation of pancreatic cancer (PC). Serum leptin, adiponectin, glucose homeostasis and insulin resistance (expressed as HOMA-IR) were investigated in 64 patients with newly diagnosed PC and compared with 64 healthy controls (CON group) and 75 patients with type 2 diabetes (DM2). Seventy percent of newly diagnosed PC patients had DM2. The levels of leptin were lower, whilst adiponectin/leptin ratio was higher in PC patients (both with and without DM2), in comparison with CON and DM2 groups (P < 0.001) independently of age, BMI and waist circumference. Newly diagnosed PC is characterized with lower leptin concentrations and higher adiponectin/leptin ratio in comparison with CON or DM2 individuals. Analysis of these parameters could help in the screening of persons in high risk for PC, especially in those with DM2. KEYWORDS: adiponectin, leptin, pancreatic cancer, type 2 diabetes mellitus. PMID- 21067268 TI - The role of p27(Kip1) phosphorylation at serine 10 in the migration of malignant glioma cells in vitro. AB - Until recently, Cip/Kip members were almost solely viewed as nuclear proteins with a principal function of inhibiting cyclin/cyclin dependent kinase (CDK) activity and hence, inhibiting cell cycle progression. P27(Kip1) (hereafter p27) belongs to the Cip/Kip family that binds and inhibits all the cyclin/CDK complexes, thus often referred as a universal CDK inhibitor. However, emerging studies now suggest that Cip/Kip proteins play additional roles outside of the nucleus. Indeed, previous reports have linked p27 to the regulation of actin dynamics and cell migration. In this study, we constructed a model of migration activated glioma cells by using the migration-stimulating substrate, a kind of ECM, laminin in vitro. Our results present evidence that laminin drives glioma cell migration without altering cell proliferation. Further, actively migrating cells which expressioned high phosphorylation of p27 at Ser10, and induced its cytoplasmic localization. In this process, Jab1 and CRM1 were also involved. Thus phosphorylation of p27 at Ser10 is necessary for both cytoplasmic localization and induction of cell migration. These observations solidified a genetic role of p27 in cell migration and this was independent of cyclin/CDK inhibition. Eventually, we transiently transfected p27S10A into T98G glioma cells, found that overexpression of p27S10A inhibited cell migration but not cell proliferation. These data linked phosphorylation of p27 at Ser10 and cell motility. Therefore, the major phosphorylation site at Ser10 of p27 played a pivotal role in the migration of malignant glioma cells. PMID- 21067269 TI - The different clinical significance of EGFR mutations in exon 19 and 21 in non small cell lung cancer patients of China. AB - Mutations of epithelial growth factor receptor (EGFR) in exon 19 and 21 are both believed to be associated with carcinogenesis, sensitivity to tyrosine kinase drugs and with the prognosis of non-small cell lung cancers (NSCLCs). However, their exact clinical significance remains disputable. We detected the mutations of 157 NSCLCs from mainland China with high resolution melting analysis and identified exon mutations with DNA sequencing. Of the 157 cases examined, 57 displayed EGFR mutations which included 22 in exon 19 and 35 in exon 21. Current research has shown that EGFR mutations are more commonly associated with the female population and East Asians, with additional significance being adenocarcinomas. Our current findings are supporting this sugestion. We analysed the clinicalpathological characteristics of mutations in exon 19 and 21 separately, which showed that the mutation frequency of NSCLCs in exon 21, but not in exon 19, were significantly higher in females. Comparatively, mutation frequencies in exon 19 were significantly higher in the stage I and II than in the stage III abnd IV, while tumors with lymph node metastasis and the stage III and IV demonstrated significantly higher mutation frequencies in exon 21. Additionally, exon mutations in the left and right lung showed significant differences: with exon 19 mutations being more frequent in the tumors of left lung, and exon 21 mutuations showing a higher incidence in right lung tumors. This suggests that, in mainland China, NSCLCs with EGFR mutations in exon 19 could have a less malignant character than those with mutations in exon 21. It's the first report that EGFR mutations in exon 19 and exon 21 in NSCLC patients may relate to the tumor sites, but further research is still required. PMID- 21067270 TI - Cytogenetic abnormalities predict treatment-free interval and response to therapy in previously untreated chronic lymphocytic leukemia patients. AB - We evaluated the prognostic impact of chromosomal abnormalities as detected by interphase fluorescence in situ hybridization (iFISH) in 86 chronic lymphocytic leukemia (CLL) patients. Overall, 39 of 86 (45%) patients displayed one (35%) or more (10%) chromosomal abnormalities, del13q (31%) being more frequently detected than trisomy 12 (19%) followed by del11q (17%), del17p (6%) and del6q (5%). Significant differences in the treatment free intervals (TFIs) were observed among individual cytogenetic subgroups (p=0.027) with the shortest mean TFIs in subgroups with del17p, del11q and trisomy 12 (10, 12 and 14 months, respectively) as compared to subgroups with normal cytogenetics (38 months) and del13q (68 months). Poor response to therapy was observed in subgroups with del11q (p=0.044) and trisomy 12 (p=0.047) while patients with normal cytogenetics had good response (p=0.003). Furthermore, del17p and del11q were associated with highest tumor burden and disease activity as reflected by corresponding laboratory data. PMID- 21067271 TI - Research on the reactivation of Syk expression caused by the inhibition of DNA promoter methylation in the lung cancer. AB - The aim of this study was to study the expression of Syk gene and methylation in its promoter region in the lung cancer and to investigate the relationship between silencing of the Syk gene and DNA methylation of the Syk promoter region in lung cancer cell lines. Real-time PCR and immunohistochemistry were used to examine the Syk expression in specimens from 3 lung cancer cell lines and 16 lung cancer patients (tumor tissues and adjacent normal tissues). MSP was used to analyze the methylation status of the Syk promoter region. We also investigated the role of restoring Syk expression by using a DNA methyltransferase inhibitor, 5-aza-CdR, in suppressing invasion of lung cancer cell lines. No expression of the Syk gene was detected in the 3 lung cancer cell lines. In the 16 lung cancer patient samples, Syk expression was significantly lower in the tumor tissues than that in their adjacent normal tissues (P<0.05). Consistently, immunohistochemistry analysis of Syk protein expression showed that in the lung cancer tissues Syk protein expression was also significantly lower than that in their adjacent normal tissues. In the two lung cancer cell lines (NL9980, YTMLC 9) that lack the endogenous Syk expression, 4uM demethylation agent 5-aza-CdR treatment was able to reactivate the Syk gene expression, but not NCI-H446. In conclusion, hypermethylation leads to silencing of the Syk gene in human lung carcinoma cell lines. Methylation of the Syk promoter and loss of Syk expression in lung cancer cell lines are independent biomarkers. Syk may be a potential tumor suppressor in human lung cancer. PMID- 21067272 TI - Laparoscopic pyeloplasty outcomes of elderly patients. AB - BACKGROUND AND PURPOSE: Laparoscopic pyeloplasty (LP) has been described as the new gold standard operation for patients with ureteropelvic junction obstruction (UPJO). As life expectancy continues to increase, we will be faced with the need to counsel older patients on the risks and benefits of undergoing surgery. It is clear that laparoscopic renal surgery has significant benefits over open renal surgery. Avoidance of open surgery would seem particularly beneficial in elderly patients who receive a diagnosis of this condition, although results in this group have not been formally studied. We compared the perioperative and medium term outcomes of LP for primary UPJO in patients who are 70 years and older with those who are under age 70. PATIENTS AND METHODS: Between January 2006 and June 2009, 74 consecutive patients underwent LP for UPJO performed by one surgeon. A four-port extraperitoneal approach was used in all but one case. Patient demographic and perioperative data were recorded prospectively. Outcome measures were success rate at a median follow-up of 12 months, complications, and length of hospital stay. RESULTS: Fifteen (20%) patients were aged 70 years or older. Older patients had a higher median American Society of Anesthesiologists score (2 vs 1). Moreover, older patients often presented with compromised renal function than their younger counterparts (median split renal function on the affected kidney 35% vs 45%, serum creatinine level 130 vs 90 MUmol/L, P < 0.001). The success rate in the older group was 87%, with no treatment failures in the younger patients. Median hospital stay was longer for older patients (3 days vs 2 days, P = 0.01). Frequency of complications were not significantly different between the groups; however, there was a postoperative death in an elderly patient with a solitary kidney and preoperative renal failure. CONCLUSIONS: LP is feasible with generally good results for managing UPJO in patients 70 years or older. Older patients, however, are likely to need a longer hospital stay compared with their younger counterparts, and their co-morbidities should be carefully assessed preoperatively to minimize morbidity. Advanced chronologic age should not be a contraindication for LP in patients with symptomatic UPJO. PMID- 21067273 TI - Efficacy of levobupivacaine infiltration to nephrosthomy tract in combination with intravenous paracetamol on postoperative analgesia in percutaneous nephrolithotomy patients. AB - PURPOSE: To evaluate the efficacy of intraoperative local anesthetic infiltration in combination with intravenous paracetamol infusion on postoperative pain management in patients who underwent percutaneous nephrolithotomy (PCNL). PATIENTS AND METHODS: Sixty adult patients were randomized into three groups with 20 cases in each: Group SP, 20 mL saline was infiltrated through the whole nephrostomy tract intraoperatively and this was followed by intravenous paracetamol (4 * 1 g) infusion postoperatively; group LP, 20 mL of 0.25% levobupivacaine infiltration to the nephrostomy tract followed by intravenous paracetamol infusion; Group LS, 20 mL of 0.25% levobupivacaine infiltration to the nephrostomy tract followed by intravenous saline infusion. In the postoperative period, the pain status of patients was evaluated at postoperative 6 and 24 hours by using the visual analogue scale (VAS). In patients who did not completely respond, meperidine (1 mg/kg intramuscularly) was administered as an additional "rescue" analgesic. Patient satisfaction from the postoperative analgesia management was assessed by a 5 point scale. RESULTS: There was no statistically significant difference between the three groups regarding the demographic characteristics, surgical complications, and postoperative hospital stay. Our findings revealed that in group LP, the amount and frequency of opioid used and related side effects was lesser, VAS score was lower, time to full mobilization was shorter, and the patient satisfaction score was higher when compared with the other two groups. CONCLUSION: Levobupivacaine infiltration through the nephrostomy tract in combination with intravenous paracetamol infusion was shown to be safe and efficacious as an analgesia method after PCNL. PMID- 21067274 TI - Polyscope: a new era in flexible ureterorenoscopy. AB - INTRODUCTION: Despite improvements in instrumentation and technology in flexible ureteroscopy, the issue of procedural and off-procedural damage remains a problem. The aim of our study was to highlight our initial experience in flexible ureteroscopy using polyscope, a new advancement in the era of flexible ureterorenoscopy. MATERIALS AND METHODS: In this study, we used an 8F modular flexible, steerable polyscope for diagnostic purposes and Dormia basket removal for small renal stones. Three outer disposable catheters were used with proper sterilization in 22 cases. RESULTS: The polyscope was used in six cases of undiagnosed hematuria, and biopsy was taken from pelvic growth in one patient, which turned out to be transitional-cell carcinoma. Polyscope was used for removal of residual stones or small stones (< 1 cm) using Dormia basket in 16 cases (from August 2008 to July 2009). The mean stone size was 7.5 mm. The vision achieved was excellent in all the cases. CONCLUSIONS: Minimally invasive techniques are preferred for treatment of renal stones. The problem of lower caliceal stone access has been solved with the primary and secondary deflection of modern flexible ureteroscopes. The modular design of polyscope makes it a more cost-effective option. Relatively cheap and disposable multilumen catheters preclude the need for sterilization of optic cable, thus decreasing the chances of handling-related damages. The chance of instrument-related infection is minimal. Besides, it can be used as a semirigid ureteroscope should the need arises. PMID- 21067275 TI - Mucoadhesive hydrogel microparticles based on poly (methacrylic acid-vinyl pyrrolidone)-chitosan for oral drug delivery. AB - The study was aimed at the evaluation of N-vinyl pyrrolidone (NVP) incorporated polymethacrylic acid-chitosan microparticles for oral drug delivery applications. Poly (methacrylic acid)-chitosan (PMC) and poly(methacrylic acid-vinyl pyrrolidone)-chitosan (PMVC) microparticles were prepared by an ionic-gelation method. Mucoadhesion behaviour of these particles was evaluated by ex-vivo adhesion method using freshly excised rat intestinal tissue. Cytotoxicity and absorption enhancing property of PMC and PMVC particles were evaluated on Caco 2 cell monolayers. Protease enzyme inhibition capability and insulin loading/release properties of these hydrogel particles was evaluated under in vitro experimental conditions. Addition of NVP units enhanced the mucoadhesion behavior of PMC particles on isolated rat intestinal tissue. Both PMC and PMVC particles were found non-toxic on Caco 2 cell monolayers and PMC particles was more effective in improving paracellular transport of fluorescent dextran across Caco 2 cell monolayers as compared to PMVC particles. However, protease inhibition efficacy of PMC particles was not significantly affected with NVP addition. NVP incorporation improved the insulin release properties of PMC microparticles at acidic pH. Hydrophilic modification seems to be an interesting approach in improving mucoadhesion capability of PMC microparticles. PMID- 21067276 TI - Irrigant flow and intrarenal pressure during flexible ureteroscopy: the effect of different access sheaths, working channel instruments, and hydrostatic pressure. AB - PURPOSE: Our aim was to determine the optimal size of access sheath for ureteroscopy and stone lasertripsy to achieve good irrigant flow while maintaining the lowest possible intrarenal pressure. MATERIALS AND METHODS: We used an in vitro anatomic model into which a pressure transducer was incorporated. Cook Peel-Away 10F, Flexor 12F, 14F, 16F single lumen, and a new 14F Flexor dual-lumen sheath were tested. Irrigant flow and intrarenal pressure were measured with an empty ureteroscope working channel and with a 1.4F or 2.4F basket within the working channel with a hydrostatic pressure of 1 m and 2 m, respectively. For the dual-lumen sheath, the irrigation was either connected to the scope or the second channel of the access sheath. Two other configurations were tested: 4F ureteral catheter placed alongside a 10F sheath (configuration 1) or a 5F ureteral catheter within a 16F access sheath (configuration 2). RESULTS: With an empty working channel, irrigant flow increased with sheath diameter. The presence of a 1.4F or 2.4F basket, however, reduced flow up to 65% and 90%, respectively. Increasing the hydrostatic column to 2 m height improved the irrigant flow but with a predisposition to a higher intrarenal pressure. Using configurations 1 and 2, the flow rates improved by 250% and 700%, respectively, with a 2.4F basket in the working channel, and could also be used with a 2 m hydrostatic column without raising the intrarenal pressure. CONCLUSIONS: Increased access sheath diameter does not improve flow when the working channel of a flexible ureteroscope is occupied. Our proposed configuration of a ureteral access catheter placed inside or alongside the access sheath provides by far the highest flow rates without a rise in the intrarenal pressure. PMID- 21067277 TI - Regular and problematic leisure-time Internet use in the community: results from a German population-based survey. AB - In our study, we attempted to identify systematically the use of Internet applications in the German population in order to derive risk factors for problematic use. In a representative survey of the German population, we queried 1,401 women and 1,111 men between the ages of 14 and 94 years by specific questions and standardized questionnaires on depression, anxiety (HADS), and depersonalization (CDS-2). The majority of the German population (55%) used the Internet in their leisure time. Users were younger and had a higher socioeconomic status (education, employment, income). Leisure-time use included e-mail and information search, as well as shopping. Chatting, online communities, games and sex were domains of young, mostly male adults. Overall, 9.3% reported at least one negative consequence of Internet use, especially neglect of recreational activities and problems with family/partner, work or education, and health. Problematic use was associated with longer average daily online times, avoidance of negative emotions, preference for certain applications (gaming, gambling, online sex) and an increased rate of depersonalization. The extent of Internet use per se is not sufficient as an addiction criterion and other negative consequences; rather, specific adverse consequences need to be identified. If the Internet is used excessively to cope with negative affect states and alternative means of coping (e.g., social support, health-promoting behavior) are diminished, a vicious cycle may ensue with increasing stress and reliance on the reinforcing properties of certain online activities that may finally lead to addictive behavior. PMID- 21067278 TI - Effect of carbon nanoparticles on renal epithelial cell structure, barrier function, and protein expression. AB - To assess effects of carbon nanoparticle (CNP) exposure on renal epithelial cells, fullerenes (C(60)), single-walled carbon nanotubes (SWNT), and multi walled carbon nanotubes (MWNT) were incubated with a confluent renal epithelial line for 48 h. At low concentrations, CNP-treated cells exhibited significant decreases in transepithelial electrical resistance (TEER) but no changes in hormone-stimulated ion transport or CNP-induced toxicity or stress responses as measured by lactate dehydrogenase or cytokine release. The changes in TEER, manifested as an inverse relationship with CNP concentration, were mirrored by an inverse correlation between dose and changes in protein expression. Lower, more physiologically relevant, concentrations of CNP have the most profound effects on barrier cell function and protein expression. These results indicate an impact of CNPs on renal epithelial cells at concentrations lower than have been previously studied and suggest caution with regard to increasing CNP levels entering the food chain due to increasing environmental pollution. PMID- 21067279 TI - DNA damage and alterations in expression of DNA damage responsive genes induced by TiO2 nanoparticles in human hepatoma HepG2 cells. AB - We investigated the genotoxic responses to two types of TiO2 nanoparticles (<25 nm anatase: TiO(2)-An, and <100 nm rutile: TiO2-Ru) in human hepatoma HepG2 cells. Under the applied exposure conditions the particles were agglomerated or aggregated with the size of agglomerates and aggregates in the micrometer range, and were not cytotoxic. TiO2-An, but not TiO2-Ru, caused a persistent increase in DNA strand breaks (comet assay) and oxidized purines (Fpg-comet). TiO2-An was a stronger inducer of intracellular reactive oxygen species (ROS) than TiO2-Ru. Both types of TiO2 nanoparticles transiently upregulated mRNA expression of p53 and its downstream regulated DNA damage responsive genes (mdm2, gadd45alpha, p21), providing additional evidence that TiO2 nanoparticles are genotoxic. The observed differences in responses of HepG2 cells to exposure to anatase and rutile TiO2 nanoparticles support the evidence that the toxic potential of TiO2 nanoparticles varies not only with particle size but also with crystalline structure. PMID- 21067280 TI - Use of social network sites and instant messaging does not lead to increased offline social network size, or to emotionally closer relationships with offline network members. AB - The effect of Internet use on social relationships is still a matter of intense debate. This study examined the relationships between use of social media (instant messaging and social network sites), network size, and emotional closeness in a sample of 117 individuals aged 18 to 63 years old. Time spent using social media was associated with a larger number of online social network "friends." However, time spent using social media was not associated with larger offline networks, or feeling emotionally closer to offline network members. Further, those that used social media, as compared to non-users of social media, did not have larger offline networks, and were not emotionally closer to offline network members. These results highlight the importance of considering potential time and cognitive constraints on offline social networks when examining the impact of social media use on social relationships. PMID- 21067281 TI - Protective effects of 'Khamira Abresham Hakim Arshad Wala', a unani formulation against doxorubicin-induced cardiotoxicity and nephrotoxicity. AB - Doxorubicin is one of the most active cytotoxic agents in current use. The clinical usefulness of the doxorubicin has been precluded by its marked cardiotoxicity. The aim of the present study was to investigate the effect of Khamira Abresham Hakim Arshadwala, a well known unani cardiac tonic formulation, pre-treatment on doxorubicin-induced cardiotoxicity, and nephrotoxicity in rats. Twenty-four Wistar albino rats, divided into four groups, were used. Khamira Abresham Hakim Arshadwala was administered daily, for 7 days, and a single dose of doxorubicin (10 mg/kg, i.v.) on day 5. After 48 h of doxorubicin injection, animals were sacrificed. Lactate dehydrogenase (LDH), aspartate transaminase (AST), blood urea nitrogen (BUN), and creatinine were estimated in the serum. Heart specimens were used for biochemical estimations of lipid peroxides (MDA), reduced glutathione (GSH), catalase, and for microscopic examination of histopathological changes. Doxorubicin showed cardiotoxicity and nephrotoxicity, as evidenced by elevated activities of AST, LDH, BUN, creatinine, and MDA, depletion in GSH level, and catalase activity. Histopathological studies showed disruption of cardiac tissues in doxorubicin groups. Khamira Abresham Hakim Arshadwala pre-treatment showed a protective effect against the enzymatic changes in serum as well as cardiac and kidney tissue damage, significantly. The present findings suggest that Khamira Abresham Hakim Arshadwala significantly (p < 0.01) improved the state of markers for cardiac and kidney damage investigated in this model of doxorubicin-induced experimental cardiotoxicity and nephrotoxicity; indicating its potential in limiting doxorubicin toxicity. PMID- 21067282 TI - A psychometric comparison of the Internet Addiction Test, the Internet-Related Problem Scale, and self-diagnosis. AB - One of the more prominent issues in the field of Internet addiction is the validity of the instrument used to assess users' level of Internet involvement. Many of the instruments used to assess Internet addiction have high face validity but have yet to be tested psychometrically. The aim of this study is to compare two of the most used Internet addiction research measures, the Internet Addiction Test (IAT) and the Internet-Related Problem Scale (IRPS), along with a self diagnostic question simply asking Internet users if they thought they were addicted to the Internet. A total of 225 Internet users participated in the study (69 males and 156 females). Participants who defined themselves as Internet addicts had higher scores on both the IAT and IRPS, and the three different Internet addiction measures were strongly correlated to each other. For the IAT, factor analysis generated three factors (emotional/psychological conflict; time management issues; mood modification) explaining 56.3% of the variance. For the IRPS, factor analysis generated four factors (negative effects of Internet use; mood modification; loss of control; increased Internet use) explaining 60.2% of the variance. The implications for these findings are discussed. PMID- 21067283 TI - Systematic review and meta-analysis of antibiotic prophylaxis in severe acute pancreatitis. AB - OBJECTIVE: The incidence of acute pancreatitis varies from 5 to 80 per 100,000 throughout the world. The most common cause of death in these patients is infection of pancreatic necrosis by enteric bacteria, spurring the discussion of whether or not prophylactic antibiotic administration could be a beneficial approach. In order to provide evidence of the effect of antibiotic prophylaxis in severe acute pancreatitis (SAP) we performed an updated systematic review and meta-analysis on this topic. METHODS: The review of randomized controlled trials was performed in accordance with the Preferred Reporting Items for Systematic Reviews and Meta-analysis (PRISMA) statement. We conducted a search of MEDLINE, EMBASE, and the Cochrane Central Register of Controlled Trials. For assessment of the treatment effects we calculated the risk ratios (RRs) for dichotomous data of included studies. RESULTS: Fourteen trials were included with a total of 841 patients. The use of antibiotic prophylaxis was not associated with a statistically significant reduction in mortality (RR 0.74 [95% CI 0.50-1.07]), in the incidence of infected pancreatic necrosis (RR 0.78 [95% CI 0.60-1.02]), in the incidence of non-pancreatic infections (RR 0.70 [95% CI 0.46-1.06]), and in surgical interventions (RR 0.93 [95% CI 0.72-1.20]). CONCLUSION: In summary, to date there is no evidence that supports the routine use of antibiotic prophylaxis in patients with SAP. PMID- 21067284 TI - Hydrogen peroxide induces Beclin 1-independent autophagic cell death by suppressing the mTOR pathway via promoting the ubiquitination and degradation of Rheb in GSH-depleted RAW 264.7 cells. AB - A novel mechanism for H2O2-induced autophagic cell death in GSH-depleted RAW 264.7 cells, a murine macrophage cell line, is proposed. Under GSH-depleted conditions, H2O2-induced autophagic cell, characterized by an increased LC3-II/I ratio, a decreased level of p62 and the formation of autophagic vacuoles, was inhibited by bafilomycin A1 and by Atg5 siRNA transfection, whereas the cell death was not inhibited by zVAD-fmk, by PI3K inhibitors or by Beclin 1 siRNA transfection. In addition, H2O2 treatment reduced the activity of mTOR and promoted the ubiquitination and degradation of Rheb, a key upstream activator of mTOR. Furthermore, proteasome inhibition with MG132 restored the expression of Rheb and increased mTOR activity, resulting in an increased viability of H2O2 treated cells. Collectively, these findings demonstrate that H2O2 induces Beclin 1-independent autophagic cell death by suppressing the mTOR pathway via promoting the ubiquitination and degradation of Rheb in GSH-depleted RAW 264.7 cells. PMID- 21067285 TI - Social activities, self-efficacy, game attitudes, and game addiction. AB - This study examines whether social activities with parents, online and offline social self-efficacy, and attitudes toward gaming are associated with the degree of game addiction among adolescents. Using data from a survey of 600 middle- and high-school students in South Korea, we tested the relationships of personal characteristics (grade point average and time spent on gaming each day), social self-efficacy (both on- and offline), general social activities (with parents, friends, and teachers), gaming activities with parents, and attitudes toward gaming (those of self, parents, friends, and teachers) with the degree of game addiction. In addition, we conducted ANOVA tests to determine the differences among three groups: non-addicts (NA), possible (mild or moderate) addicts (PA), and Internet addicts (IA). The results show that social self-efficacy in the real world (offline) was negatively related with the degree of game addiction, whereas social self-efficacy in the virtual world (online) indicated a positive association. Social activities with parents are negatively associated with game addiction, although no relationship is found between gaming activities with parents and game addiction. Parental attitude toward gaming has a negative relationship with the addiction. Results and implications are discussed. PMID- 21067286 TI - Construction of an amperometric ascorbate biosensor using epoxy resin membrane bound Lagenaria siceraria fruit ascorbate oxidase. AB - Ascorbate oxidase purified from Lagenaria siceraria fruit was immobilized onto epoxy resin "Araldite" membrane with 79.4% retention of initial activity of free enzyme. The biosensor showed optimum response within 15s at pH 5.8 and 35 degrees C, which was directly proportional to ascorbate concentration ranging from 1 100MUM. There was a good correlation (R(2) = 0.99) between serum ascorbic acid values by standard enzymic colorimetric method and the present method. The enzyme electrode was used for 200 times without considerable loss of activity during the span of 90 days when stored at 4 degrees C. PMID- 21067287 TI - Multiple sclerosis risk markers in HLA-DRA, HLA-C, and IFNG genes are associated with sex-specific childhood leukemia risk. AB - Previous epidemiologic studies showed four times increased risk of acute lymphoblastic leukemia (ALL) in children of women with multiple sclerosis (MS). MS shows a risk association with Human leukocyte antigens (HLA)-DRA single nucleotide polymorphism (SNP) rs3135388, which is a proxy marker for DRB1*1501. We examined the relevance of rs3135388 in childhood ALL risk along with two other HLA-DRA SNPs in two case-control groups: 114 cases and 388 controls from South Wales (UK) and 100 Mexican Mestizo cases and 253 controls. We first confirmed the correlation between rs3135388 and DRB1*1501 in HLA-typed reference cell lines. We noted a female-specific risk association in childhood ALL (pooled odds ratio (OR) = 2.6, 95% confidence interval (CI) = 1.5-4.5, Mantel-Haenszel P = 0.0009) similar to the stronger association of DRB1*1501 in females with MS. Examination of an HLA-C 5' flanking region SNP rs9264942, known to correlate with HLA-C expression, showed a protective association in girls (OR = 0.4, 95% CI = 0.2-0.7, Mantel-Haenszel P = 0.0003) similar to the protective HLA-Cw*05 association in MS. In a reference cell line panel, HLA-Cw5 homozygous samples (n = 8) were also homozygous for the minor allele of the SNP. Likewise, the male-specific protective association of interferon-gamma (IFNG) SNP rs2069727 in MS was replicated with the same sex specificity in childhood ALL (OR = 0.6, 95% CI = 0.4 1.0, Mantel-Haenszel P = 0.03). Two other SNPs in superkiller viralicidic activity 2-like and tenascin XB that are markers for systemic lupus erythematosus susceptibility showed female-specific associations but due to linkage disequilibrium with HLA-DRB1*15. Our observations supported the epidemiologic link between MS and childhood ALL and added the sex effect to this connection. It appears that only girls born to mothers with MS may have an increased risk of ALL. Investigating the mechanism of these sex-specific associations may help understand the pathogenesis of MS and ALL. PMID- 21067288 TI - Documentation improvements following multidisciplinary educational program on electronic fetal heart rate tracings. AB - OBJECTIVE: To measure the effectiveness of a multifaceted, multidisciplinary, evidence-based educational program designed to achieve compliance with the National Institute of Child Health and Human Development (NICHD) definitions and three-tier system for electronic fetal heart rate (FHR) monitoring. METHODS: This prospective study began with a literature review focusing on creating change within complex systems. Evidence-based elements of program development and implementation were incorporated to promote the adoption of the NICHD guidelines for electronic FHR monitoring. A systematic, stratified random sample of charts was reviewed to evaluate compliance with the NICHD recommendations prior to and following program initiation. RESULTS: Compliance rates for documentation of all components of a FHR tracing and a category in SOAP notes increased from less than 1% to 90%. Of the remaining charts, following program implementation, 70% had all components of the FHR tracing documented. Following the educational intervention, only 1% of SOAP notes lacked a category and at least one component of FHR tracing compared to 39% prior to the program. CONCLUSIONS: Incorporating evidence-based strategies for systemic change is an important step in program development in obstetrics. A multifaceted, multi-disciplinary program with frequent audits and feedback can yield high compliance in adoption of guidelines and result in practice change. PMID- 21067289 TI - Cervilenz assessment of cervical length compared to fetal fibronectin in the prediction of preterm delivery in women with threatened preterm labor. AB - OBJECTIVE: To determine whether cervical length (CL) measured by the CervilenzTM measuring device is an effective screening tool for the prediction of preterm delivery (PTD) compared to fetal fibronectin (fFN). METHODS: We evaluated fFN and CL among women who enrolled into a randomized control trial (RCT) comparing management algorithms for threatened preterm labor between 24 and 34 weeks' gestation. In all subjects, fFN was collected, with CL determined in blinded fashion. The sensitivity, specificity, and positive and negative predictive values (NPV) for fFN or Cervilenz in prediction of PTD within 7 days or prior to 37 weeks were determined. RESULTS: Fifty-two subjects were evaluated. CL <30 mm correlated with PTD <7 days (r = 0.31, p = 0.04) and fFN positivity (r = 0.43, p = 0.006). CL <30 mm and fFN had excellent NPV for PTD <7 days (97.1 vs. 97.3%), and the area under the receiver operator characteristic curves were similar for prediction of PTD <7 days (76.6 vs. 75.2%, p = 0.71) or <37 weeks (56.7 vs. 55.2%, p = 0.71). CONCLUSIONS: Measurement of CL with Cervilenz appears to be equivalent to fFN in screening symptomatic women for PTD within 7 days or prior to 37 weeks. Given cost and turnaround time with fFN testing, Cervilenz represents a promising new tool for real time, clinically useful results in the management of women with threatened preterm labor. PMID- 21067290 TI - Hormonal contraceptives and endometriosis/adenomyosis. AB - Over the past 50 years hormonal contraceptives have gradually developed to be cost-effective medical treatment modalities for primary and secondary therapy of endometriosis/adenomyosis. This is particularly true for the various estrogen/progestogen combinations as monophasic - particularly progestogen dominant - preparations in cyclic, long-cyclic and continuous treatment forms. An alternative is the progestogen-only therapy used continuously. Therapeutic effects have been shown for peritoneal, ovarian and deep-infiltrating endometriosis as well as for adenomyosis. An individualized, medical long-term treatment concept to control endometriosis/adenomyosis-related symptoms, endometriosis/adenomyosis development and minimizing the recurrence rate needs to be further studied in women, who do not desire to become pregnant. PMID- 21067291 TI - Glyburide in gestational diabetes--prediction of treatment failure. AB - OBJECTIVE: To identify factors predicting failure of glyburide treatment in women with gestational diabetes mellitus (GDM). METHODS: A retrospective study of all women with GDM that were treated with glyburide in a single tertiary referral center. Patients were switched from glyburide to insulin if they failed to achieve glycemic goals, and were then classified as glyburide failure. RESULTS: Overall, 124 women with GDM treated with glyburide were included in the study, of which 31 (25%) failed to achieve glycemic control. Women in the failure group were characterized by a higher weight gain during pregnancy, higher rates of GDM on previous pregnancies, and a glucose challenge test (GCT) result. On multivariate logistic regression analysis, a GCT value of >200 mg/dl (OR = 7.1, 95% CI 2.8-27.6) and weight gain >= 12 kg (OR = 3.9, 95% CI 1.2-13.0) were the only significant and independent predictors of glyburide failure. Most women who were successfully treated with glyburide required a daily dose of 5 mg or less and the time required to achieve glycemic control in these cases was 12.4 +/- 4.9 days (range 5-24 days). Of the women who failed to achieve glycemic control with gluburide, 26/31 were switched to insulin, of them only 12 (46%) achieved desired level of glycemic control. CONCLUSION: Most women with GDM achieved desired level of glycemic control under glyburide treatment. PMID- 21067292 TI - Effect of L-arginine on the expression of Bcl-2 and Bax in the placenta of fetal growth restriction. AB - OBJECTIVE: To investigate the effect of l-arginine on fetal growth restriction (FGR) in terms of the expression of Bcl-2 and Bax in placenta. METHODS: Sixty pregnant women with FGR were randomized to receive conventional treatment alone (control group, n = 30) or in combination with L-arginine (L-arginine group, n = 30). The parameters of fetal growth and development were monitored by B ultrasound at regular intervals. The newborn birth weight and perinatal outcomes were also documented. Placental tissue was sampled within 10 min after delivery for analysis. The expression of Bcl-2 and Bax in placental tissue was determined by immunohistochemical technique. RESULTS: The fetal growth parameters of biparietal diameter, femur length, and abdominal circumference increased more significantly in L-arginine group than those in control group (p < 0.01). The cure rate and birth weight in L-arginine group were higher than those in control group (73.3% vs. 43.3%, 2455.20 g vs. 2402.63 g, respectively). The incidence of small for gestational age newborns in l-arginine group was significantly lower than that in control group. Compared with L-arginine group, the Bax expression increased, but bcl-2 expression decreased in control group. CONCLUSIONS: L arginine could reduce the expression of Bax, and enhance the expression of bcl-2, which may be associated with reduced placental apoptosis and improved placental function and fetal development. PMID- 21067293 TI - UHRF1 confers radioresistance to human breast cancer cells. AB - PURPOSE: To investigate the effect of ubiquitin-like with plant homeodomain (PHD) and ring finger domains 1 (UHRF1) overexpression on radiosensitivity to X-rays in human breast cancer MDA-MB-231 cells. MATERIALS AND METHODS: Cell survival was determined by colony formation assay; cell cycle distribution was measured by flow cytometry; apoptosis was evaluated by DNA fragmentation assay and Annexin V apoptosis detection kit; protein expression was analysed by Western blot assay; chromosome aberrations (centric rings and dicentrics) were assayed by conventional chromosome analysis. RESULTS: A significant decrease of radiosensitivity to X-rays was observed in MDA-MB-231 cells transfected with a full-length of human UHRF1 cDNA (MDA-MB-231/UHRF1) compared to the control cells (MDA-MB-231/parental and MDA-MB-231/pcDNA3 [mammalian expression vector]), and the similar results were observed in MDA-MB-468 cells. In contrast, a decreased expression of UHRF1 by a specific UHRF1-small interfering RNA (siRNA) significantly enhanced cell radiosensitivity. The UHRF1-mediated radioresistance was correlated with a G2(Ra)/M arrest, a decreased induction of apoptosis, a down regulation of the pro-apoptotic protein anti-B cell lymphoma/leukemia 2 (bcl-2) associated X protein (Bax) and a up-regulation of the DNA damage repair proteins Lupus Ku autoantigen protein p70 (Ku-70) and Lupus Ku autoantigen protein p80 (Ku 80). Furthermore, chromosomal aberrations (centric rings and dicentrics) by X rays were less in MDA-MB-231/UHRF1 than in MDA-MB-231/parental and MDA-MB 231/pcDNA3 control cells. CONCLUSIONS: These results suggested that UHRF1 may be a new target in the radiotherapy of breast cancer via affecting apoptosis and DNA damage repair. PMID- 21067298 TI - Gene expression following ionising radiation: identification of biomarkers for dose estimation and prediction of individual response. AB - PURPOSE: To establish a panel of highly radiation responsive genes suitable for biological dosimetry and to explore inter-individual variation in response to ionising radiation exposure. MATERIALS AND METHODS: Analysis of gene expression in response to radiation was carried out using three independent techniques (Microarray, Multiplex Quantitative Real-Time Polymerase Chain Reaction (MQRT- PCR) and nCounter(r) Analysis System) in human dividing lymphocytes in culture and peripheral blood leukocytes exposed ex vivo from the same donors. RESULTS: Variations in transcriptional response to exposure to ionising radiation analysed by microarray allowed the identification of genes which can be measured accurately using MQRT PCR and another technique allowing direct count of mRNA copies. We have identified genes which are consistently up-regulated following exposure to 2 or 4 Gy of X-rays at different time points, for all individuals in blood and cultured lymphocytes. Down-regulated genes including cyclins, centromeric and mitotic checkpoint genes, particularly those associated with chromosome instability and cancer could be detected in dividing lymphocytes only. CONCLUSIONS: The data provide evidence that there are a number of genes which seem suitable for biological dosimetry using peripheral blood, including sestrin 1 (SESN1), growth arrest and DNA damage inducible 45 alpha (GADD45A), cyclin dependent kinase inhibitor 1A (CDKN1A), cyclin G1 (CCNG1), ferredoxin reductase (FDXR), p53 up-regulated mediator of apoptosis (BBC3) and Mdm2 p53 binding protein homolog (MDM2). These biomarkers could potentially be used for triage after large-scale radiological incidents and for monitoring radiation exposure during radiotherapy. PMID- 21067299 TI - Anti-tumour effects by a trimodal combination of temozolomide, meloxicam and X rays in cultures of human glioma cells. AB - PURPOSE: To investigate the possible cytotoxic interactions between the chemotherapeutic drug temozolomide (TMZ) and the cyclooxygenase-2 inhibitor meloxicam (MLC) or of both drugs combined with X-rays in three human glioma cell lines (D384, Hs 683 and U251). MATERIALS AND METHODS: Cells were exposed to TMZ (96 hours) and MLC was co-incubated during the last 24 h. Thereafter, cells were irradiated with X-rays and plated for a clonogenic assay. Total cell numbers and the numbers of surviving cells were determined to study the recovery of the cell populations (up until 19 days) following different combinations of TMZ, MLC and X rays. RESULTS: The combination of MLC and TMZ caused an enhanced cytotoxic effect in D384 and Hs 683. Various treatment combinations demonstrated significant radiation enhancement in all three cell lines. Long-term observations of D384 cells demonstrated that the repopulation rates of the surviving cells are far less affected by the various treatment protocols than those from the non surviving cells. CONCLUSIONS: The present study demonstrates that a combination of TMZ and MLC resulted in a significant potentiation of their cytotoxicity in D384 and Hs683. The combination of these two drugs can also cause considerable enhancement of the radiation response in human glioma cell lines, although only D384 cells benefit from trimodal over bimodal treatment. PMID- 21067300 TI - Anti-neoplastic and immunostimulatory effects of low-dose X-ray fractions in mice. AB - PURPOSE: The exploration of immune mechanisms of the tumour-inhibitory effect of exposures to low-level fractions of X-rays. MATERIALS AND METHODS: BALB/c mice were exposed to whole-body daily irradiations with 0.01, 0.02, or 0.1 Gy X-rays per day for 5 days/week for two weeks. Then, mice were intravenously injected with L1 tumour cells, killed 14 days later, and neoplastic colonies were counted in the lungs. Natural killer (NK) cell-enriched splenocytes and activated peritoneal macrophages (Mphi) were collected and cytotoxic activities of these cells against susceptible tumour targets were assayed. Concanamycin A (CMA) and antibody against the ligand for the Fas receptor (FasL) were used to inhibit the NK cell-mediated cytotoxicity. Production of nitric oxide (NO) was quantified using the Griess reagent. Secretion of interferon-gamma (IFN-gamma), interleukin 1beta (IL-1beta), interleukin-12 (IL-12), and tumour necrosis factor-alpha (TNF alpha) was measured using the enzyme-linked immunosorbent assays. RESULTS: All the exposures to X-rays significantly reduced the number of the induced tumour colonies and enhanced cytotoxic properties of the NK cell-enriched splenocytes and activated Mphi. CONCLUSION: Suppression of the growth of pulmonary tumour colonies by irradiations of mice with low-dose fractions of X-rays may result from stimulation of anti-tumour reactions mediated by NK cells and/or cytotoxic macrophages. PMID- 21067301 TI - Genetic background and lymphocyte populations after total-body exposure to iron ion radiation. AB - PURPOSE: Particle radiations could significantly impact astronaut health during space missions. This study quantified the effects of iron ion radiation on lymphocytes in two strains of mice differing in susceptibility to radiation induced acute myeloid leukemia (AML) and thymic lymphoma (TL): C57BL/6 (AML resistant, TL sensitive) and CBA/Ca (AML sensitive, TL resistant). MATERIALS AND METHODS: The animals (n = 60/strain) were irradiated with 56Fe(26+) (1 GeV) to total doses of 0, 0.5, 2 and 3 Gray (Gy) at an average dose rate of 1 Gy/min and euthanised on days 4 and 30 thereafter; blood, spleen, and bone marrow were collected for flow cytometry analyses. Cells expressing the following molecules were quantified: Cluster of differentiation (CD) 4, CD8, CD25, CD34, CD71, B220 (isoform of CD45 on B cells), NK1.1 (marker on natural killer or NK cells, C57B mice), panNK (marker on NK cells, CBA mice), and Sca1 (stem cell antigen 1). RESULTS: Exposure to radiation resulted in different distribution patterns in lymphocyte populations and leukocytes expressing activation and progenitor markers in the two mouse strains. Significant main effects were dependent upon strain, as well as radiation dose, body compartment, and time of assessment. Especially striking differences were noted on day 4 after 3 Gy irradiation, including in the CD4:CD8 ratio [blood, C57 (2.83 +/- 0.25) vs. CBA (6.19 +/- 0.24); spleen, C57 (2.29 +/- 0.12) vs. CBA (4.98 +/- 0.22)], %CD25(+) mononuclear cells in bone marrow [C57 (5.62 +/- 1.19) vs. CBA (12.45 +/- 0.93)] and %CD34(+)Sca1(+) cells in bone marrow [CD451 degrees gate, C57 (2.72 +/- 0.74) vs. CBA (21.44 +/- 0.73)]. CONCLUSION: The results show that genetic background, as well as radiation dose and time post-exposure, had a profound impact on lymphocyte populations, as well as other leukocytes, after exposure to iron ion radiation. PMID- 21067302 TI - Ionising radiation and genetic risks. XVI. A genome-based framework for risk estimation in the light of recent advances in genome research. AB - AIMS AND OBJECTIVES: The aim of this paper is to examine a framework for computational modelling of genetic risks of radiation using the human genome as the starting point. The convergence of insights gained from knowledge of repair of DNA double-strand breaks in mammalian somatic cells and of the architecture of the human genome makes this framework possible. We inquire whether the concepts underlying the framework remain valid in the light of advances in DNA repair studies and human genome research during the past five years. MATERIALS AND METHODS: We reviewed the advances in DNA repair studies and genome research, and in the latter, we focused on advances subsequent to the discovery of copy number variation in the genome which include an assessment of its nature, extent, mechanisms involved and its role in health and disease. RESULTS: Our study shows that the concepts underlying our framework are valid. More specifically, the view that segmental duplications (which are abundant in the genome) can serve as entry points for modelling the origin of radiation-induced deletions via non-allelic homologous recombination in germ cells of human female remains robust. CONCLUSIONS: We posit that progress in genetic risk estimation in the 21st century will be driven mainly by the integration of genomic knowledge with that of DNA repair mechanisms, the latter involved in the origin of spontaneously occurring deletions (which cause genomic disorders in humans) and of radiation induced deletions in mammalian cells and extending the insights to irradiated human germ cells. PMID- 21067304 TI - Comparison of obstetrical risk in adolescent primiparas at tertiary referral centres in Tanzania and Austria. AB - PURPOSE: Adolescent childbearing is most prevalent in Sub-Saharan Africa. Deliveries in adolescent primiparas at an Austrian and an East African tertiary referral centre were compared to reveal differences in obstetric outcome. METHODS: A total of 186 primiparas delivering at an age of 17 or less between 1999 and 2005 at the Austrian centre were compared with 209 adolescent primiparas who delivered between 2005 and 2007 at the African centre. The type of delivery and complications were studied. RESULTS: Adolescent primiparas accounted for 1.2% of the overall obstetric population at the Austrian centre, as compared with 2.3% at the East African centre (p<0.01). When comparing the adolescents' outcome at the Austrian centre with the outcome of 22-27 years old primiparas at the same institution, we noted that the rates of adverse obstetric outcomes were higher among the adult group. However, at the East African centre the opposite was observed. CONCLUSIONS: In contrast to the results of Africa, data from Austria show that the obstetric outcome in adolescent pregnancies can be favourable. However, socioeconomic considerations have to be taken into account. Education and health knowledge seem critical for young females particularly in low-resource settings like East Africa. PMID- 21067306 TI - Applying the expectancy disconfirmation and regret theories to online consumer behavior. AB - This study synthesizes the expectancy disconfirmation theory with empirical theories pertaining to customer regret in an e-commerce environment. The study begins by examining the roles that information quality (IQ), system quality (SYQ), and service quality (SEQ) play in determining customer regret and satisfaction. Then the consequences of regret and satisfaction on reuse intention are examined. Survey data collected from 445 respondents are analyzed using structural equation modeling with partial least squares (PLS-Graph 3.0) to provide support for the hypothesized links. Results show that IQ disconfirmation, SYQ disconfirmation and SEQ disconfirmation are related to regret and satisfaction. Both regret and satisfaction are related to reuse intention. In addition, satisfaction mediates the effect of regret on reuse intention. Based on these results, implications for theory and practice are discussed. PMID- 21067303 TI - Cervicovaginal fetal fibronectin for the prediction of spontaneous preterm birth in multiple pregnancies: a systematic review and meta-analysis. AB - OBJECTIVE: To investigate the accuracy of cervicovaginal fetal fibronectin in predicting preterm birth in women with multiple pregnancies. METHODS: Systematic review and meta-analysis of predictive test accuracy. Cohort or cross-sectional studies were identified through searches in databases, reference lists, proceedings, and reviews. Study selection, quality assessment, and data extraction were performed. We constructed summary receiver operating characteristic curves and calculated pooled sensitivities and specificities using a bivariate, random-effects meta-regression model. We also calculated summary likelihood ratios and post-test probabilities of preterm birth. RESULTS: Fifteen studies (11 in asymptomatic women and 4 in women with symptoms of preterm labor) involving 1221 women with multiple pregnancies were included. Among asymptomatic women with multiple or twin pregnancies, the pooled sensitivities, specificities, and positive and negative likelihood ratios for predicting preterm birth before 32, 34, and 37 weeks' gestation ranged from 33% to 45%, 80% to 94%, 2.0 to 5.5, and 0.68 to 0.76, respectively. Among women with twin pregnancies and threatened preterm labor, the test was most accurate in predicting spontaneous preterm birth within 7 days of testing (pooled sensitivity, specificity, and positive and negative likelihood ratios of 85%, 78%, 3.9, and 0.20, respectively). CONCLUSIONS: Cervicovaginal fetal fibronectin provides moderate to minimal prediction of preterm birth in women with multiple pregnancies. The test is most accurate in predicting spontaneous preterm birth within 7 days of testing in women with twin pregnancies and threatened preterm labor. PMID- 21067307 TI - The effect of curcumin on human islet amyloid polypeptide misfolding and toxicity. AB - Type 2 diabetes involves aberrant misfolding of human islet amyloid polypeptide (h-IAPP) and resultant pancreatic amyloid deposits. Curcumin, a biphenolic small molecule, has offered potential benefits in other protein misfolding diseases, such as Alzheimer's disease. Our aim was to investigate whether curcumin alters h IAPP misfolding and protects from cellular toxicity at physiologically relevant concentrations. The effect of curcumin on h-IAPP misfolding in vitro was investigated by electron paramagnetic resonance spectroscopy, ThT fluorescence and electron microscopy. Our in vitro studies revealed that curcumin significantly reduces h-IAPP fibril formation and aggregates formed in the presence of curcumin display alternative morphology and structure. We then tested a potential protective effect of curcumin against h-IAPP toxicity on beta-cells. Micromolar concentrations of curcumin partially protect INS cells from exogenous IAPP toxicity. This protective effect, however, is limited to a narrow concentration range, as curcumin becomes cytotoxic at micromolar concentrations. In different models of endogenous over-expression of h-IAPP (INS cells and h-IAPP transgenic rat islets), curcumin failed to protect beta-cells from h-IAPP-induced apoptosis. While curcumin has the ability to inhibit amyloid formation, the present data suggest that, without further modification, it is unlikely to be therapeutically useful in protection of beta-cells in type 2 diabetes. PMID- 21067308 TI - Embryonic stem and haematopoietic progenitor cells resist to Abeta oligomer toxicity and maintain the differentiation potency in culture. AB - Regenerative medicine deals with the possible use of stem cells to repair tissues damaged by aging and related diseases, including amyloidoses. In the latter case, the toxicity of the amyloid deposits can, in principle, question the possibility to graft specific tissues by undifferentiated cells. To assess whether stem cells are vulnerable to amyloid toxicity, we exposed, in culture, murine embryonic stem (ES) cells and haematopoietic progenitor (HP) cells to oligomers of the amyloidogenic peptide Abeta42 at concentrations previously shown to be cytotoxic to several other cell types. These stem cells did not display any sign of apoptosis and their survival, proliferation and differentiation were not affected by the oligomers although the MTT (3-(4,5-dimethylthiazol-2-yl)-2,5 diphenyltetrazolium bromide) assay revealed that ES, but not HP, cells displayed some impaired ability to reduce the tetrazole salts possibly as a result of transient oxidative stress. Our results support a remarkable resistance of the investigated stem cells against amyloids and hence their potential use in cell therapy of Alzheimer's disease and, possibly, other amyloid diseases. PMID- 21067310 TI - UV-induced fin damage in zebrafish as a system for evaluating the chemopreventive potential of broccoli and cauliflower extracts. AB - This study applied broccoli and cauliflower extracts (whole, floret, and stem) to zebrafish larvae in parallel to receive 100 mJ/cm(2) of UVB six times, and recorded their fin malformation phenotypes. Chemopreventive effects of each group, including UVB, whole-, floret-, and stem-extracts of broccoli and cauliflower on fin development were evaluated using Kaplan-Meier analysis, log rank test, and Cox proportional hazards regression. Results showed that (1) zebrafish fins in the UVB + whole broccoli extract group are 6.20~9.32-times more likely to return to normal fins than ones in the UVB only group, but fins in the UVB + whole cauliflower extract group are only 5.13~11.10-times more likely to recover, indicated that whole broccoli and cauliflower extract had similar chemopreventive ability on fin development; and (2) the broccoli stem has the highest antioxidant capacity among other groups. In conclusion, zebrafish can be used as a system for evaluating the efficacy of other UVB protective compounds. PMID- 21067311 TI - Mechanism of action of somatic stem cell treatments: towards the concept of therapeutic plasticity. PMID- 21067312 TI - Tumor vaccines and beyond. AB - For the last two decades the immunotherapy of patients with solid and hematopoietic tumors has met with variable success. We have reviewed the field of tumor vaccines to examine what has worked and what has not, why this has been the case, how the anti-tumor responses were examined, and how we can make tumor immunity successful for the majority of individuals rather than for the exceptional patients who currently show successful immune responses against their tumors. PMID- 21067313 TI - Antagonism of P2Y12 reduces physiological thromboxane levels. AB - Antiplatelet therapy for the management of patients with cardiovascular risks often includes a combination therapy of aspirin and clopidogrel, acting through inhibition of thromboxane generation and blockade of G(i)-coupled P2Y12 receptor, respectively. We hypothesized that ADP acting through P2Y12 regulates physiological thromboxane levels. The serum thromboxane levels in mice (n = 3) dosed with clopidogrel and prasugrel were decreased by 83.1 +/- 5.3% and 94.26 +/ 1.75% respectively compared to untreated mice. Pre-treatment of human blood (n = 3) ex vivo with active metabolites of clopidogrel or prasugrel led to a reduction in thromboxane levels to 16.3 +/- 3.2% and 4.9 +/- 0.8% respectively, compared to untreated human serum. We also evaluated serum thromboxane levels in P2Y receptor null mice (n = 4). Whereas serum thromboxane levels in P2Y1 null mice were similar to those in wild type littermates, those in the P2Y12 null mice were inhibited by 83.15 +/- 3.8%. Finally, in a pilot study, serum thromboxane levels were reduced by 76.05 +/- 8.41% in healthy human volunteers (n = 6) upon dosing with clopidogrel, compared to the levels before dosing. In conclusion, P2Y12 antagonism alone can decrease physiological thromboxane levels. Thus, this study could pave way the for newer/modified treatment regimens for the management of patients with thrombotic complications who are allergic or non-responsive to aspirin. PMID- 21067314 TI - Toll like receptor 5 (TLR5) may be involved in the immunological response to Aspergillus fumigatus in vitro. AB - Toll-like receptors (TLRs) are involved in the host defense against Aspergillus fumigatus infections, and some TLRs may even be exploited by the mould to escape immune mechanisms. We have previously shown that conidia from A. fumigatus increase expression of TLR5 in human monocytes. When further investigating a possible role of TLR5 in A. fumigatus infections, we observed a decrease in conidial viability after culturing with TLR5-knockdown THP-1 monocytes. Secondly, our experiments showed an increase in conidial viability when THP-1 monocytes, together with flagellin, are cultured with conidia. Thirdly, we found that treatment of THP-1 monocytes with a monoclonal antibody against TLR5 resulted in increased conidial viability after culturing. Experiments with a HEK-293 cell line only expressing TLR5 did not indicate that conidia directly interact with TLR5. Further studies of the intracellular molecular mechanisms activated concomitant with activation of TLR5 that have an enhancing effect on the viability of conidia may shed new light on the defense against conidia in monocytic cells, and possibly also on the function of the TLR5 system. PMID- 21067315 TI - Association of shorter mean telomere length with large artery stiffness in patients with coronary heart disease. AB - BACKGROUND: Accumulating evidence implicates leukocyte telomere length (LTL) shortening as a potential risk predictor for cardiovascular disease. Arterial stiffness chronicles the cumulative burden of cardiovascular disease risk factors. Therefore, the capacity of LTL to predict arterial stiffness was examined. METHODS: A total of 275 unrelated Chinese males: 163 patients with coronary artery disease (CAD) and 112 healthy controls, 40-73 years of age were included in this study. The relative telomere length of leukocytes was determined by a real-time fluorescence quantitative polymerase chain reaction (PCR). Large artery stiffness was measured with carotid-femoral pulse wave velocity (PWV). RESULTS: The relative telomere length (T/S) ratio was significantly shorter in patients with CAD (0.79 +/- 0.26) than in control subjects (1.08 +/- 0.22) (p<0.001). The correlation between LTL and PWV in patients with CAD was stronger than that in the controls (r= -0.467, r(2)=0.227, p<0.001 for patients with CAD versus r= -0.223; r(2)=0.050; p=0.018 for controls). The log(e)-transformed T/S ratio was inversely correlated with age (r= -0.345; p<0.001), PWV (r= -0.326; p<0.001) and C-reactive protein ( r= -0.133; p=0.027). CONCLUSIONS: The data show an association of leukocyte telomere length shortening with increased arterial stiffness and cardiovascular burden, suggesting that telomere length is a biomarker of large artery elasticity and CAD. Further studies are warranted to study the role of LTL dynamics in the pathogenesis of atherosclerosis. PMID- 21067316 TI - The Equidistant Method - a novel hip joint simulation algorithm for detection of femoroacetabular impingement. AB - INTRODUCTION: A novel computerized algorithm for hip joint motion simulation and collision detection, called the Equidistant Method, has been developed. This was compared to three pre-existing methods having different properties regarding definition of the hip joint center and behavior after collision detection. It was proposed that the Equidistant Method would be most accurate in detecting the location and extent of femoroacetabular impingement. MATERIALS AND METHODS: Five plastic pelves and ten plastic femora with modified acetabula and head-neck junctions, allowing for 50 different morphologic combinations, were examined, along with six cadaver hips. First, motions along anatomically relevant paths were performed. These motions were tracked by a navigation system and impingement locations were digitized with a pointer. Subsequently, previously generated 3D models of all the specimens, together with the recorded anatomic motion paths, were applied to all four simulation algorithms implemented in a diagnostic computer application. Collisions were detected within the motion paths, and the linear and angular differences regarding the location as well as the size of the detected impingement areas were compared and analyzed. RESULTS: The Equidistant Method detected impingement with significantly higher linear and angular accuracy compared to the other methods (p < 0.05). The size of the detected impingement area was smaller than that detected with the other methods, but this difference was not statistically significant. CONCLUSIONS: The increased accuracy of the Equidistant Method is achieved by implementing a dynamic hip joint center, more closely resembling the natural characteristics of the hip joint. Clinical application of this algorithm might serve as a diagnostic adjunct and support in the planning of joint-preserving surgery in patients with femoroacetabular impingement. PMID- 21067317 TI - Network-like impact of MicroRNAs on neuronal lineage differentiation of unrestricted somatic stem cells from human cord blood. AB - Unrestricted somatic stem cells (USSCs) represent an intrinsically multipotent CD45-negative fetal population from human cord blood. They show differentiation into neuronal cells of a dopaminergic phenotype, which express neuronal markers such as synaptophysin, neuronal-specific nuclear protein, and neurofilament and release the neurotransmitter dopamine accompanied by expression of dopaminergic key factors tyrosine hydroxylase and Nurr1 (NR4A2). MicroRNA expression analysis highlighted their importance in neural development but their specific functions remain poorly understood. Here, downregulation of a set of 18 microRNAs during neuronal lineage differentiation of unrestricted somatic stem cells, including members of the miR-17-92 family and additional microRNAs such as miR-130a, -138, 218, and -335 as well as their target genes, is described. In silico target gene predictions for this microRNA group uncovered a large set of proteins involved in neuronal differentiation and having a strong impact on differentiation-related pathways such as axon guidance and TGFbeta, WNT, and MAPK signaling. Experimental target validations confirmed approximately 35% of predictions tested and revealed a group of proteins with specific impact on neuronal differentiation and function including neurobeachin, neurogenic differentiation 1, cysteine-rich motor neuron protein 1, neuropentraxin 1, and others. These proteins are combined targets for several subgroups from the set of 18 downregulated microRNAs. This finding was further supported by the observed upregulation of a significant amount of predicted and validated target genes based on Illumina Beadstudio microarray data. Confirming the functional relationship of a limited panel of microRNAs and predicted target proteins reveals a clear network-like impact of the group of 18 downregulated microRNAs on proteins involved in neuronal development and function. PMID- 21067318 TI - A novel approach to minimize error in the medical domain: cognitive neuroscientific insights into training. AB - Medical errors are an inevitable outcome of the human cognitive system working within the environment and demands of practicing medicine. Training can play a pivotal role in minimizing error, but the prevailing training is not as effective because it directly focuses on error reduction. Based on an understanding of cognitive architecture and how the brain processes information, a new approach is suggested: focusing training on error recovery. This entails specific training in error detection and error mitigation. Such training will not only enable better responses when errors occur, but it is also a more effective way to achieve error reduction. The suggested design for error recovery training is to begin with detecting errors in others. Starting off with highly visible and even exaggerated errors, and advancing to more challenging detections and finally requiring to detect errors within oneself rather than in others. The error mitigation training starts with providing the learners with the correct remedial actions (after they have detected the error). With training, the learners are required to select the appropriate actions within multiple choice alternatives, and eventually are required to generate the appropriate remedial responses themselves. These can be used for instruction as well as for assessment purposes. Time pressure, distractions, competitions and other elements are included so as to make the training more challenging and interactive. PMID- 21067319 TI - Comparison of bougie-assisted intubation with traditional endotracheal intubation in a simulated difficult airway. AB - OBJECTIVE: To compare the success and ease of bougie-assisted intubation (BAI) with those of traditional endotracheal intubation (ETI) in a simulated difficult airway (20.4 seconds for BAI vs. 16.7 seconds for ETI, p = 0.102). METHODS: This was a prospective, randomized, crossover, single-blind study comparing BAI with ETI in a simulated difficult airway. The 35 participants included paramedics, flight nurses, and emergency medicine resident physicians. Participants were already experienced in ETI and received a brief demonstration of BAI. A simulated difficult airway was created using a Laerdal adult intubation manikin. Cervical motion was mechanically limited to provide a grade III Cormack and Lehane glottic view. Participants performed ETI and BAI in randomized order. Successful placement in the trachea and time to successful placement were recorded for both techniques by each participant. After intubating the manikin with both techniques, each participant was asked to complete a Likert-style survey assessing ease of each technique. RESULTS: Of the 35 participants, 27 were successful with both techniques and two failed with both techniques. The remaining six participants all failed at ETI but were able to intubate using BAI. There was significantly greater success in intubating the simulated difficult airway with BAI than with ETI (94% vs. 77%, p = 0.0313). The order of techniques attempted did not influence this conclusion. There was no difference in average time to successful intubation (20.4 seconds for BAI vs. 16.7 seconds for ETI, p = 0.102). Thirty-two (91.4%) of the participants completed the survey regarding ease of performing each technique. Forty-one percent rated the ease of intubation as the same for the two methods, 50% rated BAI as easier, and 9% rated ETI as easier (p = 0.0006). CONCLUSION: In a simulated difficult airway, BAI has a higher success rate than traditional ETI without increasing the time to successful intubation. Intubators perceive BAI as being easier to perform than traditional ETI in this simulated difficult airway scenario. PMID- 21067320 TI - Fungal respiratory infections in cystic fibrosis: a growing problem. PMID- 21067321 TI - Unusual Aspergillus species in patients with cystic fibrosis. AB - Poorly sporulating Aspergillus isolates from patients with cystic fibrosis (CF) are generally identified in routine procedures as Aspergillus spp. In this study, we identified and characterized 11 isolates belonging to two unusual Aspergillus species of the section Fumigati (A. lentulus and Neosartorya pseudofischeri) recovered from four different patients. Aspergillus lentulus was found occasionally during a 10-year follow-up study of one CF patient colonized by A. fumigatus. Neosartorya pseudofischeri was isolated from three patients followed in different European hospitals. This species was recovered from two sputum samples of one patient, and from four successive samples of the two other patients, suggesting that it may be responsible for chronic colonization. Both species were isolated together with A. fumigatus. Isolates from both species did not grow at 50 degrees C, and DNA sequence analysis, together with further morphological observations permitted identification at the species level. Growth at different temperatures and antifungal susceptibility were also investigated. All the isolates of N. pseudofischeri exhibited a very low susceptibility to voriconazole (VRZ) whereas a very low susceptibility to VRZ and amphotericin B was seen with the A. lentulus isolates. PMID- 21067322 TI - Chronic airway colonization by Scedosporium apiospermum with a fatal outcome in a patient with cystic fibrosis. AB - Abnormally viscous bronchial secretions, a characteristic feature of cystic fibrosis (CF), may trap bacteria and fungi, allowing transient or chronic lung colonization. We report here a case of persistent Scedosporium apiospermum colonization in a patient with CF, who subsequently developed a lung mycetoma, and died with neurological symptoms suggestive of cerebral fungal involvement. Six isolates from consecutive sputum samples were molecularly typed by random amplification of polymorphic DNA (RAPD) using primers UBC701, UBC703, and GC70. Moreover, in vitro susceptibility of these isolates to current antifungals (amphotericin B, itraconazole, voriconazole, posaconazole, caspofungin and anidulafungin) was investigated by means of both E-test and CLSI methods. Antifungal susceptibility testing showed low minimum inhibitory concentration values only for triazole drugs. However, a unique genotype was isolated over a 12 month period, despite antifungal treatment with voriconazole for three months. This case report illustrates the therapy-refractory feature of this fungus, and provides new evidence that, as already reported, once a genotype of S. apiospermum has established colonization, it seems not to be replaced by others. PMID- 21067323 TI - Candida species in cystic fibrosis: A road less travelled. AB - Candida species are isolated with high frequency from cystic fibrosis patients, yet their definitive role in the disease remains unclear. Previously considered to have minimal inherent virulence owing to their commensal ability, the last decade has heralded an increasing recognition of Candida infection among patients with cystic fibrosis. What has been more recently hypothesized is that the organism possesses virulence factors that play diverse roles at different body sites during varied stages of an infection. Currently, limited data is accessible in the area of cystic fibrosis. This review aims to provide an overview of the role of Candida species in cystic fibrosis as it is currently understood including the common local and systemic infections observed in clinical practice. The uncertain role of airway colonization and insight into emerging fields such as Candida-bacterial interactions are also addressed. Finally, we outline the current understanding of the innate, cellular and humoral immune responses associated with this genus which has been the major focus of work performed to date. PMID- 21067324 TI - Mixed bacterial-fungal infections in the CF respiratory tract. AB - Cystic fibrosis (CF) is a common genetic disease whose major clinical manifestations include repeated episodes of airway infection and inflammation that ultimately result in premature death from respiratory failure. The consequences of infection by individual bacteria have been well studied and the evidence is building that fungal pathogens may be playing an important role in lung disease progression. In contrast, though many CF patients have airway infections characterized by the presence of both bacteria and fungi, our understanding of the impact of such polymicrobial infections on the host is limited. In this review, we discuss what is currently known about incidence of mixed bacterial-fungal infections, and the potential consequences of these mixed infections on the progression of CF lung disease. PMID- 21067325 TI - Pneumocystis jirovecii and cystic fibrosis. AB - Pneumocystis jirovecii is an atypical opportunistic fungus with lung tropism and worldwide distribution that causes pneumonia in immunosuppressed individuals. The development of sensitive molecular techniques has led to the recognition of a colonization or carrier state of P. jirovecii, in which low levels of the organism are detected in persons who do not have pneumonia. Pneumocystis colonization has been described in individuals with various lung diseases, and accumulating evidence suggests that it may be a relevant issue with potential clinical impact. Only a few published studies carried out in Europe have evaluated the prevalence of Pneumocystis colonization in patients with cystic fibrosis, reporting ranges from 1.3-21.6%. The evolution of P. jirovecii colonization in cystic fibrosis patients is largely unknown. In a longitudinal study, none of the colonized patients developed pneumonia during a 1-year follow up. Since patients with cystic fibrosis could act as major reservoirs and sources of infection for susceptible individuals further research is thus warranted to assess the true scope of the problem and to design rational preventive strategies if necessary. Moreover, it's necessary to elucidate the role of P. jirovecii infection in the natural history of cystic fibrosis in order to improve the clinical management of this disease. PMID- 21067326 TI - Local innate host response and filamentous fungi in patients with cystic fibrosis. AB - Filamentous fungi especially Aspergillus spp. and Scedosporium spp. can colonize the lungs of cystic fibrosis (CF) patients. Persistent infection by these organisms may cause deterioration of lung function, mycetomas or local invasive disease. Although CF patients exert an excessive inflammatory response to inhaled bacteria, very little is known about the local innate immune response to filamentous fungi. In this paper, we review the innate immune response of respiratory tract of healthy individuals to filamentous fungi with some inference to CF patients and link the latter to existing data. We also report some preliminary findings on the in vitro antifungal responses of human phagocytes against Aspergillus spp. isolated from CF patients. Translation of these in vitro findings to appropriate in vivo systems and into clinical trials of immunomodulatory treatments may lead to improved strategies for appropriate innate host defenses in CF patients persistently infected with filamentous fungi. PMID- 21067327 TI - Characteristics and consequences of airway colonization by filamentous fungi in 201 adult patients with cystic fibrosis in France. AB - A total of 657 sputum samples from 201 cystic fibrosis adult patients were collected during a 24-month period (2005-2006). We retrospectively analyzed the fungal colonization of the respiratory tract of these individuals by linking medical records and microbiological data. Filamentous fungi were isolated from specimens of 65.6% of the patients, with Aspergillus fumigatus being the predominant species recovered as it was found in specimens of 56.7% of the patients. We observed no difference for gender, pancreatic status and cirrhosis in patients with or without A. fumigatus colonization. We found a higher percentage of recovery of Pseudomonas aeruginosa, Stenotrophomonas maltophilia and nontuberculous mycobacteria in patients with A. fumigatus colonization. During the follow-up period of the study, 8.9% of the patients were diagnosed with allergic bronchopulmonary aspergillosis (ABPA). By a multivariate analysis we demonstrated that Scedosporium apiospermum was significantly associated with ABPA (Odds ratio = 13 [2-80]) as opposed to A. fumigatus (Odds ratio = 1.58 [0.49 5.05]). PMID- 21067328 TI - Clinical associations and prevalence of Scedosporium spp. in Australian cystic fibrosis patients: identification of novel risk factors? AB - Risk factors for the association of Scedosporium in cases of cystic fibrosis (CF) and its clinical implications are poorly understood. Clinical, lung function and laboratory data of adult CF patients in Sydney (April 2008-March 2009) were prospectively analysed for such risk factors. Expectorated sputa were cultured for bacteria and examined for fungi using standard mycological and Scedosporium selective media, and by an internal transcribed spacer region-targeted multiplex PCR assay. Scedosporium spp. (n = 4 each of Scedosporium prolificans, Scedosporium aurantiacum and Pseudallescheria boydii/ Scedosporium apiospermum complex [non-S. aurantiacum]) were recovered from 12 of 69 (17.4%) patients. Samples of 11 of the patients yielded isolates on Scedosporium- selective media (vs. 6 [8.7%] by non-selective culture) and one additional patient was noted by PCR. Of these patients, 83.3% were co-colonized with other moulds, most frequently Aspergillus fumigatus. Colonization was not associated with best FEV1/predicted, corticosteroid or antifungal therapies. By univariate analysis, patients with Scedosporium colonization were significantly less likely to be colonized with mucoid Pseudomonas aeruginosa (P = 0.025), while prior therapy with antistaphylococcal penicillins was a risk factor for colonization (P = 0.045). Bacterial colonization and antimicrobial exposure likely influence Scedosporium colonization, which is optimally detected with selective media. Studies are required to confirm independent risk factors for Scedosporium colonization and to determine its impact on lung disease. PMID- 21067329 TI - Exophiala (Wangiella) dermatitidis and cystic fibrosis - Prevalence and risk factors. AB - The objective of this prospective study was to assess the prevalence of Exophiala dermatitidis in respiratory secretions of patients with cystic fibrosis (CF) and to identify risk factors for its presence. The results of all cultures performed over a 2-year period in non lung-transplant patients in our CF clinic were included in the study. Samples consisted of sputum (whenever possible) or deep pharyngeal aspirate after a session of physiotherapy. Specimens were inoculated onto Sabouraud gentamicin-chloramphenicol agar (SGCA) medium (Becton-Dickinson) and incubated at 35 degrees C for 2 days and then at ambient temperature (15-25 degrees C) for 3 weeks. The whole study group included 154 patients (mean age +/- SD: 18.5 y +/- 11.69). E. dermatitidis was isolated from 58 specimens (2.8%) of nine patients (5.8%) out of total of 2065 cultures prepared during the study period. All E. dermatitidis culture-positive patients were pancreatic insufficient and >=12 y of age. Almost all (8/9) were homozygous for the F508 del mutation. Aspergillus fumigatus colonization and genotype seemed to be predisposing factors. No other significant characteristic was identified in this group, either in terms of predominant bacterial pathogen or treatment. A distinct comparative study performed over 3 months in our laboratory revealed that the use of SGCA yielded identical isolation rates of E. dermatitidis as erythritol chloramphenicol agar (ECA). PMID- 21067330 TI - Scedosporium aurantiacum is as virulent as S. prolificans, and shows strain specific virulence differences, in a mouse model. AB - Several Scedosporium species are clinically important emerging pathogens. Scedosporium prolificans is reported to be the most virulent of the species, while the recently described species Scedosporium aurantiacum, which accounts for a substantial proportion of Australian clinical isolates is capable of causing a range of serious infections. In addition, environmental surveys have revealed a high prevalence of S. aurantiacum in the urban Sydney region. This study was conducted to assess the virulence of selected S. aurantiacum strains recovered from patients who are colonized or have invasive disease, as well as those from environmental sources, in comparison with S. prolificans. PCR fingerprinting with the primer M13 revealed high genetic variation among the S. aurantiacum strains. We evaluated the virulence of eight S. aurantiacum and two S. prolificans strains in a murine model using an infectious dose of 2 * 105 conidia. S. aurantiacum was noted to be as virulent as S. prolificans, causing death in 60-100% of mice (P > 0.05). There were significant strain-specific virulence differences (P < 0.005), indicating a possible link between genotype and virulence in S. aurantiacum. PMID- 21067331 TI - Pharmacological considerations for azole antifungal drug management in cystic fibrosis lung transplant patients. AB - This paper aims to present our experience in the pharmacological approach of the management of azole antifungal drugs in cystic fibrosis lung transplant patients. Cystic fibrosis (CF) lung transplantation is associated with multi-factorial care management, because of immunosuppressive requirements, risk of infections, frequency of gastro-oesophageal reflux disease, hepatic alterations and CF pharmacokinetics (PK) specificities that result in important PK variability. CF is associated with frequent colonization of the airways by filamentous fungi, especially by Aspergillus species. Today the antifungal therapeutic arsenal offers several possibilities for long-term oral therapy including azole drugs (itraconazole, voriconazole and posaconazole). Therefore, nephrotoxic amphotericin B should be avoided. The liver is important in the pharmacological profile of azole drugs, due to metabolic elimination, hepatotoxicity and PK drug drug interaction (DDI) involving CYP3A4 metabolic inhibition. Targets for such DDI are numerous, but immunosuppressive drugs are of major concern, justifying combined therapeutic drug monitoring (TDM) of both azoles (inhibitors) and immunosuppressants (targets) on an individualized patient basis to adjust the coprescription quantitatively. The risk of long under-dosed periods, frequently addressed in this population, could justify, on a PK basis, the need for combination with an exclusive parenteral antifungal while waiting for azole relevant drug level. High PK variability, the risk of low exposure, therapeutic issues and DDI management in this complex underlying disease justify close monitoring with systematic combined TDM of azole and immunosuppressants, in case of coprescription. PMID- 21067332 TI - What can be learned from genotyping of fungi? AB - Multiple genotyping studies have been carried out in order to clarify the epidemiology of fungal infections, more specifically to determine the sources, transmission routes, and colonization patterns of fungal isolates. In this review, the results obtained in genotyping investigations of Aspergillus isolates are summarized and discussed. Furthermore, we examine the epidemiologic studies of Candida albicans, Exophiala dermatitidis and Scedosporium apiospermum infections in patients with cystic fibrosis. Relative to Aspergillus fumigatus, colonization of the respiratory tract by multiple strains, and of deep organs by only a single strain were observed. On the other hand, the few studies which focused on other fungi isolated from patients with cystic fibrosis have suggested that colonization occurs primarily by a dominant genotype. PMID- 21067333 TI - Abundance of Pseudallescheria/Scedosporium species in the Australian urban environment suggests a possible source for scedosporiosis including the colonization of airways in cystic fibrosis. AB - Members of the Pseudallescheria/Scedosporium species complex are emerging opportunistic fungal pathogens which have the capacity to colonize patients with damaged airways, including those with cystic fibrosis (CF). Assuming human infection is acquired via inhalation of fungal spores from the environment, we performed a qualitative environmental survey encompassing 25 urban, semirural and rural sites in the greater Sydney region to determine the prevalence of Pseudallescheria/Scedosporium species. Soil sampling revealed an abundance of Pseudallescheria/Scedosporium, particularly in locations associated with high human activity. No variation was noted during repeated sampling at different times of the year. Strains of Scedosporium aurantiacum were most frequently isolated (54.6%), followed by Scedosporium prolificans (43%), P. boydii (2.1%) and S. dehoogii (0.3%). The findings coincide with the relatively high prevalence of Scedosporium infections in Australia and their presence as colonizers in CF patients. They emphasize the importance of environmental studies to assess the clinical risk of infection. PMID- 21067334 TI - Serum markers for allergic bronchopulmonary aspergillosis in cystic fibrosis: State of the art and further challenges. AB - Allergic bronchopulmonary aspergillosis (ABPA), which results from hypersensitivity, primarily to Aspergillus, represents a severe complication in patients suffering from asthma or cystic fibrosis (CF). Since early treatment of ABPA is supposed to prevent long-term damages, ABPA has to be diagnosed promptly. However, this diagnosis is not straightforward due to clinical and radiological features of ABPA overlapping with those of CF. Despite ABPA specific diagnosis criteria proposed by the Cystic Fibrosis Foundation in 2003, making a definitive ABPA diagnosis in CF patients remains a challenge. Recent advances in the immunopathogenesis of ABPA have initiated the development of new serological tests, such as the recently reported detection of specific IgE to recombinant A. fumigatus allergens, or Thymus- and activation-regulated chemokine (TARC / CCL17), both of which are of value in the diagnosis of APBA. We review in this paper the serum markers that can advance ABPA diagnosis in CF patients, ranging from the well known criteria (anti-A. fumigatus IgE, IgG, and precipitins) to the recent biomarkers (IgE towards recombinant A. fumigatus allergens or TARC detection). Taking into account the up-dated physiopathology of ABPA, we discuss their place and their usefulness, especially TARC, to improve early ABPA detection and monitoring in CF patients. PMID- 21067335 TI - Lack of standardization in the procedures for mycological examination of sputum samples from CF patients: a possible cause for variations in the prevalence of filamentous fungi. AB - Filamentous fungi and yeasts are increasingly isolated from respiratory secretions of patients with cystic fibrosis (CF), and persistent fungal colonization of the airways of such patients is thought to exacerbate lung damage. While many independent studies have identified Aspergillus fumigatus complex as the principal colonizing fungus in CF, increased awareness of the role of fungi in CF pathology coupled with improved mycological culture and identification methods have resulted in a number of other fungi being isolated and reported from CF sputum samples, including A. terreus, members of the Pseudallescheria boydii/Scedosporium apiospermum complex, Exophiala dermatitidis, Paecilomyces and Penicillium species. However, the range of fungal pathogens isolated and the relative prevalence of individual species vary widely between reports from different geographical CF centres, and as yet no standardized method for the mycological examination of CF sputum samples has been adopted. Here, we examine the potential contribution of the mycological methods employed to examine CF respiratory secretions relative to the variability in the fungal biota reported. The role of direct microscopic examination of respiratory samples and the impact of the culture conditions used on the detection of specific fungal pathogens are addressed, and the potential significance of isolation of yeast species from CF patient airways is discussed. PMID- 21067336 TI - N(alpha)-methyl coprogen B, a potential marker of the airway colonization by Scedosporium apiospermum in patients with cystic fibrosis. AB - Scedosporium apiospermum is an emerging pathogen colonizing the airways of patients with cystic fibrosis (CF). While usually responsible for chronic colonization without clinical signs, this fungus may cause severe and often lethal infections in lung transplant recipients. Early diagnosis of its airway colonization and appropriate treatment are required to eradicate the fungus when a lung transplantation is planned. Here we propose an alternative to mycological examination of sputum samples based on extraction of siderophores by chromatography on Amberlite XAD-4, followed by high performance liquid chromatography analysis of the siderophore extract. Improvement of the extraction procedure was performed in a fractional factorial design which revealed the importance of prior ammonium sulfate precipitation of the proteins, alkalinization of the obtained solution and stirring during extraction. In order to verify the specificity of N(alpha)-methyl coprogen B for S. apiospermum, the method was applied on culture supernatants of different filamentous fungi colonizing the airways of CF patients, including some aspergilli and Exophiala dermatitidis. N(alpha)-methyl coprogen B was detected exclusively for species of the S. apiospermum complex. Likewise, sputum samples from colonized and non colonized CF patients were analyzed, and the siderophore was detected exclusively in three out of the five specimens which were found by culture to contain S. apiospermum. Together these results confirmed N(alpha)-methyl coprogen B as a marker of the airway colonization by species of the S. apiospermum complex. PMID- 21067337 TI - Study of the influence of alkalizing components on matrix pellets prepared by extrusion/spheronization. AB - The aim of this study was to investigate the effects of alkalizing components and the nature of the wetting liquid on the properties of matrix pellets prepared by extrusion and spheronization. Atenolol was used as an active pharmaceutical ingredient, ethylcellulose as a matrix former, microcrystalline cellulose as a filler and disodium phosphate anhydrous and trisodium phosphate dodecahydrate as alkalizing materials. Water and a water-ethanol mixture served as granulation liquids. Pellet formation was evaluated via mechanical, dissolution and morphological studies. In order to enhance the dissolution of Atenolol from the pellets, alkalizing components were used and the influence of these components on the pH was tested. Investigations of the breaking hardness, the morphology and the dissolution revealed that the pellets containing trisodium phosphate dodecahydrate and prepared with a higher amount of water as binding liquid displayed the best physico-chemical parameters and uniform dissolution. In in vitro experiments, the dissolution release complied with the texture of the pellets and the effect of pH. The pellets have suitable shape and very good hardness for the coating process and are appropriate for subsequent in vivo experiments. PMID- 21067338 TI - Phase solubility behavior of hydrophilic polymer/cyclodextrin/lansoprazole ternary system studied at high polymer concentration and by response surface methodology. AB - Evaluation of polymer/cyclodextrin (CD)/drug ternary systems has been performed at low polymer levels to date, and the cross-interaction of polymers and CDs has not been well studied. In this study, the effects of PVP K30 and PEG 6000 on the complexation ability of beta-CD and 2-hydroxypropyl-beta-cyclodextrin (HPCD) with lansoprazole (LSP) was investigated. The phase solubility of polymer/CD/LSP ternary systems was first studied at polymer levels of 0%, 2% and 6%, respectively. A response surface methodology was then employed to investigate the cross-interaction of polymers/CDs on phase solubility at polymer levels up to 10%. Results indicated A(L)-type inclusion for both beta-CD and HPCD. Increase in PEG concentration leads to improved complexation efficiency, whereas increase of PVP lead to decreased CE, which is attributable to the strong interaction between PVP and LSP. Second-order polynomial equations were well employed to estimate the relationship between LSP solubility and the two independent variables. The response surface showed that PVP and PEG had no significant effects on LSP/CD complexation, while a synergistic effect on LSP solubility was observed at higher concentrations of HPCD and PEG. It is concluded that high levels of polymer lead to increased LSP solubility but not significant increase in CE. PMID- 21067340 TI - Holistic group rehabilitation--a short cut to adaptation to the new life after mild acquired brain injury. AB - PURPOSE: The purpose was to explore and understand what patients with mild acquired brain injury (mABI) consider is effective in a holistic therapy group rehabilitation programme and how the programme affects the rehabilitation process. METHODS: Thematic interviews were conducted with ten informants with diagnosed mABI. They were recruited through purposive sampling after completing a group rehabilitation programme. The data were analysed using a constant comparative method. RESULTS: The programme provided the informants with awareness of their difficulties in daily life. They were thus motivated to develop compensatory strategies for better function. The core category 'process of change' and four sub-categories were defined: 'the group process', 'the individual', 'family' and 'work'. These describe how the programme supported illness management strategies, e.g. setting and maintaining boundaries, coping with and accepting the hard facts of disability. Body awareness training was important. Work capacity was regarded as a measure of recovery. Social relations concentrated on meaningful exchanges. CONCLUSION: When meeting patients with mABI it is important to consider that, despite apparently well functioning; they might suffer from disabling symptoms that affect performance of daily life. A holistic group rehabilitation programme with integrated information properly provides a short cut to facilitating awareness and adjustment. PMID- 21067341 TI - Content comparison of health-related quality of life measures for cerebral palsy based on the International Classification of Functioning. AB - PURPOSE: Content comparison of health-related quality of life (HRQOL) measures is currently important because of the varying use of concepts and operationalisations. Our objective was to use the International Classification of Functioning Children and Youth version (ICF-CY) as a standard by which to compare the content of all cerebral palsy (CP) disease-specific HRQOL measures. METHODS: MEDLINE and PsycINFO databases were searched up to September 2008. The content of HRQOL measures was linked to the ICF-CY by two trained assessors. Agreement was calculated using kappa (kappa) statistic. RESULTS: Four disease-specific HRQOL measures were identified. Three generic measures were selected as a content comparison group. A total of 576 concepts contained in the measures were identified. Eighty-nine percent (n = 510) were linked to 127 different ICF-CY categories. Overall kappa agreement was 0.76 (95% CI: 0.75-0.77). Forty percent of concepts were linked to the activity and participation component. The measures varied in the number of concepts and the distribution of concepts by ICF-CY components. CONCLUSIONS: The ICF-CY provided an international accepted, structured framework for the content comparison of CP-specific and generic HRQOL measures. The results will provide clinicians and researchers with additional information, useful when selecting HRQOL measures. PMID- 21067342 TI - Novel aspects of therapeutics in Blood Pressure: drug therapy supplements. PMID- 21067349 TI - Discrimination and other barriers to employment for teens and young adults with disabilities. AB - PURPOSE: Having a disability is a barrier to securing and maintaining employment. Most research has focussed on employment barriers among adults, while very little is known about young people's experience finding paid work. METHOD: Young people aged 15-24 were selected from the 2006 Participation and Activity Limitation Survey to explore the barriers and discrimination they experienced in seeking employment (n = 1898). RESULTS: Our findings show that teens and young adults with disabilities encountered several barriers and discrimination in seeking paid employment. The types of barriers that these young people encountered varied by age and type of disability. There were fewer yet different types of barriers to working that were encountered between the two age groups (teens and young adults). Several socio-demographic factors also influenced barriers to working. Severity of disability, type and duration of disability, level of education, gender, low income, geographic location and the number of people living in the household all influenced the kind of barriers and work discrimination for these young people. CONCLUSIONS: Rehabilitation and life skills counsellors need to pay particular attention to age, type of disability and socio-demographic factors of teens and young adults who may need extra help in gaining employment. PMID- 21067350 TI - Integrated analysis of FOCUS 1 and FOCUS 2: randomized, doubled-blinded, multicenter phase 3 trials of the efficacy and safety of ceftaroline fosamil versus ceftriaxone in patients with community-acquired pneumonia. AB - BACKGROUND: Ceftaroline, the active form of ceftaroline fosamil, is a broad spectrum cephalosporin with bactericidal activity against pathogens causing community-acquired pneumonia (CAP), including Streptococcus pneumoniae. Ceftaroline was evaluated for the treatment of CAP in 2 randomized, double-blind, multicenter trials: Ceftaroline Community Acquired Pneumonia Trial versus Ceftriaxone in Hospitalized Patients (FOCUS) 1 and FOCUS 2. METHODS: Patients hospitalized (but not admitted to an intensive care unit) with Pneumonia Outcomes Research Team risk class III or IV CAP requiring intravenous therapy were randomized to ceftaroline 600 mg every 12 h or ceftriaxone 1 g every 24 h for 5-7 days. Patients in FOCUS 1 received 2 doses of oral clarithromycin 500 mg every 12 h on day 1. RESULTS: In the individual trials, clinical cure rates in the clinically evaluable (CE) population for ceftaroline versus ceftriaxone were as follows: FOCUS 1, 86.6% vs 78.2% (difference, 8.4%; 95% confidence interval [CI], 1.4%-15.4%); FOCUS 2, 82.1% vs 77.2% (difference, 4.9%; 95% CI, -2.5% to 12.5%). In the integrated analysis, 614 patients received ceftaroline and 614 received ceftriaxone. Of the CE patients treated with ceftaroline, 84.3% achieved clinical cure, compared with 77.7% of ceftriaxone-treated patients (difference, 6.7%; 95% CI, 1.6%-11.8%). Clinical cure rates in the modified intent-to-treat efficacy population were 82.6% versus 76.6% for ceftaroline and ceftriaxone (difference, 6.0%; 95% CI, 1.4%-10.7%). Ceftaroline and ceftriaxone were well tolerated; rates of adverse events, serious adverse events, deaths, and premature discontinuations caused by an adverse event were similar in both treatment arms. CONCLUSIONS: Ceftaroline was noninferior to ceftriaxone in the individual trials. In this integrated analysis, clinical cure rates for the ceftaroline group were numerically higher than those for the ceftriaxone group. Ceftaroline was well tolerated, with a safety profile similar to that of ceftriaxone. PMID- 21067351 TI - Protective efficacy of seasonal influenza vaccination against seasonal and pandemic influenza virus infection during 2009 in Hong Kong. AB - BACKGROUND: The relationship between seasonal influenza vaccine and susceptibility to 2009 pandemic A/H1N1 virus infection is not fully understood. METHODS: One child 6-15 years of age from each of 119 households was randomized to receive 1 dose of inactivated trivalent seasonal influenza vaccine (TIV) or saline placebo in November 2008. Serum samples were collected from study subjects and their household contacts before and 1 month after vaccination (December 2008), after winter (April 2009) and summer influenza (September-October 2009) seasons. Seasonal and pandemic influenza were confirmed by serum hemagglutinination inhibition, viral neutralization titers, and reverse transcription polymerase chain reaction performed on nasal and throat swab samples collected during illness episodes. RESULTS: TIV recipients had lower rates of serologically confirmed seasonal A/H1N1 infection (TIV group, 8%; placebo group, 21%; P=.10) and A/H3N2 infection (7% vs 12%; P=A9), but higher rates of pandemic A/H1N1 infection (32% vs 17%; [Formula: see text]). In multivariable analysis, those infected with seasonal influenza A during the study had a lower risk of laboratory-confirmed pandemic A/H1N1 infection (adjusted odds ratio [OR], 0.35; 95% confidence interval [CI], 0.14-0.87), and receipt of seasonal TIV was unassociated with risk of pandemic A/H1N1 infection (adjusted OR, 1.11; 95% CI, 0.54-2.26). CONCLUSIONS: TIV protected against strain-matched infection in children. Seasonal influenza infection appeared to confer cross protection against pandemic influenza. Whether prior seasonal influenza vaccination affects the risk of infection with the pandemic strain requires additional study. CLINICAL TRIALS REGISTRATION: ClinicalTrials.gov number NCT00792051 . PMID- 21067352 TI - Immunogenicity of pandemic (H1N1) 2009 vaccine in children with cancer in the United Kingdom. AB - BACKGROUND: Children with cancer have an increased susceptibility to influenza infection. The objective of this study was to assess the immunogenicity of pandemic (H1N1) 2009 vaccine in children with cancer. METHODS: Children were recruited from the Royal Marsden Hospital, England, during November 2009. The vaccination schedule consisted of 2 doses of an AS03(B)-adjuvanted vaccine given at days 0 and 21. Serological analysis was performed on blood samples obtained at day 0 and day 42. The primary immunological end point was the seroconversion rate, which was defined as the proportion of subjects with an individual 4-fold increase in hemagglutination inhibition titer and a postvaccination hemagglutination inhibition titer >=1:32. RESULTS: Fifty-four children with a median age of 6.3 years (range, 1.4-16.6 years) were vaccinated and had samples taken for serological analysis. Twenty-four (44.4%) of 54 children demonstrated seroconversion. Seroconversion rates were 33.3% (9 of 27) among children with acute lymphoblastic leukemia, 36.4% (4 of 11) among those with lymphoma or other leukemias, 66.7% (6 of 9) among those with brain tumors, and 71.4% (5 of 7) among those with other solid tumors. Seroconversion occurred in 4 (28.6%) of 14 children receiving acute lymphoblastic leukemia maintenance therapy. Univariate analysis showed significantly higher responses among children with solid tumors, compared with those with hematological malignancies (11 [68.8%] of 16 vs 13 [34.2%] of 38; P = .03), and among those not receiving treatment, compared with those receiving treatment (7 [87.5%] of 8 vs 17 [37.0%] of 46; P = .02). Multivariable analysis showed that age, cancer type, and lymphopenia did not influence seroconversion rates. CONCLUSION: These data suggest that this AS03(B) adjuvanted pandemic (H1N1) 2009 vaccine can induce limited but useful protective immune responses in children with cancer. PMID- 21067353 TI - Pandemic influenza's 500th anniversary. AB - It is impossible to know with certainty the first time that an influenza virus infected humans or when the first influenza pandemic occurred. However, many historians agree that the year 1510 a.d.-500 years ago-marks the first recognition of pandemic influenza. On this significant anniversary it is timely to ask: what were the circumstances surrounding the emergence of the 1510 pandemic, and what have we learned about this important disease over the subsequent five centuries? We conclude that in recent decades significant progress has been made in diagnosis, prevention, control, and treatment of influenza. It seems likely that, in the foreseeable future, we may be able to greatly reduce the burden of influenza pandemics with improved vaccines and other scientific and public health approaches. PMID- 21067354 TI - How did the 2008-2009 seasonal influenza vaccine affect the pandemic? PMID- 21067355 TI - Cost effectiveness of tyrosine kinase inhibitor therapy in metastatic gastrointestinal stromal tumors. AB - BACKGROUND: Tyrosine kinase inhibitors (TKIs) such as imatinib mesylate have revolutionized the treatment of primary unresectable and/or metastatic gastrointestinal stromal tumors (GISTs), providing durable disease control and extended survival. Although most patients eventually progress on therapy, dose escalation has been shown to benefit some patients. Sunitinib, a multitargeted kinase inhibitor is effective against imatinib-resistant or intolerant GIST patients. Although the cost of TKI therapy in GIST is high, no other effective systemic treatment options exist. OBJECTIVE: Review pharmacoeconomic studies to determine the cost effectiveness (CE) of 1st- and 2nd-line TKI therapies in GIST. METHODS: A literature review using Medline and PubMed databases was conducted to identify published economic analyses of TKI therapy in GIST. Key results from these studies were analyzed. RESULTS: Six pharmacoeconomic studies were identified, including three analyses of 1st-line imatinib and three analyses of 2nd-line sunitinib. These studies employed various time horizons and discount rates and modeled CE from a number of different perspectives. Most of the pharmacoeconomic studies reviewed used survival as their efficacy endpoint, projecting outcomes beyond available data to model CE. Analyses of 2nd-line sunitinib using survival additionally faced the challenge of adjusting for the effect of placebo crossover to active treatment in the pivotal phase III study. Most studies used Markov techniques with a range of transition probabilities. CONCLUSIONS: Published pharmacoeconomic studies of 1st- and 2nd-line TKI therapy for advanced GIST employ various time horizons, discount rates, and different CE models. Consequently, these differences make comparisons between studies difficult. Studies of 1st-line imatinib concluded that imatinib was cost effective in advanced, metastatic GIST. Likewise, based on data reviewed here, 2nd-line sunitinib appears to be cost effective in patients with advanced GIST who are intolerant/resistant to imatinib. Key limitations of this review included inconsistency among the studies evaluated with regard to methodologies, countries of origination (currency and healthcare systems), and patient demographics. PMID- 21067357 TI - High uptake of home-based, district-wide, HIV counseling and testing in Uganda. AB - More than 80% of the people infected with HIV in low-income countries of sub Saharan Africa do not know their HIV serostatus. Innovative measures of increasing access to HIV counseling and testing (HCT) are urgently needed so as to improve care and prevention. We implemented a home-based HCT program in Bushenyi District from September 2004 to March 2007, in Uganda where approximately 90% of people aged older than 14 years had never tested for HIV to gauge whether it was acceptable and increased uptake of HCT. Twenty-nine teams comprising a counselor and a laboratory assistant systematically visited homes offering HCT for all people older than 14 years of age and at-risk children (mother deceased or HIV infected) using a rapid HIV testing three-test algorithm. HIV-infected people received cotrimoxazole prophylaxis, were supplied with long lasting insecticide-treated bed nets and equipment for treatment of drinking water at home, and were referred for assessment for antiretroviral therapy. The program reached 92,984 (63%) of all the homes in the district. Of these, 32,3621 people were eligible for HCT, and 28,2857 (87%) were present at home and were offered pretest counseling. A total of 264,966 (94%) accepted testing and received their results, of whom 11,359 (4.3%) were HIV-infected. Ninety percent of those testing had never tested before. The cost of testing was $7.83 per previously untested client. Ninety-seven percent of HIV-infected people initiated cotrimoxazole prophylaxis, 74% received bed nets, 70% received water treatment equipment, and 11% began antiretroviral therapy. Forty-four percent of people who were in an HIV-discordant relationship were infected. These results demonstrate that home-based HCT was well-accepted, feasible, and effective in identifying HIV infected individuals who did not know their HIV status in rural Uganda. PMID- 21067358 TI - Probe detects HIV protease and toxicity of drugs. PMID- 21067359 TI - SAMHSA awards millions in AIDS and substance abuse grants focused on underserved communities. PMID- 21067364 TI - Effect of 5-azacytidine: evidence for alteration of the multipotent ability of mesenchymal stem cells. AB - The treatment of cardiac diseases by cell therapy continues to be challenged by a limited supply of appropriate cells. Although stem cells can generate myocytes after local delivery into the heart, this is often accompanied by the generation of several other cell types as a consequence of environment-driven differentiation. One strategy for overcoming dysregulated differentiation is the pretreatment of stem cells with the demethylation agent 5-azacytidine. The effects of 5-azacytidine on various stem cell types vary from cardiomyogenic differentiation to failure of differentiation or from adipogenic and chondrogenic differentiation to uncontrollable expression of a variety of genes. The underlying mechanisms remain poorly understood, and the effect of 5-azacytidine on the multipotent capacity of stem cells has never been addressed. This study was designed to investigate the changes induced by 5-azacytidine in mesenchymal stem cells (MSC), with particular focus on multipotency maintenance and the capacity of 5-azacytidine to boost myogenic differentiation. Our results show that MSCs retained their multipotent capacity after one pulse with 5-azacytidine, whereas additional pulses resulted in a restricted differentiation potential with concomitant increased ability to accomplish chondrogenic commitment. The induction of cardiac differentiation of MSCs was not observed unless the transcriptional activation of several genes was induced by random hypomethylation. Nevertheless, 5-azacytidine treatment promoted cell response to subsequent stimuli and generation of myogenic differentiation under permissive environmental conditions. Therefore, we assume that one pulse with 5-azacytidine might similarly promote the subsequent cardiac differentiation of MSCs, but it is dependent on the finding of adequate conditions for myocardial differentiation. PMID- 21067365 TI - "You have to be careful who you talk to and what you say ..." - on psychosis and making rational choices. AB - BACKGROUND: People who have been diagnosed with schizophrenia are regarded as being no longer capable of making rational choices. METHOD: Fifteen users with psychosis diagnosis participated to 10 focus group sessions about different aspects of everyday life. The discussions were tape recorded and the transcript analyzed using a grounded theory inspired methodology. A core category that emerged was making choices in crises situations. RESULTS: Users have to choose whether or not they want help, to whom to turn for help, and how to describe their problems so as to get the kind of help they seek. The choices were based on past experiences in connection with the choices available. They were constantly making quality judgements of the care workers they came into contact with. Experiencing a sense of comradeship emerged as a vital criterion. CONCLUSIONS: The ability to make rational choices often existed parallel with hallucinations and delusions. This ability could form the basis for a true collaboration between users and professionals. The findings of this study indicate that such collaboration is possible, but that it requires a reassessment of our traditional knowledge base. PMID- 21067367 TI - Client-centeredness in supported employment: specialist and supervisor perspectives. AB - AIMS: This article examines the notion of client-centeredness from the perspective of supported employment specialists and supervisors, identifying barriers and facilitators to implementation in the field. Though by definition client-centered practices give precedence to clients' wishes, in a realistic setting client-centeredness is adapted to account for negotiations among clients, specialists, employers, and mental health service agencies. METHOD: Qualitative interviews (n = 22) were conducted with employment specialists and supervisors to elicit facilitators and barriers to successful supported employment outcomes. Data were analyzed inductively using ATLAS.ti 5.0 software. RESULTS: Principal factors influencing implementation of client-centeredness include (1) clients' anxieties about their interests and abilities, (2) difficulties interpreting and negotiating clients' preferences in realistic contexts, (3) quality of supervision and guidance in implementing client-centered practices and upholding morale when facing challenges in the field, and (4) managing discrepancies across resource-sharing agencies in what it means to be "client-centered". CONCLUSIONS: These factors suggest the need for (1) focused training among employment specialists to better understand and negotiate clients' wishes, (2) more integration and communication between members of the treatment team, (3) hiring supervisors with first-hand supported employment experience, and (4) spreading awareness of the IPS model across resource-sharing agencies. PMID- 21067368 TI - Acute hepatitis C virus infection: a dynamic-and challenging-concept. PMID- 21067370 TI - Latent tuberculosis detection by interferon gamma release assay during pregnancy predicts active tuberculosis and mortality in human immunodeficiency virus type 1 infected women and their children. AB - BACKGROUND: We evaluated the prognostic usefulness of interferon gamma release assays (IGRAs) for active tuberculosis and mortality in Kenyan human immunodeficiency virus type 1 (HIV-1)-infected women and their infants. METHODS: Prevalence and correlates of Mycobacterium tuberculosis-specific T-SPOT.TB IGRA positivity were determined during pregnancy in a historical cohort of HIV-1 infected women. Hazard ratios, adjusted for baseline maternal CD4 cell count (aHR(CD4)), were calculated for associations between IGRA positivity and risk of active tuberculosis and mortality over 2-year postpartum follow-up among women and their infants. RESULTS: Of 333 women tested, 52 (15.6%) had indeterminate IGRA results. Of the remaining 281 women, 120 (42.7%) had positive IGRA results, which were associated with a 4.5-fold increased risk of active tuberculosis (aHR(CD4), 4.5; 95% confidence interval [CI], 1.1-18.0; P = .030). For immunosuppressed women (CD4 cell count, <250 cells/MUL), positive IGRA results were associated with increased risk of maternal mortality (aHR(CD4), 3.5; 95% CI, 1.02-12.1;), maternal active tuberculosis or mortality (aHR(CD4), 5.2; 95% CI, 1.7-15.6; P = .004), and infant active tuberculosis or mortality overall (aHR(CD4), 3.0; 95% CI, 1.0-8.9; P = .05) and among HIV-1-exposed uninfected infants (aHR(CD4), 7.3; 95% CI, 1.6-33.5; P = .01). CONCLUSIONS: Positive IGRA results for HIV-1-infected pregnant women were associated with postpartum active tuberculosis and mortality among mothers and their infants. PMID- 21067372 TI - Cervical human papillomavirus prevalence in 5 continents: meta-analysis of 1 million women with normal cytological findings. AB - BACKGROUND: Baseline information on human papillomavirus (HPV) prevalence and type distribution is highly desirable to evaluate the impact of prophylactic HPV vaccines in the near future. METHODS: A meta-analysis was performed of studies published between 1995 and 2009 that used polymerase chain reaction or Hybrid Capture 2 for HPV detection in women with normal cytological findings. RESULTS: The analysis included 194 studies comprising 1,016,719 women with normal cytological findings. The estimated global HPV prevalence was 11.7% (95% confidence interval, 11.6%-11.7%). Sub-Saharan Africa (24.0%), Eastern Europe (21.4%), and Latin America (16.1%) showed the highest prevalences. Age-specific HPV distribution presented with a first peak at younger ages (<25 years) and, in the Americas and Africa, a rebound at older ages (>=45 years). Among the women with type-specific HPV data (n = 215,568), the 5 most common types worldwide were HPV-16 (3.2%), HPV-18 (1.4%), HPV-52 (0.9%), HPV-31 (0.8%), and HPV-58 (0.7%). CONCLUSIONS: Although the prevalence of HPV in women with normal cytological findings is high and variable across world regions, HPV types 16, 18, 31, 52, and 58 are consistently found among the 10 most common types in all of them. These results represent the most comprehensive assessment of HPV burden among women with normal cytological findings in the pre-HPV vaccination era worldwide. PMID- 21067371 TI - Bacterial vaginosis assessed by gram stain and diminished colonization resistance to incident gonococcal, chlamydial, and trichomonal genital infection. AB - BACKGROUND: We sought to assess the relationship between bacterial vaginosis (BV) assessed by Gram stain and incident trichomonal, gonococcal, and/or chlamydial genital infection. METHODS: This longitudinal study included 3620 nonpregnant women aged 15-44 years who presented for routine care at 12 clinics in Birmingham, Alabama. Participants were assessed quarterly for 1 year. Vaginal smears were categorized by the Nugent Gram stain score (0-3, normal; 4-6, intermediate state; 7-10, BV). Pooled logistic regression was used to estimate the hazard ratios for the comparison of trichomonal, gonococcal, and chlamydial infection incidence in participants by Nugent score at the prior visit. Participants were censored at their first visit with a positive test result for trichomonal, gonococcal, and/or chlamydial infection. RESULTS: Of the 10,606 eligible visits, 37.96% were classified by BV and 13.3% by positive detection of trichomonal, gonococcal, and/or chlamydial infection. An intermediate state or BV at the prior visit was associated with a 1.5-2-fold increased risk for incident trichomonal, gonococcal, and/or chlamydial infection (adjusted hazard ratio [AHR] for intermediate state, 1.41 [95% confidence interval {CI}, 1.12-1.76]; AHR for BV, 1.73 [95% CI, 1.42-2.11]; P= .058 for trend). Estimates were similar for trichomonal-only, gonococcal-only, and chlamydial-only infection outcomes. CONCLUSION: BV microbiota as gauged by Gram stain is associated with a significantly elevated risk for acquisition of trichomonal, gonococcal, and/or chlamydial genital infection. PMID- 21067369 TI - Dynamic coinfection with multiple viral subtypes in acute hepatitis C. AB - INTRODUCTION: Acute hepatitis C virus (HCV) infection is rarely studied, but virus sequence evolution and host-virus dynamics during this early stage may influence the outcome of infection. Hypervariable region 1 (HVR1) is genetically diverse and under selective pressure from the host immune response. We analyzed HVR1 evolution by frequent sampling of an acutely infected HCV cohort. METHODS: Three or more pretreatment samples were obtained from each of 10 acutely infected subjects. Polymerase chain reaction amplification was performed with multiple primer combinations to identify the full range of sequences present. Positive samples were cloned and sequenced. Phylogenetic analyses were used to assess viral diversity. RESULTS: Eight of the 10 subjects were coinfected with at least 2 HCV subtypes. Multiple subtypes were detected in individual samples, and their relative proportions changed through acute infection. The subjects with the most complex subtype structure also had a dynamic viral load; however, changes in viral load were not directly linked to changes in subtype. CONCLUSIONS: This well sampled cohort with acute HCV infection was characterized by dynamic coinfection with multiple viral subtypes, representing a highly complex virologic landscape extremely early in infection. PMID- 21067373 TI - Anthrax lethal and edema toxins produce different patterns of cardiovascular and renal dysfunction and synergistically decrease survival in canines. AB - BACKGROUND: High mortality in the 2001 US and recent European anthrax outbreaks suggests that better understanding of the effects of the toxins produced by this bacterium is needed to improve treatment. METHODS AND RESULTS: Here, 24-h edema (ETx) and lethal (LeTx) toxin infusions were investigated for 96 hin sedated canines receiving mechanical ventilation. The initial study compared similarly lethal doses of ETx (n=8) or LeTx (n=15) alone. ETx was 24 times less lethal than LeTx, and the median time to death in nonsurvivors (n=6 and n=9, respectively) was shorter with ETx (42 vs 67 h; P=.04). Compared with controls(n=9), both toxins decreased arterial and central venous pressures and systemic vascular resistance and increased heart rate, cardiac index, blood urea nitrogen (BUN) level, creatinine (Cr) concentration, BUN:Cr ratio, and hepatic transaminase levels (P <= .05 for toxin effect or time interaction). However, ETx stimulated early diuresis,reduced serum sodium levels, and had more pronounced vasodilatory effects, compared with LeTx, as reflected by greater or earlier central venous pressures, systemic vascular resistance, and changes in the BUN:Cr ratio(P <= .01). LeTx progressively decreased the left ventricular ejection fraction (P <= .002). In a subsequent study, a lethal dose of LeTx with an equimolar nonlethal ETx dose (n=8) increased mortality, compared with LeTx alone (n=8; P= .05). CONCLUSION: Shock with ETx or LeTx may require differing supportive therapies, whereas toxin antagonists should likely target both toxins. PMID- 21067374 TI - Physicians versus hospitals as leaders of accountable care organizations. PMID- 21067375 TI - Patients' role in accountable care organizations. PMID- 21067376 TI - Genetics, epigenetics, and leukemia. PMID- 21067378 TI - Acoustic findings in a patient with radiation pneumonitis. PMID- 21067379 TI - Four-dollar generics--increased accessibility, impaired quality assurance. PMID- 21067377 TI - DNMT3A mutations in acute myeloid leukemia. AB - BACKGROUND: The genetic alterations responsible for an adverse outcome in most patients with acute myeloid leukemia (AML) are unknown. METHODS: Using massively parallel DNA sequencing, we identified a somatic mutation in DNMT3A, encoding a DNA methyltransferase, in the genome of cells from a patient with AML with a normal karyotype. We sequenced the exons of DNMT3A in 280 additional patients with de novo AML to define recurring mutations. RESULTS: A total of 62 of 281 patients (22.1%) had mutations in DNMT3A that were predicted to affect translation. We identified 18 different missense mutations, the most common of which was predicted to affect amino acid R882 (in 37 patients). We also identified six frameshift, six nonsense, and three splice-site mutations and a 1.5-Mbp deletion encompassing DNMT3A. These mutations were highly enriched in the group of patients with an intermediate-risk cytogenetic profile (56 of 166 patients, or 33.7%) but were absent in all 79 patients with a favorable-risk cytogenetic profile (P<0.001 for both comparisons). The median overall survival among patients with DNMT3A mutations was significantly shorter than that among patients without such mutations (12.3 months vs. 41.1 months, P<0.001). DNMT3A mutations were associated with adverse outcomes among patients with an intermediate-risk cytogenetic profile or FLT3 mutations, regardless of age, and were independently associated with a poor outcome in Cox proportional-hazards analysis. CONCLUSIONS: DNMT3A mutations are highly recurrent in patients with de novo AML with an intermediate-risk cytogenetic profile and are independently associated with a poor outcome. (Funded by the National Institutes of Health and others.). PMID- 21067381 TI - Romiplostim or standard of care in patients with immune thrombocytopenia. AB - BACKGROUND: Romiplostim, a thrombopoietin mimetic, increases platelet counts in patients with immune thrombocytopenia, with few adverse effects. METHODS: In this open-label, 52-week study, we randomly assigned 234 adult patients with immune thrombocytopenia, who had not undergone splenectomy, to receive the standard of care (77 patients) or weekly subcutaneous injections of romiplostim (157 patients). Primary end points were incidences of treatment failure and splenectomy. Secondary end points included the rate of a platelet response (a platelet count >50*10(9) per liter at any scheduled visit), safety outcomes, and the quality of life. RESULTS: The rate of a platelet response in the romiplostim group was 2.3 times that in the standard-of-care group (95% confidence interval [CI], 2.0 to 2.6; P<0.001). Patients receiving romiplostim had a significantly lower incidence of treatment failure (18 of 157 patients [11%]) than those receiving the standard of care (23 of 77 patients [30%], P<0.001) (odds ratio with romiplostim, 0.31; 95% CI, 0.15 to 0.61). Splenectomy also was performed less frequently in patients receiving romiplostim (14 of 157 patients [9%]) than in those receiving the standard of care (28 of 77 patients [36%], P<0.001) (odds ratio, 0.17; 95% CI, 0.08 to 0.35). The romiplostim group had a lower rate of bleeding events, fewer blood transfusions, and greater improvements in the quality of life than the standard-of-care group. Serious adverse events occurred in 23% of patients (35 of 154) receiving romiplostim and 37% of patients (28 of 75) receiving the standard of care. CONCLUSIONS: Patients treated with romiplostim had a higher rate of a platelet response, lower incidence of treatment failure and splenectomy, less bleeding and fewer blood transfusions, and a higher quality of life than patients treated with the standard of care. ( ClinicalTrials.gov number, NCT00415532.). PMID- 21067382 TI - Dietary intervention in infancy and later signs of beta-cell autoimmunity. AB - BACKGROUND: Early exposure to complex dietary proteins may increase the risk of beta-cell autoimmunity and type 1 diabetes in children with genetic susceptibility. We tested the hypothesis that supplementing breast milk with highly hydrolyzed milk formula would decrease the cumulative incidence of diabetes-associated autoantibodies in such children. METHODS: In this double blind, randomized trial, we assigned 230 infants with HLA-conferred susceptibility to type 1 diabetes and at least one family member with type 1 diabetes to receive either a casein hydrolysate formula or a conventional, cow's milk-based formula (control) whenever breast milk was not available during the first 6 to 8 months of life. Autoantibodies to insulin, glutamic acid decarboxylase (GAD), the insulinoma-associated 2 molecule (IA-2), and zinc transporter 8 were analyzed with the use of radiobinding assays, and islet-cell antibodies were analyzed with the use of immunofluorescence, during a median observation period of 10 years (mean, 7.5). The children were monitored for incident type 1 diabetes until they were 10 years of age. RESULTS: The unadjusted hazard ratio for positivity for one or more autoantibodies in the casein hydrolysate group, as compared with the control group, was 0.54 (95% confidence interval [CI], 0.29 to 0.95), and the hazard ratio adjusted for an observed difference in the duration of exposure to the study formula was 0.51 (95% CI, 0.28 to 0.91). The unadjusted hazard ratio for positivity for two or more autoantibodies was 0.52 (95% CI, 0.21 to 1.17), and the adjusted hazard ratio was 0.47 (95% CI, 0.19 to 1.07). The rate of reported adverse events was similar in the two groups. CONCLUSIONS: Dietary intervention during infancy appears to have a long-lasting effect on markers of beta-cell autoimmunity--markers that may reflect an autoimmune process leading to type 1 diabetes. (ClinicalTrials.gov number, NCT00570102.). PMID- 21067383 TI - Stem-cell gene therapy for the Wiskott-Aldrich syndrome. AB - The Wiskott-Aldrich syndrome (WAS) is an X-linked recessive primary immunodeficiency disorder associated with thrombocytopenia, eczema, and autoimmunity. We treated two patients who had this disorder with a transfusion of autologous, genetically modified hematopoietic stem cells (HSC). We found sustained expression of WAS protein expression in HSC, lymphoid and myeloid cells, and platelets after gene therapy. T and B cells, natural killer (NK) cells, and monocytes were functionally corrected. After treatment, the patients' clinical condition markedly improved, with resolution of hemorrhagic diathesis, eczema, autoimmunity, and predisposition to severe infection. Comprehensive insertion-site analysis showed vector integration that targeted multiple genes controlling growth and immunologic responses in a persistently polyclonal hematopoiesis. (Funded by Deutsche Forschungsgemeinschaft and others; German Clinical Trials Register number, DRKS00000330.). PMID- 21067384 TI - Effect of a comprehensive surgical safety system on patient outcomes. AB - BACKGROUND: Adverse events in patients who have undergone surgery constitute a large proportion of iatrogenic illnesses. Most surgical safety interventions have focused on the operating room. Since more than half of all surgical errors occur outside the operating room, it is likely that a more substantial improvement in outcomes can be achieved by targeting the entire surgical pathway. METHODS: We examined the effects on patient outcomes of a comprehensive, multidisciplinary surgical safety checklist, including items such as medication, marking of the operative side, and use of postoperative instructions. The checklist was implemented in six hospitals with high standards of care. All complications occurring during admission were documented prospectively. We compared the rate of complications during a baseline period of 3 months with the rate during a 3-month period after implementation of the checklist, while accounting for potential confounders. Similar data were collected from a control group of five hospitals. RESULTS: In a comparison of 3760 patients observed before implementation of the checklist with 3820 patients observed after implementation, the total number of complications per 100 patients decreased from 27.3 (95% confidence interval [CI], 25.9 to 28.7) to 16.7 (95% CI, 15.6 to 17.9), for an absolute risk reduction of 10.6 (95% CI, 8.7 to 12.4). The proportion of patients with one or more complications decreased from 15.4% to 10.6% (P<0.001). In-hospital mortality decreased from 1.5% (95% CI, 1.2 to 2.0) to 0.8% (95% CI, 0.6 to 1.1), for an absolute risk reduction of 0.7 percentage points (95% CI, 0.2 to 1.2). Outcomes did not change in the control hospitals. CONCLUSIONS: Implementation of this comprehensive checklist was associated with a reduction in surgical complications and mortality in hospitals with a high standard of care. (Netherlands Trial Register number, NTR1943.). PMID- 21067385 TI - Triple-negative breast cancer. AB - Triple-negative breast cancer, so called because it lacks expression of the estrogen receptor, progesterone receptor, and HER2, is often, but not always, a basal-like breast cancer. This review focuses on its origin, molecular and clinical characteristics, and treatment. PMID- 21067386 TI - Images in clinical medicine: A smooth patch on the tongue. PMID- 21067387 TI - Case records of The Massachusetts General Hospital: Case 34-2010: a 65-year-old woman with an incorrect operation on the left hand. PMID- 21067388 TI - Management of immune thrombocytopenia--something old, something new. PMID- 21067389 TI - Infant formula, autoimmune triggers, and type 1 diabetes. PMID- 21067390 TI - Strategies for improving surgical quality--checklists and beyond. PMID- 21067391 TI - Sipuleucel-T immunotherapy for castration-resistant prostate cancer. PMID- 21067392 TI - Sipuleucel-T immunotherapy for castration-resistant prostate cancer. PMID- 21067394 TI - Maternal or infant antiretroviral drugs to reduce HIV-1 transmission. PMID- 21067395 TI - Maternal or infant antiretroviral drugs to reduce HIV-1 transmission. PMID- 21067396 TI - Maternal or infant antiretroviral drugs to reduce HIV-1 transmission. PMID- 21067398 TI - Myocardial fibrosis in hypertrophic cardiomyopathy. PMID- 21067400 TI - Acute pulmonary embolism. PMID- 21067401 TI - Acute pulmonary embolism. PMID- 21067402 TI - Acute pulmonary embolism. PMID- 21067403 TI - Acute pulmonary embolism. PMID- 21067404 TI - Acute pulmonary embolism. PMID- 21067405 TI - Acute pulmonary embolism. PMID- 21067407 TI - Accountability measures to promote quality improvement. PMID- 21067409 TI - Incomplete testosterone suppression in prostate cancer. PMID- 21067412 TI - Interaction of clopidogrel and omeprazole. PMID- 21067414 TI - Responses of three successive generations of beet armyworm, Spodoptera exigua, fed exclusively on different levels of gossypol in cotton leaves. AB - The beet armyworm, Spodoptera exigua (Hubner) (Lepidoptera: Noctuidae), is an important pest of numerous crops, and it causes economic damage in China. Use of secondary metabolic compounds in plants is an important method used to control this insect as a part of integrated pest management. In this study the growth, development, and food utilization of three successive generations of S. exigua fed on three cotton gossypol cultivars were examined. Significantly longer larval life-spans were observed in S. exigua fed on high gossypol cultivar M9101 compared with those fed on two low gossypol cultivars, ZMS13 and HZ401. The pupal weight of the first generation was significantly lower than that of the latter two generations fed on ZMS13 group. Significantly lower fecundity was observed in the second and third generations of S. exigua fed on M9101 compared with S. exigua fed on ZMS13 and HZ401. The efficiency of conversion was significantly higher in the first and third generations fed on HZ401 compared with those fed on ZMS13 and M9101. A significantly lower relative growth rate was observed in the three successive generations fed on M9101 compared with those fed on ZMS13 and HZ401. Cotton cultivars significantly affected the growth, development, and food utilization indices of S. exigua, except for frass and approximate digestibility. Development of S. exigua was significantly affected by relative consumption rate and efficiency of conversion of ingested food, but not by relative growth rate or approximate digestibility, suggesting that diet-utilization efficiency was different based on food quality and generation. Measuring the development and food utilization of S. exigua at the individual and population levels over more than one generation provided more meaningful predictions of long-term population dynamics. PMID- 21067413 TI - Are zinc-finger domains of protein kinase C dynamic structures that unfold by lipid or redox activation? AB - Protein kinase C (PKC) is activated by lipid second messengers or redox action, raising the question whether these activation modes involve the same or alternate mechanisms. Here we show that both lipid activators and oxidation target the zinc finger domains of PKC, suggesting a unifying activation mechanism. We found that lipid agonist-binding or redox action leads to zinc release and disassembly of zinc fingers, thus triggering large-scale unfolding that underlies conversion to the active enzyme. These results suggest that PKC zinc fingers, originally considered purely structural devices, are in fact redox-sensitive flexible hinges, whose conformation is controlled both by redox conditions and lipid agonists. PMID- 21067415 TI - Purification of lectin from larvae of the fly, Musca domestica, and in vitro anti tumor activity in MCF-7 cells. AB - A new lectin was purified from larvae of the fly, Musca domestica L. (Diptera: Muscidae) (MLL-2, 38 kDa) using affinity chromatography and HPLC. Anti-tumor activity of MLL-2 was demonstrated by its inhibition of proliferation of human breast cancer (MCF-7) cells in a time-and dose-dependent manner. The results of acridine orange staining indicated that MLL-2 caused apoptosis in MCF-7 cells. DNA fragmentation in MCF-7 cells has been detected by TUNEL. Flow cytometric analysis also demonstrated that MLL-2 caused dose-dependent apoptosis of MCF-7 cells through cell arrest at G2/M phase. The MLL-2 induced a sustained increase in concentration of intracellular free calcium. Western blot revealed that MLL-2 induced apoptosis in MCF-7 cells was associated with typical apoptosis proteins in the mitochondrial pathway. In addition, the caspase-3 activity in MCF-7 cells treated with MLL-2 for 48 hours was significantly increased compared to controls (407.4 +/- 3.0 vs. 1749.2 +/- 6.0, P <0.01). Since MLL-2 induced apoptosis in MCF 7cells the mitochondrial pathway may be the main pathway of antitumor activity. PMID- 21067416 TI - Population characteristics and the nature of egg shells of two Phthirapteran species parasitizing Indian cattle egrets. AB - The prevalence, intensities of infestation, range of infestation and population composition of two phthirapteran species, Ardeicola expallidus Blagoveshtchensky (Phthiraptera: Philopteridae) and Ciconiphilus decimfasciatus Boisduval and Lacordaire (Menoponidae) on seventy cattle egrets were recorded during August 2004 to March 2005, in India. The frequency distribution patterns of both the species were skewed but did not correspond to the negative binomial model. The oviposition sites, egg laying patterns and the nature of the eggs of the two species were markedly different. PMID- 21067417 TI - RNA interference as a method for target-site screening in the Western corn rootworm, Diabrotica virgifera virgifera. AB - To test the efficacy of RNA interference (RNAi) as a method for target-site screening in Diabrotica virgifera virgifera LeConte (Coleptera: Chrysomelidae) larvae, genes were identified and tested for which clear RNAi phenotypes had been identified in the Coleopteran model, Tribolium castaneum. Here the cloning of the D. v. vergifera orthologs of laccase 2 (DvvLac2) and chitin synthase 2 (DvvCHS2) is reported. Injection of DvvLac2-specific double-stranded RNA resulted in prevention of post-molt cuticular tanning, while injection of DvvCHS2-specific dsRNA reduced chitin levels in midguts. Silencing of both DvvLac2 and DvvCHS2 was confirmed by RT-PCR and quantitative RT-PCR. As in T. castaneum, RNAi-mediated gene silencing is systemic in Diabrotica. The results indicate that RNAi-induced silencing of D. v. vergifera genes provides a powerful tool for identifying potential insecticide targets. PMID- 21067418 TI - Interspecific nest parasitism by Pseudabispa paragioides, a solitary Australian wasp. AB - In morphology, coloration, and size, Pseudabispa wasps (Hymenoptera: Vespidae: Eumeninae) closely resemble mason wasps in the genus Abispa, and their distributions overlap. Although these two genera are among the largest solitary wasps in Australia, the biology of Pseudabispa was not previously known. Field observations from near Katherine, Northern Territory, strongly suggest that P. paragioides (Meade-Waldo) females attack and kill female A. ephippium (Fabricius) and usurp their nests, then appropriate cells, mass provision them with caterpillars acquired by theft from still other nests, and close them with mud taken from the host nest. Despite an abundance of potentially available cells in nests of three other large solitary wasps common at the same site, P. paragioides was found associated only with nests of A. ephippium. This unusual report of apparently forcible and lethal interspecific nest takeover for a non-social wasp parallels behaviors previously known only from socially parasitic eusocial Hymenoptera. Exploitation by P. paragioides may help explain why its host displays some of the most highly developed parental care known in any solitary eumenid, and why its nests are spaced widely from one another. PMID- 21067419 TI - Why does insect RNA look degraded? AB - The integrity of extracted ribonucleic acid (RNA) is commonly assessed by gel electrophoresis and subsequent analysis of the ribosomal RNA (rRNA) bands. Using the honey bee, Apis mellifera (Hymenoptera: Apidae), as an example, the electrophoretic rRNA profile of insects is explained. This profile differs significantly from the standard benchmark since the 28S rRNA of most insects contains an endogenous "hidden break." Upon denaturation, the masking hydrogen bonds are disrupted, releasing two similar sized fragments that both migrate closely with 18S rRNA. The resulting rRNA profile thus reflects the endogenous composition of insect rRNA and should not be misinterpreted as degradation. PMID- 21067420 TI - The ecology of a keystone seed disperser, the ant Rhytidoponera violacea. AB - Rhytidoponera violacea (Forel) (Hymenoptera: Formicidae) is a keystone seed disperser in Kwongan heathl and habitats of southwestern Australia. Like many myrmecochorous ants, little is known about the basic biology of this species. In this study various aspects of the biology of R. violacea were examined and the researchers evaluated how these characteristics may influence seed dispersal. R. violacea nesting habits (relatively shallow nests), foraging behavior (scramble competitor and lax food selection criteria), and other life history characteristics complement their role as a mutualist that interacts with the seeds of many plant species. PMID- 21067421 TI - Impact of platinum-based chemotherapy on the progression of atherosclerosis. AB - OBJECTIVES: Although patients with gynecological malignancies now survive longer due to advances in early diagnosis and therapy, major issues still remain regarding the quality of life for the survivors. Surgical menopause increases the risk of atherosclerosis; however, few studies have investigated the influence of platinum-based adjuvant chemotherapy. This study was conducted to evaluate the effects of platinum-based chemotherapy on atherosclerosis. METHODS: This study enrolled 47 women (26 with ovarian cancers and 21 with endometrial cancers) who underwent surgical treatment, with or without platinum-based adjuvant chemotherapy, according to established protocols between 2007 and 2009. Arterial stiffness was measured by brachial-ankle pulse wave velocity (baPWV) performed before surgery, and subsequently at 12 months after treatment. The flow-mediated dilatation of the brachial artery was measured before and immediately following chemotherapy to evaluate the vascular endothelial damage. Human umbilical vein endothelial cells (HUVECs) were used to evaluate cisplatin-induced vascular endothelial dysfunction in vitro. RESULTS: Although there were no significant differences in the baPWV associated with surgical treatment, platinum-based chemotherapy was associated with an increased baPWV. Significant decreases of flow-mediated dilatation were observed immediately following chemotherapy. An in vitro examination demonstrated that cisplatin attenuated nitric oxide production via inhibition of Akt-eNOS cascades in HUVECs. CONCLUSIONS: This research suggests that platinum-based chemotherapy directly induces vascular endothelial dysfunction and may be a risk factor for the development of atherosclerosis. Therefore, gynecologic cancer survivors should be educated about these potential risks, and informed regarding lifestyle modifications that may benefit their general health. PMID- 21067422 TI - Hormone therapy and brain tumors. AB - The incidence of meningiomas is two to three times higher in women than in men, yet it is a rare event (around one case per 10 000 woman-years). Preclinical and clinical studies point at potential effects of female sex hormones on brain tumors. Results from several prospective, large-scale studies indicate that postmenopausal hormone therapy may increase the risk for diagnosing meningioma by 30-80%, but there is no effect in regard to glioma. However, because of the very low incidence of meningioma in the general population of women, the absolute excess risk attributed to hormone therapy has no clinical impact. PMID- 21067423 TI - Comparison of rain-fast bait stations versus foliar bait sprays for control of oriental fruit fly, Bactrocera dorsalis, in papaya orchards in Hawaii. AB - Bait stations represent an environmentally friendly attract-and-kill approach to fruit fly population suppression. Recently a novel, visually attractive, rain fast bait station was developed in Hawaii for potential use against multiple species of pestiferous fruit flies. Here, we compared the efficacy of GF-120 NF Naturalyte Fruit Fly Bait applied either as foliar sprays or onto bait stations in reducing female oriental fruit fly, Bactrocera dorsalis (Hendel) (Diptera: Tephritidae), population density and level of fruit infestation in commercial papaya orchards in Hawaii. Trapping and infestation data were used as indicators of the effectiveness of the two bait application methods. For the first 10 weeks of the study, captures of female B. dorsalis in monitoring traps were significantly greater in control plots than in plots treated with foliar sprays or bait stations. Six weeks after the first bait spray, incidence of infestation (i.e. number of fruit with one or more B. dorsalis larvae) of quarter to half ripe papaya fruit was reduced by 71.4% and 63.1% for plots with bait stations and foliar sprays, respectively, as compared to control plots. Twelve weeks after first spray, incidence of infestation was reduced by only 54.5% and 45.4% for plots with bait stations and foliar sprays, respectively, as compared to control plots. About 42% less GF-120 was used in orchard plots with bait stations compared to those subject to foliar sprays. The impact of field sanitation on the outcome is also discussed. The results indicate that bait stations can provide a simple, efficient, and economical method of applying insecticidal baits to control fruit flies and a safer alternative to foliar sprays. PMID- 21067424 TI - Identification of myotropic neuropeptides from the brain and corpus cardiacum corpus allatum complex of the beetle, Zophobas atratus. AB - The neuropeptide profiles of the two major neuro-endocrinological organs, brain and retrocerebral complex corpus cardiacum-corpus allatum (CC/CA) of adult beetles, Zophobas atratus Fabricius (Coleoptera:Tenebrionidae) were analyzed by a combination of high performance liquid chromatography (HPLC) and matrix-assisted laser desorption ionization time of flight tandem mass spectrometry (MALDI TOF/TOF MS). The homological semi-isolated heart bioassay was used to screen HPLC fractions for myotropic activity in tissues, revealing several cardiostimulatory and cardioinhibitory factors from both the brain and CC/CA. Analysis of HPLC fractions by MALDI-TOF MS identified seven mass ions that could be assigned to other known peptides: leucomyosuppressin (LMS), Tribolium castaneum pyrokinin 2, sulfakinin 1, myoinhibitory peptide 4, a truncated NVP-like peptide, Tenebrio molitor AKH and crustacean cardioactive peptide. In addition, two novel peptides, myosuppressin (pEDVEHVFLRFa), which differs from LMS by one amino acid (E for D at position 4) and pyrokinin-like peptide (LPHYTPRLa) were also identified. To establish cardioactive properties of some of the identified peptides, chemical synthesis was carried out and their activities were tested using the heart bioassay. PMID- 21067425 TI - Conditional facilitation of an aphid vector, Acyrthosiphon pisum, by the plant pathogen, pea enation mosaic virus. AB - Plant pathogens can induce symptoms that affect the performance of insect herbivores utilizing the same host plant. Previous studies examining the effects of infection of tic bean, Vicia faba L. (Fabales: Fabaceae), by pea enation mosaic virus (PEMV), an important disease of legume crops, indicated there were no changes in the growth and reproductive rate of its primary vector the pea aphid, Acyrthosiphon pisum (Harris) (Hemiptera: Aphididae). Here, we report the results of laboratory experiments investigating how A. pisum responded to PEMV infection of a different host plant, Pisum sativum L., at different stages of symptom development. Aphid growth rate was negatively related to the age of the host plant, but when they were introduced onto older plants with well-developed PEMV symptoms they exhibited a higher growth rate compared to those developing on uninfected plants of the same age. In choice tests using leaf discs A. pisum showed a strong preference for discs from PEMV-infected peas, probably in response to visual cues from the yellowed and mottled infected leaves. When adults were crowded onto leaves using clip-cages they produced more winged progeny on PEMV-infected plants. The results indicate that PEMV produces symptoms in the host plant that can enhance the performance of A. pisum as a vector, modify the production of winged progeny and affect their spatial distribution. The findings provide further evidence that some insect vector/plant pathogen interactions could be regarded as mutualistic rather than commensal when certain conditions regarding the age, stage of infection and species of host plant are met. PMID- 21067426 TI - Are rats the appropriate experimental model to understand age-related renal drug metabolism and toxicity? AB - For many years, toxicological investigations have shown that the sensitivity of kidney to xenobiotics evolves depending on the stage of life. The increasing requirement for information on the potential nephrotoxic effect of drugs during human embryonic development, childhood, adulthood and senescence has potentiated toxicological studies in vivo. Rodents, specifically rats, are the primary animal models used in toxicology testing. Despite the popularity of this approach, there are a number of doubts about the appropriateness of rats for the examination of changes in toxicological responses during different stages of life. This perspective tackles the issue of evaluating whether rats fail to adequately mimic the human kidney response to xenobiotic agents through a critical analysis of the literature. We conclude that rats constitute a good model for toxicological investigations during embryonic development, youth and adulthood. However, senescent rats frequently undergo spontaneous kidney degeneration caused by chronic progressive nephropathy, making them a poor model for the study of kidney responses to xenobiotics. PMID- 21067427 TI - Herb-drug pharmacokinetic interactions reviewed. AB - IMPORTANCE OF THE FIELD: the global increase in the popularity of alternative medicines has raised renewed concerns regarding herb-drug interactions. These interactions are especially important for drugs with narrow therapeutic indices and may either be pharmacodynamic or pharmacokinetic in nature. AREAS COVERED IN THIS REVIEW: pharmacokinetic interactions which may exist between herbs and drugs, and the mechanisms of these interactions with appropriate examples based on primary and secondary data in publications are discussed. The mechanisms covered include those that affect oral drug absorption (e.g., modulation of efflux and uptake transporters, complex formation, gastrointestinal motility and pH) and drug biotransformation (e.g., inhibition or induction of enzymes). WHAT THE READER WILL GAIN: knowledge on the mechanisms of herb-drug pharmacokinetic interactions supported by an extended list of these types of interactions for quick reference. A critical evaluation of certain herb-drug pharmacokinetic interactions reported in the scientific literature. TAKE HOME MESSAGE: as the incidence and severity of herb-drug pharmacokinetic interactions increase due to a worldwide rise in the use of herbal preparations, more clinical data regarding herb-drug pharmacokinetic interactions are needed to make informed decisions regarding patient safety. PMID- 21067428 TI - Treatment injuries are rare in children's femoral fractures. AB - BACKGROUND AND PURPOSE: The current treatment for femoral fractures in children is mostly operative, which contrasts with treatment of other long bone fractures in children. We analyzed treatment injuries in such patients in Finland in order to identify avoidable injuries. Our other aims were to calculate the incidence of these fractures and to describe the treatment method used. METHODS: The Patient Insurance Centre (PIC) provides financial compensation of patients who have sustained an injury in connection with medical care. We retrospectively analyzed incidence, treatment methods, and all compensation claims concerning treatment of femoral fractures in children who were 0-16 years of age during the 8-year period 1997-2004. RESULTS: The incidence of childhood femoral fractures in Finland was 0.27 per 1,000 children aged < 17 years, and two-thirds of the patients were treated operatively during the study period. 30 compensation claims were submitted to PIC during the 8-year study period. The compensation claims mainly concerned pain, insufficient diagnosis or treatment, extra expenses, permanent disability, or inappropriate behavior of medical personnel. Of the claims, 16 of 30 were granted compensation. Compensation was granted for delay in treatment, unnecessary surgery, and for inappropriate surgical technique. The mean amount of compensation was 2,300 euros. Of the injuries that led to compensation, 11 of 16 were regarded as being avoidable in retrospect. INTERPRETATION: The calculated risk of a treatment injury in childhood femoral fracture treatment in Finland is approximately 2%, and most of these injuries can be avoided with proper treatment. PMID- 21067429 TI - Time-dependent improvement in functional outcome following LCS rotating platform knee replacement. AB - BACKGROUND AND PURPOSE: Long-term follow-up studies after total knee replacement (TKR) using an LCS rotating platform have shown survival rates of up to 97%. Few studies have evaluated short-term functional outcome and its improvement over time. We determined the time course of functional outcome as evaluated by the knee injury and osteoarthritis outcome score (KOOS) over the first 4 years after TKR using the LCS mobile bearing. PATIENTS AND METHODS: 50 unselected patients (mean age 70 (40-85) years, 33 women) with osteoarthritis in one knee underwent TKR with an LCS mobile bearing. Data were collected by an independent investigator preoperatively and at 6 weeks, 3 months, 6 months, 1 year, 2 years and 4 years postoperatively. KOOS, a self-assessment function score validated for this purpose, and range of motion (ROM) were determined at all follow-ups. RESULTS: The mean KOOS pain score increased from 43 before surgery to 66 at 6 weeks and 88 at 2 years. It was 84 at 4 years. The mean KOOS activities of daily living score (ADL) increased from 49 before surgery to 73 at 6 weeks, then gradually to 90 at 2 years. It decreased to 79 at 4 years. Mean passive ROM was 112 degrees before surgery, 78 degrees at departure from hospital, and then gradually increased to 116 degrees at 2 years and 113 degrees at 4 years. INTERPRETATION: Recovery after TKR is time-dependent. Most of the expected improvement in pain and function is achieved at 6 months postoperatively, but some further improvement can be expected up to 2 years postoperatively. ROM will also gradually improve up to 2 years after TKR, and reach the same level as before surgery. PMID- 21067430 TI - The effect of hospital volume on length of stay, re-admissions, and complications of total hip arthroplasty. AB - BACKGROUND AND PURPOSE: Hospital volume has been suggested to be one of the best indicators of adverse orthopedic events in patients undergoing THR surgery. We therefore evaluated the effect of hospital volume on the length of stay, re admissions, and complications of THR at the population level in Finland. METHODS: 30,266 THRs performed for primary osteoarthritis were identified from the Hospital Discharge Register. Hospitals were classified into 4 groups according to the number of THRs performed on an annual basis over the whole study period: 1-50 (group 1), 51-150 (group 2), 151-300 (group 3), and > 300 (group 4). RESULTS: In 2005, the length of the period of surgical treatment was 5.5 days in group 4 and 6.8 days in group 1 (the reference group). During the whole study period (1998 2005), the length of surgical treatment period was shorter in group 4 than in group 1 (p < 0.001). The odds ratio for dislocations (0.7, 95% CI: 0.6-0.9) was lower in group 3 than in group 1. INTERPRETATION: Hip replacements performed in high-volume hospitals reduce costs by shortening the length of stay, and they may reduce the dislocation rate. PMID- 21067431 TI - Accelerated fracture healing in mice lacking the 5-lipoxygenase gene. AB - BACKGROUND AND PURPOSE: Cyclooxygenase-2 (COX-2) promotes inflammation by synthesizing pro-inflammatory prostaglandins from arachidonic acid. Inflammation is an early response to bone fracture, and ablation of COX-2 activity impairs fracture healing. Arachidonic acid is also converted into leukotrienes by 5 lipoxygenase (5-LO). We hypothesized that 5-LO is a negative regulator of fracture healing and that in the absence of COX-2, excess leukotrienes synthesized by 5-LO will impair fracture healing. METHODS: Fracture healing was assessed in mice with a targeted 5-LO mutation (5-LO(KO) mice) and control mice by radiographic and histological observations, and measured by histomorphometry and torsional mechanical testing. To assess effects on arachidonic acid metabolism, prostaglandin E2, F2alpha, and leukotriene B4 levels were measured in the fracture calluses of control, 5-LO(KO) COX-1(KO), and COX-2(KO) mice by enzyme linked immunoassays. RESULTS: Femur fractures in 5-LO(KO) mice rapidly developed a cartilaginous callus that was replaced with bone to heal fractures faster than in control mice. Femurs from 5-LO(KO) mice had substantially better mechanical properties after 1 month of healing than did control mice. Callus leukotriene levels were 4-fold higher in mice homozygous for a targeted mutation in the COX-2 gene (COX-2(KO)), which indicated that arachidonic acid was shunted into the 5-LO pathway in the absence of COX-2. INTERPRETATION: These experiments show that 5-LO negatively regulates fracture healing and that shunting of arachidonic acid into the 5-LO pathway may account, at least in part, for the impaired fracture healing response observed in COX-2(KO) mice. PMID- 21067433 TI - Subsidence of callotasis zone in distraction osteogenesis after external fixator removal, measured by RSA. AB - BACKGROUND AND PURPOSE: In clinical practice, achieved lengthening of a callotasis zone should be maintained after the external fixator has been removed. The common understanding has been that the regenerated bone may subside. To investigate this, we used high-resolution radiostereometric analysis (RSA) with accurate measurement of the lengthening zone. PATIENTS AND METHODS: We assessed the longitudinal subsidence of a callotasis zone after removal of the external fixator in distraction osteogenesis in 16 patients who underwent 17 segmental lengthening operations on the tibia (n = 9) or femur (n = 8). Median lengthening was 32 (6-80) mm. RSA was performed at the end of the consolidation period before the external fixation device was removed, and this was later repeated at a median time of 11 (4-32) weeks after frame removal. RESULTS: A minimal median longitudinal change of 0.01 (-0.28 to 0.60) mm across the lengthening zone occurred in uncomplicated cases. INTERPRETATION: Our results indicate that no subsidence of clinical interest occurs after external frame removal. PMID- 21067432 TI - The clinical and radiological outcomes of hip resurfacing versus total hip arthroplasty: a meta-analysis and systematic review. AB - BACKGROUND AND PURPOSE: Hip resurfacing (HRS) procedures have gained increasing popularity for younger, higher-demand patients with degenerative hip pathologies. However, with concerns regarding revision rates and possible adverse metal hypersensitivity reactions with metal-on-metal articulations, some authors have questioned the hypothesized superiority of hip resurfacing over total hip arthroplasty (THA). In this meta-analysis, we compared the clinical and radiological outcomes and complication rates of these 2 procedures. METHODS: A systematic review was undertaken of all published (Medline, CINAHL, AMED, EMBASE) and unpublished or gray literature research databases up to January 2010. Clinical and radiological outcomes as well as complications of HRS were compared to those of THA using risk ratio, mean difference, and standardized mean difference statistics. Studies were critically appraised using the CASP appraisal tool. RESULTS: 46 studies were identified from 1,124 citations. These included 3,799 HRSs and 3,282 THAs. On meta-analysis, functional outcomes for subjects following HRS were better than or the same as for subjects with a THA, but there were statistically significantly greater incidences of heterotopic ossification, aseptic loosening, and revision surgery with HRS compared to THA. The evidence base showed a number of methodological inadequacies such as the limited use of power calculations and poor or absent blinding of both patients and assessors, possibly giving rise to assessor bias. INTERPRETATION: On the basis of the current evidence base, HRS may have better functional outcomes than THA, but the increased risks of heterotopic ossification, aseptic loosening, and revision surgery following HRS indicate that THA is superior in terms of implant survival. PMID- 21067434 TI - The natural history of Perthes' disease. AB - BACKGROUND: The prognosis in Perthes' disease varies considerably according to certain risk factors, but there is no concensus regarding the relative importance of these factors. We assessed the natural history of the disease and defined prognostic factors of value in deciding the proper treatment. PATIENTS AND METHODS: During the 5-year period 1996-2000, a nationwide study on Perthes' disease was performed in Norway. 425 patients were registered. The present study involved the 212 children (mean age 5.1 years, 77% boys) who were affected unilaterally and who had been treated with physiotherapy only (which is considered not to change the natural history). They were followed by taking radiographs at the time of diagnosis and after 1, 3, and 5 years. At the 5-year follow-up, the outcome was evaluated according to a modification of the Stulberg classification: good (spherical femoral head), fair (ovoid femoral head), and poor (flat femoral head). RESULTS: The 5-year radiographic results were strongly dependent on 4 risk factors: age 6 years or more at diagnosis, total femoral head necrosis, height of the lateral pillar of the epiphysis less than 50% of normal height, and femoral head cover less than 80%. As the number of risk factors increased from 0 to 4, the proportion of patients with good radiographic 5-year outcome decreased from 79% to 0% and the proportion with poor outcome increased from 3% to 91%. INTERPRETATION: Most children under 6 years of age do not need any special treatment. In older children, no special treatment is indicated if the whole femoral head is not necrotic and the femoral head cover is > 80%. In the most severe forms of the disease (i.e. more than 2 risk factors), surgical containment treatment seems advisable. PMID- 21067436 TI - Periprosthetic fracture caused by stress shielding after implantation of a femoral condyle endoprosthesis in a transfemoral amputee-a case report. PMID- 21067435 TI - Use of a trabecular metal implant in ankle arthrodesis after failed total ankle replacement. AB - BACKGROUND AND PURPOSE: Arthrodesis after failed total ankle replacement is complicated and delayed union, nonunion, and shortening of the leg often occur especially with large bone defects. We investigated the use of a trabecular metal implant and a retrograde intramedullary nail to obtain fusion. PATIENTS AND METHODS: 13 patients with a migrated or loose total ankle implant underwent arthrodesis with the use of a retrograde intramedullary nail through a trabecular metal Tibial Cone. The mean follow-up time was 1.4 (0.6-3.4) years. RESULTS: At the last examination, 7 patients were pain-free, while 5 had some residual pain but were satisfied with the procedure. 1 patient was dissatisfied and experienced pain and swelling when walking. The implant-bone interfaces showed no radiographic zones or gaps in any patient, indicating union. INTERPRETATION: The method is a new way of simplifying and overcoming some of the problems of performing arthrodesis after failed total ankle replacement. PMID- 21067437 TI - Urease inhibitors from Indigofera gerardiana Wall. AB - Three new phloroglucinol type compounds Indigoferin-A (1), Indigoferin-B (2) and Indigoferin-C (3), along with a known compound beta-sitosterol were isolated from the Indegofera gerardiana Wall. The structures of Indigoferin-A (1), Indigoferin B (2), and Indigoferin-C (3) were deduced on the basis of spectroscopic techniques (EI-MS, HREI-MS, (1)H NMR, (13)C NMR, HMQC, and HMBC). The urease inhibition studies on all the four compounds have also been carried out. PMID- 21067438 TI - Bifunctional phenolic-choline conjugates as anti-oxidants and acetylcholinesterase inhibitors. AB - Because of the complex cascade of molecular events that can occur in the brain of an Alzheimer's disease (AD) patient, the therapy of this neurodegenerative disease seems more likely to be achieved by multifunctional drugs. Herein, a new series of dual-targeting ligands have been developed and in vitro bioevaluated. Their architecture is based on conjugating the acetylcholinesterase inhibition and anti-oxidant properties in one molecular entity. Specifically, a series of naturally occurring phenolic acids with recognized anti-oxidant properties (derivatives of caffeic acid, rosmarinic acid, and trolox) have been conjugated with choline to account for the recognition by acetylcholinesterase (AChE). The synthesized hybrid compounds evidenced AChE inhibitory capacity of micromolar range (rationalized by molecular modeling studies) and good antioxidant properties. Their effects on human neuroblastoma cells, previously treated with beta-amyloid peptides and 1-methyl-4-phenylpyridinium ion neurotoxins (to simulate AD and Parkinson's disease, respectively), also demonstrated a considerable capacity for protection against the cytotoxicity of these stressors. PMID- 21067439 TI - Microenvironment in peripheral T cell lymphomas: macrophages and angiogenesis as targets. PMID- 21067440 TI - Study of specific genetic and epigenetic variables in multiple myeloma. AB - Few studies have examined the association between methylenetetrahydrofolate reductase (MTHFR) SNPs, epigenetic changes, and multiple myeloma (MM). We wished to determine genotype distributions for MTHFR 1298AC SNP in cases of MM and healthy controls and to examine whether there is any correlation between the methylation status of the CpG island of CDKN2A and Snk/Plk2 and MTHFR genotypes and with overall survival (OS) and other relevant clinical parameters. Bone marrow and peripheral blood were obtained from 45 patients with MM and 77 controls, respectively. The frequencies of the MTHFR 1298AA, 1298AC, and 1298CC genotypes were 53.3%, 40%, and 6.7% for the patient population and 50.6%, 41.6%, and 7.8% for the controls. No statistically significant difference was found in genotype distribution between cases and controls. No correlation was noted between MTHFR genotypes and OS, disease stage, bone disease, anemia, and extramedullary disease. Regarding CDKN2A and Snk/Plk2 CpG island methylation analysis, we found 12 of 45 patients and 27 of 45, respectively, to be methylated. CDKN2A and Snk/Plk2 methylation did not correlate with MTHFR genotypes. Herein, we report the identification of Snk/Plk2 as a novel methylated gene in MM and show that methylation is not influenced in this CpG island or in that of a previously described methylated gene, CDKN2A, in MM. Further evaluation in a larger sample of patients is needed in order to better define the prognostic and clinical value, if any, of MTHFR 1298 polymorphisms and CDKN2A and Snk/Plk2 methylation in the pathogenesis of MM. PMID- 21067441 TI - Waldenstrom macroglobulinemia: is newer really better? PMID- 21067442 TI - The incidence of Pneumocystis jirovecii pneumonia is not higher in patients receiving dose-dense therapy with rituximab, cyclophosphamide, non-pegylated liposomal doxorubicin, vincristine, and prednisolone and adequate Pneumocystis jirovecii pneumonia prophylaxis. PMID- 21067443 TI - A phase II study of low dose intravenous clofarabine for elderly patients with myelodysplastic syndrome who have failed 5-azacytidine. AB - The treatment options for patients with myelodysplastic syndrome (MDS) who have failed DNA hypomethylating agents are limited. In this study, we set out to investigate the efficacy of low dose clofarabine in 10 patients with MDS (four intermediate-2/high risk disease) who had failed 5-azacytidine. The median age was 73 years (range 65-78) and median cycles of clofarabine received were 2 (range 1-4). Nine patients were evaluable for response. An overall response rate of 44% was observed (one CR, one PR, and two HI). All responders had low risk disease. The median duration of response was 12 months (range 6.5-15.5). Although the doses of clofarabine administered were only 12.5-25% of that used in other studies, significant hematologic toxicities were observed. Severe and prolonged pancytopenia occurred in all 10 patients. One patient who had a history of thrombocytopenic gastrointestinal bleed died due to an intracranial bleed despite aggressive platelet support. Low dose clofarabine may, therefore, induce response, but with significant toxicities, in patients with low risk MDS who fail 5-azacytidine. Future work involving a larger patient population is needed to establish the role of low dose clofarabine in low risk MDS. PMID- 21067444 TI - Chronic lymphocytic leukemia in a Japanese population: varied immunophenotypic profile, distinctive usage of frequently mutated IGH gene, and indolent clinical behavior. AB - Chronic lymphocytic leukemia (CLL) is relatively rare in Japan. Among 46 cases of mature B-cell leukemia, we identified 28 Japanese patients with CLL, including prolymphocytoid and lymphoplasmacytoid morphological variants. Compared with Western patients with CLL, only 52.0% of cases showed the typical immunophenotypic profile. IgG-bearing (15.4%) and clearly CD20-expressing (71.4%) cases were frequently observed. Most cases harbored a mutated immunoglobulin heavy-chain (VH) gene (88.5%) and commonly used a VH3 family member (61.5%) other than VH3-21. During the median follow-up period of 64 months, 20 cases (71.4%) showed an indolent clinical course without any treatment, and six cases (21.4%) were accompanied by other malignancies. Binet A stage (p = 0.003), low-risk category according to the modified Rai classification (p = 0.016), and <= 15 U/mL level of serum thymidine kinase activity (p = 0.016) were associated with prolongation of treatment-free status. Although Japanese cases of CLL showed heterogeneity in morphology and immunophenotype, most cases arose from post antigen-selected B cells and presented with indolent clinical behavior. PMID- 21067445 TI - Senescence induction therapy for the treatment of adult T-cell leukemia. PMID- 21067446 TI - Outcome of patients with mantle cell lymphoma is not influenced by vascular endothelial growth factor polymorphisms. PMID- 21067447 TI - Treatment of patients with refractory diffuse large B-cell lymphoma or mantle cell lymphoma with alemtuzumab, alone or in combination with cytotoxic chemotherapy. PMID- 21067448 TI - Fluoroquinolone prophylaxis: a word of caution. PMID- 21067450 TI - Patterns and processes in the evolution of the eukaryotic endomembrane system. AB - The eukaryotic endomembrane system (ES) is served by hundreds of dedicated proteins. Experimental characterization of the ES-associated molecular machinery in several model eukaryotes complemented by a recent progress in phylogenomics and comparative genomics have revealed a conserved complex core of the machinery that appears to have been established before the last eukaryotic common ancestor (LECA). At the same time, modern eukaryotes exhibit a huge variation in the ES resulting from a multitude of evolutionary processes operating along the ever branching paths from the LECA to its descendants. The most important source of evolutionary novelty in the ES functioning has undoubtedly been gene duplication followed by divergence of the gene copies, responsible not only for the pre-LECA establishment of many multi-paralog families of proteins in the very core of the ES-associated machinery, but also for post-LECA lineage-specific elaborations via family expansions and the origin of novel components. Extreme sequence divergence has obscured actual homologous relationships between potentially many components of the machinery, even between orthologous proteins, as illustrated by the yeast Vps51 subunit of the vesicle tethering complex GARP hypothesized here to be a highly modified ortholog of a conserved eukaryotic family typified by the zebrafish Fat-free (Ffr) protein. A dynamic evolution of many ES-associated proteins, especially those centred around RAB and ARF GTPases, seems to take place at the level of their domain architectures. Finally, reductive evolution and recurrent gene loss are emerging as pervasive factors shaping the ES in all phylogenetic lineages. PMID- 21067449 TI - The history of the Drosophila TRP channel: the birth of a new channel superfamily. AB - Transient receptor potential (TRP) channels are polymodal cellular sensors involved in a wide variety of cellular processes, mainly by changing membrane voltage and increasing cellular Ca(2+). This review outlines in detail the history of the founding member of the TRP family, the Drosophila TRP channel. The field began with a spontaneous mutation in the trp gene that led to a blind mutant during prolonged intense light. It was this mutant that allowed for the discovery of the first TRP channels. A combination of electrophysiological, biochemical, Ca(2+) measurements, and genetic studies in flies and in other invertebrates pointed to TRP as a novel phosphoinositide-regulated and Ca(2+) permeable channel. The cloning and sequencing of the trp gene provided its molecular identity. These seminal findings led to the isolation of the first mammalian homologues of the Drosophila TRP channels. We now know that TRP channel proteins are conserved through evolution and are found in most organisms, tissues, and cell-types. The TRP channel superfamily is classified into seven related subfamilies: TRPC, TRPM, TRPV, TRPA, TRPP, TRPML, and TRPN. A great deal is known today about participation of TRP channels in many biological processes, including initiation of pain, thermoregulation, salivary fluid secretion, inflammation, cardiovascular regulation, smooth muscle tone, pressure regulation, Ca(2+) and Mg(2+) homeostasis, and lysosomal function. The native Drosophila photoreceptor cells, where the founding member of the TRP channels superfamily was found, is still a useful preparation to study basic features of this remarkable channel. PMID- 21067451 TI - Effects of conjugated and unconjugated bile acids on the activity of the Vibrio cholerae porin OmpT. AB - During infection, the enteric pathogen Vibrio cholerae encounters a bile containing environment. Previous studies have shown that bile and/or bile acids exert several effects on the virulence and physiology of the bacterial cells. These observations have led to the suggestion that bile acids may play a signaling role in infection. We have previously reported that the bile component deoxycholic acid blocks the general diffusion porin OmpT in a dose-dependent manner, presumably as it transits through the pore. V. cholerae colonizes the distal jejunum and ileum, where a mixture of various conjugated and unconjugated bile acids are found. In this work, we have used patch clamp electrophysiology to investigate the effects of six bile acids on OmpT. Two bile acids (deoxycholic and chenodeoxycholic acids) were found to block OmpT at physiological concentrations below 1 mM, while glycodeoxycholic acid was mildly effective and cholic, lithocholic and taurodeoxycholic acids were ineffective in this range. The block was also voltage-dependent. These observations suggest the presence of a specific binding site inside the OmpT pore. Since deconjugation is due to the activity of the endogenous flora, the preferential uptake of some unconjugated bile acids by OmpT may signal the presence of a hospitable environment. The results are also discussed in terms of the possible molecular interactions between the penetrating bile acid molecule and the channel wall. PMID- 21067452 TI - Effect of Annexin A5 on CFTR: regulated traffic or scaffolding? AB - Previous studies have implicated annexins in regulating ion channels and in particular annexin A5 (AnxA5) in the traffic of the cystic fibrosis transmembrane conductance regulator (CFTR). In the present study, we further investigated the role of AnxA5 in regulating CFTR function and intracellular trafficking in both Xenopus oocytes and mammalian cells. Although we could confirm the previously reported CFTR/AnnxA5 interaction, we found that in oocytes AnxA5 inhibits CFTR mediated whole-cell membrane conductance presumably by a mechanism independent of PDZ-binding domain at the C-terminus of CFTR but protein kinase C (PKC)-dependent and results from either endocytosis activation and/or exocytosis block. In contrast, in human cells, co-expression of AnxA5 augmented CFTR whole-cell currents, an effect that was independent of CFTR PDZ-binding domain. We conclude that annexin A5 has multiple effects on CFTR, so that the net effect observed is cell system-dependent. Nevertheless, both effects observed here are consistent with the described role of annexins forming scaffolding platforms at cell membranes, thus contributing to a decrease in their dynamics. Finally, we could not confirm that AnxA5 overexpression rescues traffic/function of the most frequent disease-causing mutant F508del-CFTR, thus concluding that AnxA5 is not a promising tool for correction of the F508del-CFTR defect. PMID- 21067453 TI - The amino acids upstream of NH(2)-terminal dileucine motif play a role in regulating the intracellular sorting of the Class III transporters GLUT8 and GLUT12. AB - The transport of glucose across cell membranes is mediated by a family of facilitative glucose transporters (GLUTs). The class III glucose transporters GLUT8 and GLUT12 both contain a similar [DE]XXXL[LI] dileucine sorting signal in their amino terminus. This type of dileucine motif facilitates protein trafficking to various organelles or to the plasma membrane via interactions with adaptor protein (AP) complexes. The [DE]XXXL[LI] motif in GLUT8 is thought to direct it to late endosomal/lysosomal compartments via its interactions with AP1 and AP2. Unlike GLUT8, the [DE]XXXL[LI] motif does not direct GLUT12 to a lysosomal compartment. Rather, GLUT12 resides in the Golgi network and at the plasma membrane. In a previous study, we found that exchanging the XXX (TQP) residues in GLUT8 with the corresponding residues in GLUT12 (GPN) resulted in a dramatic missorting of GLUT8 to the cell surface. We postulated that the XXX amino acids upstream of the dileucine motif in GLUT8 influence the degree of interaction between the [DE]XXXL[LI] motif and adaptor proteins. To further explore its trafficking mechanisms, we created mutant constructs to identify the role that each of the individual XXX amino acids has for regulating the intracellular sorting of GLUT8. Here we find that the XXX amino acids, specifically the position of a proline -2 from the dileucine residues, influence the affinity of APs for GLUT8 and GLUT12. PMID- 21067454 TI - An update on transport vesicle tethering. AB - Membrane trafficking involves the collection of cargo into nascent transport vesicles that bud off from a donor compartment, translocate along cytoskeletal tracks, and then dock and fuse with their target membranes. Docking and fusion involve initial interaction at a distance (tethering), followed by a closer interaction that leads to pairing of vesicle SNARE proteins (v-SNAREs) with target membrane SNAREs (t-SNAREs), thereby catalyzing vesicle fusion. When tethering cannot take place, transport vesicles accumulate in the cytoplasm. Tethering is generally carried out by two broad classes of molecules: extended, coiled-coil proteins such as the so-called Golgin proteins, or multi-subunit complexes such as the Exocyst, COG or Dsl complexes. This review will focus on the most recent advances in terms of our understanding of the mechanism by which tethers carry out their roles, and new structural insights into tethering complex transactions. PMID- 21067455 TI - Physical aspects of COPI vesicle formation. AB - Coat proteins orchestrate membrane budding and molecular sorting during the formation of transport intermediates. Coat protein complex I (COPI) vesicles shuttle between the Golgi apparatus and the endoplasmic reticulum and between Golgi stacks. The formation of a COPI vesicle proceeds in four steps: coat self assembly, membrane deformation into a bud, fission of the coated vesicle and final disassembly of the coat to ensure recycling of coat components. Although some issues are still actively debated, the molecular mechanisms of COPI vesicle formation are now fairly well understood. In this review, we argue that physical parameters are critical regulators of COPI vesicle formation. We focus on recent real-time in vitro assays highlighting the role of membrane tension, membrane composition, membrane curvature and lipid packing in membrane remodelling and fission by the COPI coat. PMID- 21067456 TI - Significant differences when using creatinine, modification of diet in renal disease, or cystatin C for estimating glomerular filtration rate in ICU patients. AB - BACKGROUND: Renal dysfunction is associated with increased morbidity and mortality in intensive care patients. In most cases the glomerular filtration rate (GFR) is estimated based on serum creatinine and the Modification of Diet in Renal Disease (MDRD) formula, but cystatin C-estimated GFR is being used increasingly. The aim of this study was to compare creatinine and MDRD and cystatin C-estimated GFR in intensive care patients. METHODS: Retrospective observational study was performed, on patients treated within the general intensive care unit (ICU) during 2004-2006, in a Swedish university hospital. RESULTS: GFR markers are frequently ordered in the ICU; 92% of the patient test results had cystatin C-estimated GFR (eGFR(cystatinC)) <= 80 mL/min/1.73 m(2), 75% had eGFR <= 50 mL/min/1.73 m(2), and 30% had eGFR <= 20 mL/min/1.73 m(2). In contrast, only 46% of the patients had reduced renal function assessed by plasma creatinine alone, and only 47% had eGFR(MDRD) <= 80 mL/min/1.73 m(2). The mean difference between eGFR(MDRD) and eGFR(cystatinC) was 39 mL/min/1.73 m(2) for eGFR(cystatinC) values <= 60 mL/min/1.73 m(2). CONCLUSIONS: GFR is commonly assessed in the ICU. Cystatin C-estimated GFR yields markedly lower GFR results than plasma creatinine and eGFR(MDRD). Many pharmaceuticals are eliminated by the kidney, and their dosage is adjusted for kidney function. Thus, the differences in GFR estimates by the methods used indicate that the GFR method used in the intensive care unit may influence the treatment. PMID- 21067457 TI - Cardiac slices as a predictive tool for arrhythmogenic potential of drugs and chemicals. AB - IMPORTANCE OF THE FIELD: cardiac arrhythmia represents one of the primary safety pharmacological concerns in drug development. The most prominent example is drug induced ventricular tachycardia of the Torsade des Pointes type. The mechanism how this type of arrhythmia develops is a complex multi-cellular phenomenon. It can only be insufficiently reflected by cellular or molecular assays. However, organ models - such as Langendorff hearts - or in vivo experiments are expensive and time consuming and not suitable for assays requiring an increased throughput. AREAS COVERED IN THIS REVIEW: here, we describe and review an assay bridging the gap between cardiomyocyte based assays and organ based systems - cardiac slices. This assay is reviewed in direct comparison with established safety pharmacological assays. WHAT THE READER WILL GAIN: while slices have played an important role in brain research for > 2 decades, cardiac slices are experiencing a renaissance due to the novel challenges in safety pharmacology just in the last few years. Cardiac slices can be cultured and recorded over several days. It is possible to access electrophysiological data with a high number of electrodes - up to 256 electrodes - embedded in the surface of a microelectrode array. TAKE HOME MESSAGE: cardiac slices close the gap between cellular and organ based assays in cardiac safety pharmacology. The tissue properties of a functional cardiac syncytium are more accurately reflected by a slice rather than a single cell. PMID- 21067458 TI - Longitudinal trends in laboratory test utilization at a large tertiary care university hospital in Sweden. AB - BACKGROUND: The aim of the study was to describe and evaluate longitudinal trends in laboratory test utilization over a 7-year period from 2002 to 2008. METHOD: Retrospective study using test request data from the Clinical Chemistry and Pharmacology Laboratory at Akademiska Sjukhuset, a large tertiary care university hospital in Sweden. Changes in test utilization, charges, and expenditures during the study period were used as main outcome measures. RESULTS: Laboratory test utilization increased by over 70%, with a mean annual increase of 9.3% during the study period. After adjustment for inflation, the laboratory expenditures increased by 20.2% during the study period but represented only approximately 2.0% of the hospital's total expenditure in 2008. The test menu comprised 663 tests in 2008, an increase by 146% from 2002. The mean inflation-adjusted unit price charged per test increased from ?34.9 to ?37.5 during the study period. The top 10, 20, and 30 tests accounted for, on average, 46.9%, 66.9%, and 75.5% of the total test volume during the study period, and 47.8%, 66.4%, and 75.7% of the total test volume in 2008. In 2008, 10 analyses, i.e. 1.5% of the number of tests on the menu, accounted for almost half the number of generated test results. CONCLUSIONS: The total number of generated test results increased by over 70% in less than a decade. Even so, the laboratory's share of the hospital's total expenditure remained low and virtually unchanged. A very small number of tests accounted for a disproportionately large share of the total number of generated test results. PMID- 21067459 TI - Adherence and persistence of single-pill ARB/CCB combination therapy compared to multiple-pill ARB/CCB regimens. AB - OBJECTIVE: To evaluate the impact of angiotensin receptor blocker (ARBs)/dihydropyridine calcium channel blockers (CCBs) single-pill combination (SPC) on adherence to antihypertensive treatment in comparison to free combination of ARBs and CCBs. RESEARCH DESIGN AND METHODS: A retrospective data analysis was performed using pharmacy claims data from a national pharmacy benefit management company. The study included patients who were newly initiated on ARB/CCB treatment between 01/01/2007 and 08/31/2008, aged >= 18 years, and continuously enrolled in the same health plan for 12 months prior to and 13 months after starting ARB/CCB treatment. Outcome variables were persistence, defined as time to discontinuation of therapy, and adherence, defined as proportion of days covered (PDC) >= 0.80. Propensity score weighting was used to balance the characteristics of the two groups. RESULTS: The final sample contained 2312 patients in the free-combination group and 2213 patients in the SPC group. Patients in the SPC group and the free-combination group were different in age, gender, type of insurance, history of antihypertensive therapy and co-morbidities. These differences were largely normalized after propensity score adjustment. Multivariate logistic model regression showed that patients in the SPC group had a 90% greater odds of being adherent to index therapy compared to patients in the free-combination group (odds ratio [OR] 1.90, 95% confidence interval [CI] 1.75-2.08, p< 0.001). A Cox proportional hazards model showed that patients in the SPC group were less likely to discontinue ARB/CCB SPC therapy compared to patients in the free-combination group (hazard ratio [HR] 0.66, 95% CI 0.63-0.70, p < 0.001). In both models, higher copayment (copayment $50 and above) was associated with worse persistence and adherence in comparison to patients who had a lower copayment ($0-$5): HR = 1.23, p < 0.001 and OR = 0.67, p < 0.001. CONCLUSION: Patients using SPC ARB/CCB therapy were more likely to be persistent and adherent to treatment compared to patients taking free-combination therapy. PMID- 21067460 TI - Desvenlafaxine for major depressive disorder: incremental clinical benefits from a second-generation serotonin-norepinephrine reuptake inhibitor. AB - IMPORTANCE OF THE FIELD: genetic and pharmacologically-driven variations in common mechanisms involved in the disposition of antidepressant medications may contribute to variable interpatient response. This review describes the pharmacological properties underlying the safety and efficacy of desvenlafaxine, a second-generation serotonin-norepinephrine reuptake inhibitor (SNRI). AREAS COVERED IN THIS REVIEW: literature published between January 2006 and September 2010 evaluating desvenlafaxine was reviewed. WHAT WILL THE READER GAIN: Desvenlafaxine therapy is initiated at the therapeutic dose (50 mg/day) without a need for dose titration. Desvenlafaxine metabolism and distribution are not appreciably affected by altered function of cytochrome P450 (CYP) enzymes or permeability glycoprotein (P-gp). Desvenlafaxine has clinically insignificant effects on the activity of CYP and P-gp. The efficacy of desvenlafaxine in treating major depressive disorder has been established. Adverse events are characteristic of the SNRI class. Notably, the rate of discontinuation due to adverse events with the 50 mg/day recommended therapeutic dose is comparable to that seen with placebo. TAKE HOME MESSAGE: incremental benefits with desvenlafaxine, derived from straight-forward dosing, a simple metabolic profile and lack of interaction with active transporter P-gp and CYP enzymes may contribute to more consistent response, good tolerability and lower incidence of drug-drug interactions with concomitant medications. PMID- 21067461 TI - Targeted delivery of a novel group of site-directed transglutaminase inhibitors to the liver using liposomes: a new approach for the potential treatment of liver fibrosis. AB - Liver fibrosis and its end-stage disease cirrhosis are a main cause of mortality and morbidity worldwide. Thus far, there is no efficient pharmaceutical intervention for the treatment of liver fibrosis. Liver fibrosis is characterized by excessive accumulation of the extracellular matrix (ECM) proteins. Transglutaminase (TG)-mediated covalent cross-linking has been implicated in the stabilization and accumulation of ECM in a number of fibrotic diseases. Thus, the use of tissue TG2 inhibitors has potential in the treatment of liver fibrosis. Recently, we introduced a novel group of site-directed irreversible specific inhibitors of TGs. Here, we describe the development of a liposome-based drug delivery system for the site-specific delivery of these TG inhibitors into the liver. By using anionic or neutral-based DSPC liposomes, the TG inhibitor can be successfully incorporated into these liposomes and delivered specifically to the liver. Liposomes can therefore be used as a potential carrier system for site specific delivery of the TG2 inhibitors into the liver, opening up a potential new avenue for the treatment of liver fibrosis and its end-stage disease cirrhosis. PMID- 21067462 TI - Challenges and solutions to metabolites in safety testing: impact of the International Conference on Harmonization M3(R2) guidance. AB - IMPORTANCE OF THE FIELD: The FDA and the International Conference on Harmonization (ICH) recently issued regulatory guidance on metabolites in safety testing (MIST). One of the key differences between these two types of guidance is the threshold for a major metabolite: > 10% of AUC of the parent drug at steady state (the FDA) versus > 10% of drug-related exposure (ICH). The FDA agreed to adopt the ICH M3 threshold in 2010. Both guidance require metabolite profiling in humans during early clinical development which have presented significant challenges from two aspects: i) how to balance the recommendation of front loading of metabolism studies with the need to invest resources appropriately according to the stage of drug development and ii) how to fully utilize alternative bioanalytical approaches to generate reliable data for enabling prompt and informed decisions, without always resorting to resource-intensive good laboratory practices bioanalysis. AREAS COVERED IN THIS REVIEW: This review summarizes current thinking in the pharmaceutical industry on these two aspects. WHAT THE READER WILL GAIN: this review aims to provide the reader with a clear understanding of the importance and timing of various metabolism studies and an overview of the latest bioanalytical approaches of quantitation of metabolites in the absence of reference standards. TAKE HOME MESSAGE: the approaches outlined are not intended to be universal solutions to MIST. The researcher still has to consider a case-by-case approach with scientific justification to comply with the MIST guidance. PMID- 21067463 TI - Tissue transglutaminase 2 promotes apoptosis of rat neonatal cardiomyocytes under oxidative stress. AB - The role of tissue transglutaminase 2 (TG2) in cardiac myocyte apoptosis under oxidative stress induced by ischemic injury remains unclear. Here, we investigated the effects of TG2 on apoptosis of cardiomyocytes under oxidative stress. Ectopic expression of TG2 increased caspase-3 activity and calcium overload in cardiomyocytes. Expression levels of TG2 were significantly increased in H(2)O(2)-treated cardiomyocytes. Caspase-3 activity assay demonstrated its considerable correlation with TG2 expression, which supported that caspase-3 inhibitor inhibited the apoptosis induced by the ectopic overexpression of TG2. In addition, the other apoptotic signals, such as caspase-8, cytochrome c, and Bax, were increased dependent with TG2 expression in H(2)O(2)-treated cardiomyocytes. These results indicated that apoptotic signals had a positive correlation with TG2 expression. The decreased expression of phospholipase C (PLC)-delta1 and phospho-PKC in H(2)O(2)-treated cardiomyocytes were rescued by TG2 silencing. Together, our data strongly suggest that oxidative stress up regulates TG2 expression in cardiomyocytes, leading to apoptosis. PMID- 21067464 TI - Effect of synthetic link N peptide on the expression of type I and type II collagens in human intervertebral disc cells. AB - Intervertebral disc (IVD) degeneration is associated with proteolytic degradation of proteoglycan aggregates present within the extracellular matrix of the disc. Link N peptide (DHLSDNYTLDHDRAIH) is the N-terminal peptide of link protein, which stabilizes the proteoglycan aggregates. It is generated in vivo by proteolytic degradation during tissue turnover. It has been previously shown that this peptide can stimulate the synthesis of collagens by articular cartilage and bovine IVD cells in vitro. Being a synthetic peptide, Link N has considerable financial benefits for clinical use over recombinant growth factors because it is extremely cheap to produce. The purpose of the present study was to determine the effect of Link N on the expression of types I and II collagen and investigate the cellular mechanisms of Link N signal transduction in human IVD cells. The present results suggest that Link N stimulates the expression of types I and II collagen in human IVD cells. More specifically, Link N stimulated the expression of type I in nucleus pulposus (NP) cells, but not in annulus fibrosus cells. As Link N also decreased the phosphorylation of p38 in NP cells only, results suggest that p38 is a mediator of the effect of Link N on type I collagen expression. p38 is a member of the mitogen-activated protein kinase family highlighted by three major cascades: p38, c-Jun amino-terminal kinase, and extracellular signal-regulated kinase pathways. Link N showed no effect on the latter two pathways, suggesting a specific effect of Link N on the p38 cascade. On the other hand, Link N stimulated the expression of type II collagen in both NP and annulus fibrosus, suggesting that other mechanisms are implicated in the control of type II collagen expression in disc cells, without excluding p38 for the NP. In conclusion, the present study showed that Link N can modulate the expression of collagen in human IVD cells. PMID- 21067466 TI - Effects of serum-free culture at the air-liquid interface in a human tissue engineered skin substitute. AB - Previous studies have reported that well-defined culture conditions can improve keratinocytes terminal differentiation and reproducibility. The aim of our study was to compare skin substitutes cultured in a complete medium with those cultured in a serum-free medium at the air-liquid interface to optimize the self-assembly method. Skin substitutes, cultured in a serum-free medium over 7, 14, and 21 days, were compared with others cultured in a complete medium (5% serum) over the complete culture period. Masson's Trichrome staining showed that the substitutes cultured in a serum-free medium generated a well-developed and differentiated epidermis. Immunolabeling analyses between the substitutes cultured without serum and those cultured in complete serum showed similar expression of epidermal differentiation markers, dermo-epidermal junction, and dermal extracellular matrix components. On the basis of our Attenuated Total Reflectance-Fourier Transform Infrared (ATR-FTIR) results, the skin substitutes cultured in serum free condition over 21 days of culture at the air-liquid interface showed lower frequencies of the CH(2) symmetric mode of vibrations, which means a better lipid organization of the stratum corneum. No significant difference in hydrocortisone penetration was observed between serum-free medium substitutes and the controls. Results demonstrate that the absence of serum does not compromise the characteristics of the skin substitutes observed in this study. PMID- 21067465 TI - Quantitative assessment of islets of Langerhans encapsulated in alginate. AB - Improved methods have recently been developed for assessing islet viability and quantity in human islet preparations for transplantation, and these measurements have proven useful for predicting transplantation outcome. The objectives of this study were to adapt these methods for use with microencapsulated islets, to verify that they provide meaningful quantitative measurements, and to test them with two model systems: (1) barium alginate and (2) barium alginate containing a 70% (w/v) perfluorocarbon (PFC) emulsion, which presents challenges to use of these assays and is of interest in its own right as a means for reducing oxygen supply limitations to encapsulated tissue. Mitochondrial function was assessed by oxygen consumption rate measurements, and the analysis of data was modified to account for the increased solubility of oxygen in the PFC-alginate capsules. Capsules were dissolved and tissue recovered for nuclei counting to measure the number of cells. Capsule volume was determined from alginate or PFC content and used to normalize measurements. After low oxygen culture for 2 days, islets in normal alginate lost substantial viable tissue and displayed necrotic cores, whereas most of the original oxygen consumption rate was recovered with PFC alginate, and little necrosis was observed. All nuclei were recovered with normal alginate, but some nuclei from nonrespiring cells were lost with PFC alginate. Biocompatibility tests revealed toxicity at the islet periphery associated with the lipid emulsion used to provide surfactants during the emulsification process. We conclude that these new assay methods can be applied to islets encapsulated in materials as complex as PFC-alginate. Measurements made with these materials revealed that enhancement of oxygen permeability of the encapsulating material with a concentrated PFC emulsion improves survival of encapsulated islets under hypoxic conditions, but reformulation of the PFC emulsion is needed to reduce toxicity. PMID- 21067467 TI - Human lymphocyte activation assay: an in vitro method for predictive immunotoxicity testing. AB - Preclinical immunotoxicity assessments may be performed during pharmaceutical drug development in order to identify potential cause for concern prior to use in the clinic. The in vivo T-dependent antibody response (TDAR) is widely used in this regard, given its sensitivity to known immunosuppressive compounds, but may be impractical early in drug development where quantities of test article are limited. The goal of the current work is to develop an in vitro human cell-based assay that is sensitive to immunosuppression, uses relatively small quantities of test article, and is simple to perform with moderate to high throughput. Ideally, this assay would require the cooperation of multiple cellular compartments to produce a response, similar to the TDAR. Although the Mishell-Dutton assay (in vitro mouse splenic sheep red blood cell response) has been used for this purpose, it shows considerable inter-laboratory variability, and rodent cells are used which leads to potential difficulty in translation of findings to humans. We have developed an assay that measures an influenza antigen-specific response using frozen-stored human peripheral blood mononuclear cells, which we have termed the human lymphocyte activation (HuLA) assay. The HuLA assay is sensitive to cyclosporine, dexamethasone, rapamycin, mycophenolic acid, and methotrexate at concentrations within their respective therapeutic ranges. Although proliferation is the primary endpoint, we demonstrate that flow cytometry approaches may be used to characterize the proliferating lymphocyte subsets. Flu antigen-specific proliferation in the HuLA assay primarily involves both CD4+ and CD8+ T lymphocytes and B-lymphocytes, although other lymphocyte subsets also proliferate. In addition, flu-specific antibody-secreting cells can be measured in this assay by ELISPOT, a response that is also sensitive to known immunosuppressive compounds. The HuLA assay represents a relatively straightforward assay with the capability of detecting immune suppression in human cells and can be applied to compound ranking and immunotoxicity assessment. PMID- 21067468 TI - Dimethyl fumarate for multiple sclerosis. AB - IMPORTANCE OF THE FIELD: One of the disadvantages of currently available disease modifying drugs (DMDs) for multiple sclerosis (MS) is their parenteral administration. Moreover, efficacy is only partial. Most patients treated with first-line DMDs do not remain relapse-free. There is a need for new oral drugs that are more effective than currently available compounds. Innovative oral drugs with new mechanisms of action showed promising results in clinical trials. One of these emerging drugs is BG00012 (BG-12), a fumaric acid ester (FAE). Its active agent, dimethyl fumarate had first been included in FAE treatments for psoriasis. AREAS COVERED IN THIS REVIEW: Results that highlight the potential role of BG-12 in MS treatment. We focus on findings of experimental studies and current results of clinical studies with FAE in MS. WHAT THE READER WILL GAIN: An overview of the immunomodulatory and neuroprotective effects of FAE, their effect in animal models of MS and their short-term efficacy and safety profile in a Phase IIb clinical trial. TAKE HOME MESSAGE: BG-12 is a promising emerging treatment for relapsing-remitting MS, combining anti-inflammatory and possibly clinically relevant neuroprotective effects with the convenience of oral administration. However, the future role of BG-12 in treatment of MS will have to be determined after the completion of ongoing Phase III studies. PMID- 21067469 TI - Characterization of skin sensitizing chemicals: a lesson learnt from nickel allergy. PMID- 21067470 TI - Clinical pharmacology study of the corticosteroid nasal spray dexamethasone cipecilate (NS-126): examination of the durability of efficacy in the nasal induction test. AB - BACKGROUND: Dexamethasone cipecilate is a corticosteroid nasal spray whose local efficacy durability has been improved by introduction of a liposoluble functional group to its chemical structure. This study was conducted to evaluate the efficacy of once-daily treatment with this drug in patients with perennial allergic rhinitis by a challenge test with house dust antigen (Phase I clinical pharmacology study). METHODS: This study was designed as a randomised placebo controlled double-blind study in 28 patients with perennial allergic rhinitis. Either 200 MUg dexamethasone cipecilate or placebo was administered once daily for 7 days, and the antigen challenge test conducted 23 h after the dose on each day. We evaluated the efficacy primarily through assessment of suppression of immediate nasal symptoms. RESULTS: When efficacy durability was evaluated by physicians based on a general assessment of the effects of suppression of nasal symptoms, the percentage of patients with efficacy lasting for 24 h differed significantly between the dexamethasone cipecilate group (69.2%, 9 out of 13) and the placebo group (15.4%, 2 out of 13) (p = 0.015). CONCLUSIONS: Dexamethasone cipecilate was shown to be a corticosteroid having sustainable local efficacy. The results suggest that once-daily administration of dexamethasone cipecilate is effective in patients with allergic rhinitis, and that its efficacy lasts for 24 h. PMID- 21067471 TI - Modulation of dopaminergic system and neurobehavioral functions in delayed neuropathy induced by organophosphates. AB - Acute exposure to organophosphate pesticides (OPs) is associated with the development of a syndrome called organophosphate-induced delayed neuropathy (OPIDN) which is not mediated through hyper-cholinergic crisis. The present study has been designed to examine the role of alterations in dopaminergic system and neurobehavioral deficits in OPIDN. Rats were administered an acute dose of monocrotophos (MCP, 20 mg/kg body weight, orally) or dichlorvos (DDVP, 200 mg/kg body weight, subcutaneously), 15-20 min after treatment with antidotes (atropine (20 mg/kg body weight) and 2-pralidoxime (100 mg/kg body weight) intraperitoneally) to induce OPIDN. At biochemical level, an increase in dopamine, norepinephrine, and homovanillic acid levels were observed in brain of MCP- or DDVP-treated animals compared to controls. This was accompanied by increased intracellular calcium levels and lipid peroxidation in the cerebral cortex of OP-exposed animals. In addition, deficits in locomotor activity and spatial memory were observed in animals exposed to either MCP or DDVP. These results clearly suggest the role of dopaminergic system in memory and motor deficits observed in delayed neuropathy induced by OPs. PMID- 21067472 TI - Disorders in sexual desire and sexual arousal in women, a 2010 state of the art. AB - In this contribution, female sexual desire and arousal disorders are viewed from the perspective of incentive motivation and information processing models of sexual response. The effects of hormones, somatic disease, and medication on sexual arousability are discussed, as well as the influence of psychological factors, such as stimulus meaning, mood and cognition, and relational context on female sexual desire and arousal. Specific topics to attend to during the anamnesis of sexual desire and arousal problems, and empirically evaluated psychological and pharmacological treatments for these problems are discussed. PMID- 21067473 TI - Differences in preferences for obstetric care between nulliparae and their partners in the Netherlands: a discrete-choice experiment. AB - BACKGROUND: In the Netherlands, in low-risk pregnancies, the views of pregnant women and their partners on characteristics of obstetric care services are leading for the selection of place of birth. The aim of this study was to investigate whether there are differences between the decision-making process of pregnant women and their partners with regard to these attributes of obstetric care. METHODS: This study was a prospective cohort study with low-risk nulliparae and their partners. A questionnaire, based on the method of discrete-choice experiment, was used to gather the data. RESULTS: Possibility of influencing decision-making was, both for pregnant women (n = 321) and their partners (n = 212), the most important characteristic of the obstetric care. For women, a home like birth setting was an important characteristic, while the partners found the possibility on pain-relief treatment during birth important. CONCLUSIONS: The results of this study suggest that women and their partners have clearly defined preferences for obstetric care. There are also some essential differences between the preferences of these two groups. The findings are important where policy issues related to aspects of maternity care service delivery are being considered. PMID- 21067474 TI - Good quality of life after emergency embolisation in postpartum haemorrhage. AB - Twenty-one women, who were treated for postpartum haemorrhage by embolisation of the uterine artery, filled in a series of questionnaires. The questionnaires assessed personality characteristics, illness perceptions, coping and quality of life (QoL). The women also made drawings of their uterus. The results suggest that women who experience emergency embolisation have good QoL at follow-up. PMID- 21067475 TI - Re-constructing masculinity following radical prostatectomy for prostate cancer. AB - Prostate cancer is common in older men. Surgical treatment involving removal of the prostate can result in temporary or permanent erectile dysfunction (ED) and incontinence and have a major impact on men's masculine identity. Seven men were interviewed about their experiences and concerns following prostatectomy, and the transcripts were analysed employing Foucauldian Discourse Analysis to identify the ways in which they constructed their masculinity. Participants drew upon four main discourses when discussing the impact of surgical treatment on their sense of masculinity: masculine identity and sexual activity, ED as a normative experience, mental resilience and vulnerability. Penetrative sex was constructed as central to a masculine identity, but inability to achieve this was normalised in terms of the ageing process. Stereotypically masculine qualities of emotional control and rationality were drawn on in describing their reaction to the diagnosis and treatment of cancer but they also experienced a new-found sense of physical vulnerability. The findings are discussed in terms of their implications for the clinical management of ED post-surgery and helping men adjust to life following treatment. PMID- 21067476 TI - Association of age and physical exercise with bodyweight and body composition in Asian Chinese men. AB - BACKGROUND: The present study sought to examine the association between physical exercise as a lifestyle habit with anthropometric parameters and body composition and aging in men. METHODS: Intensity of exercise was scored as metabolic equivalent-min/week (MET-min/week) from data of the questionnaire, while anthropometric parameters and body composition were carried out by standard measuring instruments and dual-energy X-ray absorptiometry scanner, respectively. RESULTS: Age was associated with decreases in bodyweight, height, total lean mass and bone mass, but an increase in fat mass. The negative association of lean mass with age was predominantly due to the negative association of lean masses in the legs and arm, while the positive association of fat mass with age was primarily due to the positive association of fat masses in the trunk and abdomen. Exercise of intensity greater than 1000 MET-min/week was significantly associated with higher lean and bone masses and lower fat mass. The increase in lean mass was predominantly in the legs, while the decreases in fat mass were in the trunk and abdomen. CONCLUSION: The study showed that the high intensity of physical exercise, equivalent to greater than 1000 MET-min/week, is required to effect beneficial changes in the body composition. Hence, results from the study support the importance of promoting a lifestyle habit of exercise of sufficient intensity in order to mitigate the increase risks of sarcopenia and obesity and their attendant ill effects on health in men as they age. PMID- 21067477 TI - The environmental fate of different forms of ZJ0702 in open rape field and MRL calculation. AB - In the present work, the environmental fate, including residual level and dissipation rate of two formulations of ZJ0702 (a new pyrimidine salicylic herbicide which showed good inhibitory effect on the weeds in rape fields), EC and SC, were determined by high performance liquid chromatography with DAD. The herbicide was used in Hangzhou and Kunming in rape fields at the same time for 2 years. The results were that half-lives of ZJ0702 in rape ranged from 1.2-6.8 days, while in soil samples were from 15.2-47.1 days. On the sampling days, the final residues of ZJ0702 in rapeseed were below the limit of quantitation (0.02 mg/kg). The differences of dissipation rate suggest that local soil characteristics and climate, and the formulations would affect the decline of ZJ0702. The low final residue levels of ZJ0702 in rapeseed and soil indicated that this new pesticide was environmentally safe. It was recommended that MRL for ZJ0702 in rapeseed be 1 mg/kg in China. PMID- 21067478 TI - Assessing mitochondrial DNA nucleotide changes in spontaneous optic neuropathies. AB - PURPOSE: The high mutation rate in the mitochondrial genome makes it difficult to be certain about mtDNA pathology, and yet we now recognize several primary and provisional Leber hereditary optic neuropathy (LHON) mutations (which are commonly pathologic) and a larger number of secondary LHON mutations (which are often associated with certain primary LHON mutations and may contribute to pathogenicity), haplogroup-specific mitochondrial DNA (mtDNA) sequence variants, and simple polymorphisms (which are not commonly pathologic). CONCLUSIONS: An enormous amount of information is now known about mitochondria, the apparent dependence of the optic nerve on mitochondria, various metabolic effects of primary LHON mutations, and certain ways in which these nucleotide changes might harm the optic nerve are discussed. PMID- 21067479 TI - Choroideremia in a woman with ectodermal dysplasia and complex translocations involving chromosomes X, 1, and 3. AB - BACKGROUND: Choroideremia is an X-linked recessive disorder characterized by vision loss with progressive atrophy of the retinal photoreceptors, retinal pigment epithelium (RPE), and choriocapillaris. Ectodermal dysplasia is a heterogeneous group of disorders characterized by a deficiency of two or more ectodermal derivatives. We report on the phenotypic and genetic characteristics of a 29-year-old woman with both choroideremia and ectodermal dysplasia. MATERIALS AND METHODS: Observational case report with physical and ophthalmic examination, fluorescein angiography (FA), visual field testing, electroretinography, and cytogenetic analysis. This study adhered to the tenets of the Declaration of Helsinki and The New York Eye and Ear Infirmary Institutional Review Board guidelines. RESULTS: Physical and ocular examination revealed hypotrichosis, hypohidrosis, full dentures, meibomian gland hypoplasia, and a decrease in corneal tear film. Visual acuity was hand motions in the right eye and 20/50 in the left eye. Fundus examination and fluorescein angiography were consistent with advanced choroideremia and revealed diffuse bilateral RPE and chorioretinal atrophy with sparing of the fovea. Visual field testing had less than 10-degree central islands in both eyes. Scotopic electroretinogram (ERG) was flat with a small flicker response. Cytogenetic analysis showed a complex translocation involving chromosomes X, 1, and 3: 46,X,t(X;1;3)(q13;q24;q21),inv(9)(p11q13). Selective inactivation of the normal X chromosome was present in blood and skin. Chromosomal analyses of the proband's family (mother and two brothers) were normal. CONCLUSION: An X-autosome chromosomal translocation combined with non-random inactivation of the normal X chromosome in a woman resulted in the phenotypic findings of choroideremia and ectodermal dysplasia. PMID- 21067480 TI - Screening genes of the visual cycle RGR, RBP1 and RBP3 identifies rare sequence variations. AB - The visual cycle is essential for vision and several genes encoding proteins of the cycle have been found mutated in various forms of inherited retinal dystrophy. We screened 3 genes of the visual cycle. RGR, encoding the retinal pigment epithelium (RPE) G protein-coupled receptor acting in vitro as a photoisomerase; RBP1, encoding the ubiquitous cellular retinol binding protein carrying intracellular all-trans retinoids; RBP3, encoding the interphotoreceptor retinoid binding protein, a retinal-specific protein which shuttles all-trans retinol from photoreceptors to RPE and 11-cis retinal from RPE to photoreceptors. We used denaturing high performance liquid chromatography (D-HPLC) and direct sequencing to screen 216 patients (134 with autosomal recessive or sporadic retinitis pigmentosa (RP) and 82 with other retinal dystrophies) for RBP1 and RBP3, and 331 patients for RGR (79 cases with autosomal dominant RP and 36 RP cases with undetermined inheritance were added to the 216 previous patients). Several variants were found in the 3 genes, including unique amino acid changes, but none of them showed evidence of pathogenicity. It is likely that mutations in RGR, RBP3, and possibly RBP1 occur rarely in inherited retinal dystrophies. PMID- 21067481 TI - A novel nonsense B3GALTL mutation confirms Peters plus syndrome in a patient with multiple malformations and Peters anomaly. AB - Peters plus syndrome is an autosomal recessive rare congenital disorder defined by corneal Peters anomaly with short disproportionate stature, development delay and dysmorphic facial features. In addition, cardiac, genito-urinary and/or central nervous system malformations can be present. Mutations in the beta-1,3 galactosyltransferase-like glycosyltransferase gene (B3GALTL) have been reported in patients with Peters plus syndrome prompting phenotype-genotype studies because of the variable clinical spectrum related to the syndrome. A 20 month old boy presenting with bilateral Peters anomaly in association with multiple developmental anomalies including cerebral malformations was found to carry a novel homozygous B3GALTL nonsense mutation [p.Tyr366X]. This is the first stop mutation described in association with this gene. The present report confirms the wide clinical spectrum of Peters plus syndrome, underlines the major clinical criteria of the syndrome and the major implication of B3GALTL gene in this condition. Ophthalmologic examination in multiple developmental anomalies remains an important clinical issue that may lead to specific gene screening. In Peters plus syndrome B3GALTL molecular test provides diagnosis confirmation and improves dramatically genetic counselling for the families. PMID- 21067482 TI - Astrocytic hamartoma of the optic disc and multiple cafe-au-lait macules in a child with neurofibromatosis type 2. AB - Neurofibromatosis type 2 (NF2) is a heritable syndrome characterized by multifocal proliferation of neural crest-derived cells. The characteristic and diagnostic finding of NF2 is bilateral vestibular nerve schwannomas (acoustic neuromas). In addition to other tumors involving the central and peripheral nervous systems, ophthalmic manifestations, including posterior subcapsular and peripheral cortical cataracts, optic nerve meningiomas, epiretinal membrane, and combined pigment epithelial and retinal hamartomas, are common to NF2. Herein we present an 8-year-old girl with NF2 and astrocytic hamartoma of the optic disc. This patient had been previously diagnosed with NF1 on the basis of multiple CAL macules and suspected subcutaneous neurofibromas. However, neuroimaging revealed bilateral acoustic neuromas, leading to a clinical diagnosis of NF2. Subsequent molecular genetic analysis confirmed the NF2 diagnosis. Multiple CAL macules and astrocytic hamartomas, while associated with NF1, are rarely associated with NF2. Specifically, we are not aware of any reported cases of optic disc astrocytic hamartoma in the setting of NF2. PMID- 21067483 TI - Genetic susceptibility to Graves' ophthalmopathy: The role of polymorphisms in anti-inflammatory cytokine genes. AB - BACKGROUND: Various polymorphisms occur in cytokine genes involved in inflammatory processes in Graves' ophthalmopathy (GO). Anti-inflammatory cytokines such as transforming growth factor- beta (TGF-beta), interleukin-10 (IL 10) and interleukin-4 (IL-4) are among those believed to be involved in the disease process. In this study, we investigated the association between 8 polymorphisms within the mentioned cytokines and GO. METHODS: The following polymorphisms were studied in 50 patients with GO, 57 Graves' patients without GO and 140 healthy individuals using polymerase chain reaction with sequence specific primers: TGF-beta (+869C/T, +915G/C), IL-10 (-1082A/G, -819C/T, -592C/A) and IL-4 (-1098T/G, -590T/C, -33C/T). A corrected p value less than 0.05 was considered statistically significant. RESULTS: The TGF-beta +915C allele (Odds Ratio [OR] = 2.20) and CC genotype (OR = 7.50) as well as +869C allele (OR = 2.21) showed significant correlations with GO. Regarding IL-4 polymorphisms, the 1098G allele (OR = 2.09) and GG genotype (OR = 7.49), and the -33T allele (OR = 2.05) and TT genotype (OR = 4.00) were significantly associated with GO. The IL 10 -819TT genotype (OR = 5.00) was significantly correlated with GO. CONCLUSION: This is the first study to show that polymorphisms in anti-inflammatory cytokine genes are associated with susceptibility to GO. PMID- 21067484 TI - Hereditary primary lateral sclerosis with cone dysfunction. AB - PURPOSE: To describe the clinical phenotype and electroretinographic changes in two siblings with primary hereditary lateral sclerosis. MATERIALS AND METHODS: Case series of two male siblings from a consanguineous family. A clinical evaluation and full field electroretinography according to International Society for Clinical Electrophysiology of Vision (ISCEV) standards was performed. RESULTS: Both siblings had reduced central vision without nystagmus and a normal fundus examination. The electroretinogram showed cone dysfunction with markedly reduced amplitude 30-Hz flicker, and broad, delayed photopic single flash of normal amplitude. The rod driven electroretinography (ERG) b-waves were within the normal range. CONCLUSIONS: Cone dysfunction has not been reported previously in patients with primary lateral sclerosis. Although it is possible this is a chance association it is more likely that the retinal dysfunction is caused by the same genetic mutation causing the neurological disease. Investigation of other cases will be helpful in delineating the phenotype. The association may also help prioritize candidate gene analysis in the search for the underlying genetic mutation causing primary lateral sclerosis. PMID- 21067485 TI - Congenital cataracts in two siblings with Wolfram syndrome. AB - BACKGROUND: Wolfram syndrome is characterized by optic atrophy, insulin dependent diabetes mellitus, diabetes insipidus and deafness. There are several other associated conditions reported in the literature, but congenital or early childhood cataracts are not among them. MATERIALS AND METHODS: Observational case series with confirmatory genetic analysis. RESULTS: A pair of siblings, followed over 17 years, who manifest congenital or early childhood cataracts, diabetes insipidus, diabetes mellitus, optic atrophy, and deafness. They are both compound heterozygotes for mutations (V415 deletion and A684V substitution) in the WFS1 gene. Their father has congenital sensorineural hearing loss and developed optic atrophy. He is heterozygous for A684V in WFS1. CONCLUSIONS: Wolfram syndrome should be in the differential diagnosis of genetic syndromes associated with congenital and early childhood cataracts. Here, we report on a mother who is a phenotypically normal carrier of an autosomal recessive Wolfram syndrome gene, and a father who has some of the findings of the syndrome and carries a single mutation that appears to be responsible for his hearing loss and optic atrophy. Their 2 children are compound heterozygotes and manifest the full Wolfram syndrome, in addition to cataracts. PMID- 21067486 TI - Variable ocular phenotypes of posterior polymorphous corneal dystrophy caused by mutations in the ZEB1 gene. AB - PURPOSE: To describe the ocular features of 6 Czech and British patients with posterior polymorphous corneal dystrophy (PPCD) caused by mutations in the zinc finger E-box binding homeobox 1 gene (ZEB1). METHODS: Case note review of 4 individuals with p.E776fs mutation, one with p.Y719X and one with p.F375fs mutation within the ZEB1 gene. RESULTS: Five individuals exhibited endothelial and Descemet membrane changes consistent with the diagnosis of PPCD. We concluded that one 70-year-old female who had a normal endothelium at both slit lamp and non-contact specular microscopy was a case of non-penetrance. The onset of disease was as early as 3 months after birth. One patient had irregular astigmatism with inferior corneal steepening on videokeratography, but without corneal thinning or other signs of keratoconus. Two others had corneal steepening >49D but with regular astigmatism. Three individuals underwent penetrating keratoplasty (PK) in 1 eye, with one patient treated for secondary glaucoma prior to the PK. CONCLUSIONS: The phenotype associated with changes in the ZEB1 gene exhibits variable expression and incomplete penetrance and seems to have a low risk for secondary glaucoma or the need for keratoplasty compared to PPCD linked to 20p11.2. There is insufficient data for phenotype correlations with PPCD caused by other genes. PMID- 21067487 TI - Microperimetry and OCT findings in female carriers of choroideremia. AB - PURPOSE: To evaluate structural retinal changes and macular function by a combined spectral domain optical coherence tomography/scanning laser ophthalmoscope (OCT/SLO) microperimetry device in choroideremia carriers. METHODS AND MATERIALS: Ten choroideremia carriers were included in the study. All subjects had a complete ophthalmic examination in addition to Goldmann kinetic visual fields, OCT and microperimetry testing on a commercially available Spectral Domain (SD) OCT/SLO combination system. RESULTS: Microperimetry results demonstrated focal areas of threshold abnormalities in 50% of the subjects. OCT findings show subtle retinal pigment epithelium (RPE) irregularities with attenuation more pronounced outside the macular region. CONCLUSIONS: Long term follow up with microperimetric testing could be useful in monitoring any progressive loss of retinal function in choroideremia carriers. PMID- 21067488 TI - Ptosis as an associated finding in maternally inherited diabetes and deafness. AB - PURPOSE: To report ptosis as an associated finding in 2 patients with maternally inherited diabetes and deafness (MIDD). METHODS: Two unrelated female patients with genetically proven MIDD are described. A complete ophthalmological examination included evaluation of levator muscle function, vertical fissure height and upper lid crease position measurements, the ice pack test and extensive imaging. In addition, pathology of the levator muscle was performed in 1 patient. RESULTS: The first patient had an asymmetric ptosis at presentation. Levator muscle function was initially normal and decreased 3 years after, suggestive of a myogenic ptosis. Fundus examination revealed a macular pattern dystrophy. The second MIDD patient was referred for bilateral pigment alterations at the posterior pole. Gradually bilateral ptosis developed over a 3-year period. In both patients, ocular motility testing revealed a reduced upgaze. CONCLUSIONS: Myogenic ptosis has been described in association with several of the phenotypes caused by the m.3243A>G mutation, but up to now it had not been described as a finding in MIDD. MIDD has pleomorphic manifestations, and myogenic ptosis should be added to the list of associated clinical features. The additional symmetric elevation deficit in both patients may be an early sign of chronic progressive external ophthalmoplegia (CPEO). The results provide further evidence to suggest that MIDD represents only a part of a continuous spectrum of disease related to the m.3243A>G point mutation in the tRNA(Leu) gene. PMID- 21067489 TI - Diabetic retinopathy: Validation study of ALR2, RAGE, iNOS and TNFB gene variants in a south Indian cohort. AB - PURPOSE: We previously reported the association of the Z-2 allele of the promoter dinucleotide repeat in the Aldose reductase (ALR2) gene, the (CCTTT)15 allele in the promoter of inductible nitric oxide synthase (iNOS) gene, and the (GT)13 promoter polymorphism in the tumor necrosis factor beta (TNFB) gene with an increased risk for diabetic retinopathy (DR), and the Gly82Ser polymorphism in the receptor for advanced glycation end products (RAGE) gene and the (GT)9 allele of the TNFB gene with low-risk for DR in a hospital-based self-reported type 2 diabetes mellitus (T2DM) patients. We have repeated the study in a population based south Indian cohort to validate the same variations in these genes. MATERIALS AND METHODS: Type 2 diabetic patients with and without retinopathy (DR+ and DR- respectively) were recruited. (CA)(n) repeat, Gly82Ser, (CCTTT)(n) repeat and (GT)(n) repeat in ALR2, RAGE, iNOS and TNFB genes respectively were genotyped and their frequencies were analyzed using the relevant statistical tests. RESULTS: Different allelic associations were observed in the present study as compared to our previous reports. Z+2 allele of ALR2, 13-repeat genotype of iNOS, 15-repeat genotype of TNF-beta, genes were associated with susceptibility to DR. Gly82Ser polymorphisms of the RAGE gene were not associated with DR in the present study. CONCLUSION: The present data show a difference in the association of variations in ALR2, iNOS and TNFB genes with DR, when compared to our previous reports; this could be attributed to differences between the study populations of the past and present report. PMID- 21067490 TI - Keratoconus associated with Williams-Beuren syndrome: first case reports. AB - PURPOSE: To report two memorable clinical comorbid cases of Williams-Beuren syndrome (WBS) associated with keratoconus (KC). WBS is known to be an abnormal systemic development caused by a microdeletion of contiguous genes in chromosome 7q11.23, which includes the elastin gene. KC is currently suspected to have a genetic origin but the responsible gene has not been clearly identified. METHODS: KC and WBS is described for two cases. Risk factors for KC were investigated by interviewing parents, and WBS was confirmed by fluorescence in-situ hybridization (FISH). Histological analysis with Orcein (coloring specific to elastin) on the receiver corneal button of patient 1 was carried out. RESULTS: Because of the rarity of both pathologies and the absence of other risk factors for developing keratoconus, we considered a possible genetic link. The association had never been reported in the literature. The first histological investigation could not confirm the presence of abnormal elastin in the cornea, but another gene could be responsible. CONCLUSION: This report highlights the first cases of this association. Further histological and cytogenetic investigation on the deletion should be interesting in order to argue a possible physiopathological or genomic link. PMID- 21067491 TI - Is the bone and joint decade over? PMID- 21067492 TI - Fibrin sealant in the treatment of acute ruptures of the Achilles tendon: long term results. AB - OBJECTIVES: This study aims to point out the long-term results of fibrin sealant in the treatment of acute ruptures of the Achilles tendon. PATIENTS AND METHODS: Between January 1998 and December 2007, 42 patients (42 males; mean age 37.8 years; range 27 to 56 years) admitted to our clinic for acute ruptures of the Achilles tendon were treated with a fibrin sealant. The average time to surgery from injury was 2.0 (range 1 to 4) days. The patients were divided into two groups; in the first 32 patients fibrin sealant was used which was heated for preparation. In the second group of 10 patients we used fibrin sealant which did not require heating for preparation. RESULTS: The mean follow-up period was 51.5 (range 24 to 92) months. The Thermann scoring system was used to evaluate the patients postoperatively in both the early and the final follow-ups. We found that the Thermann scores of some patients slightly decreased in the advanced age group when compared to younger patients long-term, but the overall result remained unchanged by time. Only one patient needed to be re-operated for a re rupture resulting from resumption of daily work and sports activities. CONCLUSION: Treatment of acute Achilles tendon ruptures with fibrin sealant can be one of the first choices selected because of its lower complication rate and equal results when compared to other treatment options. PMID- 21067493 TI - [Compliance of postmenopausal osteoporotic and osteopenic patients with calcium and vitamin D therapy]. AB - OBJECTIVES: This study aimed to investigate compliance with Calcium + vitamin D (CaD) therapy by patients with postmenopausal osteoporosis and osteopenia. PATIENTS AND METHODS: Two hundred and thirty seven female patients who were treated for osteoporosis or osteopenia one year ago and enrolled in our clinic for management were investigated for demographic features and risk factors for osteoporosis. The patients were divided into two groups, an osteoporosis group (Opo group; n=171; mean age 65.0+/-8.4 years; range 42 to 80 years) and osteopenia group (Ope group; n=66; mean age 58.9+/-9.5 years; range 45 to 79 years). Their compliance with medical treatment was evaluated by asking how many days in a week they took the drugs regularly. RESULTS: All patients were postmenopausal period. The education level of the Opo group was significantly lower than the Ope group (p=0.016). While 90% of the Opo group was taking CaD preparation regularly and compliantly, the compliance of Ope group was 29%, indicating a significant difference between the groups (p=0.001). The mean number of days of CaD intake in a year was significantly higher in the Opo group than the Ope group (339 days/year and 137 days/year respectively; p=0.001). CONCLUSION: Although the Ope group consisted of patients who were much younger and had higher education level compared to the Opo group, the amount of CaD preparation they took on a yearly basis was significantly lower than that of the Opo group, suggesting that the Ope group had poor compliance to the treatment. The results of this study showed that patients with osteopenia, even when they have better education level, should be enlightened about their disease and informed as sufficiently as the osteoporotic patient group about osteopenia as a disease that requires medical treatment and regular doctor control, when planning medical therapy to maintain compliance with CaD therapy. PMID- 21067494 TI - [Bipolar hemiarthroplasty for the treatment of femoral neck fractures and the effect of surgical approach on functional results]. AB - OBJECTIVES: This study aimed to evaluate the effect of an anterior versus posterior surgical approach for bipolar hemiarthroplasty on postoperative functional results of patients treated for femoral neck fractures. PATIENTS AND METHODS: Among the 196 patients over the age of 65 treated with cemented bipolar prosthesis for femoral neck fracture in our clinic between May 2000 and November 2008, 76 patients who followed up for at least one-year were retrospectively evaluated. The average follow-up period was 18.7 months (range 12 to 40 months). All fractures were Garden's type III or IV. The patients were divided into two groups according the surgical approach: posterior in 52 patients (Group 1; 25 males, 27 females; mean age 73.8 years; range 65 to 88 years) and anterior in 24 patients (Group 2; 14 males, 10 females; mean age 71.4 years; range 67 to 94 years). Cement was applied by hand in 62 cases and with a cement gun in 14 cases. RESULTS: Mean Harris scores were 84.7+/-10.3 in group 1 and 85.8+/-7.1 in group 2. According to the Harris scoring system, the results were very good in 26 cases; good in 40 cases; fair in six cases; and poor in four cases. While early dislocation was observed in 9.6% of patients with the posterior approach, it was not observed in any patients treated with the anterior approach. There was no significant difference with regard to functional scores or early dislocations between group 1 compared to group 2 (p>0.05). CONCLUSION: Based on our results, bipolar prothesis is an appropriate and effective treatment option for patients with femoral neck fracture to obtain early return to daily activity. The surgical approach does not affect the functional results. Although not statistically significant, it was concluded that the anterior approach is more reliable for early dislocation. PMID- 21067495 TI - [Ilizarov augmentation in the treatment of humeral shaft nonunions developing after failed intramedullary nailing]. AB - OBJECTIVES: In this study, we presented our experience with Ilizarov augmentation in the treatment of patients with humeral shaft nonunion following failed intramedullary nail fixation. PATIENTS AND METHODS: Seven patients (4 females, 3 males; mean age 47 years; range 32 to 62 years) with established humeral nonunions following antegrade intramedullary nailing were evaluated retrospectively. In all patients an Ilizarov fixator was applied over the nail as a closed procedure. The average period between the first injury and fixator application was 12.2 months (range 8 to 21). The patients were assessed radiologically with respect to bony union at follow-up. Constant scoring was used to assess shoulder function in the clinical evaluation. RESULTS: All nonunions healed without a major complication. Five patients had superficial pin tract infections which responded well to antibiotic treatment. The follow-up average Constant score was 78. CONCLUSION: Ilizarov external fixation is an alternative and effective method in the treatment of aseptic humeral shaft nonunion following failed intramedullary nailing. PMID- 21067496 TI - The healing of full-thickness articular cartilage defects in rabbits: successful results with fibroblast growth factor. AB - OBJECTIVES: This experimental study aims to compare the effects of three techniques; free autogenous periosteal graft, demineralized bone matrix (DBM) and basic fibroblast growth factor (bFGF) combined with periosteal graft on the healing of full thickness joint cartilage defects in rabbits. MATERIALS AND METHODS: This study used 87 adolescent 16 week-old New Zealand rabbits of both sexes, with an approximate weight of 2500-3750 g. The rabbits were randomly divided into four groups including a control group and three experimental groups. Cartilage defects were created in the posterior weight-bearing area of the medial femoral condyles of the rabbits. The surfaces of the osteochondral defects were covered with free autogenous periosteal graft, DBM and periosteal graft combined with bFGF in the experimental groups respectively. The rabbits were sacrificed at 4, 8 and 12 weeks postoperatively. Macroscopic and microscopic evaluations were performed. RESULTS: Periosteal grafts and DBM respond to the repair of cartilage defects in varying degrees. Although the macroscopic evaluation scores were higher in the bFGF group, there was no statistically significant difference between groups (p>0.05). The total scores on the histological grading scale were significantly higher in the bFGF group and control group than the other groups at 4(th) and 8(th) weeks (p<0.05). At the 12(th) week the total score was significantly higher in the bFGF group than the other three groups (p<0.05). CONCLUSION: The application of bFGF promoted regeneration of articular cartilage and led to successful cartilaginous resurfacing of defects within 12 weeks. We suggest that bFGF when combined with periosteal grafts may have excellent repair capacity in the restoration of osteochondral defects. PMID- 21067497 TI - Anatomy of the lateral complex of the ankle joint in relation to peroneal tendons, distal fibula and talus: a cadaveric study. AB - OBJECTIVES: The anatomy of the lateral complex of the ankle joint comprises multiple ligaments and muscles. This study aims to demonstrate the complexity of the lateral ankle structures in detail. MATERIALS AND METHODS: The study was performed on 11 cadavers (22 ankles) fixed in 10% formaldehyde, and 24 free talus specimens. The detailed course and attachments of each ligament was observed and noted with the ankle in neutral position. Talar measurements were applied to 46 specimens including the dissected cadavers and free talus ones. This study also investigated the relationships between these ligaments and gross morphologic pattern of lateral complex and talus, including the morphological pattern of the peroneal groove and the relationship between the peroneal tendons before and after the peroneal groove. RESULTS: The whole relationship of lateral ankle structures including anatomic and morphologic patterns and talus were evaluated. No morphologic variation was found concerning peroneus brevis and longus muscles. Although the existence of peroneus quartus muscle has been reported to be 6.6%, we detected it in two of our dissections (9%). We found a peroneus quinti in four of our dissections. We also found an extraordinary ligamentous structure that runs between the distal lateral process of the calcaneus and the inferior peroneal retinaculum in 12 of our dissections. A single form of the anterior talofibular ligament (ATFL) was observed in 23%, a bifurcate ATFL in 59% and the trifurcate ATFL in 18% of the dissected ankles in this study. CONCLUSION: Understanding the detailed anatomy of lateral ligament complex of the ankle joint with their relationships to the osseous structures and biomechanics of the ankle can help increase the success of treatment on ankle pathologies. PMID- 21067498 TI - [The effect of periosteum on the union of the autoclaved bone graft-host bone: experimental study in rabbits]. AB - OBJECTIVES: In this study, we investigated the effect of periosteum on the union of autoclaved segmental bone grafts harvested from rabbit radii and replanted to their beds with intramedullary fixation to host bone. MATERIALS AND METHODS: Segmental bone defects, 15 milimeters long, in the middle of the left radius were created in 16 adult rabbits. The resected bones were autoclaved 15 minutes at 120 degrees C and reimplanted and fixed with intramedullary Kirschner wires. The rats were divided into two groups; in the first group, eight rabbits' graft-host bone junctions were covered with periosteal flaps and in the second group, graft host bone junctions were deperiostized. Plain X-rays were taken at 2, 4, 6 and 8 weeks after the operation. At the end of the 8(th) week radiological results were evaluated with modified Yang's radiological scoring system. RESULTS: Radiological results revealed more callus tissue and early, better healing in the first group (mean 10.94), than in the second group (mean 6.06) at 8(th) week. The difference was statistically significant (p=0.04, <0.05). CONCLUSION: Periosteal flaps are biologic tissues that can be easily performed and enhance the healing of graft host junctions. The periosteal flap technique is effective on the healing of graft-host bone junctions and this technique is worth applying to structural allografts. PMID- 21067499 TI - [Protective effects of melatonin on ischemia-reperfusion injury of skeletal muscle]. AB - OBJECTIVES: In this study, we investigated the antioxidant protective effects of melatonin on skeletal muscles of Wistar albino-type rats with acute ischemia/reperfusion (I/R) injury. MATERIALS AND METHODS: Twenty-eight Wistar albino-type male rats weighing between 334 to 422 g were included in this experimental study. The rats were randomly allocated into three groups including sham, I/R and I/R + melatonin groups, respectively. Limb ischemia was achieved by clamping femoral arteries. After a two-hour ischemia period followed by 1.5-hour reperfusion, muscle samples were collected for biochemical analysis and histopathological examination. RESULTS: Muscle tissues of I/R groups revealed significantly higher antioxidant enzyme (superoxide dismutase, glutathione peroxidase, catalase) activities, and increased levels of malondialdehyde, nitric oxide, and protein carbonyl content compared to the control group (p<0.001). Levels of these parameters in muscle revealed significant reductions in the I/R + melatonin group compared to the I/R group (p<0.001). Histopathological examination of ischemic muscles in the I/R group showed significant degeneration and inflammation compared to the control group whereas melatonin administered ischemic muscles showed significant reduction in degeneration and inflammation compared to the I/R group (p<0.001). CONCLUSION: In the present skeletal muscle acute I/R injury model, protective effects of melatonin against reperfusion injury have been revealed. We suggest that the protective effect of melatonin against I/R damage in cases of extremity injuries with acute vascular compromise, extremity surgery with prolonged tourniquet time and acute compartment syndrome should be investigated with clinical trials. PMID- 21067500 TI - Melatonin promotes fracture healing in the rat model. AB - OBJECTIVES: In this study, we investigated the effect of melatonin on fracture healing in the rat tibia model by using biochemical and histopathologic methods. MATERIALS AND METHODS: In this study 80 male Sprague-Dawley rats were randomized into two groups, a control group (Group 1) and melatonin group (Group 2) with eight rats per group according to the day of sacrifice (Days 1, 3, 7, 14 and 28). The fractures were produced by the manual breakage using plate-bending devices, placed at the distal 3(rd) of the right tibia. Group 2 received 30 mg/kg/day melatonin and group 1 1% alcohol in saline 5 ml/kg/day intraperitoneally during the experiment. Plasma Malondialdehyde (MDA) levels, activity of superoxide dismutase (SOD) and myeloperoxidase (MPO) were measured biochemically. The fracture healing was evaluated using a five-point scale defined by Allen et al. RESULTS: Malondialdehyde levels in group 2 decreased at days 3, 7, 14, and 28 compared to control values (p<0.05). Superoxide dismutase activity in group 2 decreased at days 3, 7 and 14, and returned to the 1(st) day value after 28 days. Myeloperoxidase values in group 2 decreased at days 1, 3, and 7 (p<0.001). Histopathological specimens of healed tibias showed two animals with complete cartilaginous union, five with incomplete bony union and one with complete bony union in the group 2. In contrast, in the group 1, six rats showed complete cartilaginous union and two showed incomplete cartilaginous union (p<0.05). CONCLUSION: The administration of melatonin maybe beneficial in suppressing the effects of free oxygen radicals and regulating antioxidant enzyme activity in the fracture healing process. PMID- 21067501 TI - Spontaneous regeneration of the large femoral defect in patient with diffuse osteomyelitis after intramedullary nailing. AB - Infection of the long bones after intramedullary nailing is a troublesome condition and management of the infection remains challenging to orthopedic surgeons. Associated infection can be more problematic and more diffuse in intramedullary bone fixation, since it may spread along the nail. Surgical treatment choices are also difficult especially in cases with large bone defects after debridement. In this article, we present a 75-year-old woman that had been treated only with in-situ external fixation, antibiotic therapy and observation. Despite diffuse femoral osteomyelitis, a 10 cm femoral defect healed with unexpected bone regeneration which couldn't be explained reasonably. PMID- 21067502 TI - [Differential diagnosis of osteochondroma of talus and talus located dysplasia epiphysealis hemimelica, a diagnostic dilemma]. PMID- 21067503 TI - Podocytes as a target of insulin. AB - Diabetic nephropathy (DN) presents with a gradual breakdown of the glomerular filtration barrier to protein, culminating in widespread glomerular damage and renal failure. The podocyte is the central cell of the glomerular filtration barrier, and possesses unique architectural and signaling properties guided by the expression of key podocyte specific proteins. How these cellular features are damaged by the diabetic milieu is unclear, but what is becoming increasingly clear is that damage to the podocyte is a central event in DN. Here we present accumulating evidence that insulin action itself is important in podocyte biology, and may be deranged in the pathomechanism of early DN. This introduces a rationale for therapeutic intervention to improve podocyte insulin sensitivity early in the presentation of DN. PMID- 21067504 TI - Estrogens and progression of diabetic kidney damage. AB - It is generally accepted that estrogens affect and modulate the development and progression of chronic kidney diseases (CKD) not related to diabetes. Clinical studies have indeed demonstrated that the severity and rate of progression of renal damage tends to be greater among men, compared with women. Experimental studies also support the notion that female sex is protective and male sex permissive, for the development of CKD in non-diabetics, through the opposing actions of estrogens and testosterone. However, when we consider diabetes-induced kidney damage, in the setting of either type 1 or type 2 diabetes, the contribution of gender to the progression of renal disease is somewhat uncertain. Previous studies on the effects of estrogens in the pathogenesis of progressive kidney damage have primarily focused on mesangial cells. More recently, data on the effects of estrogens on podocytes, the cell type whose role may include initiation of progressive diabetic renal disease, became available. The aim of this review will be to summarize the main clinical and experimental data on the effects of estrogens on the progression of diabetes-induced kidney injury. In particular, we will highlight the possible biological effects of estrogens on podocytes, especially considering those critical for the pathogenesis of diabetic kidney damage. PMID- 21067505 TI - Role of angiotensin II in the development of nephropathy and podocytopathy of diabetes. AB - Diabetic kidney disease is the leading cause of end-stage renal disease worldwide. Podocytes are highly differentiated, pericyte-like cells that are essential for normal function of the kidney filter. Loss of podocytes is a hallmark of progressive kidney diseases including diabetic nephropathy. Podocytes are a direct target for angiotensin II - mediated injury by altered expression and distribution of podocyte proteins. Additionally, angiotensin II promotes podocyte injury indirectly by increasing calcium influx and production of reactive oxygen species. Notwithstanding the convincing rationale for angiotensin II blockade as a treatment modality, the incidence of diabetes-related end stage renal disease has increased steadily despite widespread use of angiotensin converting enzyme inhibitors (ACEIs) and angiotensin receptor blockers (ARBs). Recently published clinical trials have rekindled a debate on the safety and efficacy of dual blockade of the renin-angiotensin system (RAS). This review summarizes the rationale for blockade of angiotensin II as a therapeutic target in treating diabetic kidney disease, including the critical role played by podocytes. Recent relevant clinical trials on the role of RAS blockade in the treatment of diabetic kidney disease are discussed. PMID- 21067506 TI - Endocrinological aspects of proteinuria and podocytopathy in diabetes: role of the aldosterone/mineralocorticoid receptor system. AB - Aldosterone has emerged as a deleterious hormone in the kidney, for example as a potent inducer of proteinuria. We identified the podocyte, the final filtration barrier in the glomerulus, as a novel target of aldosterone. Activation of the mineralocorticoid receptor (MR) in the podocyte disrupts the filtration barrier and induces proteinuria. Recent clinical and experimental studies have shown the efficacy of MR antagonism in reducing albuminuria in patients or rodent models of type 1 and type 2 diabetes. We assessed the pathogenic role of aldosterone in SHR/NDmcr-cp, a rat model of type 2 diabetes/metabolic syndrome. Podocyte injury and proteinuria were early manifestations of nephropathy in this model, and were exacerbated by high-salt feeding. Inappropriate activation of the aldosterone/MR system, possibly via adipocyte-derived aldosterone releasing factors, underlay the renal damage. Furthermore, we identified Rac1, a Rho family small GTPase, as a novel ligand-independent activator of MR. This alternative pathway of MR activation, indeed, contributed to podocyte injury in proteinuric kidney disease. In conclusion, MR can be activated by several different pathways, both aldosterone-dependently and -independently, leading to podocyte impairment and progression of proteinuric kidney disease. MR antagonists are promising anti proteinuric drugs in diabetes, although hyperkalemia is a concern. PMID- 21067507 TI - Podocytes as target of vitamin D. AB - Vitamin D deficiency is a prominent feature of chronic kidney disease (CKD) even in its early stages. While vitamin D deficiency leads to mineral imbalance and bone problems in CDK patients, it also accelerates the progression of kidney disease. Ever since the observation that vitamin D analogs reduce proteinuria in CKD patients, it has been postulated that podocytes are major target of the reno protective action of vitamin D. Recent large randomized clinical trials have confirmed the potent anti-proteinuric activity of vitamin D therapy. Studies from various animal models of kidney disease have demonstrated that vitamin D prevents podocyte injury and cell loss, promotes the expression of slit diaphragm proteins and maintains the integrity of the glomerular filtration barrier. Emerging experimental data suggest that vitamin D may protect podocytes by targeting multiple pathways, including the renin-angiotensin system, Wnt/beta-catenin pathway and pro-apoptotic pathway. PMID- 21067508 TI - Obesity related kidney disease. AB - Obesity is a risk factor for both de novo disease and as a complication of existing chronic kidney disease. Obesity related disease is characterized by albuminuria, glomerulomegaly and secondary focal glomerulosclerosis. Traditionally altered renal hemodynamics causing hyperfiltration and upregulated renin angiotensin system have been associated with these changes. Recently identified circulating factors produced by fat stores such as adiponectin, leptin and inflammatory markers have shown to directly affect the cells in the renal glomeruli and cause pathological changes. Weight loss, blockade of the renin angiotensin system and restoration of adipokine levels may be beneficial to ameliorate the progression of obesity related disease. PMID- 21067509 TI - Podocytes as a target of prorenin in diabetes. AB - High plasma prorenin levels predict the onset of microvascular complications, such as albuminuria/proteinuria,in diabetic patients. In diabetic rats with elevated plasma prorenin levels, treatment with HRP, which competitively inhibits the binding of prorenin to the (pro)renin receptor [(P)RR] as a decoy peptide, significantly prevented the development of albuminuria/proteinuria and glomerulosclerosis, suggesting that (P)RR-bound prorenin plays a significant role in the pathogenesis of diabetic nephropathy. Recently, the presence of (P)RR in podocytes, which represent one of the glomerular filtration barriers, has been reported. Although podocytes are subjected to both high glucose levels and mechanical stretching caused by glomerular hyperfiltration under diabetic conditions, the expression of (P)RR is reportedly regulated by high glucose levels in in vitro mesangial cells and the in vivo kidneys of diabetic rats, whereas mechanical stretching is up-regulated by (P)RR expression in human podocytes. In addition, prorenin treatment not only leads to the generation of intracellular angiotensin (Ang)II, but also activates the phosphorylation of ERK via (P)RR in a manner that acts independently of AngII in human podocytes. Thus, the upregulation of prorenin and (P)RR in podocytes as a result of glomerular hyperfiltration might play an important role in the development of albuminuria/proteinuria via the generation of intracellular AngII and the stimulation of (P)RR-dependent intracellular signals. Further inquiry regarding podocyte (P)RR intracellular signal transduction will be needed to develop a new therapeutic approach targeting podocyte (P)RR in patients with diabetic nephropathy. PMID- 21067511 TI - Microvascular thrombosis: an exciting but elusive therapeutic target in reperfused acute myocardial infarction. AB - The beneficial effects of restoration of coronary flow in patients with acute myocardial infarction may be hampered by inadequate tissue perfusion. Among other factors, it is likely that platelets contribute substantially to this phenomenon. Platelets may compromise blood flow at the microvascular level by forming a part of microemboli, by adhering to reperfused, capillary or venular endothelium or to attached leukocytes, by releasing substances producing vasoconstriction, or through toxic effects. Patients with acute coronary syndromes have an increased number of circulating activated platelets, and this systemic platelet activation has been related to the presence and extent of myocardial necrosis. The mechanisms of platelet deposition to reperfused microvessels are not fully understood, but likely involve the interaction between adhesion molecules such as selectins or glycoproteins expressed on these cells upon activation and their ligands on the surface of endothelial cells or polymorphonuclear leukocytes. While these interactions are potentially important therapeutic targets in acute myocardial infarction, reducing platelet deposition and increasing myocardial salvage by direct effects on the microvasculature is still challenging with the existing armamentarium of antiplatelet agents. This review summarizes the current knowledge on the mechanisms of platelet-mediated myocardial damage after reperfusion and the effects of pharmacological interventions aimed to reduce microvascular platelet deposition and platelet-mediated myocardial injury. PMID- 21067510 TI - The glomerular podocyte as a target of growth hormone action: implications for the pathogenesis of diabetic nephropathy. AB - Involvement of the growth hormone (GH) / insulin-like growth factor 1 (IGF-I) axis in the pathogenesis of diabetic nephropathy (DN) is strongly suggested by studies investigating the impact of GH excess and deficiency on renal structure and function. GH excess in both the human (acromegaly) and in transgenic animal models is characterized by significant structural and functional changes in the kidney. In the human a direct relationship has been noted between the activity of the GH/IGF-1 axis and renal hypertrophy, microalbuminuria, and glomerulosclerosis. Conversely, states of GH deficiency or deficiency or inhibition of GH receptor (GHR) activity confer a protective effect against DN. The glomerular podocyte plays a central and critical role in the structural and functional integrity of the glomerular filtration barrier and maintenance of normal renal function. Recent studies have revealed that the glomerular podocyte is a target of GH action and that GH's actions on the podocyte could be detrimental to the structure and function of the podocyte. These results provide a novel mechanism for GH's role in the pathogenesis of DN and offer the possibility of targeting the GH/IGF-1 axis for the prevention and treatment of DN. PMID- 21067512 TI - Nitric oxide and disorders of the erythrocyte: emerging roles and therapeutic targets. AB - Nitric oxide (NO) plays an important role in states of erythrocyte dysfunction, including sickle cell disease (SCD), malaria, and banked blood preservation. By understanding the role of nitric oxide in these conditions, which are accompanied by hemolysis, vasoocclusion, and erythrocyte dysfunction, new therapeutic targets may be identified to treat complications of these disease states. Furthermore, the role of the erythrocyte in the controlled release of NO in hypoxic tissues is of particular interest, and two theories are discussed regarding this mechanism. In this article, the role of nitric oxide in erythrocyte function, sickle cell anemia, malaria, and damage to banked blood is reviewed, and the use of NO targeted therapies for erythrocyte disease states is discussed. PMID- 21067513 TI - HIV-1 nef protein visits B-cells via macrophage nanotubes: a mechanism for AIDS related lymphoma pathogenesis? AB - This letter refers to the recent demonstration that HIV-1 infected macrophages form specialized conduits that connect to B-cells (1). The conduit selectively transports the HIV-1 nef protein, providing nef with numerous means to interfere with cellular processes. Currently, no consideration of the connection between the conduit and the development of AIDS-related lymphoma (ARL) has been offered. ARL is one of the primary causes of death in the HIV-infected population and is related to B-cell proliferation and activation. In this letter we discuss several studies that link HIV-infected macrophages and specific forms of the nef protein to the development of ARL. The conduits discovered by Xu et al. may lead to a better understanding of how HIV infection results in lymphomagenesis. PMID- 21067515 TI - Crystal structure of the catalytic core of Saccharomyces cerevesiae histone demethylase Rph1: insights into the substrate specificity and catalytic mechanism. AB - Saccharomyces cerevesiae Rph1 is a histone demethylase orthologous to human JMJD2A (Jumonji-domain-containing protein 2A) that can specifically demethylate tri- and di-methylated Lys36 of histone H3. c-Rph1, the catalytic core of Rph1, is responsible for the demethylase activity, which is essential for the transcription elongation of some actively transcribed genes. In the present work, we report the crystal structures of c-Rph1 in apo form and in complex with Ni2(+) and alpha-KG [2-oxoglutarate (alpha-ketoglutarate)]. The structure of c-Rph1 is composed of a JmjN (Jumonji N) domain, a long beta-hairpin, a mixed structural motif and a JmjC domain. The alpha-KG cofactor forms hydrogen-bonding interactions with the side chains of conserved residues, and the Ni2(+) ion at the active site is chelated by conserved residues and the cofactor. Structural comparison of Rph1 with JMJD2A indicates that the substrate-binding cleft of Rph1 is formed with several structural elements of the JmjC domain, the long beta hairpin and the mixed structural motif; and the methylated Lys36 of H3 is recognized by several conserved residues of the JmjC domain. In vitro biochemical results show that mutations of the key residues at the catalytic centre and in the substrate-binding cleft abolish the demethylase activity. In vivo growth phenotype analyses also demonstrate that these residues are essential for its functional roles in transcription elongation. Taken together, our structural and biological data provide insights into the molecular basis of the histone demethylase activity and the substrate specificity of Rph1. PMID- 21067514 TI - Inflammatory reactions and hydrocortisone in the setting of cardiac surgery: an overview. AB - Cardiac surgery with cardiopulmonary bypass (CPB) is associated with activation of the complement system, platelets, neutrophils, monocytes, and macrophages which may lead to systemic inflammatory response syndrome in several cases. Despite modification of surgical techniques, biocompatibility of the bypass circuit and intensive care procedures after operation, CPB is still associated with post-operative morbidity including reduced cardiac function, capillary leak or multi-organ dysfunction. Corticosteroids are known for their anti-inflammatory effects and therefore, they are beneficial in selected trauma or septic patients. Prophylaxis with corticosteroids in cardiac surgery has been used since decades. The studies for methylprednisolone and hydrocortisone, the most commonly used corticosteroids, show conflicting results. For hydrocortisone, which is the mainstream of corticosteroid treatment in septic patients, the number of studies is low, but will increase in the next years. This article reviews the data concerning its use in patients undergoing cardiac surgery, its contraindications, adverse effects, risks, and benefits. PMID- 21067516 TI - Relationship between protein structural fluctuations and rebinding dynamics in ferric haem nitrosyls. AB - The interaction of nitric oxide (NO) with haem proteins is widespread in biology. In the current paper, we present the first ultrafast 2D-IR (two-dimensional infrared) spectroscopic analysis of haem nitrosylation, which has been combined with time-resolved IR pump-probe studies to investigate the relationship between equilibrium vibrational dynamics of the haem environment and ligand rebinding behaviour following photolysis of NO from the Fe(III)-NO site. Studies of two haem proteins, Mb (myoglobin) and Cc (cytochrome c), which play different physiological roles, reveal marked contrasts in the ultrafast fluctuations of the protein pockets containing the haem, showing that the Mb pocket is somewhat more flexible than that of Cc. This correlates strongly with slower observed photolysis rebinding kinetics of Mb-NO compared with Cc-NO, and indicates a direct link between ultrafast fluctuations and biological functionality. Furthermore, this indicates the validity of linear response theories in relation to protein ligand binding. Finally, 2D-IR shows that Cc-NO displays two distinct structural sub-sites at room temperature that do not exchange on the timescales accessible via the NO vibrational lifetime. PMID- 21067517 TI - Mutational analysis of residues in the regulatory CBS domains of Moorella thermoacetica pyrophosphatase corresponding to disease-related residues of human proteins. AB - mtCBS-PPase [CBS (cystathionine beta-synthase) domain-containing pyrophosphatase from Moorella thermoacetica] contains a pair of CBS domains that strongly bind adenine nucleotides, thereby regulating enzyme activity. Eight residues associated with the CBS domains of mtCBS-PPase were screened to explore possible associations with regulation of enzyme activity. The majority of the substitutions (V99A, R168A, Y169A, Y169F, Y188A and H189A) enhanced the catalytic activity of mtCBS-PPase, two substitutions (R170A and R187G) decreased activity, and one substitution (K100G) had no effect. AMP-binding affinity was markedly decreased in the V99A, R168A and Y169A mutant proteins, and elevated in the R187G and H189A mutant proteins. Remarkably, the R168A and Y169A substitutions changed the effect of AMP from inhibition to activation. The stoichiometry of AMP binding increased from one to two AMP molecules per CBS domain pair in the Y169F, R170A, R187G and Y188A variants. The ADP-binding affinity decreased in three and increased in four mutant proteins. These findings identify residues determining the strength and selectivity of nucleotide binding, as well as the direction (inhibition or activation) of the subsequent effect. The data suggest that mutations in human CBS domain-containing proteins can be translated into a bacterial context. Furthermore, our data support the hypothesis that the CBS domains act as an 'internal inhibitor' of mtCBS-PPase. PMID- 21067518 TI - Polymethyl-methacrylate-sorbitol-based capsules as local drug delivery vehicles: a preliminary study. AB - Local delivery of antibiotics via PMMA (polymethyl-methacrylate) has been widely used in the treatment of chronic osteomyelitis for over 40 years. Unfortunately, PMMA is water insoluble, which seriously limits antibiotic delivery. In addition, the polymerization temperature of PMMA is high, and consequently, only heat stable antibiotics can be used. Therefore our aim has been to develop an effective antibiotic delivery system, which can be loaded with a wide variety of drugs and deliver the molecules in a predictable manner. Capsules with wall thicknesses of 0.3-0.6 mm from PMMA mixtures containing 40-70 w/w% (weight percent) of sorbitol were prepared and their permeability tested with BPB (Bromophenol Blue). Sorbitol content and wall thickness correlated with the BPB release. SEM (scanning electron microscopy) showed that the canalization of capsules also was well correlated with both sorbitol content and wall thickness. The PMMA-sorbitol-based capsule can potentially be a versatile tool in assuring effective delivery of antibiotics and other substances. PMID- 21067519 TI - Melatonin as the most effective organizer of the rhythm of protein synthesis in hepatocytes in vitro and in vivo. AB - Recent data has extended a large array of melatonin functions by the discovery of melatonin's involvement in the organization and regulation of the rhythm of intracellular protein synthesis. An ultradian rhythm in total protein synthesis has been detected in primary hepatocyte cultures 5 min after addition of 1-5 nM melatonin to the medium. The melatonin effect was mediated via its receptors (as shown in experiments with luzindole), leading to the cell synchronization as well as the mean rate of protein synthesis rate being increased. The chain of processes synchronizing the oscillation of the rate protein synthesis throughout the hepatocyte population includes Ca2+ fluxes {experiments with BAPTA-AM [1,2 bis(2-aminophenoxy)ethane-N,N,N',N'-tetraacetic acid (acetomethyl ester)]}. Inhibition of protein kinase activity (experiments with H7) inhibited the synchronizing function of melatonin. Activation of protein kinase activity results in a shift of the protein synthesis oscillation; the effect was the same as melatonin added to the culture medium. In another series of experiments, after melatonin was intraperitoneally injected to rat (0.015-0.020 MUg/kg), hepatocytes were isolated and cultures established. A synchronizing effect of melatonin in vivo was detected as early as in the estimates from the direct action of melatonin on cell cultures. In the cultures obtained from old rats provided with melatonin, the amplitude of protein synthesis rhythm was enhanced, i.e. cell-cell interactions were increased, as well as rate of the protein synthesis being enhanced. PMID- 21067520 TI - Involvement of calpain-I and microRNA34 in kanamycin-induced apoptosis of inner ear cells. AB - Inner ear cells, including hair cells, spiral ganglion cells, stria vascularis cells and supporting cells on the basilar membrane, play a major role in transducing hearing signals and regulating inner ear homoeostasis. However, their functions are often damaged by antibiotic-induced ototoxicity. Apoptosis is probably involved in inner ear cell injury following aminoglycoside treatment. Calpain, a calcium-dependent protease, is essential for mediating and promoting cell death. We have therefore investigated the involvement of calpain in the molecular mechanism underlying ototoxicity induced by the antibiotic kanamycin in mice. Kanamycin (750 mg/kg) mainly induced cell death of cochlear cells, including stria vascularis cells, supporting cells and spiral ganglion cells, but not hair cells within the organ of Corti. Cell death due to apoptosis occurred in a time-dependent manner with concomitant up-regulation of calpain expression. Furthermore, the expression levels of two microRNAs, mir34a and mir34c, were altered in a dose-dependent manner in cochlear cells. These novel findings demonstrated the involvement of both calpain and microRNAs in antibiotic-induced ototoxicity. PMID- 21067521 TI - Relevance of disease- and organ-specific endothelial cells for in vitro research. AB - The endothelium is a dynamic, heterogeneous, disseminated organ that possesses vital secretory, synthetic, metabolic and immunological functions. Endothelial dysfunction has been implicated as a key factor in the development of organ specific vascular diseases. This minireview gives a brief overview on EC (endothelial cell) biomarkers in arterial and venous endothelium and critically discusses the different sources of ECs that are most frequently applied in in vitro assays and research. The relevance of organ- and disease-specific endothelial cell cultures for studying cellular responses as a basis for improving therapeutic interventions is highlighted with particular emphasis on endothelial dysfunction in transplant-associated coronary artery disease, in atherosclerotic lesions and in response to diabetes mellitus. PMID- 21067523 TI - Hepatic stellate cell (vitamin A-storing cell) and its relative--past, present and future. AB - HSCs (hepatic stellate cells) (also called vitamin A-storing cells, lipocytes, interstitial cells, fat-storing cells or Ito cells) exist in the space between parenchymal cells and liver sinusoidal endothelial cells of the hepatic lobule and store 50-80% of vitamin A in the whole body as retinyl palmitate in lipid droplets in the cytoplasm. In physiological conditions, these cells play pivotal roles in the regulation of vitamin A homoeostasis. In pathological conditions, such as hepatic fibrosis or liver cirrhosis, HSCs lose vitamin A and synthesize a large amount of extracellular matrix components including collagen, proteoglycan, glycosaminoglycan and adhesive glycoproteins. Morphology of these cells also changes from the star-shaped SCs (stellate cells) to that of fibroblasts or myofibroblasts. The hepatic SCs are now considered to be targets of therapy of hepatic fibrosis or liver cirrhosis. HSCs are activated by adhering to the parenchymal cells and lose stored vitamin A during hepatic regeneration. Vitamin A-storing cells exist in extrahepatic organs such as the pancreas, lungs, kidneys and intestines. Vitamin A-storing cells in the liver and extrahepatic organs form a cellular system. The research of the vitamin A-storing cells has developed and expanded vigorously. The past, present and future of the research of the vitamin A-storing cells (SCs) will be summarized and discussed in this review. PMID- 21067522 TI - Centriole duplication: analogue control in a digital age. AB - In preparation for mitosis, the centrosome doubles once and only once to provide the two poles of the mitotic spindle. The presence of more than two centrosomes increases the chances that mitosis will be multipolar, and chromosomes will be distributed unequally. Since the number of mother-daughter centriole pairs determines the number of centrosomes, it is important that only one daughter centriole is assembled at, but slightly separated from, the proximal end of each mother centriole. This numerical and spatial specificity has led to the belief that a 'template' on the mother centriole provides a unique site for procentriole assembly. We review observations that are leading to the demise of this intuitively attractive idea. In its place, we are left with the notion that pericentriolar material at the wall of the mother centriole provides a local environment that promotes the assembly of a macromolecular complex that seeds the daughter centriole. Even though the system normally behaves in a digital fashion to go from zero to just one daughter centriole per mother, this behaviour appears to be based in the precise analogue control of multiple proteins, their activities, and the structure provided by the mother centriole. PMID- 21067524 TI - Effect of formaldehyde on cell proliferation and death. AB - Formaldehyde (HCHO) may reach living organisms as an exogenous agent or produced within cells. The so-called formaldehydogenic compounds like S-adenosyl-L methionine, N-hydroxymethyl-L-arginine, 1'-methyl ascorbigen, methanol, E-N trimethyl lysine and methylamine are special exogenous sources of HCHO. Endogenous HCHO can be formed from hydroxymethyl groups during enzymatic methylation and demethylation processes. HCHO, as a highly reactive compound, is considered to be involved in the induction of apoptosis, consequently in the pathogenesis of atherosclerosis and neurodegenerative processes. The biological action of HCHO is dose-dependent. In vitro studies on tumour cell and endothelial cell cultures showed that HCHO in the concentration of 10.0 mM caused necrotic cell death, 1.0 mM resulted in enhanced apoptosis and reduced mitotic activity, while 0.5 and 0.1 mM enhanced cell proliferation and reduced apoptotic activity. Among formaldehydogenic compounds N-hydroxymethyl-L-arginine, 1'-methyl ascorbigen and the HCHO donor resveratrol may be considered as potential inhibitors of cell proliferation. Endogenous HCHO in plants apparently play a role in regulation of apoptosis and cell proliferation. The genotoxic and carcinogentic effects of HCHO is due to production of DNA-protein cross-links. Low doses of HCHO, reducing apoptotic activity may also accumulate cells with such cross-links. Experimental data point to the possible therapeutic use of methylated lysine residues and methylated arginine residues in the case of neoplasms. PMID- 21067525 TI - Early norepinephrine resuscitation of life-threatening hypotensive septic shock: it can do the job, but at what cost? PMID- 21067526 TI - Out of the sequencer and into the wiki as we face new challenges in genome informatics. AB - A report on the joint Cold Spring Harbor Laboratory/Wellcome Trust Conference 'Genome Informatics', 15-19 September 2010, Hinxton, Cambridge, UK. PMID- 21067527 TI - Can we afford open-ended ICU care? Yes we can, but ... PMID- 21067530 TI - Applying science in practice: the optimization of biological therapy in rheumatoid arthritis. AB - Most authorities recommend starting biological agents upon failure of at least one disease-modifying agent in patients with rheumatoid arthritis. However, owing to the absence of head-to-head studies, there is little guidance about which biological to select. Still, the practicing clinician has to decide. This review explores the application of published evidence to practice, discussing the goals of treatment, the (in) ability to predict individual responses to therapy, and the potential value of indirect comparisons. We suggest that cycling of biological agents, until remission is achieved or until the most effective agent for that individual patient is determined, deserves consideration in the current stage of knowledge. PMID- 21067528 TI - Key signaling nodes in mammary gland development and cancer: beta-catenin. AB - beta-Catenin plays important roles in mammary development and tumorigenesis through its functions in cell adhesion, signal transduction and regulation of cell-context-specific gene expression. Studies in mice have highlighted the critical role of beta-catenin signaling for stem cell biology at multiple stages of mammary development. Deregulated beta-catenin signaling disturbs stem and progenitor cell dynamics and induces mammary tumors in mice. Recent data showing deregulated beta-catenin signaling in metaplastic and basal-type tumors suggest a similar link to reactivated developmental pathways and human breast cancer. The present review will discuss beta-catenin as a central transducer of numerous signaling pathways and its role in mammary development and breast cancer. PMID- 21067531 TI - Bench-to-bedside review: hypercapnic acidosis in lung injury--from 'permissive' to 'therapeutic'. AB - Modern ventilation strategies for patients with acute lung injury and acute respiratory distress syndrome frequently result in hypercapnic acidosis (HCA), which is regarded as an acceptable side effect ('permissive hypercapnia'). Multiple experimental studies have demonstrated advantageous effects of HCA in several lung injury models. To date, however, human trials studying the effect of carbon dioxide per se on outcome in patients with lung injury have not been performed. While significant concerns regarding HCA remain, in particular the possible unfavorable effects on bacterial killing and the inhibition of pulmonary epithelial wound repair, the potential for HCA in attenuating lung injury is promising. The underlying mechanisms by which HCA exerts its protective effects are complex, but dampening of the inflammatory response seems to play a pivotal role. After briefly summarizing the physiological effects of HCA, a critical analysis of the available evidence on the potential beneficial effects of therapeutic HCA from in vitro, ex vivo and in vivo lung injury models and from human studies will be reviewed. In addition, the potential concerns in the clinical setting will be outlined. PMID- 21067533 TI - Interleukin-6: a local pain trigger? AB - Pain management in conditions of chronic inflammation is a clinical challenge, and increasing our understanding of the mechanisms driving this type of pain is important. In the previous issue of Arthritis Research & Therapy, Boettger and colleagues examine the role of IL-6 in antigen-induced arthritis using the IL-6 neutralizing soluble glycoprotein 130 and link IL-6 to a pathophysiological role in the generation of pain, independent of the proinflammatory properties of IL-6. The findings presented in this study add to a growing body of evidence highlighting the role of IL-6 in the induction and maintenance of pain. PMID- 21067534 TI - Bridging the gap between epigenetics research and nutritional public health interventions. AB - Epigenetic processes, primarily DNA methylation and covalent modifications of histones, regulate the transcriptional activity of genes in a manner that can be modified by environmental cues. This allows variation in the expression of the transcriptome without changes in the genome. Constraint in the early life environment, such as poor early nutrition, is associated with increased risk of non-communicable diseases, including cardio-metabolic disease and cancer in later life. Such induced phenotypic change involves environmental signals acting through developmental plasticity. Recent studies in humans and in animal models show that epigenetic processes, in particular DNA methylation, have a central role in the induction and stability of novel phenotypes and in increased disease risk. Identification of such processes suggests the potential for developing biomarkers of disease risk and for interventions to prevent or reverse the adverse effects of a poor early life environment. At present, knowledge in this area is limited to proof-of-principle studies in animal models and some initial studies in humans. Before such findings can be translated into reliable biomarkers and safe, effective interventions, several fundamental questions need to be answered. In order to achieve this, new technologies will be needed to support large cohort studies. Despite the early stage of knowledge in this field and the intellectual, technological and financial challenges, epigenetic research has substantial potential for public health benefits. PMID- 21067532 TI - Breast cancer in young women. AB - Although uncommon, breast cancer in young women is worthy of special attention due to the unique and complex issues that are raised. This article reviews specific challenges associated with the care of younger breast cancer patients, which include fertility preservation, management of inherited breast cancer syndromes, maintenance of bone health, secondary prevention, and attention to psychosocial issues. PMID- 21067535 TI - Clinical review: mechanical circulatory support for cardiogenic shock complicating acute myocardial infarction. AB - Acute myocardial infarction is one of the 10 leading reasons for admission to adult critical care units. In-hospital mortality for this condition has remained static in recent years, and this is related primarily to the development of cardiogenic shock. Recent advances in reperfusion therapies have had little impact on the mortality of cardiogenic shock. This may be attributable to the underutilization of life support technology that may assist or completely supplant the patient's own cardiac output until adequate myocardial recovery is established or long-term therapy can be initiated. Clinicians working in the intensive care environment are increasingly likely to be exposed to these technologies. The purpose of this review is to outline the various techniques of mechanical circulatory support and discuss the latest evidence for their use in cardiogenic shock complicating acute myocardial infarction. PMID- 21067537 TI - GAS6 in systemic inflammatory diseases: with and without infection. AB - Vitamin K-dependent proteins are not only essential regulators of blood coagulation. A recent paper in Critical Care describes the levels of the vitamin K-dependent GAS6 and the soluble form of its receptor Axl in plasma from patients with sepsis of systemic inflammation. The results confirm that GAS6 is elevated during septicemia, but the fact that inflammatory conditions without infection produce a similar effect suggests it is inflammation that induces the synthesis of GAS6, rather than the interactions with bacteria or other infectious agents. The soluble form of the GAS6 receptor Axl was induced less compared with the effect observed in GAS6. This is important as the two proteins form an inactive complex in plasma, suggesting that a functional GAS6 form could be synthesized under these conditions. GAS6 has been proposed as a broad regulator of the innate immune response. GAS6 synthesis is therefore likely to be a regulatory mechanism during systemic inflammation. Recent advances provide the necessary tools for further research, including genetic screenings of the components of this system. PMID- 21067536 TI - Antiangiogenic therapy for breast cancer. AB - Angiogenesis is an important component of cancer growth, invasion and metastasis. Therefore, inhibition of angiogenesis is an attractive strategy for treatment of cancer. We describe existing clinical trials of antiangiogenic agents and the challenges facing the clinical development and optimal use of these agents for the treatment of breast cancer. Currently, the most promising approach has been the use of bevacizumab, a humanized monoclonal antibody directed against the most potent pro-angiogenic factor, vascular endothelial growth factor (VEGF). Small molecular inhibitors of VEGF tyrosine kinase activity, such as sorafenib, appear promising. While, the role of sunitinib and inhibitors of mammalian target of rapamycin (mTOR) in breast cancer has to be defined. Several unanswered questions remain, such as choice of drug(s), optimal duration of therapy and patient selection criteria. PMID- 21067538 TI - Role of miR-10b in breast cancer metastasis. AB - Ninety percent of cancer-related mortality is caused by metastasis. Current cancer treatments can control many primary tumors but rarely stop the metastatic spread. Accumulating evidence demonstrates that miRNAs are involved in cancer initiation and progression. Furthermore, several miRNAs have been found to regulate metastasis. In particular, recent studies provide the first functional evidence that overexpression of a specific miRNA, miR-10b, can contribute to the development of metastasis, which can be exploited therapeutically in treating breast cancer metastasis in mice. Further in-depth analysis should provide more precise evaluation of the roles, mechanisms, and therapeutic utility of this miRNA in breast cancer. PMID- 21067541 TI - Parasite infections of domestic animals in the Nordic countries - emerging threats and challenges. Abstracts of the 22nd Symposium of the Nordic Committee for Veterinary Scientific Cooperation (NKVet). Helsinki, Finland. September 7-9, 2008. PMID- 21067542 TI - Towards a cure: HIV reservoirs and strategies to control them. Abstracts of the International AIDS Society's Workshop. Vienna, Austria. July 16-17, 2010. PMID- 21067540 TI - Bench-to-bedside review: circulating microparticles--a new player in sepsis? AB - In sepsis, inflammation and thrombosis are both the cause and the result of interactions between circulating (for example, leukocytes and platelets), endothelial and smooth muscle cells. Microparticles are proinflammatory and procoagulant fragments originating from plasma membrane generated after cellular activation and released in body fluids. In the vessel, they constitute a pool of bioactive effectors pulled from diverse cellular origins and may act as intercellular messengers. Microparticles expose phosphatidylserine, a procoagulant phospholipid made accessible after membrane remodelling, and tissue factor, the initiator of blood coagulation at the endothelial and leukocyte surface. They constitute a secretion pathway for IL-1beta and up-regulate the proinflammatory response of target cells. Microparticles circulate at low levels in healthy individuals, but undergo phenotypic and quantitative changes that could play a pathophysiological role in inflammatory diseases. Microparticles may participate in the pathogenesis of sepsis through multiple ways. They are able to regulate vascular tone and are potent vascular proinflammatory and procoagulant mediators. Microparticles' abilities are of increasing interest in deciphering the mechanisms underlying the multiple organ dysfunction of septic shock. PMID- 21067544 TI - Recent advances in B-cell epitope prediction methods. AB - Identification of epitopes that invoke strong responses from B-cells is one of the key steps in designing effective vaccines against pathogens. Because experimental determination of epitopes is expensive in terms of cost, time, and effort involved, there is an urgent need for computational methods for reliable identification of B-cell epitopes. Although several computational tools for predicting B-cell epitopes have become available in recent years, the predictive performance of existing tools remains far from ideal. We review recent advances in computational methods for B-cell epitope prediction, identify some gaps in the current state of the art, and outline some promising directions for improving the reliability of such methods. PMID- 21067543 TI - Computer aided selection of candidate vaccine antigens. AB - Immunoinformatics is an emergent branch of informatics science that long ago pullulated from the tree of knowledge that is bioinformatics. It is a discipline which applies informatic techniques to problems of the immune system. To a great extent, immunoinformatics is typified by epitope prediction methods. It has found disappointingly limited use in the design and discovery of new vaccines, which is an area where proper computational support is generally lacking. Most extant vaccines are not based around isolated epitopes but rather correspond to chemically-treated or attenuated whole pathogens or correspond to individual proteins extract from whole pathogens or correspond to complex carbohydrate. In this chapter we attempt to review what progress there has been in an as-yet underexplored area of immunoinformatics: the computational discovery of whole protein antigens. The effective development of antigen prediction methods would significantly reduce the laboratory resource required to identify pathogenic proteins as candidate subunit vaccines. We begin our review by placing antigen prediction firmly into context, exploring the role of reverse vaccinology in the design and discovery of vaccines. We also highlight several competing yet ultimately complementary methodological approaches: sub-cellular location prediction, identifying antigens using sequence similarity, and the use of sophisticated statistical approaches for predicting the probability of antigen characteristics. We end by exploring how a systems immunomics approach to the prediction of immunogenicity would prove helpful in the prediction of antigens. PMID- 21067545 TI - State of the art and challenges in sequence based T-cell epitope prediction. AB - Sequence based T-cell epitope predictions have improved immensely in the last decade. From predictions of peptide binding to major histocompatibility complex molecules with moderate accuracy, limited allele coverage, and no good estimates of the other events in the antigen-processing pathway, the field has evolved significantly. Methods have now been developed that produce highly accurate binding predictions for many alleles and integrate both proteasomal cleavage and transport events. Moreover have so-called pan-specific methods been developed, which allow for prediction of peptide binding to MHC alleles characterized by limited or no peptide binding data. Most of the developed methods are publicly available, and have proven to be very useful as a shortcut in epitope discovery. Here, we will go through some of the history of sequence-based predictions of helper as well as cytotoxic T cell epitopes. We will focus on some of the most accurate methods and their basic background. PMID- 21067546 TI - T-cell epitope prediction and immune complex simulation using molecular dynamics: state of the art and persisting challenges. AB - Atomistic Molecular Dynamics provides powerful and flexible tools for the prediction and analysis of molecular and macromolecular systems. Specifically, it provides a means by which we can measure theoretically that which cannot be measured experimentally: the dynamic time-evolution of complex systems comprising atoms and molecules. It is particularly suitable for the simulation and analysis of the otherwise inaccessible details of MHC-peptide interaction and, on a larger scale, the simulation of the immune synapse. Progress has been relatively tentative yet the emergence of truly high-performance computing and the development of coarse-grained simulation now offers us the hope of accurately predicting thermodynamic parameters and of simulating not merely a handful of proteins but larger, longer simulations comprising thousands of protein molecules and the cellular scale structures they form. We exemplify this within the context of immunoinformatics. PMID- 21067548 TI - Applying bioinformatics for antibody epitope prediction using affinity-selected mimotopes - relevance for vaccine design. AB - To properly characterize protective polyclonal antibody responses, it is necessary to examine epitope specificity. Most antibody epitopes are conformational in nature and, thus, cannot be identified using synthetic linear peptides. Cyclic peptides can function as mimetics of conformational epitopes (termed mimotopes), thereby providing targets, which can be selected by immunoaffinity purification. However, the management of large collections of random cyclic peptides is cumbersome. Filamentous bacteriophage provides a useful scaffold for the expression of random peptides (termed phage display) facilitating both the production and manipulation of complex peptide libraries. Immunoaffinity selection of phage displaying random cyclic peptides is an effective strategy for isolating mimotopes with specificity for a given antiserum. Further epitope prediction based on mimotope sequence is not trivial since mimotopes generally display only small homologies with the target protein. Large numbers of unique mimotopes are required to provide sufficient sequence coverage to elucidate the target epitope. We have developed a method based on pattern recognition theory to deal with the complexity of large collections of conformational mimotopes. The analysis consists of two phases: 1) The learning phase where a large collection of epitope-specific mimotopes is analyzed to identify epitope specific "signs" and 2) The identification phase where immunoaffinity-selected mimotopes are interrogated for the presence of the epitope specific "signs" and assigned to specific epitopes. We are currently using computational methods to define epitope "signs" without the need for prior knowledge of specific mimotopes. This technology provides an important tool for characterizing the breadth of antibody specificities within polyclonal antisera. PMID- 21067547 TI - Models of RNA virus evolution and their roles in vaccine design. AB - Viruses are fast evolving pathogens that continuously adapt to the highly variable environments they live and reproduce in. Strategies devoted to inhibit virus replication and to control their spread among hosts need to cope with these extremely heterogeneous populations and with their potential to avoid medical interventions. Computational techniques such as phylogenetic methods have broadened our picture of viral evolution both in time and space, and mathematical modeling has contributed substantially to our progress in unraveling the dynamics of virus replication, fitness, and virulence. Integration of multiple computational and mathematical approaches with experimental data can help to predict the behavior of viral pathogens and to anticipate their escape dynamics. This piece of information plays a critical role in some aspects of vaccine development, such as viral strain selection for vaccinations or rational attenuation of viruses. Here we review several aspects of viral evolution that can be addressed quantitatively, and we discuss computational methods that have the potential to improve vaccine design. PMID- 21067550 TI - Calculation of the normal range of extravascular lung water. PMID- 21067549 TI - Concept and application of a computational vaccinology workflow. AB - BACKGROUND: The last years have seen a renaissance of the vaccine area, driven by clinical needs in infectious diseases but also chronic diseases such as cancer and autoimmune disorders. Equally important are technological improvements involving nano-scale delivery platforms as well as third generation adjuvants. In parallel immunoinformatics routines have reached essential maturity for supporting central aspects in vaccinology going beyond prediction of antigenic determinants. On this basis computational vaccinology has emerged as a discipline aimed at ab-initio rational vaccine design.Here we present a computational workflow for implementing computational vaccinology covering aspects from vaccine target identification to functional characterization and epitope selection supported by a Systems Biology assessment of central aspects in host-pathogen interaction. We exemplify the procedures for Epstein Barr Virus (EBV), a clinically relevant pathogen causing chronic infection and suspected of triggering malignancies and autoimmune disorders. RESULTS: We introduce pBone/pView as a computational workflow supporting design and execution of immunoinformatics workflow modules, additionally involving aspects of results visualization, knowledge sharing and re-use. Specific elements of the workflow involve identification of vaccine targets in the realm of a Systems Biology assessment of host-pathogen interaction for identifying functionally relevant targets, as well as various methodologies for delineating B- and T-cell epitopes with particular emphasis on broad coverage of viral isolates as well as MHC alleles.Applying the workflow on EBV specifically proposes sequences from the viral proteins LMP2, EBNA2 and BALF4 as vaccine targets holding specific B- and T cell epitopes promising broad strain and allele coverage. CONCLUSION: Based on advancements in the experimental assessment of genomes, transcriptomes and proteomes for both, pathogen and (human) host, the fundaments for rational design of vaccines have been laid out. In parallel, immunoinformatics modules have been designed and successfully applied for supporting specific aspects in vaccine design. Joining these advancements, further complemented by novel vaccine formulation and delivery aspects, have paved the way for implementing computational vaccinology for rational vaccine design tackling presently unmet vaccine challenges. PMID- 21067552 TI - A balanced view of balanced solutions. AB - The present review of fluid therapy studies using balanced solutions versus isotonic saline fluids (both crystalloids and colloids) aims to address recent controversy in this topic. The change to the acid-base equilibrium based on fluid selection is described. Key terms such as dilutional-hyperchloraemic acidosis (correctly used instead of dilutional acidosis or hyperchloraemic metabolic acidosis to account for both the Henderson-Hasselbalch and Stewart equations), isotonic saline and balanced solutions are defined. The review concludes that dilutional-hyperchloraemic acidosis is a side effect, mainly observed after the administration of large volumes of isotonic saline as a crystalloid. Its effect is moderate and relatively transient, and is minimised by limiting crystalloid administration through the use of colloids (in any carrier). Convincing evidence for clinically relevant adverse effects of dilutional-hyperchloraemic acidosis on renal function, coagulation, blood loss, the need for transfusion, gastrointestinal function or mortality cannot be found. In view of the long-term use of isotonic saline either as a crystalloid or as a colloid carrier, the paucity of data documenting detrimental effects of dilutional-hyperchloraemic acidosis and the limited published information on the effects of balanced solutions on outcome, we cannot currently recommend changing fluid therapy to the use of a balanced colloid preparation. PMID- 21067551 TI - Role of dietary fatty acids in mammary gland development and breast cancer. AB - Breast cancer is the most common cancer among women worldwide. Estimates suggest up to 35% of cases may be preventable through diet and lifestyle modification. Growing research on the role of fats in human health suggests that early exposure in life to specific fatty acids, when tissues are particularly sensitive to their environment, can have long-term health impacts. The present review examines the role of dietary fat in mammary gland development and breast cancer throughout the lifecycle. Overall, n-3 polyunsaturated fatty acids have promising cancer preventive effects when introduced early in life, and warrant further research to elucidate the mechanisms of action. PMID- 21067553 TI - Nebulized heparin reduces levels of pulmonary coagulation activation in acute lung injury. PMID- 21067554 TI - Withholding selective decontamination of the digestive tract from critically ill patients must now surely be ethically questionable given the vast evidence base. PMID- 21067555 TI - Herbal therapy: a new pathway for the treatment of Alzheimer's disease. AB - It has been a clinical challenge to treat Alzheimer's disease (AD). In the present commentary we discuss whether herbal therapy could be a novel treatment method for AD on the basis of results from clinical trials, and discuss the implications for potential therapy for AD pathophysiology. There is evidence to suggest that single herbs or herbal formulations may offer certain complementary cognitive benefits to the approved drugs. The current evidence supporting their use alone, however, is inconclusive or inadequate owing to many methodological limitations. Herbal mixtures may have advantages with multiple target regulation compared with the single-target antagonist in the view of traditional Chinese medicine. Several clinical trials using herbal mixtures are being conducted in China and will hopefully show promising results for treating AD in the near future. PMID- 21067556 TI - Shedding new light on genetic dark matter. AB - Discoveries from genome-wide association studies have contributed to our knowledge of the genetic etiology of many complex diseases. However, these account for only a small fraction of each disease's heritability. Here, we comment on approaches currently available to uncover more of the genetic 'dark matter,' including an approach introduced recently by Naukkarinen and colleagues. These authors propose a method for distinguishing between gene expression driven by genetic variation and that driven by non-genetic factors. This dichotomy allows investigators to focus statistical tests and further molecular analyses on a smaller set of genes, thereby discovering new genetic variation affecting risk for disease. We need more methods like this one if we are to shed a powerful light on dark matter. By enhancing our understanding of molecular genetic etiology, such methods will help us to understand disease processes better and will advance the promise of personalized medicine. PMID- 21067557 TI - The cytokine language of monocytes and macrophages in systemic sclerosis. AB - Many important observations suggest monocyte/macrophage involvement in systemic sclerosis (SSc). A high concentration of immune mediators, such as IL-6, IL-10 and IL-13, the infiltration of mononuclear cells in affected organs and the production of autoantibodies suggest that immune system dysfunction drives SSc pathogenesis. The recently reported study by Higashi-Kuwata and colleagues, in light of other observations, provides further insight into activation of macrophages/monocytes in SSc patients, suggesting that these cells undergo distinct activation pathways. These results emphasize the need for more detailed analyses of the several markers now defined in SSc peripheral blood mononuclear cells and tissues to better define the cytokine language speaking to monocytes/macrophages in SSc that promote vascular injury and tissue fibrosis. PMID- 21067558 TI - The influence of anti-cyclic citrullinated peptide on anticentromere antibody positive rheumatoid arthritis patients. PMID- 21067559 TI - Too cold may not be so cool: spontaneous hypothermia as a marker of poor outcome after cardiac arrest. AB - In a recent issue of Critical Care, den Hartog and colleagues show an association between spontaneous hypothermia, defined by an admission body temperature < 35 degrees C, and poor outcome in patients with coma after cardiac arrest (CA) treated with therapeutic hypothermia (TH). Given that TH alters neurological prognostication, studies aiming to identify early markers of injury severity and outcome are welcome, since they may contribute overall to optimize the management of comatose CA patients. This study provides an important message to clinicians involved in post-resuscitation care and raises important questions that need to be taken into account in future studies. PMID- 21067560 TI - Critical illness-induced dysglycaemia: diabetes and beyond. AB - Type 2 diabetes has reached epidemic proportions in many parts of the world. The disease is projected to continue to increase and double within the foreseeable future. Dysglycaemia develops in the form of hyperglycaemia, hypoglycaemia and marked glucose variability in critically ill adults whether they are known to have premorbid diabetes or not. Patients with such glucose dysregulation have increased morbidity and mortality. Whether this is secondary to cause and effect from dysglycaemia or is just related to critical illness remains under intense investigation. Identification of intensive care unit (ICU) patients with unrecognised diabetes remains a challenge. Further, there are few data regarding the development of type 2 diabetes in survivors after hospital discharge. This commentary introduces the concept of critical illness-induced dysglycaemia as an umbrella term that includes the spectrum of abnormal glucose homeostasis in the ICU. We outline the need for further studies in the area of glucose regulation and for follow-up of the natural history of abnormal glucose control during ICU admission and beyond. PMID- 21067561 TI - Maternal effects, flight versus fecundity trade-offs, and offspring immune defence in the speckled wood butterfly, Pararge aegeria. AB - BACKGROUND: Maternal condition can generate resource-related maternal effects through differential egg provisioning, and can greatly affect offspring performance. In the present study, the speckled wood butterfly Pararge aegeria (L.) was used to investigate whether (after controlling for egg size) maternal age, and increased flight during the oviposition period, resulted in changes in egg provisioning and whether this contributed to variation in offspring performance, i) early in development (egg stage and early post-hatching development), and ii) later in larval development after being exposed to the model viral pathogen system; the baculovirus Autographa californica multinucleocapsid nucleopolyhedrovirus (AcMNPV). RESULTS: Age-related changes in maternal egg provisioning were observed to influence egg stage development only. Flight-induced changes in maternal egg provisioning had direct consequences for offspring growth and survival across each life stage from egg to adulthood; offspring from forced flight mothers had lower larval masses and longer development times. Offspring with lower larval masses also had reduced survival after exposure to the viral pathogen. CONCLUSION: The present study demonstrates that a change in maternal provisioning as a result of increased flight during the oviposition period has the potential to exert non-genetic cross-generational fitness effects in P. aegeria. This could have important consequences for population dynamics, particularly in fragmented anthropogenic landscapes. PMID- 21067562 TI - Wellbeing, alcohol use and sexual activity in young teenagers: findings from a cross-sectional survey in school children in North West England. AB - BACKGROUND: Adolescent health is a growing concern. High rates of binge drinking and teenage pregnancies, documented in the UK, are two measures defining poor wellbeing. Improving wellbeing through schools is a priority but information on the impact of wellbeing on alcohol use, and on sexual activity among schoolchildren is limited. METHODS: A cross-sectional survey using self-completed questionnaires was conducted among 3,641 schoolchildren aged 11-14 years due to participate in a sex and relationships education pilot programme in 15 high schools in North West England. Bivariate and multivariate analyses were conducted to examine the relationship between wellbeing and alcohol use, and wellbeing and sexual activity. RESULTS: A third of 11 year olds, rising to two-thirds of 14 year olds, had drunk alcohol. Children with positive school wellbeing had lower odds of ever drinking alcohol, drinking often, engaging in any sexual activity, and of having sex. General wellbeing had a smaller effect. The strength of the association between alcohol use and the prevalence of sexual activity in 13-14 year olds, increased incrementally with the higher frequency of alcohol use. Children drinking once a week or more had 12-fold higher odds of any sexual activity, and 10-fold higher odds of having sex. Rare and occasional drinkers had a significantly higher odds compared with non-drinkers. CONCLUSIONS: The relationship between wellbeing and alcohol use, and wellbeing and sexual activity reinforces the importance of initiatives that enhance positive wellbeing in schoolchildren. The association between alcohol use and sexual activity highlights the need for integrated public health programmes. Policies restricting alcohol use may help reduce sexual exposure among young teenagers. PMID- 21067563 TI - Intestinal epithelial serum amyloid A modulates bacterial growth in vitro and pro inflammatory responses in mouse experimental colitis. AB - BACKGROUND: Serum Amyloid A (SAA) is a major acute phase protein of unknown function. SAA is mostly expressed in the liver, but also in other tissues including the intestinal epithelium. SAA reportedly has anti-bacterial effects, and because inflammatory bowel diseases (IBD) result from a breakdown in homeostatic interactions between intestinal epithelia and bacteria, we hypothesized that SAA is protective during experimental colitis. METHODS: Intestinal SAA expression was measured in mouse and human samples. Dextran sodium sulfate (DSS) colitis was induced in SAA 1/2 double knockout (DKO) mice and in wildtype controls. Anti-bacterial effects of SAA1/2 were tested in intestinal epithelial cell lines transduced with adenoviral vectors encoding the CE/J SAA isoform or control vectors prior to exposure to live Escherichia coli. RESULTS: Significant levels of SAA1/SAA2 RNA and SAA protein were detected by in situ hybridization and immunohistochemistry in mouse colonic epithelium. SAA3 expression was weaker, but similarly distributed. SAA1/2 RNA was present in the ileum and colon of conventional mice and in the colon of germfree mice. Expression of SAA3 was strongly regulated by bacterial lipopolysaccharides in cultured epithelial cell lines, whereas SAA1/2 expression was constitutive and not LPS inducible. Overexpression of SAA1/2 in cultured epithelial cell lines reduced the viability of co-cultured E. coli. This might partially explain the observed increase in susceptibility of DKO mice to DSS colitis. SAA1/2 expression was increased in colon samples obtained from Crohn's Disease patients compared to controls. CONCLUSIONS: Intestinal epithelial SAA displays bactericidal properties in vitro and could play a protective role in experimental mouse colitis. Altered expression of SAA in intestinal biopsies from Crohn's Disease patients suggests that SAA is involved in the disease process.. PMID- 21067564 TI - The CD45 77C/G allele is not associated with myasthenia gravis - a reassessment of the potential role of CD45 in autoimmunity. AB - BACKGROUND: The G allele of the CD45 77C/G SNP (rs17612648), which has previously been suggested to be associated with autoimmune disorders, was genotyped in 446 Swedish myasthenia gravis (MG) patients and 2303 matched controls. RESULTS: There was no association between the polymorphism and patient group as a whole (p = 0.199), nor with clinical subgroups. Our results add to a growing number of studies unable to find association between the 77C/G polymorphism and autoimmune disorders. One control sample, from an adult blood donor, was homozygous for the G allele, yet negative for a panel of auto-antibodies, representing the first homozygous individual studied in this respect. CONCLUSIONS: The 77C/G mutation does not predispose to MG, and its role in autoimmunity may have to be re evaluated. PMID- 21067565 TI - Comparative expression pattern of Matrix-Metalloproteinases in human glioblastoma cell-lines and primary cultures. AB - BACKGROUND: Glioblastomas (GBM), the most frequent malignant brain tumors in adults, are characterized by an aggressive local growth pattern and highly invasive tumor cells. This invasion is facilitated by expression of matrix metalloproteinases (MMPs), a family of zinc-dependent endopeptidases. They mediate the degradation of protein components of the extracellular matrix. Twenty three family members are known. Elevated levels of several of them have been reported in GBM. GBM cell-lines are used for in vitro studies of cell migration and invasion. Therefore, it is essential to know their MMP expression patterns. Only limited data for some of the cell-lines are published, yet. To fill the gaps in our knowledge would help to choose suitable model systems for analysis of regulation and function of MMPs during GBM tumorigenesis, cell migration and invasion. FINDINGS: We analysed MMP-1, -8, -9, -10, -11, -13, -17, -19, -20, -21, -23, -24, -26, -27, and MMP-28 expression in seven GBM cell-lines (SNB-19, GaMG, U251, U87, U373, U343, U138) and in four primary cell cultures by semiquantitative RT-PCR, followed changes in the MMP expression pattern with increasing passages of cell culture and examined the influence of TNF-alpha and TGF-beta1 stimulation on the expression of selected MMPs in U251 and U373 cells.MMP-13, -17, -19 and -24 were expressed by all analyzed cell-lines, whereas MMP-20 and MMP-21 were not expressed by any of them. The other MMPs showed variable expression, which was dependent on passage number. Primary cells displayed a similar MMP-expression pattern as the cell-lines. In U251 and U373 cells expression of MMP-9 and MMP-19 was stimulated by TNF-alpha. MMP-1 mRNA expression was significantly increased in U373 cells, but not in U251 cells by this cytokine. Whereas TGF-beta1 had no impact on MMP expression in U251 cells, it significantly induced MMP-11 and MMP-24 expression in U373 cells. CONCLUSIONS: Literature-data and our own results suggest that the expression pattern of MMPs is highly variable, dependent on the cell-line and the cell-culture conditions used and that also regulation of MMP expression by cytokines is cell-line dependent. This is of high impact for the transfer of cell-culture experiments to clinical implementation. PMID- 21067566 TI - Victimization of patients with severe psychiatric disorders: prevalence, risk factors, protective factors and consequences for mental health. A longitudinal study. AB - BACKGROUND: Victimization among people with a Severe Mental Illness is a common phenomenon. The objectives of this study proposal are: to delineate the extent and kind of victimization in a representative sample of chronic psychiatric patients; to contribute to the development and validation of a set of instruments registering victimization of psychiatric patients; to determine risk factors and protective factors; and to gain insight into the possible consequences of victimization. METHODS/DESIGN: An extensive data set of 323 patients with Sever Mental Illness (assessed 4 years ago) is used. In 2010 a second measurement will be performed, enabling longitudinal research on the predictors and consequences of victimization. DISCUSSION: The consequences of (re)victimization have barely been subjected to analysis, partially due to the lack of a comprehensive, conceptual model for victimization. This research project will contribute significantly to the scientific development of the conceptual model of victimization in chronic psychiatric patients. PMID- 21067567 TI - Noisy-threshold control of cell death. AB - BACKGROUND: Cellular responses to death-promoting stimuli typically proceed through a differentiated multistage process, involving a lag phase, extensive death, and potential adaptation. Deregulation of this chain of events is at the root of many diseases. Improper adaptation is particularly important because it allows cell sub-populations to survive even in the continuous presence of death conditions, which results, among others, in the eventual failure of many targeted anticancer therapies. RESULTS: Here, I show that these typical responses arise naturally from the interplay of intracellular variability with a threshold-based control mechanism that detects cellular changes in addition to just the cellular state itself. Implementation of this mechanism in a quantitative model for T-cell apoptosis, a prototypical example of programmed cell death, captures with exceptional accuracy experimental observations for different expression levels of the oncogene Bcl-xL and directly links adaptation with noise in an ATP threshold below which cells die. CONCLUSIONS: These results indicate that oncogenes like Bcl-xL, besides regulating absolute death values, can have a novel role as active controllers of cell-cell variability and the extent of adaptation. PMID- 21067568 TI - Origin and evolution of a placental-specific microRNA family in the human genome. AB - BACKGROUND: MicroRNAs (miRNAs) are a class of short regulatory RNAs encoded in the genome of DNA viruses, some single cell organisms, plants and animals. With the rapid development of technology, more and more miRNAs are being discovered. However, the origin and evolution of most miRNAs remain obscure. Here we report the origin and evolution dynamics of a human miRNA family. RESULTS: We have shown that all members of the miR-1302 family are derived from MER53 elements. Although the conservation scores of the MER53-derived pre-miRNA sequences are low, we have identified 36 potential paralogs of MER53-derived miR-1302 genes in the human genome and 58 potential orthologs of the human miR-1302 family in placental mammals. We suggest that in placental species, this miRNA family has evolved following the birth-and-death model of evolution. Three possible mechanisms that can mediate miRNA duplication in evolutionary history have been proposed: the transposition of the MER53 element, segmental duplications and Alu-mediated recombination. Finally, we have found that the target genes of miR-1302 are over represented in transportation, localization, and system development processes and in the positive regulation of cellular processes. Many of them are predicted to function in binding and transcription regulation. CONCLUSIONS: The members of miR 1302 family that are derived from MER53 elements are placental-specific miRNAs. They emerged at the early stage of the recent 180 million years since eutherian mammals diverged from marsupials. Under the birth-and-death model, the miR-1302 genes have experienced a complex expansion with some members evolving by segmental duplications and some by Alu-mediated recombination events. PMID- 21067569 TI - Protein aggregation containing beta-amyloid, alpha-synuclein and hyperphosphorylated tau in cultured cells of hippocampus, substantia nigra and locus coeruleus after rotenone exposure. AB - BACKGROUND: Protein aggregates containing alpha-synuclein, beta-amyloid and hyperphosphorylated tau are commonly found during neurodegenerative processes which is often accompanied by the impairment of mitochondrial complex I respiratory chain and dysfunction of cellular systems of protein degradation. In view of this, we aimed to develop an in vitro model to study protein aggregation associated to neurodegenerative diseases using cultured cells from hippocampus, locus coeruleus and substantia nigra of newborn Lewis rats exposed to 0.5, 1, 10 and 25 nM of rotenone, which is an agricultural pesticide, for 48 hours. RESULTS: We demonstrated that the proportion of cells in culture is approximately the same as found in the brain nuclei they were extracted from. Rotenone at 0.5 nM was able to induce alpha-synuclein and beta amyloid aggregation, as well as increased hyperphosphorylation of tau, although high concentrations of this pesticide (over 1 nM) lead cells to death before protein aggregation. We also demonstrated that the 14 kDa isoform of alpha-synuclein is not present in newborn Lewis rats. CONCLUSION: Rotenone exposure may lead to constitutive protein aggregation in vitro, which may be of relevance to study the mechanisms involved in idiopathic neurodegeneration. PMID- 21067570 TI - Predominant porB1A and porB1B genotypes and correlation of gene mutations with drug resistance in Neisseria gonorrhoeae isolates in Eastern China. AB - BACKGROUND: Variations of porB1A and porB1B genes and their serotypes exist in Neisseria gonorrhoeae isolates from different geographical areas, and some site mutations in the porB1B gene correlate with drug resistance. METHODS: The beta lactamase production of N. gonorrhoeae isolates was determined by paper acidometric test and nitrocefin discs. The porB1A and porB1B genes of 315 non penicillinase-producting N. gonorrhoeae (non-PPNG) strains were amplified by PCR for sequencing to determine serotypes and site mutations. A duplex PCR was designed to simultaneously detect both porB1A and porB1B genes. Penicillin and tetracycline resistance was assessed by an in vitro drug sensitivity test. RESULTS: Of the N. gonorrhoeae isolates, 31.1% tested positive for porB1A and 68.9% for porB1B genes. All the 98 porB1A+ isolates belonging to IA6 serotype with either no mutation at the 120 and 121 sites (88.8%) or a D120G (11.2%) mutation and were no resistance to both penicillin and tetracycline. Among the 217 porB1B+ isolates, 26.7%, 22.6% and 11.5% belonged to IB3, IB3/6 and IB4 serotypes, respectively. Particularly, two novel chimeric serotypes, IB3/6-IB2 and IB2-IB4-IB2, were found in 77 and 8 porB1B+ isolates. Two hundred and twelve (97.7%) of the porB1B+ isolates were presented G120 and/or A121 mutations with 163 (76.9%) at both sites. Interestingly, within the 77 porB1B+ isolates belonging to IB3/6-IB2 serotype, 15 were discovered to possess novel deletions at both A121 and N122 sites. All the replacement mutations at these sites in PorB1B were correlated with resistance and the deletion mutation showed the highest resistance. CONCLUSION: N. gonorrhoeae isolates circulating in Eastern China include a sole PorB1A serotype (IA6) and five PorB1B serotypes. Multiple mutations in porB1B genes, including novel A121 and N122 deletions, are correlated with high levels of penicillin and tetracycline resistance. PMID- 21067571 TI - War related sexual violence and it's medical and psychological consequences as seen in Kitgum, Northern Uganda: A cross-sectional study. AB - BACKGROUND: Despite the recent adoption of the UN resolution 1820 (2008) which calls for the cessation of war related sexual violence against civilians in conflict zones, Africa continues to see some of the worst cases of war related sexual violence including the mass sexual abuse of entire rural communities particularly in the Great Lakes region. In addition to calling for a complete halt to this abuse, there is a need for the systematic study of the reproductive, surgical and psychological effects of war related sexual violence in the African socio-cultural setting.This paper examines the specific long term health consequences of war related sexual violence among rural women living in two internally displaced person's camps in Kitgum district in war affected Northern Uganda who accessed the services of an Isis-Women's International Cross Cultural Exchange (Isis-WICCE) medical intervention. METHODS: The study employed a purposive cross-sectional study design where 813 respondents were subjected to a structured interview as part of a screening procedure for an emergency medical intervention to identify respondents who required psychological, gynaecological and surgical treatment. RESULTS: Over a quarter (28.6%) of the women (n = 573) reported having suffered at least one form of war related sexual violence. About three quarters of the respondents had 'at least one gynaecological complaint' (72.4%) and 'at least one surgical complaint' (75.6%), while 69.4% had significant psychological distress scores (scores greater than or equal to 6 on the WHO SRQ-20). The factors that were significantly associated with war related sexual violence were the age group of less than or equal to 44 years, being Catholic, having suffered other war related physical trauma, and having 'at least one gynaecological complaint'. The specific gynaecological complaints significantly associated with war related sexual violence were infertility, chronic lower abdominal pain, abnormal vaginal bleeding, and sexual dysfunction. In a multivariable analysis the age group of less than or equal to 44 years, being Catholic and having 'at least one gynaecological complaint' remained significantly associated with war related sexual violence. CONCLUSION: The results from this study demonstrate that war related sexual violence is independently associated with the later development of specific gynaecological complaints. PMID- 21067572 TI - Association analysis of nine candidate gene polymorphisms in Indian patients with type 2 diabetic retinopathy. AB - BACKGROUND: Diabetic retinopathy (DR) is classically defined as a microvasculopathy that primarily affects the small blood vessels of the inner retina as a complication of diabetes mellitus (DM).It is a multifactorial disease with a strong genetic component. The aim of this study is to investigate the association of a set of nine candidate genes with the development of diabetic retinopathy in a South Indian cohort who have type 2 diabetes mellitus (T2DM). METHODS: Seven candidate genes (RAGE, PEDF, AKR1B1, EPO, HTRA1, ICAM and HFE) were chosen based on reported association with DR in the literature. Two more, CFH and ARMS2, were chosen based on their roles in biological pathways previously implicated in DR. Fourteen single nucleotide polymorphisms (SNPs) and one dinucleotide repeat polymorphism, previously reported to show association with DR or other related diseases, were genotyped in 345 DR and 356 diabetic patients without retinopathy (DNR). The genes which showed positive association in this screening set were tested further in additional sets of 100 DR and 90 DNR additional patients from the Aravind Eye Hospital. Those which showed association in the secondary screen were subjected to a combined analysis with the 100 DR and 100 DNR subjects previously recruited and genotyped through the Sankara Nethralaya Hospital, India. Genotypes were evaluated using a combination of direct sequencing, TaqMan SNP genotyping, RFLP analysis, and SNaPshot PCR assays. Chi-square and Fisher exact tests were used to analyze the genotype and allele frequencies. RESULTS: Among the nine loci (15 polymorphisms) screened, SNP rs2070600 (G82S) in the RAGE gene, showed significant association with DR (allelic P = 0.016, dominant model P = 0.012), compared to DNR. SNP rs2070600 further showed significant association with DR in the confirmation cohort (P = 0.035, dominant model P = 0.032). Combining the two cohorts gave an allelic P < 0.003 and dominant P = 0.0013). Combined analysis with the Sankara Nethralaya cohort gave an allelic P = 0.0003 and dominant P = 0.00011 with an OR = 0.49 (0.34 - 0.70) for the minor allele. In HTRA1, rs11200638 (G>A), showed marginal significance with DR (P = 0.055) while rs10490924 in LOC387715 gave a P = 0.07. No statistical significance was observed for SNPs in the other 7 genes studied. CONCLUSIONS: This study confirms significant association of one polymorphism only (rs2070600 in RAGE) with DR in an Indian population which had T2DM. PMID- 21067573 TI - "I am pregnant and my husband has diabetes. Is there a risk for my child?" A qualitative study of questions asked by email about the role of genetic susceptibility to diabetes. AB - BACKGROUND: Diabetes Mellitus is a global health problem. Scientific knowledge on the genetics of diabetes is expanding and is more and more utilised in clinical practice and primary prevention strategies. Health consumers have become increasingly interested in genetic information. In the Netherlands, the National Genetic Research and Information Center provides online information about the genetics of diabetes and thereby offers website visitors the opportunity to ask a question per email. The current study aims at exploring people's need of (additional) information about the role of inheritance in diabetes. Results may help to tailor existing clinical and public (online) genetic information to the needs of an increasing population at risk for diabetes. METHODS: A data base with emailed questions about diabetes and inheritance (n = 172) is used in a secondary content analysis. Questions are posted in 2005-2009 via a website providing information about more than 600 inheritable disorders, including all diabetes subtypes. Queries submitted were classified by contents as well as persons' demographic profiles. RESULTS: Questions were received by diabetes patients (49%), relatives (30%), and partners (21%). Questionnaires were relatively young (54.8% <= 30 years) and predominantly female (83%). Most queries related to type 1 diabetes and concerned topics related to (future) pregnancy and family planning. Questionnaires mainly asked for risk estimation, but also clarifying information (about genetics of diabetes in general) and advice (mostly related to family planning) was requested. Preventive advice to reduce own diabetes risk was hardly sought. CONCLUSIONS: Genetic information on diabetes provided by professionals or public health initiatives should address patients, as well as relatives and partners. In particular women are receptive to genetic information; they worry about the diabetes related health of (future) offspring. It seems important that information on the contribution of genetics to type 1 diabetes is more readily available. Considering the high prevalence of type 2 diabetes with strong evidence for a genetic predisposition, more effort seems needed to promote awareness around familial clustering and primary prevention. PMID- 21067574 TI - A first genome assembly of the barley fungal pathogen Pyrenophora teres f. teres. AB - BACKGROUND: Pyrenophora teres f. teres is a necrotrophic fungal pathogen and the cause of one of barley's most important diseases, net form of net blotch. Here we report the first genome assembly for this species based solely on short Solexa sequencing reads of isolate 0-1. The assembly was validated by comparison to BAC sequences, ESTs, orthologous genes and by PCR, and complemented by cytogenetic karyotyping and the first genome-wide genetic map for P. teres f. teres. RESULTS: The total assembly was 41.95 Mbp and contains 11,799 gene models of 50 amino acids or more. Comparison against two sequenced BACs showed that complex regions with a high GC content assembled effectively. Electrophoretic karyotyping showed distinct chromosomal polymorphisms between isolates 0-1 and 15A, and cytological karyotyping confirmed the presence of at least nine chromosomes. The genetic map spans 2477.7 cM and is composed of 243 markers in 25 linkage groups, and incorporates simple sequence repeat markers developed from the assembly. Among predicted genes, non-ribosomal peptide synthetases and efflux pumps in particular appear to have undergone a P. teres f. teres-specific expansion of non orthologous gene families. CONCLUSIONS: This study demonstrates that paired-end Solexa sequencing can successfully capture coding regions of a filamentous fungal genome. The assembly contains a plethora of predicted genes that have been implicated in a necrotrophic lifestyle and pathogenicity and presents a significant resource for examining the bases for P. teres f. teres pathogenicity. PMID- 21067575 TI - Effects of anti-malarial drugs on the electrocardiographic QT interval modelled in the isolated perfused guinea pig heart system. AB - BACKGROUND: Concern over the potential cardiotoxicity of anti-malarial drugs inducing a prolonged electrocardiographic QT interval has resulted in the almost complete withdrawal from the market of one anti-malarial drug - halofantrine. The effects on the QT interval of four anti-malarial drugs were examined, using the guinea pig heart. METHODS: The guinea pig heart was isolated, mounted on a Langendorff apparatus, and was then perfused with pyruvate-added Klebs-Henseleit solutions containing graded concentrations of the four agents such as quinidine (0.15 - 1.2 MUM), quinine (0.3 - 2.4 MUM), halofantrine (0.1 - 2.0 MUM) and mefloquine (0.1 - 2.0 MUM). The heart rate-corrected QaTc intervals were measured to evaluate drug-induced QT prolongation effects. RESULTS: Quinidine, quinine, and halofantrine prolonged the QaTc interval in a dose-dependent manner, whereas no such effect was found with mefloquine. The EC50 values for the QaTc prolongation effects, the concentration that gives a half-maximum effect, were quinidine < quinine ~ halofantrine. CONCLUSIONS: In this study, an isolated, perfused guinea pig heart system was constructed to assess the cardiotoxic potential of anti-malarial drugs. This isolated perfused guinea pig heart system could be used to test newly developed anti-malarial drugs for their inherent QT lengthening potential. More information is required on the potential variation in unbound drug concentrations in humans, and their role in cardiotoxicity. PMID- 21067576 TI - Analysis of sex and gender-specific research reveals a common increase in publications and marked differences between disciplines. AB - BACKGROUND: The incorporation of sex and gender-specific analysis in medical research is increasing due to pressure from public agencies, funding bodies, and the clinical and research community. However, generations of knowledge and publication trends in this discipline are currently spread over distinct specialties and are difficult to analyze comparatively. METHODS: Using a text mining approach, we have analysed sex and gender aspects in research within nine clinical subspecialties--Cardiology, Pulmonology, Nephrology, Endocrinology, Gastroenterology, Haematology, Oncology, Rheumatology, Neurology--using six paradigmatic diseases in each one. Articles have been classified into five pre determined research categories--Epidemiology, Pathophysiology, Clinical research, Management and Outcomes. Additional information has been collected on the type of study (human/animal) and the number of subjects included. Of the 8,836 articles initially retrieved, 3,466 (39%) included sex and gender-specific research and have been further analysed. RESULTS: Literature incorporating sex/gender analysis increased over time and displays a stronger trend if compared to overall publication increase. All disciplines, but cardiology (22%), demonstrated an underrepresentation of research about gender differences in management, which ranges from 3 to 14%. While the use of animal models for identification of sex differences in basic research varies greatly among disciplines, studies involving human subjects are frequently conducted in large cohorts with more than 1,000 patients (24% of all human studies). CONCLUSIONS: Heterogeneity characterizes sex and gender-specific research. Although large cohorts are often analysed, sex and gender differences in clinical management are insufficiently investigated leading to potential inequalities in health provision and outcomes. PMID- 21067577 TI - HLA-DRB1 as a risk factor in children with autoimmune hepatitis and its relation to hepatitis A infection. AB - BACKGROUND: The human leukocyte antigens (HLAs) are proteins found in the membranes of nearly all nucleated cells. People with certain HLA antigens are more likely to develop certain autoimmune diseases. The aim of this study was to determine the frequency of HLA-DRB1 in children with autoimmune hepatitis (AIH) as a risk factor for occurrence, its relation to preceding hepatitis A infection and treatment outcome. SUBJECTS AND METHODS: 25 children with AIH were subjected to HLA-DRB 1 typing performed by sequence specific oligonucleotide probe technique and compared to HLA-DRB1 found in 548 normal populations. RESULTS: The most frequent alleles found in our children with AIH were HLA-DRB1*13 (36%), HLA DRB1*04 (18%) and HLA-DRB1*03 (14%). HLA-DRB1*13 was significantly more frequent in AIH patients compared to controls. In type I AIH patients HLA-DRB1*13 was the most frequent allele (32.4%), followed by HLA-DRB1*04 in (20.6%) and HLA-DRB1*03 in (14.7%), While in type II, the most frequent alleles were HLA-DRB1*13 in (40%), HLA-DRB1*07 (20%) and HLA-DRB1*15 in (20%). HLA-DRB1*12 was significantly more frequent in AIH patients with positive Hepatitis A IgM than in patients with negative hepatitis A IgM. No statistically significant difference between partial responders and complete responders to treatment as regards HLA-DRB1 subtypes. CONCLUSION: It is concluded from the previous study that HLA-DRB1*13 may be a susceptibility allele for the occurrence of autoimmune hepatitis in our population. HLA-DRB1*07 and HLA-DRB1*15 may be susceptibility alleles for occurrence of autoimmune hepatitis type 2. HLA-DRB1*12 association with AIH in patients triggered by hepatitis A needs further studies. PMID- 21067578 TI - A novel totivirus and piscine reovirus (PRV) in Atlantic salmon (Salmo salar) with cardiomyopathy syndrome (CMS). AB - BACKGROUND: Cardiomyopathy syndrome (CMS) is a severe disease affecting large farmed Atlantic salmon. Mortality often appears without prior clinical signs, typically shortly prior to slaughter. We recently reported the finding and the complete genomic sequence of a novel piscine reovirus (PRV), which is associated with another cardiac disease in Atlantic salmon; heart and skeletal muscle inflammation (HSMI). In the present work we have studied whether PRV or other infectious agents may be involved in the etiology of CMS. RESULTS: Using high throughput sequencing on heart samples from natural outbreaks of CMS and from fish experimentally challenged with material from fish diagnosed with CMS a high number of sequence reads identical to the PRV genome were identified. In addition, a sequence contig from a novel totivirus could also be constructed. Using RT-qPCR, levels of PRV in tissue samples were quantified and the totivirus was detected in all samples tested from CMS fish but not in controls. In situ hybridization supported this pattern indicating a possible association between CMS and the novel piscine totivirus. CONCLUSIONS: Although causality for CMS in Atlantic salmon could not be proven for either of the two viruses, our results are compatible with a hypothesis where, in the experimental challenge studied, PRV behaves as an opportunist whereas the totivirus might be more directly linked with the development of CMS. PMID- 21067580 TI - Additional funding mechanisms for Public Hospitals in Greece: the case of Chania Mental Health Hospital. AB - OBJECTIVES: To investigate whether the long term lease of public hospital owned land could be an additional financing mechanism for Greek public (mental) health hospitals. METHODS: We performed a financial analysis of the official 2008 data of a case - study hospital (Mental Health Hospital of Chania). We used a capital budgeting approach to investigate whether value is created for the public hospital by engaging its assets in a project for the development of a private renal dialysis Unit. RESULTS: The development of the private unit in hospital owned land is a good investment decision, as it generates high project Net Present Value and Internal Rate of Return. When the project commences generating operating cash flows, nearly ?400.000 will be paid annually to the Mental Health Hospital of Chania as rent, thereby gradually decreasing the annual deficit of the hospital. CONCLUSIONS: Revenue generated from the long term lease of public hospital land is crucial to gradually eliminate hospital deficit. The Ministry of Health should encourage similar forms of Public Private Partnerships in order to ensure the sustainability of public (mental) hospitals. PMID- 21067579 TI - Transcriptomic analysis of the temporal host response to skin infestation with the ectoparasitic mite Psoroptes ovis. AB - BACKGROUND: Infestation of ovine skin with the ectoparasitic mite Psoroptes ovis results in a rapid cutaneous immune response, leading to the crusted skin lesions characteristic of sheep scab. Little is known regarding the mechanisms by which such a profound inflammatory response is instigated and to identify novel vaccine and drug targets a better understanding of the host-parasite relationship is essential. The main objective of this study was to perform a combined network and pathway analysis of the in vivo skin response to infestation with P. ovis to gain a clearer understanding of the mechanisms and signalling pathways involved. RESULTS: Infestation with P. ovis resulted in differential expression of 1,552 genes over a 24 hour time course. Clustering by peak gene expression enabled classification of genes into temporally related groupings. Network and pathway analysis of clusters identified key signalling pathways involved in the host response to infestation. The analysis implicated a number of genes with roles in allergy and inflammation, including pro-inflammatory cytokines (IL1A, IL1B, IL6, IL8 and TNF) and factors involved in immune cell activation and recruitment (SELE, SELL, SELP, ICAM1, CSF2, CSF3, CCL2 and CXCL2). The analysis also highlighted the influence of the transcription factors NF-kB and AP-1 in the early pro-inflammatory response, and demonstrated a bias towards a Th2 type immune response. CONCLUSIONS: This study has provided novel insights into the signalling mechanisms leading to the development of a pro-inflammatory response in sheep scab, whilst providing crucial information regarding the nature of mite factors that may trigger this response. It has enabled the elucidation of the temporal patterns by which the immune system is regulated following exposure to P. ovis, providing novel insights into the mechanisms underlying lesion development. This study has improved our existing knowledge of the host response to P. ovis, including the identification of key parallels between sheep scab and other inflammatory skin disorders and the identification of potential targets for disease control. PMID- 21067581 TI - Polymorphism of SERPINE2 gene is associated with pulmonary emphysema in consecutive autopsy cases. AB - BACKGROUND: The SERPINA1, SERPINA3, and SERPINE2 genes, which encode antiproteases, have been proposed to be susceptible genes for of chronic obstructive pulmonary disease (COPD) and related phenotypes. Whether they are associated with emphysema is not known. METHODS: Twelve previously reported single nucleotide polymorphisms (SNPs) in SERPINA1 (rs8004738, rs17751769, rs709932, rs11832, rs1303, rs28929474, and rs17580), SERPINA3 (rs4934, rs17473, and rs1800463), and SERPINE2 (rs840088 and rs975278) were genotyped in samples obtained from 1,335 consecutive autopsies of elderly Japanese people. The association between these SNPs and the severity of emphysema, as assessed using macroscopic scores, was determined. RESULTS: Emphysema of more than moderate degree was detected in 189 subjects (14.1%) and showed a significant gender difference (males, 20.5% and females, 7.0%; p < 0.0001). Among the 12 examined SNPs, only rs975278 in the SERPINE2 gene was positively associated with emphysema. Unlike the major alleles, homozygous minor alleles of rs975278 were associated with emphysema (odds ratio (OR) = 1.54; 95% confidence interval (CI) = 1.02-2.30; p = 0.037) and the association was very prominent in smokers (OR = 2.02; 95% CI = 1.29-3.15; p = 0.002). CONCLUSIONS: SERPINE2 may be a risk factor for the development of emphysema and its association with emphysema may be stronger in smokers. PMID- 21067582 TI - High activity of sequential low dose chemo-modulating Temozolomide in combination with Fotemustine in metastatic melanoma. A feasibility study. PMID- 21067583 TI - REAP: A two minute cell fractionation method. AB - BACKGROUND: The translocation or shuttling of proteins between the nucleus and cytoplasm (nucleocytoplasmic transport [NCPT]) is often a rapid event following stimulation with growth factors or in response to stress or other experimental manipulations. Commonly used methods to separate nuclei from cytoplasm employ lengthy steps such as density gradient centrifugation which exposes cells to non physiological hyperosmotic conditions for extended time periods resulting in varying degrees of leakage between the nucleus and cytoplasm. To help maintain and quantify nuclear:cytoplasmic ratios of proteins, agents such as leptomycin B have been employed to be able to better analyze NCPT by inhibiting nuclear export. To track NCPT in the absence of these experimental manipulations that could introduce unknown artefacts, we have developed a rapid method that appears to produce pure nuclear and cytoplasmic fractions, suitable for obtaining accurate estimates of the nuclear:cytoplasmic ratios of proteins known to undergo NCPT. FINDINGS: We have developed a Rapid, Efficient And Practical (REAP) method for subcellular fractionation of primary and transformed human cells in culture. The REAP method is a two minute non-ionic detergent-based purification technique requiring only a table top centrifuge, micro-pipette and micro-centrifuge tubes. This inexpensive method has proven to efficiently separate nuclear from cytoplasmic proteins as estimated by no detectible cross-contamination of the nucleoporin and lamin A nuclear markers or the pyruvate kinase and tubulin cytoplasmic markers. REAP fractions also mirrored TNFalpha induced NF-kappaB NCPT observed in parallel by indirect immunofluorescence. CONCLUSIONS: This method drastically reduces the time needed for subcellular fractionation, eliminates detectable protein degradation and maintains protein interactions. The simplicity, brevity and efficiency of this procedure allows for tracking ephemeral changes in subcellular relocalization of proteins while maintaining protein integrity and protein complex interactions. PMID- 21067585 TI - Characteristics of coronary artery disease in symptomatic type 2 diabetic patients: evaluation with CT angiography. AB - BACKGROUND: Coronary artery disease (CAD) is a common and severe complication of type 2 diabetes mellitus (DM). The aim of this study is to identify the features of CAD in diabetic patients using coronary CT angiography (CTA). METHODS: From 1 July 2009 to 20 March 2010, 113 consecutive patients (70 men, 43 women; mean age, 68 +/- 10 years) with type 2 DM were found to have coronary plaques on coronary CTA. Their CTA data were reviewed, and extent, distribution and types of plaques and luminal narrowing were evaluated and compared between different sexes. RESULTS: In total, 287 coronary vessels (2.5 +/- 1.1 per patient) and 470 segments (4.2 +/- 2.8 per patient) were found to have plaques, respectively. Multi-vessel disease was more common than single vessel disease (p < 0.001), and the left anterior descending (LAD) artery (35.8%) and its proximal segment (19.1%) were most frequently involved (all p < 0.001). Calcified plaques (48.8%) were the most common type (p < 0.001) followed by mixed plaques (38.1%). Regarding the different degrees of stenosis, mild narrowing (36.9%) was most common (p < 0.001); however, a significant difference was not observed between non-obstructive and obstructive stenosis (50.4% vs. 49.6%, p = 0.855). Extent of CAD, types of plaques and luminal narrowing were not significantly different between male and female diabetic patients. CONCLUSIONS: Coronary CTA depicted a high plaque burden in patients with type 2 DM. Plaques, which were mainly calcified, were more frequently detected in the proximal segment of the LAD artery, and increased attention should be paid to the significant prevalence of obstructive stenosis. In addition, DM reduced the sex differential in CT findings of CAD. PMID- 21067584 TI - Identification of a stem-like cell population by exposing metastatic breast cancer cell lines to repetitive cycles of hypoxia and reoxygenation. AB - INTRODUCTION: The irregular vasculature of solid tumors creates hypoxic regions, which are characterized by cyclic periods of hypoxia and reoxygenation. Accumulated evidence suggests that chronic and repetitive exposure to hypoxia and reoxygenation seem to provide an advantage to tumor growth. Although the development of hypoxia tolerance in tumors predicts poor prognosis, mechanisms contributing to hypoxia tolerance remain to be elucidated. Recent studies have described a subpopulation of cancer stem cells (CSC) within tumors, which have stem-like properties such as self-renewal and the ability to differentiate into multiple cell types. The cancer stem cell theory suggests CSCs persist in tumors as a distinct population and cause relapse and metastasis by giving rise to new tumors. Since hypoxia is considered to be one of the critical niche factors to promote invasive growth of tumors, we hypothesize that repetitive cycles of hypoxia/reoxygenation also play a role in the enrichment of breast CSCs. METHODS: Two metastatic human breast cancer cell lines (MDA-MB 231 and BCM2) were used to optimize the conditions of hypoxia and reoxygenation cycles. The percentage of CSCs in the cycling hypoxia selected subpopulation was analyzed based on the CD44, CD24, ESA, and E-cadherin expression by three-color flow cytometry. Colony formation assays were used to assess the ability of this subpopulation to self renew. Limiting dilution assays were performed to evaluate the tumor-initiating and metastatic ability of this subpopulation. Induction of EMT was examined by the expression of EMT-associated markers and EMT-associated microRNAs. RESULTS: Using an optimized hypoxia and reoxygenation regimen, we identified a novel cycling hypoxia-selected subpopulation from human breast cancer cell lines and demonstrated that a stem-like breast cancer cell subpopulation could be expanded through repetitive hypoxia/reoxygenation cycles without genetic manipulation. We also found that cells derived from this novel subpopulation form colonies readily, are highly tumorigenic in immune-deficient mice, and exhibit both stem like and EMT phenotypes. CONCLUSIONS: These results provide the validity to the newly developed hypoxia/reoxygenation culture system for examining the regulation of CSCs in breast cancer cell lines by niche factors in the tumor microenvironment and developing differential targeting strategies to eradicate breast CSCs. PMID- 21067586 TI - Sex partnerships, health, and social risks of young men leaving jail: analyzing data from a randomized controlled trial. AB - BACKGROUND: Young men involved in the criminal justice system face disproportionately high rates of sexual risk behavior, drug, use, and violence. Little is known about how their involvement in sex partnerships might mitigate their unique health and social risks. This study explores whether sex partner experience protects against harmful sexual behaviors, drug problems, violence, and recidivism in 16-18-year-old Black and Latino men leaving a US jail. METHODS: Data were drawn from the Returning Educated African-American and Latino Men to Enriched Neighborhoods (REAL MEN) study conducted between 2003-2007, which tracked 552 adolescents during their time in a New York City jail and 397 of them one year after their release. Logistic regression was used to examine the relationship between sex partner experience and sex behavior, drug use, violence, and recidivism. RESULTS: This study indicates that young men who have long-term sex partners prior to incarceration are less likely to be inconsistent condom users (OR = 0.50, p <= 0.01), have sex while high on drugs/alcohol (OR = 0.14, p <= 0.001), use marijuana daily (OR = 0.45, p <= 0.001), and carry weapons during illegal activity (OR = 0.58, p <= 0.05), especially compared with peers who simultaneously are involved with long-term and casual "short-term" sex partners. However, the positive effects of having a long-term sex partner generally do not apply over time - in this case, one year after being released from jail. Aside from sexual partners, factors such as employment and housing stability predict whether these young men will experience positive or negative outcomes post incarceration. CONCLUSIONS: This study highlights the importance and potential benefits of health interventions that engage young Black and Latino men who are involved in the criminal justice system in the US, as well as their sex partners, in health promotion programs. The study also confirms the need for programs that address the employment and housing needs of young men after they leave correctional facilities. PMID- 21067587 TI - Can one puff really make an adolescent addicted to nicotine? A critical review of the literature. AB - RATIONALE: In the past decade, there have been various attempts to understand the initiation and progression of tobacco smoking among adolescents. One line of research on these issues has made strong claims regarding the speed in which adolescents can become physically and mentally addicted to smoking. According to these claims, and in contrast to other models of smoking progression, adolescents can lose autonomy over their smoking behavior after having smoked one puff in their lifetime and never having smoked again, and can become mentally and physically "hooked on nicotine" even if they have never smoked a puff. OBJECTIVES: To critically examine the conceptual and empirical basis for the claims made by the "hooked on nicotine" thesis. METHOD: We reviewed the major studies on which the claims of the "hooked on nicotine" research program are based. RESULTS: The studies we reviewed contained substantive conceptual and methodological flaws. These include an untenable and idiosyncratic definition of addiction, use of single items or of very lenient criteria for diagnosing nicotine dependence, reliance on responders' causal attributions in determining physical and mental addiction to nicotine and biased coding and interpretation of the data. DISCUSSION: The conceptual and methodological problems detailed in this review invalidate many of the claims made by the "hooked on nicotine" research program and undermine its contribution to the understanding of the nature and development of tobacco smoking in adolescents. PMID- 21067588 TI - mTOR signaling is activated by FLT3 kinase and promotes survival of FLT3-mutated acute myeloid leukemia cells. AB - Activating mutations of the FLT3 gene mediate leukemogenesis, at least in part, through activation of PI3K/AKT. The mammalian target of rapamycin (mTOR)-Raptor signaling pathway is known to act downstream of AKT. Here we show that the mTOR effectors, 4EBP1, p70S6K and rpS6, are highly activated in cultured and primary FLT3-mutated acute myeloid leukemia (AML) cells. Introduction of FLT3-ITD expressing constitutively activated FLT3 kinase further activates mTOR and its downstream effectors in BaF3 cells. We also found that mTOR signaling contributes to tumor cell survival, as demonstrated by pharmacologic inhibition of PI3K/AKT/mTOR, or total silencing of the mTOR gene. Furthermore, inhibition of FLT3 kinase results in downregulation of mTOR signaling associated with decreased survival of FLT3-mutated AML cells. These findings suggest that mTOR signaling operates downstream of activated FLT3 kinase thus contributing to tumor cell survival, and may represent a promising therapeutic target for AML patients with mutated-FLT3. PMID- 21067589 TI - Evolutionary history and molecular epidemiology of rabbit haemorrhagic disease virus in the Iberian Peninsula and Western Europe. AB - BACKGROUND: Rabbit haemorrhagic disease virus (RHDV) is a highly virulent calicivirus, first described in domestic rabbits in China in 1984. RHDV appears to be a mutant form of a benign virus that existed in Europe long before the first outbreak. In the Iberian Peninsula, the first epidemic in 1988 severely reduced the populations of autochthonous European wild rabbit. To examine the evolutionary history of RHDV in the Iberian Peninsula, we collected virus samples from wild rabbits and sequenced a fragment of the capsid protein gene VP60. These data together with available sequences from other Western European countries, were analyzed following Bayesian Markov chain Monte Carlo methods to infer their phylogenetic relationships, evolutionary rates and demographic history. RESULTS: Evolutionary relationships of RHDV revealed three main lineages with significant phylogeographic structure. All lineages seem to have emerged at a common period of time, between ~1875 and ~1976. The Iberian Peninsula showed evidences of genetic isolation, probably due to geographic barriers to gene flow, and was also the region with the youngest MRCA.Overall, demographic analyses showed an initial increase and stabilization of the relative genetic diversity of RHDV, and a subsequent reduction in genetic diversity after the first epidemic breakout in 1984, which is compatible with a decline in effective population size. CONCLUSIONS: Results were consistent with the hypothesis that the current Iberian RHDV arose from a single infection between 1869 and 1955 (95% HPD), and rendered a temporal pattern of appearance and extinction of lineages. We propose that the rising positive selection pressure observed throughout the history of RHDV is likely mediated by the host immune system as a consequence of the genetic changes that rendered the virus virulent. Consequently, this relationship is suggested to condition RHDV demographic history. PMID- 21067590 TI - Identification of small RNAs in Francisella tularensis. AB - BACKGROUND: Regulation of bacterial gene expression by small RNAs (sRNAs) have proved to be important for many biological processes. Francisella tularensis is a highly pathogenic Gram-negative bacterium that causes the disease tularaemia in humans and animals. Relatively little is known about the regulatory networks existing in this organism that allows it to survive in a wide array of environments and no sRNA regulators have been identified so far. RESULTS: We have used a combination of experimental assays and in silico prediction to identify sRNAs in F. tularensis strain LVS. Using a cDNA cloning and sequencing approach we have shown that F. tularensis expresses homologues of several sRNAs that are well-conserved among diverse bacteria. We have also discovered two abundant putative sRNAs that share no sequence similarity or conserved genomic context with any previously annotated regulatory transcripts. Deletion of either of these two loci led to significant changes in the expression of several mRNAs that likely include the cognate target(s) of these sRNAs. Deletion of these sRNAs did not, however, significantly alter F. tularensis growth under various stress conditions in vitro, its replication in murine cells, or its ability to induce disease in a mouse model of F. tularensis infection. We also conducted a genome wide in silico search for intergenic loci that suggests F. tularensis encodes several other sRNAs in addition to the sRNAs found in our experimental screen. CONCLUSION: Our findings suggest that F. tularensis encodes a significant number of non-coding regulatory RNAs, including members of well conserved families of structural and housekeeping RNAs and other poorly conserved transcripts that may have evolved more recently to help F. tularensis deal with the unique and diverse set of environments with which it must contend. PMID- 21067591 TI - Health-related factors correlate with behavior trends in physical activity level in old age: longitudinal results from a population in Sao Paulo, Brazil. AB - BACKGROUND: Physical inactivity in leisure time is common among elderly in Brazil and this finding is particularly alarming considering that this population is greatly affected by chronic diseases. The identification of health factors that influence physical activity level (PAL) will help in the development of strategies for increasing PAL older adults. The current research aimed to identify variables that independently affect behavior trends in PAL over the course of two years among elderly. METHODS: A survey entitled the Epidoso Project ("Epidemiology of aging") studied 1,667 community-based older individuals in Sao Paulo city, Brazil over the course of two years. Physical activity level was determined through questions about frequency and duration of physical activities. Body Mass Index was calculated; functional capacity was assessed through the ADL (activities of daily living) scale; cognition was assessed by Mini-Mental State Examination; and mental health was assessed through the Dysthymia Screening. Experiences of falls and fractures were also assessed. Subjects were divided into three groups according to their self-report of Physical Activity Level: a- Regularly Active; b--Insufficiently Active and c--Physically Inactive. Behavior trends in PAL were also measured after two years. Multivariate regression model methodology was used to test associations longitudinally. RESULTS: Results from the final model demonstrated that the risk of a not favorable behavior trend in PAL, which included the group who remained physically inactive and the group that displayed decreased PAL, in this cohort of older adults was significantly increased if the individual was female (OR = 2.50; 95% CI = 1.60-3.89; P < 0.01), older (80 y vs. 65 y, OR = 6.29, 95% CI = 2.69-14.67; P < 0.01), dependent on help from others for activities in the ADL scale (moderate-severe = 4-7+ vs. 0 ADLs) (OR = 2.25, 95% CI = 1.20-4.21; P < 0.011) or had experienced a history of falls with consequences (OR = 6.88, 95% CI = 0.91-52.01; P < 0.062). CONCLUSIONS: Age, gender, ADL scores and falls were associated with a not favorable behavior trend in PAL. Promotion programs should target these factors, reducing barriers to achieve desired changes in PAL. PMID- 21067592 TI - Topoisomerase II alpha expression and the benefit of adjuvant chemotherapy for postoperative patients with non-small cell lung cancer. AB - BACKGROUND: Adjuvant chemotherapy has been shown to improve survival rates of postoperative patients with non-small cell lung cancer (NSCLC). Biomarkers could help select an appropriate chemotherapy for NSCLC patients or predict the efficacy of chemotherapy. The objective of this study was to explore the possible prognostic and predictive role of topoisomerase II alpha (TopIIalpha) expression level in postoperative NSCLC patients who received adjuvant chemotherapy. METHODS: Patients with stage I-III NSCLC, who underwent surgery in our hospital from January 2004 to December 2007 and who also received adjuvant chemotherapy after surgery, were analyzed in this study. Expression of TopIIalpha and Ki67 in paraffin-embedded tissues was detected by immunohistochemistry (IHC). The relationships between clinicopathological characteristics, chemotherapy regimens, the expression of biomarkers and disease free survival (DFS) were analyzed. RESULTS: TopIIalpha and Ki67 were highly expressed in 22.5% and 36.4% of the 151 patients, respectively. Univariate survival analysis showed that male sex (P = 0.036), non-adenocarcinoma (P = 0.004), earlier pathological TNM stage (P = 0.001) or pathological N stage (P < 0.001), and high expression of TopIIalpha (P = 0.012) were correlated with better DFS, whereas age, smoking history, different chemotherapy regimens, T stage and expression level of Ki67 were of no prognostic significance. Further stratified analysis showed that vinorelbine (NVB) containing adjuvant regimens were generally associated with better DFS than regimens without NVB in patients with low TopIIalpha expression, though the difference was not statistically significant (P = 0.065). Pairwise comparisons for patients with low TopIIalpha expression indicated that the NVB-containing regimen was associated with better DFS than the docetaxel (TXT)-containing regimen (P = 0.047). COX multivariate analysis showed that pathological TNM stage, histological subtype and expression level of TopIIalpha to be independent of risk factors affecting DFS in postoperative NSCLC patients who received chemotherapy. CONCLUSIONS: High TopIIalpha expression was discovered to be correlated with better DFS for postoperative NSCLC patients who received adjuvant chemotherapy. The NVB-containing chemotherapy regimen was more effective than the TXT-containing regimen in improving DFS in patients with low TopIIalpha expression. TopIIalpha could be considered to be an independent prognostic biomarker of DFS in postoperative NSCLC patients who received adjuvant chemotherapy. PMID- 21067593 TI - Caesarean section without medical indications is associated with an increased risk of adverse short-term maternal outcomes: the 2004-2008 WHO Global Survey on Maternal and Perinatal Health. AB - BACKGROUND: There is worldwide debate about the appropriateness of caesarean sections performed without medical indications. In this analysis, we aim to further investigate the relationship between caesarean section without medical indication and severe maternal outcomes. METHODS: This is a multicountry, facility-based survey that used a stratified multistage cluster sampling design to obtain a sample of countries and health institutions worldwide. A total of 24 countries and 373 health facilities participated in this study. Data collection took place during 2004 and 2005 in Africa and the Americas and during 2007 and 2008 in Asia. All women giving birth at the facility during the study period were included and had their medical records reviewed before discharge from the hospital. Univariate and multilevel analysis were performed to study the association between each group's mode of delivery and the severe maternal and perinatal outcome. RESULTS: A total of 286,565 deliveries were analysed. The overall caesarean section rate was 25.7% and a total of 1.0 percent of all deliveries were caesarean sections without medical indications, either due to maternal request or in the absence of other recorded indications. Compared to spontaneous vaginal delivery, all other modes of delivery presented an association with the increased risk of death, admission to ICU, blood transfusion and hysterectomy, including antepartum caesarean section without medical indications (Adjusted Odds Ratio (Adj OR), 5.93, 95% Confidence Interval (95% CI), 3.88 to 9.05) and intrapartum caesarean section without medical indications (Adj OR, 14.29, 95% CI, 10.91 to 18.72). In addition, this association is stronger in Africa, compared to Asia and Latin America. CONCLUSIONS: Caesarean sections were associated with an intrinsic risk of increased severe maternal outcomes. We conclude that caesarean sections should be performed when a clear benefit is anticipated, a benefit that might compensate for the higher costs and additional risks associated with this operation. PMID- 21067594 TI - NO signaling and S-nitrosylation regulate PTEN inhibition in neurodegeneration. AB - BACKGROUND: The phosphatase PTEN governs the phosphoinositide 3-kinase (PI3K)/Akt signaling pathway which is arguably the most important pro-survival pathway in neurons. Recently, PTEN has also been implicated in multiple important CNS functions such as neuronal differentiation, plasticity, injury and drug addiction. It has been reported that loss of PTEN protein, accompanied by Akt activation, occurs under excitotoxic conditions (stroke) as well as in Alzheimer's (AD) brains. However the molecular signals and mechanism underlying PTEN loss are unknown. RESULTS: In this study, we investigated redox regulation of PTEN, namely S-nitrosylation, a covalent modification of cysteine residues by nitric oxide (NO), and H2O2-mediated oxidation. We found that S-nitrosylation of PTEN was markedly elevated in brains in the early stages of AD (MCI). Surprisingly, there was no increase in the H2O2-mediated oxidation of PTEN, a modification common in cancer cell types, in the MCI/AD brains as compared to normal aged control. Using several cultured neuronal models, we further demonstrate that S-nitrosylation, in conjunction with NO-mediated enhanced ubiquitination, regulates both the lipid phosphatase activity and protein stability of PTEN. S-nitrosylation and oxidation occur on overlapping and distinct Cys residues of PTEN. The NO signal induces PTEN protein degradation via the ubiquitin-proteasome system (UPS) through NEDD4-1-mediated ubiquitination. CONCLUSION: This study demonstrates for the first time that NO-mediated redox regulation is the mechanism of PTEN protein degradation, which is distinguished from the H2O2-mediated PTEN oxidation, known to only inactivate the enzyme. This novel regulatory mechanism likely accounts for the PTEN loss observed in neurodegeneration such as in AD, in which NO plays a critical pathophysiological role. PMID- 21067595 TI - Gentamicin release from commercially-available gentamicin-loaded PMMA bone cements in a prosthesis-related interfacial gap model and their antibacterial efficacy. AB - BACKGROUND: Around about 1970, a gentamicin-loaded poly (methylmethacrylate) (PMMA) bone cement brand (Refobacin Palacos R) was introduced to control infection in joint arthroplasties. In 2005, this brand was replaced by two gentamicin-loaded follow-up brands, Refobacin Bone Cement R and Palacos R + G. In addition, another gentamicin-loaded cement brand, SmartSet GHV, was introduced in Europe in 2003. In the present study, we investigated differences in gentamicin release and the antibacterial efficacy of the eluent between these four cement brands. METHODS: 200 MUm-wide gaps were made in samples of each cement and filled with buffer in order to measure the gentamicin release. Release kinetics were related to bone cement powder particle characteristics and wettabilities of the cement surfaces. Gaps were also inoculated with bacteria isolated from infected prostheses for 24 h and their survival determined. Gentamicin release and bacterial survival were statistically analysed using the Student's t-test. RESULTS: All three Palacos variants showed equal burst releases but each of the successor Palacos cements showed significantly higher sustained releases. SmartSet GHV showed a significantly higher burst release, while its sustained release was comparable with original Palacos. A gentamicin-sensitive bacterium did not survive in the high gentamicin concentrations in the interfacial gaps, while a gentamicin-resistant strain did, regardless of the type of cement used. Survival was independent of the level of burst release by the bone cement. CONCLUSIONS: Although marketed as the original gentamicin-loaded Palacos cement, orthopaedic surgeons should be aware that the successor cements do not appear to have the same release characteristics as the original one. Overall, high gentamicin concentrations were reached inside our prosthesis-related interfacial gap model. These concentrations may be expected to effectively decontaminate the prosthesis-related interfacial gap directly after implantation, provided that these bacteria are sensitive for gentamicin. PMID- 21067596 TI - Intra-abdominal hypertension due to heparin - induced retroperitoneal hematoma in patients with ventricle assist devices: report of four cases and review of the literature. AB - INTRODUCTION: Elevated intra-abdominal pressure (IAP) has been identified as a cascade of pathophysiologic changes leading in end-organ failure due to decreasing compliance of the abdomen and the development of abdomen compartment syndrome (ACS). Spontaneous retroperitoneal hematoma (SRH) is a rare clinical entity seen almost exclusively in association with anticoagulation states, coagulopathies and hemodialysis; that may cause ACS among patients in the intensive care unit (ICU) and if treated inappropriately represents a high mortality rate. CASE PRESENTATION: We report four patients (a 36-year-old Caucasian female, a 59-year-old White-Asian male, a 64-year-old Caucasian female and a 61-year-old Caucasian female) that developed an intra-abdominal hypertension due to heparin-induced retroperitoneal hematomas after implantation of ventricular assist devices because of heart failure. Three of the patients presented with dyspnea at rest, fatigue, pleura effusions in chest XR and increased heart rate although b-blocker therapy. A 36-year old female (the forth patient) presented with sudden, severe shortness of breath at rest, 10 days after an "acute bronchitis". At the time of the event in all cases international normalized ratio (INR) was <3.5 and partial thromboplastin time <65 sec. The patients were treated surgically, the large hematomas were evacuated and the systemic manifestations of the syndrome were reversed. CONCLUSION: Identifying patients in the ICU at risk for developing ACS with constant surveillance can lead to prevention. ACS is the natural progression of pressure-induced end-organ changes and develops if IAP is not recognized and treated in a timely manner. Failure to recognize and appropriately treat ACS is fatal while timely intervention - if indicated - is associated with improvements in organ function and patient survival. Means for surgical decision making are based on clinical indicators of adverse physiology, rather than on a single measured parameter. PMID- 21067597 TI - Myocardial revascularization using on-pump beating heart among patients with left ventricular dysfunction. AB - OBJECTIVES: On-pump beating heart technique for myocardial revascularization has been used successfully among both low and high risk patients. Its application among low ejection fraction patients is limited. The aim of our study is to evaluate this technique among patients with low ejection fraction and to compare results with off-pump bypass technique. METHODS: This retrospective study includes 137 patients with ejection fraction below 0.35 who underwent isolated coronary artery bypass surgery. 39 patients underwent myocardial revascularization using on-pump beating heart (ONCAB/BH), while 98 patients had off-pump beating heart (OPCAB). Different preoperative, operative and postoperative variables were evaluated among both groups. RESULTS: Patients profiles and risk factors were similar among both groups, except for the number of patients undergoing redo CABG which was significantly higher among ONCAB/BH (13% vs 3%; p = 0.025). Ejection fraction (EF) varied from 10-34%. The mean EF for patients who underwent ONCAB/BH was 28 +/- 6 in comparison to 26 +/- 5 for OPCAB patients (P = 0.093). Predicted risk for surgery according to EuroSCORE was similar among both groups (P = 0.443). The number of grafts performed per patient was significantly more among patients who underwent ONCAB/BH (2.2 +/- 0.7 Vs 1.7 +/- 0.7; P = 0.002). Completeness of revascularization was significantly greater in the ONCAB/BH patients (72% Vs 46%, P = 0.015). The incidence of hospital mortality and combined major morbidity was more among ONCAB/BH in comparison to OPCAB, but the difference was not significant. However, the incidence of blood loss, ventricular arrhythmias, inotropic support, ICU, hospital stay and blood transfusion were significantly greater among patients who underwent ONCAB/BH. CONCLUSIONS: On-pump beating heart technique can be used in myocardial revascularization among patients with left ventricular dysfunction. The technique was found to be associated with better myocardial revascularization when compared with OPCAB technique. However, the incidence of morbidity and mortality was more than OPCAB. PMID- 21067598 TI - The cross-sectional GRAS sample: a comprehensive phenotypical data collection of schizophrenic patients. AB - BACKGROUND: Schizophrenia is the collective term for an exclusively clinically diagnosed, heterogeneous group of mental disorders with still obscure biological roots. Based on the assumption that valuable information about relevant genetic and environmental disease mechanisms can be obtained by association studies on patient cohorts of >= 1000 patients, if performed on detailed clinical datasets and quantifiable biological readouts, we generated a new schizophrenia data base, the GRAS (Gottingen Research Association for Schizophrenia) data collection. GRAS is the necessary ground to study genetic causes of the schizophrenic phenotype in a 'phenotype-based genetic association study' (PGAS). This approach is different from and complementary to the genome-wide association studies (GWAS) on schizophrenia. METHODS: For this purpose, 1085 patients were recruited between 2005 and 2010 by an invariable team of traveling investigators in a cross sectional field study that comprised 23 German psychiatric hospitals. Additionally, chart records and discharge letters of all patients were collected. RESULTS: The corresponding dataset extracted and presented in form of an overview here, comprises biographic information, disease history, medication including side effects, and results of comprehensive cross-sectional psychopathological, neuropsychological, and neurological examinations. With >3000 data points per schizophrenic subject, this data base of living patients, who are also accessible for follow-up studies, provides a wide-ranging and standardized phenotype characterization of as yet unprecedented detail. CONCLUSIONS: The GRAS data base will serve as prerequisite for PGAS, a novel approach to better understanding 'the schizophrenias' through exploring the contribution of genetic variation to the schizophrenic phenotypes. PMID- 21067600 TI - Population-based study of ovarian cancer in Cote d'Or: prognostic factors and trends in relative survival rates over the last 20 years. AB - BACKGROUND: The aim of this population-based study was to assess independent prognostic factors in ovarian cancer using relative survival (RS) and to investigate changes in RS rates from 1982 to 2005. METHODS: Data on 748 patients with ovarian cancer were provided by the Cote d'Or gynaecologic cancer registry. The RS was estimated using a generalized linear model with a Poisson error structure. Relative survival and its 95% confidence interval (CI) were described at the following specific time points 1, 3 and 5 years. The effect of prognostic factors on survival was assessed with multivariate analyses of RS. RESULTS: The median follow-up was 12 years. The RS rates at 1, 3 and 5 years were 81%, 55% and 44%, respectively. As compared with the period 1982-1989, an improvement in survival was found for the period 1998-2005: HR = 0.52[0.40-0.67]. Women who lived in urban areas had better RS: HR = 0.82[0.67-0.99]. Patients with epithelial types of ovarian cancer other than mucinous or endometrioid cancer had worse RS than those with serous histology. Age >= 70 years was associated with lower survival. CONCLUSIONS: Period of diagnosis, stage at diagnosis, histology, place of residence and age were independent prognostic factors for survival in ovarian cancer. An improvement in the survival rate was observed after 1998 but a significant improvement was limited to advanced stage cancers. PMID- 21067599 TI - SNP-SNP interactions dominate the genetic architecture of candidate genes associated with left ventricular mass in African-Americans of the GENOA study. AB - BACKGROUND: Left ventricular mass (LVM) is a strong, independent predictor of heart disease incidence and mortality. LVM is a complex, quantitative trait with genetic and environmental risk factors. This research characterizes the genetic architecture of LVM in an African-American population by examining the main and interactive effects of individual candidate gene single nucleotide polymorphisms (SNPs) and conventional risk factors for increased LVM. METHODS: We used least squares linear regression to investigate 1,878 SNPs from 234 candidate genes for SNP main effects, SNP-risk factor interactions, or SNP-SNP interactions associated with LVM in 1,328 African-Americans from the Genetic Epidemiology Network of Arteriopathy (GENOA) study. We reduced the probability of false positive results by implementing three analytic criteria: 1) the false discovery rate, 2) cross-validation, and 3) testing for internal replication of results. RESULTS: We identified 409 SNP-SNP interactions passing all three criteria, while no SNP main effects or SNP-risk factor interactions passed all three. A multivariable model including four SNP-SNP interactions explained 11.3% of the variation in LVM in the full GENOA sample and 5.6% of LVM variation in independent test sets. CONCLUSIONS: The results of this research underscore that context dependent effects, specifically SNP-SNP interactions, may dominate genetic contributions to variation in complex traits such as LVM. PMID- 21067601 TI - The effect of interleukin-13 (IL-13) and interferon-gamma (IFN-gamma) on expression of surfactant proteins in adult human alveolar type II cells in vitro. AB - BACKGROUND: Surfactant proteins are produced predominantly by alveolar type II (ATII) cells, and the expression of these proteins can be altered by cytokines and growth factors. Th1/Th2 cytokine imbalance is suggested to be important in the pathogenesis of several adult lung diseases. Recently, we developed a culture system for maintaining differentiated adult human ATII cells. Therefore, we sought to determine the effects of IL-13 and IFN-gamma on the expression of surfactant proteins in adult human ATII cells in vitro. Additional studies were done with rat ATII cells. METHODS: Adult human ATII cells were isolated from deidentified organ donors whose lungs were not suitable for transplantation and donated for medical research. The cells were cultured on a mixture of Matrigel and rat-tail collagen for 8 d with differentiation factors and human recombinant IL-13 or IFN-gamma. RESULTS: IL-13 reduced the mRNA and protein levels of surfactant protein (SP)-C, whereas IFN-gamma increased the mRNA level of SP-C and proSP-C protein but not mature SP-C. Neither cytokine changed the mRNA level of SP-B but IFN-gamma slightly decreased mature SP-B. IFN-gamma reduced the level of the active form of cathepsin H. IL-13 also reduced the mRNA and protein levels of SP-D, whereas IFN-gamma increased both mRNA and protein levels of SP-D. IL-13 did not alter SP-A, but IFN-gamma slightly increased the mRNA levels of SP-A. CONCLUSIONS: We demonstrated that IL-13 and IFN-gamma altered the expression of surfactant proteins in human adult ATII cells in vitro. IL-13 decreased SP-C and SP-D in human ATII cells, whereas IFN-gamma had the opposite effect. The protein levels of mature SP-B were decreased by IFN-gamma treatment, likely due to the reduction in active form cathepsin H. Similarly, the active form of cathepsin H was relatively insufficient to fully process proSP-C as IFN-gamma increased the mRNA levels for SP-C and proSP-C protein, but there was no increase in mature SP C. These observations suggest that in disease states with an overexpression of IL 13, there would be some deficiency in mature SP-C and SP-D. In disease states with an excess of IFN-gamma or therapy with IFN-gamma, these data suggest that there might be incomplete processing of SP-B and SP-C. PMID- 21067603 TI - Laminin-511 and integrin beta-1 in hair follicle development and basal cell carcinoma formation. AB - BACKGROUND: Initiation of the hair follicle placode and its subsequent growth, maturation and cycling in post-natal skin requires signaling interactions between epithelial cells and adjacent dermal cells and involves Shh signaling via the primary cilium. Previous reports have implicated laminins in hair follicle epithelial invagination. RESULTS: Here we use a human BCC model system and mouse mutants to re-evaluate the role of laminin-511 in epithelial invagination in the skin. Blocking laminin 511 and 332 in BCCs maintains primary cilia and Shh signalling, but prevents invagination. Similarly, in laminin-511 and dermal beta 1 integrin mutants, dermal papilla development and primary cilia formation are normal. Dermal beta-1 integrin mutants have normal hair follicle development. CONCLUSIONS: Our data provides support for a primary role of laminin-511 promoting hair follicle epithelial downgrowth without affecting dermal primary cilia and Shh target gene induction. PMID- 21067602 TI - Distinctive response of CNS glial cells in oro-facial pain associated with injury, infection and inflammation. AB - Oro-facial pain following injury and infection is frequently observed in dental clinics. While neuropathic pain evoked by injury associated with nerve lesion has an involvement of glia/immune cells, inflammatory hyperalgesia has an exaggerated sensitization mediated by local and circulating immune mediators. To better understand the contribution of central nervous system (CNS) glial cells in these different pathological conditions, in this study we sought to characterize functional phenotypes of glial cells in response to trigeminal nerve injury (loose ligation of the mental branch), infection (subcutaneous injection of lipopolysaccharide--LPS) and to sterile inflammation (subcutaneous injection of complete Freund's adjuvant--CFA) on the lower lip. Each of the three insults triggered a specific pattern of mechanical allodynia. In parallel with changes in sensory response, CNS glial cells reacted distinctively to the challenges. Following ligation of the mental nerve, both microglia and astrocytes in the trigeminal nuclear complex were highly activated, more prominent in the principal sensory nucleus (Pr5) and subnucleus caudalis (Sp5C) area. Microglial response was initiated early (days 3-14), followed by delayed astrocytes activation (days 7-28). Although the temporal profile of microglial and astrocyte reaction corresponded respectively to the initiation and chronic stage of neuropathic pain, these activated glial cells exhibited a low profile of cytokine expression. Local injection of LPS in the lower lip skin also triggered a microglial reaction in the brain, which started in the circumventricular organs (CVOs) at 5 hours post-injection and diffused progressively into the brain parenchyma at 48 hours. This LPS-induced microglial reaction was accompanied by a robust induction of IkappaB-alpha mRNA and pro-inflammatory cytokines within the CVOs. However, LPS induced microglial activation did not specifically occur along the pain signaling pathway. In contrast, CFA injection led to minor microglial morphological changes and an induction of IkappaB-alpha mRNA in the CVO regions; a significant increase in IL-1beta and IL-6 mRNA started only at 48 hours post-injection, when the induced pain-related behavior started to resolve. Our detailed analysis of CNS glial response clearly revealed that both nerve injury and oro-facial infection/inflammation induced CNS glial activation, but in a completely different pattern, which suggests a remarkable plasticity of glial cells in response to dynamic changes in their microenvironment and different potential involvement of this non-neuronal cell population in pathological pain development. PMID- 21067604 TI - Liquid and vapour-phase antifungal activities of selected essential oils against Candida albicans: microscopic observations and chemical characterization of Cymbopogon citratus. AB - BACKGROUND: Use of essential oils for controlling Candida albicans growth has gained significance due to the resistance acquired by pathogens towards a number of widely-used drugs. The aim of this study was to test the antifungal activity of selected essential oils against Candida albicans in liquid and vapour phase and to determine the chemical composition and mechanism of action of most potent essential oil. METHODS: Minimum Inhibitory concentration (MIC) of different essential oils in liquid phase, assayed through agar plate dilution, broth dilution & 96-well micro plate dilution method and vapour phase activity evaluated through disc volatilization method. Reduction of C. albicans cells with vapour exposure was estimated by kill time assay. Morphological alteration in treated/untreated C. albicans cells was observed by the Scanning electron microscopy (SEM)/Atomic force microscopy (AFM) and chemical analysis of the strongest antifungal agent/essential oil has been done by GC, GC-MS. RESULTS: Lemon grass (Cymbopogon citratus) essential oil exhibited the strongest antifungal effect followed by mentha (Mentha piperita) and eucalyptus (Eucalyptus globulus) essential oil. The MIC of lemon grass essential oil in liquid phase (288 mg/l) was significantly higher than that in the vapour phase (32.7 mg/l) and a 4 h exposure was sufficient to cause 100% loss in viability of C. albicans cells. SEM/AFM of C. albicans cells treated with lemon grass essential oil at MIC level in liquid and vapour phase showed prominent shrinkage and partial degradation, respectively, confirming higher efficacy of vapour phase. GC-MS analysis revealed that lemon grass essential oil was dominated by oxygenated monoterpenes (78.2%); alpha-citral or geranial (36.2%) and beta-citral or neral (26.5%), monoterpene hydrocarbons (7.9%) and sesquiterpene hydrocarbons (3.8%). CONCLUSION: Lemon grass essential oil is highly effective in vapour phase against C. albicans, leading to deleterious morphological changes in cellular structures and cell surface alterations. PMID- 21067605 TI - Abnormal iron metabolism in fibroblasts from a patient with the neurodegenerative disease hereditary ferritinopathy. AB - BACKGROUND: Nucleotide duplications in exon 4 of the ferritin light polypeptide (FTL) gene cause the autosomal dominant neurodegenerative disease neuroferritinopathy or hereditary ferritinopathy (HF). Pathologic examination of patients with HF has shown abnormal ferritin and iron accumulation in neurons and glia in the central nervous system (CNS) as well as in cells of other organ systems, including skin fibroblasts. To gain some understanding on the molecular basis of HF, we characterized iron metabolism in primary cultures of human skin fibroblasts from an individual with the FTL c.497_498dupTC mutation. RESULTS: Compared to normal controls, HF fibroblasts showed abnormal iron metabolism consisting of increased levels of ferritin polypeptides, divalent metal transporter 1, basal iron content and reactive oxygen species, and decreased levels of transferrin receptor-1 and IRE-IRP binding activity. CONCLUSIONS: Our data indicates that HF fibroblasts replicate the abnormal iron metabolism observed in the CNS of patients with HF. We propose that HF fibroblasts are a unique cellular model in which to study the role of abnormal iron metabolism in the pathogenesis of HF without artifacts derived from over-expression or lack of endogenous translational regulatory elements. PMID- 21067606 TI - Current practices in treatment of female genital fistula: a cross sectional study. AB - BACKGROUND: Maternal outcomes in most countries of the developed world are good. However, in many developing/resource-poor countries, maternal outcomes are bleaker: Every year, more than 500,000 women die in childbirth, mostly in resource-poor countries. Those who survive often suffer from severe and long-term morbidities. One of the most devastating injuries is obstetric fistula, occurring most often in south Asia and sub-Saharan Africa. Fistula treatment and care are available in many countries across Africa and Asia, but there is a lack of reliable data around clinical factors associated with the success of fistula repair surgery. Most published research has been retrospective. While these studies have provided useful information about the care and treatment of fistula, they are limited by the design. This study was designed to identify practices in care that could lead to the design of prospective and randomized controlled trials. METHODS: Self-administered questionnaires were completed by 40 surgeons known to provide fistula treatment services in Africa and Asia at private and government hospitals. The questionnaire was divided into three parts to address the following issues: prophylactic use of antibiotics before, during, and after fistula surgery; urethral catheter management; and management practices for patients with urinary incontinence following fistula repair. RESULTS: The results provide a glimpse into current practices in fistula treatment and care across a wide swath of geographic, economic, and organizational considerations. There is consensus in treatment in some areas (routine use of prophylactic antibiotics, limited bed rest until the catheter is removed, nonsurgical treatment for postsurgical incontinence), while there are wide variations in practice in other areas (duration of catheter use, surgical treatments for postsurgical incontinence). These findings are based on a small sample and do not allow for recommending changes in clinical care, but they point to issues for possible clinical trial research that would contribute to more efficient and effective fistula care. CONCLUSIONS: The findings from the survey allowed us to consider clinical practices most influential in the cost, efficacy, and safety of fistula treatment. These considerations led us to formulate recommendations for eight randomized controlled trials on the following subjects: 1) Efficacy/safety of short-term catheterization; 2) efficacy of surgical and nonsurgical therapies for urinary incontinence; 3) technical measures during fistula repair to reduce the incidence of post-surgery incontinence; 4) identification of predictive factors for "incurable fistula"; 5) usefulness of urodynamic studies in the management of urinary incontinence; 6) incidence and significance of multi-drug resistant bacteria in the fistula population; 7) primary management of small, new fistulas by catheter drainage; and 8) antibiotic prophylaxis in fistula repair. PMID- 21067607 TI - Interleukin-13 receptor alpha2 DNA prime boost vaccine induces tumor immunity in murine tumor models. AB - BACKGROUND: DNA vaccines represent an attractive approach for cancer treatment by inducing active T cell and B cell immune responses to tumor antigens. Previous studies have shown that interleukin-13 receptor alpha2 chain (IL-13Ralpha2), a tumor-associated antigen is a promising target for cancer immunotherapy as high levels of IL-13Ralpha2 are expressed on a variety of human tumors. To enhance the effectiveness of DNA vaccine, we used extracellular domain of IL-13Ralpha2 (ECDalpha2) as a protein-boost against murine tumor models. METHODS: We have developed murine models of tumors naturally expressing IL-13Ralpha2 (MCA304 sarcoma, 4T1 breast carcinoma) and D5 melanoma tumors transfected with human IL 13Ralpha2 in syngeneic mice and examined the antitumor activity of DNA vaccine expressing IL-13Ralpha2 gene with or without ECDalpha2 protein mixed with CpG and IFA adjuvants as a boost vaccine. RESULTS: Mice receiving IL-13Ralpha2 DNA vaccine boosted with ECDalpha2 protein were superior in exhibiting inhibition of tumor growth, compared to mice receiving DNA vaccine alone, in both prophylactic and therapeutic vaccine settings. In addition, prime-boost vaccination significantly prolonged the survival of mice compared to DNA vaccine alone. Furthermore, ECDalpha2 booster vaccination increased IFN-gamma production and CTL activity against tumor expressing IL-13Ralpha2. The immunohistochemical analysis showed the infiltration of CD4 and CD8 positive T cells and IFN-gamma-induced chemokines (CXCL9 and CXCL10) in regressing tumors of immunized mice. Finally, the prime boost strategy was able to reduce immunosuppressive CD4(+)CD25(+)Foxp3(+) regulatory T cells (Tregs) in the spleen and tumor of vaccinated mice. CONCLUSION: These results suggest that immunization with IL 13Ralpha2 DNA vaccine followed by ECDalpha2 boost mixed with CpG and IFA adjuvants inhibits tumor growth in T cell dependent manner. Thus our results show an enhancement of efficacy of IL-13Ralpha2 DNA vaccine with ECDalpha2 protein boost and offers an exciting approach in the development of new DNA vaccine targeting IL-13Ralpha2 for cancer immunotherapy. PMID- 21067608 TI - SpineCor treatment for Juvenile Idiopathic Scoliosis: SOSORT award 2010 winner. AB - INTRODUCTION: Juvenile idiopathic scoliosis is a condition used to describe patients who are least 4 years of age but younger than 10 when the deformity is first identified. In these patients, the condition is usually progressive and those that are diagnosed at five years or younger have a high chance of progression to a large curve, with additional pulmonary and cardiac complications. The main form of conservative treatment for juvenile scoliosis is the use of a bracing system. This prospective interventional study was conducted to evaluate the effectiveness of the Dynamic SpineCor orthosis for juvenile idiopathic scoliosis as well as to evaluate the stability of the spine after the weaning point. MATERIAL AND METHODS: For this study, 150 juvenile patients were treated by the SpineCor orthosis between 1993 and 2009. Of these, 67 patients had a definite outcome and 83 are still actively being treated. To determine the effectiveness of the brace the OUTCOME criteria recommended by the SRS was used. RESULTS: The results from our study showed that of the 67 patients with a definite outcome, 32.9% corrected their Cobb angle by at least 5 degrees and 10.5% had a stabilization of their Cobb angle. Within the patients with a definite outcome, 37.3% of patients where recommended for surgery before authorized end of treatment. For this group of patients, surgery was postponed. Looking at the stability of the curves 2 years after the end of the treatment, we found 12.5% of the patients continued their correction without the brace being used and 71.4% remained stable. DISCUSSION: From our study we can clearly see that the effectiveness of the SpineCor orthosis in obtaining and maintaining the neuromuscular integration of the corrective movement can be achieved effectively for juvenile patients. Over 75% of all patients that finished the treatment had remained stable with a few continuing to correct their Cobb angle after the use of the SpineCor orthosis was discontinued. CONCLUSION: Our conclusion from this study is that the SpineCor orthosis is a very effective method of treatment of juvenile idiopathic scoliosis. The results obtained also indicate that treatment outcomes are better with early bracing. Most encouraging perhaps is the fact that the positive outcome appears to be maintained in the long term, and that surgery can be avoided or at least postponed. PMID- 21067609 TI - Dehydroepiandrosterone (DHEA) reduces embryo aneuploidy: direct evidence from preimplantation genetic screening (PGS). AB - BACKGROUND: Dehydroepiandrosterone (DHEA) has been reported to improve pregnancy chances in women with diminished ovarian reserve (DOR), and to reduce miscarriage rates by 50-80%. Such an effect is mathematically inconceivable without beneficial effects on embryo ploidy. This study, therefore, assesses effects of DHEA on embryo aneuploidy. METHODS: In a 1:2, matched case control study 22 consecutive women with DOR, supplemented with DHEA, underwent preimplantation genetic screening (PGS) of embryos during in vitro fertilization (IVF) cycles. Each was matched by patient age and time period of IVF with two control IVF cycles without DHEA supplementation (n = 44). PGS was performed for chromosomes X, Y, 13, 16, 18, 21 and 22, and involved determination of numbers and percentages of aneuploid embryos. RESULTS: DHEA supplementation to a significant degree reduced number (P = 0.029) and percentages (P < 0.001) of aneuploid embryos, adjusted for relevant covariates. Short term supplementation (4-12 weeks) resulted in greatest reduction in aneuploidy (21.6%, 95% CI -2.871 46.031). DISCUSSION: Beneficial DHEA effects on DOR patients, at least partially, are the likely consequence of lower embryo aneuploidy. DHEA supplementation also deserves investigation in older fertile women, attempting to conceive, where a similar effect, potentially, could positively affect public health. PMID- 21067610 TI - Distribution, size, shape, growth potential and extent of abdominal aortic calcified deposits predict mortality in postmenopausal women. AB - BACKGROUND: Aortic calcification is a major risk factor for death from cardiovascular disease. We investigated the relationship between mortality and the composite markers of number, size, morphology and distribution of calcified plaques in the lumbar aorta. METHODS: 308 postmenopausal women aged 48-76 were followed for 8.3 +/- 0.3 years, with deaths related to cardiovascular disease, cancer, or other causes being recorded. From lumbar X-rays at baseline the number (NCD), size, morphology and distribution of aortic calcification lesions were scored and combined into one Morphological Atherosclerotic Calcification Distribution (MACD) index. The hazard ratio for mortality was calculated for the MACD and for three other commonly used predictors: the EU SCORE card, the Framingham Coronary Heart Disease Risk Score (Framingham score), and the gold standard Aortic Calcification Severity score (AC24) developed from the Framingham Heart Study cohorts. RESULTS: All four scoring systems showed increasing age, smoking, and raised triglyceride levels were the main predictors of mortality after adjustment for all other metabolic and physical parameters. The SCORE card and the Framingham score resulted in a mortality hazard ratio increase per standard deviation (HR/SD) of 1.8 (1.51-2.13) and 2.6 (1.87-3.71), respectively. Of the morphological x-ray based measures, NCD revealed a HR/SD >2 adjusted for SCORE/Framingham. The MACD index scoring the distribution, size, morphology and number of lesions revealed the best predictive power for identification of patients at risk of mortality, with a hazard ratio of 15.6 (p < 0.001) for the 10% at greatest risk of death. CONCLUSIONS: This study shows that it is not just the extent of aortic calcification that predicts risk of mortality, but also the distribution, shape and size of calcified lesions. The MACD index may provide a more sensitive predictor of mortality from aortic calcification than the commonly used AC24 and SCORE/Framingham point card systems. PMID- 21067611 TI - Promoting functional foods as acceptable alternatives to doping: potential for information-based social marketing approach. AB - BACKGROUND: Substances with performance enhancing properties appear on a continuum, ranging from prohibited performance enhancing drugs (PED) through dietary supplements to functional foods (FF). Anti-doping messages designed to dissuade athletes from using PEDs have been typically based on moralising sport competition and/or employing scare campaigns with focus on the negative consequences. Campaigns offering comparable and acceptable alternatives are nonexistent, nor are athletes helped in finding these for themselves. It is timely that social marketing strategies for anti-doping prevention and intervention incorporate media messages that complement the existing approaches by promoting comparable and acceptable alternatives to doping. To facilitate this process, the aim of this study was to ascertain whether a single exposure knowledge-based information intervention led to increased knowledge and subsequently result in changes in beliefs and automatic associations regarding performance enhancements. METHODS: In a repeated measure design, 115 male recreational gym users were recruited and provided with a brief information pamphlet on nitrite/nitrate and erythropoietin as a comparison. Measures of knowledge, beliefs and automatic associations were taken before and after the intervention with at least 24 hours between the two assessments. The psychological tests included explicit measures of beliefs and cognitive attitudes toward FF and PED using a self-reported questionnaire and computerised assessments of automatic associations using the modified and shortened version of the Implicit Association Test. RESULTS: The information based intervention significantly increased knowledge (p < 0.001), changed explicit beliefs in specific FF (p < 0.001) and shifted the automatic association of FF with health to performance (p < 0.001). Explicitly expressed beliefs and automatic associations appear to be independent. CONCLUSION: Evidence was found that even a single exposure to a persuasive positive message can lead to belief change and can create new or alter existing associations - but only in the specific domain. Interventions to change outcome expectations in a positive way could be a rewarding avenue for anti-doping. Effective social marketing campaigns for drug free sport should follow appropriate market segmentation and use targeted messages via promoting the natural form as opposed to the purified form of the main active ingredient. PMID- 21067612 TI - Modulating spontaneous brain activity using repetitive transcranial magnetic stimulation. AB - BACKGROUND: When no specific stimulus or task is presented, spontaneous fluctuations in brain activity occur. Brain regions showing such coherent fluctuations are thought to form organized networks known as 'resting-state' networks, a main representation of which is the default mode network. Spontaneous brain activity shows abnormalities in several neurological and psychiatric diseases that may reflect disturbances of ongoing thought processes. Information about the degree to which such spontaneous brain activity can be modulated may prove helpful in the development of treatment options. We investigated the effect of offline low-frequency rTMS on spontaneous neural activity, as measured with fMRI, using a sequential independent-component-analysis and regression approach to investigate local changes within the default mode network. RESULTS: We show that rTMS applied over the left dorsolateral prefrontal cortex results in distal changes of neural activity, relative to the site of stimulation, and that these changes depend on the patterns of brain network activity during 'resting-state'. CONCLUSIONS: Whereas the proximal changes may reflect the off-line effect of direct stimulation of neural elements, the distal changes likely reflect modulation of functional connectivity. PMID- 21067613 TI - Genetic variation in the odorant receptors family 13 and the mhc loci influence mate selection in a multiple sclerosis dataset. AB - BACKGROUND: When selecting mates, many vertebrate species seek partners with major histocompatibility complex (MHC) genes different from their own, presumably in response to selective pressure against inbreeding and towards MHC diversity. Attempts at replication of these genetic results in human studies, however, have reached conflicting conclusions. RESULTS: Using a multi-analytical strategy, we report validated genome-wide relationships between genetic identity and human mate choice in 930 couples of European ancestry. We found significant similarity between spouses in the MHC at class I region in chromosome 6p21, and at the odorant receptor family 13 locus in chromosome 9. Conversely, there was significant dissimilarity in the MHC class II region, near the HLA-DQA1 and -DQB1 genes. We also found that genomic regions with significant similarity between spouses show excessive homozygosity in the general population (assessed in the HapMap CEU dataset). Conversely, loci that were significantly dissimilar among spouses were more likely to show excessive heterozygosity in the general population. CONCLUSIONS: This study highlights complex patterns of genomic identity among partners in unrelated couples, consistent with a multi-faceted role for genetic factors in mate choice behavior in human populations. PMID- 21067614 TI - Improving the care for people with acute low-back pain by allied health professionals (the ALIGN trial): A cluster randomised trial protocol. AB - BACKGROUND: Variability between clinical practice guideline recommendations and actual clinical practice exists in many areas of health care. A 2004 systematic review examining the effectiveness of guideline implementation interventions concluded there was a lack of evidence to support decisions about effective interventions to promote the uptake of guidelines. Further, the review recommended the use of theory in the development of implementation interventions. A clinical practice guideline for the management of acute low-back pain has been developed in Australia (2003). Acute low-back pain is a common condition, has a high burden, and there is some indication of an evidence-practice gap in the allied health setting. This provides an opportunity to develop and test a theory based implementation intervention which, if effective, may provide benefits for patients with this condition. AIMS: This study aims to estimate the effectiveness of a theory-based intervention to increase allied health practitioners' (physiotherapists and chiropractors in Victoria, Australia) compliance with a clinical practice guideline for acute non-specific low back pain (LBP), compared with providing practitioners with a printed copy of the guideline. Specifically, our primary objectives are to establish if the intervention is effective in reducing the percentage of acute non-specific LBP patients who are either referred for or receive an x-ray, and improving mean level of disability for patients three months post-onset of acute LBP. METHODS: The design of the study is a cluster randomised trial. Restricted randomisation was used to randomise 210 practices (clusters) to an intervention or control group. Practitioners in the control group received a printed copy of the guideline. Practitioners in the intervention group received a theory-based intervention developed to address prospectively identified barriers to practitioner compliance with the guideline. The intervention primarily consisted of an educational symposium. Patients aged 18 years or older who visit a participating practitioner for acute non-specific LBP of less than three months duration over a two-week data collection period, three months post the intervention symposia, are eligible for inclusion. Sample size calculations are based on recruiting between 15 to 40 patients per practice. Outcome assessors will be blinded to group allocation. TRIAL REGISTRATION: Australian New Zealand Clinical Trials Registry ACTRN12609001022257 (date registered 25th November 2009). PMID- 21067615 TI - Trends in malaria morbidity among health care-seeking children under age five in Mopti and Sevare, Mali between 1998 and 2006. AB - BACKGROUND: In Mali, malaria is the leading cause of death and the primary cause of outpatient visits for children under five. The twin towns of Mopti and Sevare have historically had high under-five mortality. This paper investigates the changing malaria burden in children under five in these two towns for the years 1998-2006, and the likely contribution of previous interventions aimed at reducing malaria. METHODS: A retrospective analysis of daily outpatient consultation records from urban community health centres (CSCOMs) located in Mopti and Sevare for the years 1998-2006 was conducted. Risk factors for a diagnosis of presumptive malaria, using logistic regression and trends in presumptive malaria diagnostic rates, were assessed using multilevel analysis. RESULTS: Between 1998-2006, presumptive malaria accounted for 33.8% of all recorded consultation diagnoses (10,123 out of 29,915). The monthly presumptive malaria diagnostic rate for children under five decreased by 66% (average of 8 diagnoses per month per 1,000 children in 1998 to 2.7 diagnoses per month in 2006). The multi-level analysis related 37% of this decrease to the distribution of bed net treatment kits initiated in May of 2001. Children of the Fulani (Peuhl) ethnicity had significantly lower odds of a presumptive malaria diagnosis when compared to children of other ethnic groups. CONCLUSIONS: Presumptive malaria diagnostic rates have decreased between 1998-2006 among health care seeking children under five in Mopti and Sevare. A bed net treatment kit intervention conducted in 2001 is likely to have contributed to this decline. The results corroborate previous findings that suggest that the Fulani ethnicity is protective against malaria. The findings are useful to encourage dialogue around the urban malaria situation in Mali, particularly in the context of achieving the target of reducing malaria morbidity in children younger than five by 50% by 2011 as compared to levels in 2000. PMID- 21067616 TI - Sequencing of DC-SIGN promoter indicates an association between promoter variation and risk of nasopharyngeal carcinoma in cantonese. AB - BACKGROUND: The dendritic cell-specific intercellular adhesion molecule 3 grabbing non-integrin (DC-SIGN) is an important pathogen recognition receptor of the innate immune system. DC-SIGN promoter variants play important role in the susceptibility to various infectious diseases. Nasopharyngeal carcinoma (NPC) is a malignancy that is common in southern China and whether DC-SIGN promoter variants have effects on susceptibility to NPC is still unknown. The aim of this study is to ascertain the potential involvement of DC-SIGN promoter single nucleotide polymorphisms (SNPs) in NPC susceptibility. METHODS: We conducted a case control study based on Cantonese population including 444 NPC patients and 464 controls matched on age and sex. The 1041 bp of DC-SIGN promoter region was directly sequenced for all samples. Sequence alignment and SNP search were inspected using DNAStar analysis programs and haplotype frequencies were estimated in Haploview V 4.0. The associations between the SNPs and the risk of NPC were analyzed using chi-square test and non-conditional logistic regression analysis with SPSS 13.0 software. RESULTS: A total of six variants were observed in the DC-SIGN promoter region and DC-SIGN -139 GG and -939 AA were significantly associated with NPC risk with adjusted Odds Ratios (ORs) of 2.10 (95% confidence interval [CI] = 1.23-3.59; P = 0.006) and 2.52 (1.29-4.93; P = 0.007) respectively and subjects carrying the risk allele DC-SIGN -871 G had 1.47-fold (95% CI = 1.14-1.90) increased risks of developing NPC (P = 0.003). Haplotype analysis revealed that h1 'AAAG' was significantly associated with protection against NPC (OR = 0.69; P = 0.0002) and the association was still significant when using 1000 permutation test runs (P = 0.001). CONCLUSIONS: Our study indicated that DC-SIGN promoter variants appear to be involved in the susceptibility to NPC and the detailed mechanism of this effect need further studies. PMID- 21067618 TI - Serum vitamin D concentrations are related to depression in young adult US population: the Third National Health and Nutrition Examination Survey. AB - BACKGROUND: Vitamin D receptors have been mapped throughout the brain suggesting a role for vitamin D in psychosomatic disorders. Results from previous epidemiological studies on relation between vitamin D status and depression are equivocal. Also, limited information is available relating vitamin D status with depression in young adult US population. METHODS: Data from the third National Health and Nutrition Examination Survey were used to assess association between serum vitamin D and depression in 7970 non-institutionalized US residents, aged 15-39 y. Assessment of depression was done using the Diagnostic Interview Schedule developed by the National Institute of Mental Health. After accounting for several confounding variables in multivariate logistic regression analysis, we estimated odds ratios (OR) for having depression in vitamin D deficient persons in comparison to vitamin D sufficient persons. RESULTS: Women, non Hispanic blacks, persons living below poverty, persons who did not consume supplements, persons living in South and West regions and in urban areas, persons with higher BMI, and persons with current depression had higher prevalence of vitamin D deficiency compared to their counterparts. OR for having current depressive episodes in persons with serum vitamin D <= 50 nmol/L is significantly higher relative to those with serum vitamin D >= 75 nmol/L (OR = 1.85; P = 0.021). CONCLUSIONS: In this large population based study, likelihood of having depression in persons with vitamin D deficiency is significantly higher compared to those with vitamin D sufficiency. Early diagnosis and intervention are paramount because coexistence of vitamin D deficiency and depression has serious negative consequences on health. PMID- 21067617 TI - Macrophage pro-inflammatory cytokine secretion is enhanced following interaction with autologous platelets. AB - BACKGROUND: Macrophages are the dominant phagocyte at sites of wound healing and inflammation, and the cellular and acellular debris encountered by macrophages can have profound effects on their inflammatory profile. Following interaction with apoptotic cells, macrophages are known to switch to an anti-inflammatory phenotype. Activated platelets, however, are also a major component of inflammatory lesions and have been proposed to be pro-inflammatory mediators. In the present study, we tested the hypothesis that macrophage interaction with activated platelets results in an inflammatory response that differs from the response following phagocytosis of apoptotic cells. METHODS: Human monocyte derived macrophages (hMDMs) were co-incubated with autologous activated platelets (AAPs) and the platelet-macrophage interaction was examined by electron microscopy and flow cytometry. The cytokines TNF-alpha, IL-6, and IL-23 were also measured during LPS-activated hMDM co-incubation with AAPs, which was compared to co-incubation with apoptotic lymphocytes. Cytokine secretion was also compared to platelets pre-treated with the gluococorticoid dexamethasone. RESULTS: Macrophages trapped and phagocytized AAPs utilizing a mechanism that was significantly inhibited by the scavenger receptor ligand fucoidan. LPS-induced macrophage secretion of TNF-alpha, IL-6, and IL-23 was inhibited by co-incubation with apoptotic cells, but enhanced by co-incubation with AAPs. The platelet dependent enhancement of LPS-induced cytokines could be reversed by pre-loading the platelets with the glucocorticoid dexamethasone. CONCLUSIONS: The interaction of human macrophages with autologous platelets results in scavenger-receptor mediated platelet uptake and enhancement of LPS-induced cytokines. Therefore, the presence of activated platelets at sites of inflammation may exacerbate pro inflammatory macrophage activation. The possibility of reversing macrophage activation with dexamethasone-loaded platelets is a promising therapeutic approach to treating unresolved inflammation. PMID- 21067619 TI - Predicted sub-populations in a marine shrimp proteome as revealed by combined EST and cDNA data from multiple Penaeus species. AB - BACKGROUND: Many species of marine shrimp in the Family Penaeidae, viz. Penaeus (Litopenaeus) vannamei, Penaeus monodon, Penaeus (Fenneropenaeus) chinensis, and Penaeus (Marsupenaeus) japonicus, are animals of economic importance in the aquaculture industry. Yet information about their DNA and protein sequences is lacking. In order to predict their collective proteome, we combined over 270,000 available EST and cDNA sequences from the 4 shrimp species with all protein sequences of Drosophila melanogaster and Caenorhabditis elegans. EST data from 4 other crustaceans, the crab Carcinus maenas, the lobster Homarus americanus (Decapoda), the water flea Daphnia pulex, and the brine shrimp Artemia franciscana were also used. FINDINGS: Similarity searches from EST collections of the 4 shrimp species matched 64% of the protein sequences of the fruit fly, but only 45% of nematode proteins, indicating that the shrimp proteome content is more similar to that of an insect than a nematode. Combined results with 4 additional non-shrimp crustaceans increased matching to 78% of fruit fly and 56% of nematode proteins, suggesting that present shrimp EST collections still lack sequences for many conserved crustacean proteins. Analysis of matching data revealed the presence of 4 EST groups from shrimp, namely sequences for proteins that are both fruit fly-like and nematode-like, fruit fly-like only, nematode like only, and non-matching. Gene ontology profiles of proteins for the 3 matching EST groups were analyzed. For non-matching ESTs, a small fraction matched protein sequences from other species in the UniProt database, including other crustacean-specific proteins. CONCLUSIONS: Shrimp ESTs indicated that the shrimp proteome is comprised of sub-populations of proteins similar to those common to both insect and nematode models, those present specifically in either model, or neither. Combining small EST collections from related species to compensate for their small size allowed prediction of conserved expressed protein components encoded by their uncharacterized genomes. The organized data should be useful for transferring annotation data from model species into shrimp data and for further studies on shrimp proteins with particular functions or groups. PMID- 21067620 TI - A case-control study of determinants for high and low dental caries prevalence in Nevada youth. AB - BACKGROUND: The main purpose of this study was to compare the 30% of Nevada Youth who presented with the highest Decayed Missing and Filled Teeth (DMFT) index to a cohort who were caries free and to national NHANES data. Secondly, to explore the factors associated with higher caries prevalence in those with the highest DMFT scores compared to the caries-free group. METHODS: Over 4000 adolescents between ages 12 and 19 (Case Group: N = 2124; CONTROL GROUP: N = 2045) received oral health screenings conducted in public/private middle and high schools in Nevada in 2008/2009 academic year. Caries prevalence was computed (Untreated decay scores [D-Score] and DMFT scores) for the 30% of Nevada Youth who presented with the highest DMFT score (case group) and compared to the control group (caries free) and to national averages. Bivariate and multivariate logistic regression was used to analyze the relationship between selected variables and caries prevalence. RESULTS: A majority of the sample was non-Hispanic (62%), non-smokers (80%), and had dental insurance (70%). With the exception of gender, significant differences in mean D-scores were found in seven of the eight variables. All variables produced significant differences between the case and control groups in mean DMFT Scores. With the exception of smoking status, there were significant differences in seven of the eight variables in the bivariate logistic regression. All of the independent variables remained in the multivariate logistic regression model contributing significantly to over 40% of the variation in the increased DMFT status. The strongest predictors for the high DMFT status were racial background, age, fluoridated community, and applied sealants respectively. Gender, second hand smoke, insurance status, and tobacco use were significant, but to a lesser extent. CONCLUSIONS: Findings from this study will aid in creating educational programs and other primary and secondary interventions to help promote oral health for Nevada youth, especially focusing on the subgroup that presents with the highest mean DMFT scores. PMID- 21067621 TI - Expression of chemokine receptors on peripheral blood lymphocytes in multiple sclerosis and neuromyelitis optica. AB - BACKGROUND: The role of different chemokine receptors in the pathogenesis of multiple sclerosis (MS) has been extensively investigated; however, little is known about the difference in the role of chemokine receptors between the pathogenesis of neuromyelitis optica (NMO) and MS. Therefore, we examined the expression of chemokine receptors on peripheral blood lymphocytes (PBL) in MS and NMO. METHODS: We used flow cytometry to analyse lymphocyte subsets in 12 patients with relapsing NMO, 24 with relapsing-remitting MS during relapse, 3 with NMO and 5 with MS during remission. RESULTS: Compared with healthy controls (HC), the percentage of lymphocytes in white blood cells was significantly lower in NMO and MS patients. The percentage of T cells expressing CD4+CD25+ and CD4+CD45RO+ was higher, while that of CD4+CC chemokine receptor (CCR)3+ (T helper 2, Th2) was significantly lower in MS patients than in HC. The ratios of CD4+CXC chemokine receptors (CXCR)3+/CD4+CCR3+ (Th1/Th2) and CD8+CXCR3+/CD8+CCR4+ (T cytotoxic 1, Tc1/Tc2) were higher in MS patients than in HC. The percentage of CD8+CXCR3+ T cell (Tc1) and CD4+CXCR3+ T cell (Th1) decreased significantly during remission in MS patients (P <0.05). No significant differences were identified in the expression of the chemokine receptors on PBL in NMO patients compared with MS patients and HC. CONCLUSIONS: Th1 dominance of chemokine receptors on blood T cells and the correlation between CXCR3+ T cell (Th1 and Tc1) and disease activity in MS patients were confirmed by analysing chemokines receptors on PBL. In contrast, deviation in the Th1/Th2 balance was not observed in NMO patients. PMID- 21067622 TI - The use of economic evaluation in CAM: an introductory framework. AB - BACKGROUND: For CAM to feature prominently in health care decision-making there is a need to expand the evidence-base and to further incorporate economic evaluation into research priorities.In a world of scarce health care resources and an emphasis on efficiency and clinical efficacy, CAM, as indeed do all other treatments, requires rigorous evaluation to be considered in budget decision making. METHODS: Economic evaluation provides the tools to measure the costs and health consequences of CAM interventions and thereby inform decision making. This article offers CAM researchers an introductory framework for understanding, undertaking and disseminating economic evaluation. The types of economic evaluation available for the study of CAM are discussed, and decision modelling is introduced as a method for economic evaluation with much potential for use in CAM. Two types of decision models are introduced, decision trees and Markov models, along with a worked example of how each method is used to examine costs and health consequences. This is followed by a discussion of how this information is used by decision makers. CONCLUSIONS: Undoubtedly, economic evaluation methods form an important part of health care decision making. Without formal training it can seem a daunting task to consider economic evaluation, however, multidisciplinary teams provide an opportunity for health economists, CAM practitioners and other interested researchers, to work together to further develop the economic evaluation of CAM. PMID- 21067623 TI - Prevalence of Metabolic Syndrome and its influence on microvascular complications in the Indian population with Type 2 Diabetes Mellitus. Sankara Nethralaya Diabetic Retinopathy Epidemiology And Molecular Genetic Study (SN-DREAMS, report 14). AB - BACKGROUND: The Metabolic syndrome (MS) consists of central obesity, glucose intolerance, hyperinsulinemia, low high density lipoproteins, high triglycerides and hypertension. Different studies have observed that MS causes microvascular complications in patients with type 2 diabetes. The aim of the study was to find out the prevalence of MS in the Indian population with type 2 diabetes mellitus in relation to gender, duration of diabetes, and to evaluate the influence of MS and its individual components on microvascular complications such as diabetic retinopathy, diabetic nephropathy and diabetic neuropathy. METHODS: A population based cross sectional survey was conducted with 1414 patients having type 2 diabetes mellitus. The International Diabetes Federation (IDF) criteria were used to identify the metabolic syndrome. Diabetic retinopathy was graded using the stereoscopic digital fundus photography. Neuropathy was assessed by measuring the vibration perception threshold through a sensitometer. Nephropathy was diagnosed by the presence of microalbuminuria in the first morning urine sample. RESULTS: The age and gender adjusted prevalence of MS, using the IDF criteria, in the South Indian population was 73.3%. The prevalence was higher in women (83.3%), compared to men (65.3%). In subjects with diabetes mellitus, without and with MS, the prevalence of retinopathy was 21.3% and 16.9% (p = 0.057); prevalence of nephropathy was 20.5% and 18.0% (p = 0.296), and prevalence of neuropathy was17.2% and 19.4% (p = 0.353) respectively. Overall and in women, the clustering of MS components led to an increase in the prevalence of diabetic nephropathy. The prevalence of retinopathy and neuropathy in MS subjects, who had diabetes for < 10 years, was more in both men and women; it was more in women but not in men when the duration of diabetes varied from 11-20 years. CONCLUSIONS: The association of MS with microangiopathies decreased with an increase in the duration of diabetes. MS behaved differently in men and women. It may need to be managed differently in the two groups. PMID- 21067624 TI - Three-year multicenter surveillance of community-acquired Listeria monocytogenes meningitis in adults. AB - BACKGROUND: Listeria monocytogenes is the third most frequent cause of bacterial meningitis. The aim of this study is to know the incidence and risk factors associated with development of acute community-acquired Lm meningitis in adult patients and to evaluate the clinical features, management, and outcome in this prospective case series. METHODS: A descriptive, prospective, and multicentric study carried out in 9 hospitals in the Spanish Network for Research in Infectious Diseases (REIPI) over a 39-month period. All adults patients admitted to the participating hospitals with the diagnosis of acute community-acquired bacterial meningitis (Ac-ABM) were included in this study. All these cases were diagnosed on the basis of a compatible clinical picture and a positive cerebrospinal fluid (CSF) culture or blood culture. The patients were followed up until death or discharge from hospital. RESULTS: Two hundred and seventy-eight patients with Ac-ABM were included. Forty-six episodes of Lm meningitis were identified in 46 adult patients. In the multivariate analysis only age (OR 1.026; 95% CI 1.00-1.05; p = 0.042), immunosuppression (OR 2.520; 95% CI 1.05-6.00; p = 0.037), and CSF/blood glucose ratio (OR 39.42; 95% CI 4.01-387.50; p = 0.002) were independently associated with a Lm meningitis. The classic triad of fever, neck stiffness and altered mental status was present in 21 (49%) patients, 32% had focal neurological findings at presentation, 12% presented cerebellum dysfunction, and 9% had seizures. Twenty-nine (68%) patients were immunocompromised. Empirical antimicrobial therapy was intravenous ampicillin for 34 (79%) of 43 patients, in 11 (32%) of them associated to aminoglycosides. Definitive ampicillin plus gentamicin therapy was significantly associated with unfavourable outcome (67% vs 28%; p = 0.024) and a higher mortality (67% vs 32%; p = 0.040).The mortality rate was 28% (12 of 43 patients) and 5 of 31 (16.1%) surviving patients developed adverse clinical outcome. CONCLUSIONS: Elderly or immunocompromised patients, and a higher CSF/blood glucose ratio in patients with Ac-ABM must alert clinicians about Lm aetiology. Furthermore, we observed a high incidence of acute community-acquired Lm meningitis in adults and the addition of aminoglycosides to treatment should be avoid in order to improve the patients' outcome. Nevertheless, despite developments in intensive care and antimicrobial therapy, this entity is still a serious disease that carries high morbidity and mortality rates. PMID- 21067625 TI - Introgressive hybridization and the evolutionary history of the herring gull complex revealed by mitochondrial and nuclear DNA. AB - BACKGROUND: Based on extensive mitochondrial DNA (mtDNA) sequence data, we previously showed that the model of speciation among species of herring gull (Larus argentatus) complex was not that of a ring species, but most likely due more complex speciation scenario's. We also found that two species, herring gull and glaucous gull (L. hyperboreus) displayed an unexpected biphyletic distribution of their mtDNA haplotypes. It was evident that mtDNA sequence data alone were far from sufficient to obtain a more accurate and detailed insight into the demographic processes that underlie speciation of this complex, and that extensive autosomal genetic analysis was warranted. RESULTS: For this reason, the present study focuses on the reconstruction of the phylogeographic history of a limited number of gull species by means of a combined approach of mtDNA sequence data and 230 autosomal amplified fragment length polymorphism (AFLP) loci. At the species level, the mtDNA and AFLP genetic data were largely congruent. Not only for argentatus and hyperboreus, but also among a third species, great black backed gull (L. marinus) we observed two distinct groups of mtDNA sequence haplotypes. Based on the AFLP data we were also able to detect distinct genetic subgroups among the various argentatus, hyperboreus, and marinus populations, supporting our initial hypothesis that complex demographic scenario's underlie speciation in the herring gull complex. CONCLUSIONS: We present evidence that for each of these three biphyletic gull species, extensive mtDNA introgression could have taken place among the various geographically distinct subpopulations, or even among current species. Moreover, based on a large number of autosomal AFLP loci, we found evidence for distinct and complex demographic scenario's for each of the three species we studied. A more refined insight into the exact phylogeographic history within the herring gull complex is still impossible, and requires detailed autosomal sequence information, a topic of our future studies. PMID- 21067626 TI - Rethinking the red wolf disease: does Protein S suppress systemic lupus erythematosus clinical activity? AB - In systemic lupus erythematosus, the forces responsible for disease initiation and self-perpetuation in these clinically heterogeneous populations remain poorly understood. Recent studies of the TAM (Tyro3, Axl and MerTK) family of receptor tyrosine kinases may lead to a better understanding of the fundamental control system responsible for the clearance of apoptotic cells and the regulation of inflammation. In a recent report, serum levels of the TAM ligand, Protein S, was found to correlate with certain disease manifestations and with C3 and C4 levels. Protein S levels could provide a quantitative clinical biomarker but it remains to be determined whether this factor directly affects disease activity. PMID- 21067627 TI - Septic-associated encephalopathy--everything starts at a microlevel. AB - Sepsis-associated encephalopathy is associated with increased mortality and morbidity. Its pathophysiology remains insufficiently elucidated, although there is evidence for a neuroinflammatory process sequentially involving endothelial activation, blood-brain barrier alteration and cellular dysfunction and alteration in neurotransmission. Experimental studies have shown that microcirculatory dysfunction, a consequence of endothelial activation, is an early pathogenic step. To date, we do not know whether it is present in septic patients, whether it accounts for clinical features and whether it is treatable. PMID- 21067628 TI - Laboratory studies of Blattisocius keegani (Fox) (Acari: Ascidae) reared on eggs of navel orangeworm: potential for biological control. AB - Blattisocius keegani (Fox) is a predatory mite in the family Ascidae (Acari), noted for potential biological control of Coleopteran stored product pests. Performance of B. keegani on eggs of navel orangeworm, Amyelois transitella Walker (Lepidoptera: Pyralidae), was investigated. Mites completed development from egg to adult in 9.2+/-0.22 days at 25 degrees C and 50-60% relative humidity, and in 6.33+/-0.29 days at 32.2 degrees C, 30% relative humidity. Mites provisioned with three or five eggs consumed a median of 1.25 to 1.5 eggs, with a maximum of three eggs consumed over 24 h. Regression analyses indicated egg laying by B. keegani was significantly correlated with the number of A. transitella eggs consumed, and female mites laid an average of 5.82+/-0.44 eggs over 72 h. Blattisocius keegani, developed on fresh and frozen eggs, laid significantly more eggs when provided with fresh eggs (F3,26=6.16, P=0.0026) and were able to develop on frozen Ephestia kuehniella Zeller (Lepidoptera: Pyralidae) eggs as an alternative host. Mites were equally fecund when fed eggs stored at 0 degrees or -20 degrees C. Provisioning of adult moth bodies in addition to egg prey items increased mite fecundity, although it was demonstrated that B. keegani are phoretic on adult moths as well. The results are the first experimental evidence of B. keegani as a predator of Lepidopteran eggs, as a phoretic species, and of their potential for biological control of navel orangeworm. PMID- 21067629 TI - Energy sensing by the AMP-activated protein kinase and its effects on muscle metabolism. AB - The AMP-activated protein kinase (AMPK) is a sensor of cellular energy status, and a regulator of energy balance at both the cellular and whole body levels. Although ubiquitously expressed, its function is best understood in skeletal muscle. AMPK contains sites that reversibly bind AMP or ATP, with an increase in cellular AMP:ATP ratio (signalling a fall in cellular energy status) switching on the kinase. In muscle, AMPK activation is therefore triggered by sustained contraction, and appears to be particularly important in the metabolic changes that occur in the transition from resistance to endurance exercise. Once activated, AMPK switches on catabolic processes that generate ATP, while switching off energy-requiring processes not essential in the short term. Thus, it acutely activates glucose uptake (by promoting translocation of the transporter GLUT4 to the membrane) and fatty acid oxidation, while switching off glycogen synthesis and protein synthesis (the later via inactivation of the mammalian target-of-rapamycin pathway). Prolonged AMPK activation also causes some of the chronic adaptations to endurance exercise, such as increased GLUT4 expression and mitochondrial biogenesis. AMPK contains a glycogen-binding domain that causes a sub-fraction to bind to the surface of the glycogen particle, and it can inhibit glycogen synthesis by phosphorylating glycogen synthase. We have shown that AMPK is inhibited by exposed non-reducing ends in glycogen. We are working on the hypothesis that this ensures that glycogen synthesis is rapidly activated when glycogen becomes depleted after exercise, but is switched off again as soon as glycogen stores are replenished. PMID- 21067630 TI - Epigenetic modifications and human pathologies: cancer and CVD. AB - Epigenetic changes are inherited alterations in DNA that affect gene expression and function without altering the DNA sequence. DNA methylation is one epigenetic process implicated in human disease that is influenced by diet. DNA methylation involves addition of a 1-C moiety to cytosine groups in DNA. Methylated genes are not transcribed or are transcribed at a reduced rate. Global under-methylation (hypomethylation) and site-specific over-methylation (hypermethylation) are common features of human tumours. DNA hypomethylation, leading to increased expression of specific proto-oncogenes (e.g. genes involved in proliferation or metastasis) can increase the risk of cancer as can hypermethylation and reduced expression of tumour suppressor (TS) genes (e.g. DNA repair genes). DNA methyltransferases (DNMT), together with the methyl donor S-adenosylmethionine (SAM), facilitate DNA methylation. Abnormal DNA methylation is implicated not only in the development of human cancer but also in CVD. Polyphenols, a group of phytochemicals consumed in significant amounts in the human diet, effect risk of cancer. Flavonoids from tea, soft fruits and soya are potent inhibitors of DNMT in vitro, capable of reversing hypermethylation and reactivating TS genes. Folates, a group of water-soluble B vitamins found in high concentration in green leafy vegetables, regulate DNA methylation through their ability to generate SAM. People who habitually consume the lowest level of folate or with the lowest blood folate concentrations have a significantly increased risk of developing several cancers and CVD. This review describes how flavonoids and folates in the human diet alter DNA methylation and may modify the risk of human colon cancer and CVD. PMID- 21067632 TI - Nexplanon((r)): what Implanon((r)) did next. PMID- 21067633 TI - A risk management approach to the design of contraceptive implants. AB - This article assesses the risks associated with the insertion and removal of contraceptive implants. Risks to patient safety relate to the way the insertion device is designed and used, rather than to the pharmacological properties of the implant itself. Risks associated with removal are not amenable to thoughtful design. A systems approach is taken, the assumption being that human errors are symptoms of underlying systems deficiencies rather than causes of adverse events. The insertion procedure is broken down into five key steps. Errors in these steps contribute to non-insertion and deep insertion of implants. The design of the Implanon((r)) applicator is critically examined and suggestions made as to how it could be improved in such a way as to reduce errors in its use. The exercise undertaken has coincided with the imminent launch of the redesigned applicator of the new contraceptive implant, Nexplanon((r)). Preliminary comments are made about the new features of Nexplanon. PMID- 21067634 TI - Is it worth paying more for emergency hormonal contraception? The cost effectiveness of ulipristal acetate versus levonorgestrel 1.5 mg. AB - BACKGROUND AND METHODOLOGY: Emergency hormonal contraception (EHC) can reduce unintended pregnancy and the associated costs and consequences for the individual and National Health Service (NHS). Levonorgestrel (LNG 1.5 mg) is currently the standard of care in the UK; however, it is not licensed for use >72 hours after unprotected sexual intercourse (UPSI). This cost-effectiveness analysis compares LNG 1.5 mg with ulipristal acetate (UPA) (ellaOne((r))), a new emergency hormonal contraceptive that is licensed for use up to 120 hours post-UPSI. The costs of both drugs and the costs of the consequences of unintended pregnancy - namely miscarriage, induced abortion and birth - are compared in a decision model from the perspective of the UK NHS. RESULTS: The incremental cost-effectiveness ratio (ICER) is the cost of preventing one additional unintended pregnancy with UPA and is calculated to be L311 compared to LNG 1.5 mg when taken up to 120 hours post UPSI. In sensitivity analysis, looking at different time frames and costs, the ICER ranges from L183 to L500. All these costs are less than the estimated cost of an unintended pregnancy (L948) regardless of the outcome or the cost of an induced abortion (L672). DISCUSSION AND CONCLUSIONS: Even when considering only the direct costs of an unintended pregnancy, UPA represents value for money as a method of EHC when taken up to 120 hours post-UPSI. UPA is a cost-effective alternative to LNG 1.5 mg for all women presenting for EHC. PMID- 21067635 TI - Addressing sexual health needs: a comparison of a one-stop shop with separate genitourinary medicine and family planning services. AB - BACKGROUND AND METHODOLOGY: Little evidence is available on the extent to which one-stop shops address users' sexual health needs and the extent to which they identify additional needs users may not have identified. As part of the One-Stop Shop Evaluation, a questionnaire was designed to compare the reasons for users' visits and the reported outcomes of visits at a one-stop shop with the experiences of users in separate genitourinary medicine (GUM) and contraceptive clinics. RESULTS: The difference in the proportions of those attending the one stop shop and those attending the control sites services for a sexually transmitted infection (STI)-related reason who were diagnosed with an STI was minimal, but those attending for an STI-related reason in the one-stop shop were more likely to receive an additional contraceptive outcome. Women attending for a contraceptive-related reason at the one-stop shop were more likely to have an STI screen than those attending the control sites for the same reason, but there was little difference in the proportions amongst this group receiving an STI diagnosis or receiving treatment. When focusing on women attending for a pregnancy-related reason, one-stop shop users were more likely to have received contraceptive advice or supplies. DISCUSSION AND CONCLUSIONS: It was not possible in our evaluation to determine the relative effectiveness of the one-stop shop in comparison to the traditional GUM and contraceptive clinics in improving sexual health status, however the one-stop shop was more likely to address additional sexual health needs that service users may not have previously identified. PMID- 21067636 TI - Women's experiences of the final stage of early medical abortion at home: results of a pilot survey. AB - BACKGROUND AND METHODOLOGY: Research has shown that many women choosing an early medical abortion would prefer to be at home rather than in hospital to expel the pregnancy. A service was commenced at a hospital abortion service in Edinburgh, Scotland, UK that allowed women at up to 56 days' gestation to be discharged home soon after misoprostol administration. During a 3-month period, an anonymous questionnaire of women's experiences was conducted 1-2 weeks after the procedure. RESULTS: During the 3 months of the survey a total of 145 women chose to go home to abort. A total of 100 women completed questionnaires out of 145 (69%) distributed. The commonest reasons given for choosing to go home were: to get home sooner (53%) and to be in the privacy of one's own home (47%). Most (81%) of the women stated that bleeding was either "as expected" (55%) or "not as bad as expected" (26%), and 58% of the women stated that the pain was "as expected" (40%) or "not as bad as expected" (18%). The majority (84%) of the women said that they would recommend this method to a friend. DISCUSSION AND CONCLUSIONS: Discharge home for the final stage of a medical abortion was highly acceptable to women. Since availability is not limited by hospital bed space, more women can be treated by medical methods. PMID- 21067637 TI - Gendered interests and poor spousal contraceptive communication in Islamic northern Nigeria. AB - Relying on focus group discussions and in-depth individual interviews with men and women in Jigawa and Kano states in northern Nigeria, we investigated barriers to spousal contraceptive communication. While attitudes toward spousal contraceptive communication were generally positive, there was very little evidence that respondents engaged in it. Poor spousal contraceptive communication in northern Nigeria is, in many ways, driven by the ample incentives that husbands and wives have to keep having children. For wives, having many children stabilises their marriage. It prevents husbands from marrying additional wives and sustains their attention and investments even if they ultimately do. For husbands, having many children helps them to keep their wives from objecting to their taking other wives and to mollify them by showing their continued commitment to that relationship should they take other wives. Our findings clearly challenge conventional population, family planning and reproductive health programmes that view high fertility as disempowering for women, and contraceptive use as capable of redressing gender inequality. New norms of gender relations are key to promoting contraceptive uptake and smaller families in northern Nigeria. PMID- 21067638 TI - Evaluation of an evidence-based contraceptive advice line used to support contraceptive provision in primary care. AB - BACKGROUND: An e-contraceptive advice line (contraceptionadvice.GP@hullpct.nhs) was set up by our unit to support contraceptive provision in primary care. This advice line was for general practitioners (GPs) initially and was then extended to certain pharmacists. All queries were to be answered within 24 hours. A similar e-advice line on emergency contraception for patients seeking advice had been successful in the USA and South Africa. METHODS: Our aim was to evaluate the contraceptive advice line using standards developed at its inception. A retrospective audit of the queries received by the contraceptive advice line between January and September 2009 was conducted. RESULTS: Sixty-seven queries were received from 30 GPs, nine from practice nurses and none from pharmacists. Some 61% of the queries were answered within 24 hours and 85% were answered within 72 hours; 90% were within the advice line guidelines. CONCLUSIONS: The e mail advice line is a useful, low-cost, well-received support service for GPs. This model could be adopted by other areas wishing to increase contraceptive access in primary care. PMID- 21067639 TI - 50 years of "The Pill": celebrating a golden anniversary. AB - The past 50 years have seen great advances in combined oral contraceptives (COCs) that have resulted in reduced risks of adverse events and improved cycle control. The most important changes in COCs over time include repeated lowering of the estrogen dose, development of new progestogens, and the reduction or elimination of the pill-free interval. Most recently, formulations that deliver estradiol in lieu of ethinylestradiol have been introduced. The advantages of COCs generally far outweigh the disadvantages. Current options in oral contraception include a wide spectrum of products that enable clinicians to choose the most appropriate formulation for individual women. This article summarises the advances in oral contraceptives over time and describes the most current clinical data regarding the use of COCs. PMID- 21067641 TI - Contraception for women taking antiepileptic drugs. AB - Antiepileptic drugs (AEDs) that induce hepatic enzyme activity may alter the metabolism of most hormonal methods of contraception, and this may affect their contraceptive efficacy. There is also the potential for the hormonal method to affect the AED. Women may also be prescribed AEDs to treat conditions other than epilepsy, such as chronic pain and migraine. These effects should be considered in the choice of both the treatment of the epilepsy and the choice of contraceptive method. This review considers these interactions and offers advice about their management. PMID- 21067642 TI - Legal aspects of contraceptive implants. PMID- 21067643 TI - Let's mobilise the secret army. PMID- 21067644 TI - Gender, gynaecology and generations. PMID- 21067645 TI - Dr Alexander Gordon of Aberdeen. PMID- 21067646 TI - IUD nurse fitters and resuscitation. PMID- 21067647 TI - IUD fitters and training in resuscitation. PMID- 21067648 TI - Influences on women's choice of the levonorgestrel-releasing intrauterine system. PMID- 21067649 TI - Two cases of broken Implanon((r)). PMID- 21067650 TI - Lost IUD penetrating bladder wall. PMID- 21067651 TI - Lost IUD penetrating bladder wall. PMID- 21067652 TI - Here I go again. PMID- 21067654 TI - Effects of in situ and physical mixing on mechanical and bioactive behaviors of nano hydroxyapatite-chitosan scaffolds. AB - Nano hydroxyapatite (HAP) was employed to intensify chitosan (CS) scaffolds by two methods. The first one is nano HAP crystallized in situ from the CS matrix by a biomimetic method (in situ scaffold). In the second method the sol-gel nano HAP powder was added directly to the CS solution (physical mixing scaffold). The distribution status of nano HAP was examined by scanning electron microscopy. The compressive performance was measured by a universal material testing machine. The in vitro study in stimulated body fluid was performed to evaluate the biological properties of both scaffolds. MTT testing and alkaline phosphatase activity from human bone mesenchymal stem cell culture showed differences in biocompatibility and bioactivity between the scaffolds. The results indicated that the in situ scaffold possessed more excellent mechanical and bioactive behaviors than that of the physical mixing scaffold. PMID- 21067655 TI - Inorganic/organic biocomposite cryogels for regeneration of bony tissues. AB - The present work focuses on the physical, mechanical and in vitro properties of porous inorganic/organic biocomposite scaffolds of polyvinyl alcohol tetraethylorthosilicate-alginate-calcium oxide (PTAC). These scaffolds are prepared by means of cryogelation technology and are intended for bone tissue engineering applications. The biocomposite cryogels have much more favorable physical and biological properties compared to the previous work of our group on the same composition in the form of pellets and foams. The optimized and heat treated PTAC biocomposite cryogels show homogenous porosity and good mechanical properties and also exhibit the formation of a hydroxyapatite-like layer on their surface on coming in contact with simulated body fluid (SBF). Furthermore, the biocomposite cryogels showed good biocompatibility with L929 fibroblasts. Also, the influence of pre-soaking in SBF to that of non-soaked scaffolds was compared in terms of proliferation of MG-63 osteoblast-like osteosarcoma cells on these scaffolds and it was found that the pre-soaking caused a decrease in cell proliferation. Finally, the response of human osteoblasts on these scaffolds was analyzed by MTT assay, scanning electron microscopy, energy dispersive X-ray spectroscopy and micro X-ray computing tomography. The cells revealed good biocompatibility with the biocomposite cryogels and were mostly present as cell sheets on the surface with thick bundles of collagenous extracellular matrix during initial period of incubation. During later phases, the formation of calcium phosphate-like mineral deposits was observed on the surface of the cryogels suggesting a high potential of the biocomposite cryogels towards bone regeneration. Therefore, the PTAC biocomposite cryogels, due to their favorable properties and high biocompatibility with human osteoblasts can be suggested as potential scaffolds for bone tissue engineering applications. PMID- 21067656 TI - Poly(methyl vinyl ether-alt-maleic acid) polymers for cell encapsulation. AB - Polyanions based on poly(methyl vinyl ether-alt-maleic acid) were investigated as materials for cell encapsulation. These water-soluble polyanions having molecular masses ranging from 20 to 1980 kDa were prepared by functionalization of poly(methyl vinyl ether-alt-maleic anhydride) with 5-aminofluorescein and/or alpha-methoxy-omega-amino-poly(ethylene glycol), followed by base hydrolysis of the residual anhydride groups to form the corresponding poly(methyl vinyl ether alt-sodium maleate). Their potential to replace alginate both in the core and, in particular, the outer shell of calcium alginate-poly(L-lysine)-alginate (APA) capsules was determined using confocal fluorescence microscopy, osmotic pressure tests, permeability studies, protein binding and cell viability assays. These polymers were shown to be able to replace the outer layer of alginate, forming more resilient capsule shells. The resulting capsules showed similar permeability and resistance to bovine serum albumin binding, as well as superior viability for encapsulated cells, when compared to standard APA capsules. In addition, these polymers showed promise for use as functional additives to the capsule cores. PMID- 21067657 TI - Non-destructively differentiating the roles of creep, wear and oxidation in long term in vivo exposed polyethylene cups. AB - Wear of polyethylene acetabular cups in patients of total hip arthroplasty is routinely deduced from the penetration of the femoral head into the acetabular liner as observed in the radiographs. However, the linear penetration thus measured represents the cumulative contribution of two components, one due to wear, and the other due to creep or irreversible deformation of the polyethylene structure. The erroneous attribution to wear of the entire penetration displacement of the head in the cup might lead to misinterpretation of the actual performance of acetabular cups. The aim of this study was to quantify the head displacement components due to wear and to creep, as they occur in vivo in acetabular cups, and to relate them to the oxidation state of the material by means of advanced Raman spectroscopy procedures. Throughout the investigation, we compared the behaviors on the molecular scale of acetabular cups subjected to different sterilization methods (i.e., gamma-irradiation and ethylene oxide treatment). PMID- 21067658 TI - Biocompatibility and resorption of intravenously administered polymer microparticles in tissues of internal organs of laboratory animals. AB - Specimens of (14)C-labeled polymer of 3-hydroxybutyric acid, P(3-HB), with different initial molecular weights, were used to prepare microparticles, whose morphology was not influenced by the M(w) of the polymer. During the particle preparation process, P(3-HB) molecular weight decreased by 15-20%. Sterile microparticles (mean diameter 2.4 MUm) were injected into the tail veins of Wistar rats (5 mg/rat). The effects of the particles administered to rats were studied based on the general response of animals and local response of internal organ tissues and blood morphology; no adverse effects on growth and development of the animals or unfavorable changes in the structure of the tissues of internal organs were observed. Measurements of radioactivity in tissues showed that (14)C concentrations are different in different organs, changing during the course of the experiment. The main targets for (14)C-labeled microparticles were tissues of the liver, spleen, and kidneys. Comparison of radioactivity levels and residual contents of high-molecular-weight matrix in tissues suggested that the most rapid metabolism and degradation of P(3-HB) occurred in the liver and spleen. Gel permeation chromatography showed that at 3 h after the microparticles were injected into the bloodstream, polymer degradation started in all examined organs, except the lungs; at 12 weeks, the M(w) of the polymer matrix was as low as 20-30% of its initial value. The presence of high-molecular-weight (undegraded) polymer in the tissues at 12 weeks after administration of the particles suggests that P(3-HB) is degraded in tissues of internal organs slowly and, hence, P(3-HB)-based microparticles can be used as sustained-release drug delivery systems. PMID- 21067664 TI - Salmonella in raw meat and by-products from pork and beef. AB - After campylobacteriosis, salmonellosis is the second main cause of human bacterial enteritis in Germany. Salmonella is known to colonize the gastrointestinal tract of animals without producing any clinical signs. Therefore, carcasses can become contaminated with Salmonella at the time of slaughter. During an 11-month period, a total of 4,170 raw meat samples and by products from beef and pork, obtained from seven different slaughterhouses in Southern Germany, were screened by the VIDAS system for Salmonella in this study. Positive results were confirmed by isolation of the pathogen on selective agars. The overall percentage of Salmonella-positive samples was 1.4% by the VIDAS system and 0.7% by culture confirmation. Salmonella was detected in 1.8% of pork samples by the VIDAS system and in 1.1% of samples by culture. In beef samples the presence of Salmonella was verified in 0.6% of samples by the VIDAS system and in 0.1% by culture on selective agars. The highest contamination rates were found in porcine and bovine tongue samples. Salmonella was detected in porcine samples throughout the year, except in samples collected in July, and a slight increase was observed in the colder months. The VIDAS system was shown to be an efficient screening method for the detection of Salmonella, with the advantage of a reduced analysis time. PMID- 21067660 TI - Mometasone furoate nasal spray provides early, continuing relief of nasal congestion and improves nasal patency in allergic patients. AB - BACKGROUND: Patients report nasal congestion as the most bothersome seasonal allergic rhinitis (SAR) symptom. Measurement of this symptom in previous research has largely been based on subjective patient ratings. This study was designed to measure efficacy, onset, and duration of action of the corticosteroid mometasone furoate nasal spray (MFNS) on nasal congestion using an environmental exposure chamber (EEC) and the objective assessment acoustic rhinometry (AcR). METHODS: In a randomized, double-blind, placebo-controlled study, ragweed-sensitive subjects were exposed to ragweed pollen (3500 +/- 500 pollen grains/m(3)) in an EEC (day 1). Subjects rated instantaneous total nasal symptom score (TNSS), including NSS for congestion (NSS-C). Qualifying subjects received MFNS, 200 micrograms, or placebo and rated postdosing symptoms; a subset received MFNS, 200 micrograms, or placebo q.d. for 6 subsequent days, returning to EEC on day 8. Days 1 and 8 assessments included AcR, TNSS, and the Rhinoconjunctivitis Quality of Life Questionnaire developed for use in the EEC (RQOLQ-EEC). RESULTS: At day 1, hour 6, patients receiving MFNS (n = 155) reported significantly reduced congestion versus placebo (n = 155) per AcR and NSS-C after one dose, showing numerically superior TNSS change from baseline (p = NS). Among the subset who received 6 additional days of treatment, MFNS (n = 78) yielded significantly lower TNSS versus placebo (n = 77) before day 8 EEC entry and throughout 4-hour exposure (p < 0.05), except at 3.5 hours. AcR showed lower congestion with MFNS versus placebo before day 8 EEC exposure and at 24 and 26 hours after final dose (p < 0.05 for all). AcR and NSS-C correlated at multiple time points. Day 8 RQOLQ-EEC between-group scores were significantly different (p = 0.02) for practical problems. CONCLUSION: MFNS, 200 micrograms, showed onset of nasal congestion relief at 6 hours and duration of action beyond 24 hours postdosing. Objective and subjective assessments were correlated in subjects with maximal (placebo) or minimal (MFNS treatment) congestion symptoms; both assessments were correlated with improved QOL. PMID- 21067665 TI - Detection, semiquantitative enumeration, and antimicrobial susceptibility of Yersinia enterocolitica in pork and chicken meats in Italy. AB - Yersinia enterocolitica is recognized as an etiological agent of gastroenteritis, lymphadenitis, and chronic sequelae. During 2006 and 2007, 205 samples (125 pork and 80 chicken meats) were collected in Italy and tested for detection and most probable-number (MPN) enumeration of Y. enterocolitica organisms. The microorganism was isolated from 45 samples (21.9%): 19 (15.2%) pork samples and 26 (32.5%) chicken samples. Y. enterocolitica MPN contamination levels were low, ranging from 0.30 to 1.50/g. Most (94.4%) Y. enterocolitica strains were biotype 1A (serotypes O:3; O:5; O:6,30; O:6,30-6,31; O:7,8-8-8,19; O:8; O:9; O:25,35; O:36; and O nontypeable), and 5.6% of the isolates were bioserotype 2/O:9. All isolates were tested for yadA, ail, inv, ystA, and ystB virulence sequences. The yadA gene was detected in two strains (3.7%) isolated from chicken samples: one Y. enterocolitica 2/O:9 yadA+ ail+ ystA+, and one Y. enterocolitica 1A/O:7,8-8 8,19 yadA+ inv+ ystB+. Two (3.7%) 2/O:9 strains, isolated from pork products, were ail+ ystA+. Most biotype 1A strains were ystB+ (84.3%) and inv+ (39.2%). All strains were sensitive to cefotaxime, ciprofloxacin, chloramphenicol, nalidixic acid, streptomycin, sulfonamide, tetracycline, trimethoprim, and trimethoprim sulfamethoxazole. Resistance to gentamicin and aztreonam was observed in 1.9% of the isolates. High levels of resistance were detected toward amoxicillin clavulanic acid (27.8%), ampicillin (75.9%), and erythromycin (100%). The authors hypothesize that Y. enterocolitica pathogenic biotypes are rather uncommon in foods when compared with their isolation rates from animal sources and that chicken meat could be contaminated as well as pig meat and its derived products. PMID- 21067666 TI - Modeling time to inactivation of Listeria monocytogenes in response to high pressure, sodium chloride, and sodium lactate. AB - A mathematical model was developed to predict time to inactivation (TTI) by high pressure processing of Listeria monocytogenes in a broth system (pH 6.3) as a function of pressure (450 to 700 MPa), inoculum level (2 to 6 log CFU/ml), sodium chloride (1 or 2%), and sodium lactate (0 or 2.5%) from a 4 degrees C initial temperature. Ten L. monocytogenes isolates from various sources, including processed meats, were evaluated for pressure resistance. The five most resistant strains were used as a cocktail to determine TTI and for model validation. Complete inactivation of L. monocytogenes in all treatments was demonstrated with an enrichment method. The TTI increased with increasing inoculum level and decreasing pressure magnitude, from 1.5 min at 700 MPa and 2 log CFU/ml, to 15 min at 450 MPa and 6 log CFU/ml. Neither NaCl nor sodium lactate significantly influenced TTI. The model was validated with ready-to-eat, uncured, Australian retail poultry products, and with product specially made at a U.S. Department of Agriculture, Food Safety and Inspection Service (FSIS)-inspected pilot plant in the United States. Data from the 210 individual product samples used for validation indicate that the model gives "fail-safe" predictions (58% with response as expected, 39% with no survivors where survivors expected, and only 3% with survivors where none were expected). This model can help manufacturers of refrigerated ready-to-eat meats establish effective processing criteria for the use of high pressure processing as a postlethality treatment for L. monocytogenes in accordance with FSIS regulations. PMID- 21067667 TI - Fates of foodborne pathogens in raw hams manufactured rapidly using a new patented method. AB - To manufacture raw ham in an efficient manner, we recently developed a new system in which presliced pork loin was used, and the processing time was reduced to 5% of the conventional method. This study aimed to examine whether this raw ham could be as safe as ham produced by the conventional method. Pork loin spiked with enterohemorrhagic Escherichia coli serotype O157:H7, Listeria monocytogenes serotype 1/2c, Salmonella enterica serovar Enteritidis, and Staphylococcus aureus were processed using either the new or conventional method. The fate of the foodborne pathogens and behavior of hygiene indicator bacteria were examined. Whereas nitrite had disappeared during the conventional packaging process, the reduced processing time in the new system allowed for the ham to be vacuum packed with retention of the nitrite (6.9+/-1.2 ppm, P<0.01). This accounts for the prominent decrease in L. monocytogenes (2.3 log reduction in 35 days) and S. aureus (3.3 log reduction in 13 days) counts during storage. E. coli O157 and Salmonella Enteritidis were likely resistant to the nitrite in the ham. However, they were unable to multiply in the ham and decreased gradually as in the conventionally produced ham. The bacteriostatic nature of the raw ham was also indicated by the gradual decrease in coliforms (1.3 log reduction in 13 days) in nonspiked ham. In conclusion, the raw ham produced using presliced pork loin is practically as safe as conventionally produced raw ham. It is worth validating these results in a small-scale production setting. PMID- 21067668 TI - Preharvest internalization of Escherichia coli O157:H7 into lettuce leaves, as affected by insect and physical damage. AB - Environmental pests may serve as reservoirs and vectors of zoonotic pathogens to leafy greens; however, it is unknown whether insect pests feeding on plant tissues could redistribute these pathogens present on the surface of leaves to internal sites. This study sought to differentiate the degree of tissue internalization of Escherichia coli O157:H7 when applied at different populations on the surface of lettuce and spinach leaves, and to ascertain whether lettuce infesting insects or physical injury could influence the fate of either surface or internalized populations of this enteric pathogen. No internalization of E. coli O157:H7 occurred when lettuce leaves were inoculated with 4.4 log CFU per leaf, but it did occur when inoculated with 6.4 log CFU per leaf. Internalization was statistically greater when spinach leaves were inoculated on the abaxial (underside) than when inoculated on the adaxial (topside) side, and when the enteric pathogen was spread after surface inoculation. Brief exposure (~18 h) of lettuce leaves to insects (5 cabbage loopers, 10 thrips, or 10 aphids) prior to inoculation with E. coli O157:H7 resulted in significantly reduced internalized populations of the pathogen within these leaves after approximately 2 weeks, as compared with leaves not exposed to insects. Surface-contaminated leaves physically injured through file abrasions also had significantly reduced populations of both total and internalized E. coli O157:H7 as compared with nonabraded leaves 2 weeks after pathogen exposure. PMID- 21067669 TI - Distribution of deoxynivalenol and nivalenol in milling fractions from fusarium infected Japanese wheat cultivars. AB - The fate of the Fusarium mycotoxins deoxynivalenol and nivalenol during the milling of Japanese wheat cultivars artificially infected with Fusarium was investigated. Grain samples with different mycotoxin concentrations were milled using a laboratory-scale test mill to produce eight fractions: three breaking flours (1B, 2B, and 3B), three reduction flours (1M, 2M, and 3M), wheat bran, and wheat shorts. Patent flour for human consumption was made from the 1B, 2B, 1M, and 2M flours, and low-grade flour was made from 3B and 3M flours. The four resulting samples (patent flour, low-grade flour, bran, and shorts) were analyzed for deoxynivalenol and/or nivalenol with an in-house validated analytical method using high-performance liquid chromatography with UV absorbance detection. In samples with different mycotoxin concentrations, the distribution of those toxins differed among the milling fractions. Grains with a lower level of contamination produced bran and shorts samples with a high relative concentration of nivalenol. A high percentage of nivalenol was found in patent flour, followed by bran. Contrary to the less-contaminated sample, the concentration of nivalenol in moderately contaminated grain was high only in the shorts sample. The highest percentage of deoxynivalenol and nivalenol was observed in the patent flour. The results of this study indicate that the distribution of deoxynivalenol and nivalenol in milled Japanese wheat could be influenced by the contamination level of the original grain, and the milling process is not always effective for removal of toxins from wheat grains. PMID- 21067670 TI - Infectivity of Cryptosporidium parvum oocysts after storage of experimentally contaminated apples. AB - Irrigation water and washing water have been inferred to be associated with contamination of fresh fruits and vegetables with pathogenic microorganisms infectious for humans. The objective of the present study was to determine whether apples experimentally contaminated with Cryptosporidium oocysts represent a food safety concern. Laser scanning confocal microscopy revealed no morphological changes in Cryptosporidium parvum oocysts attached to apples after 6 weeks of cold storage, suggesting that oocysts might remain viable and possibly infectious during prolonged storage. Mice were fed apple peels from experimentally contaminated apples to determine whether oocysts had remained infectious on apples stored for 4 weeks. All mice developed cryptosporidiosis. To evaluate the strength of oocyst attachment to apples, washing methods that have been reported to be helpful for recovery of oocysts from various foodstuffs were evaluated, except that the intensity of washing was increased in the present study. None of the tested washing methods succeeded in completely removing oocysts from the apple peel. The most efficient removal (37.5%) was achieved by rigorous manual washing in water with a detergent and by agitation in an orbital shaker with Tris-sodium dodecyl sulfate buffer. Glycine and phosphate-buffered saline buffers had no effect on oocyst removal. Scanning electron microscopy revealed that some oocysts were attached in deep natural crevices in the apple exocarp and others were attached to the smooth surface of the peel. Some oocysts were closely associated with what appeared to be an amorphous substance with which they might have been attached to the apple surface. PMID- 21067671 TI - Quantitative microbial risk assessment for Escherichia coli O157:H7, Salmonella enterica, and Listeria monocytogenes in leafy green vegetables consumed at salad bars, based on modeling supply chain logistics. AB - Quantitative microbial risk assessments do not usually account for the planning and ordering mechanisms (logistics) of a food supply chain. These mechanisms and consumer demand determine the storage and delay times of products. The aim of this study was to quantitatively assess the difference between simulating supply chain logistics (MOD) and assuming fixed storage times (FIX) in microbial risk estimation for the supply chain of fresh-cut leafy green vegetables destined for working-canteen salad bars. The results of the FIX model were previously published (E. Franz, S. O. Tromp, H. Rijgersberg, and H. J. van der Fels-Klerx, J. Food Prot. 73:274-285, 2010). Pathogen growth was modeled using stochastic discrete-event simulation of the applied logistics concept. The public health effects were assessed by conducting an exposure assessment and risk characterization. The relative growths of Escherichia coli O157 (17%) and Salmonella enterica (15%) were identical in the MOD and FIX models. In contrast, the relative growth of Listeria monocytogenes was considerably higher in the MOD model (1,156%) than in the FIX model (194%). The probability of L. monocytogenes infection in The Netherlands was higher in the MOD model (5.18*10(-8)) than in the FIX model (1.23*10(-8)). The risk of listeriosis-induced fetal mortality in the perinatal population increased from 1.24*10(-4) (FIX) to 1.66*10(-4) (MOD). Modeling the probabilistic nature of supply chain logistics is of additional value for microbial risk assessments regarding psychrotrophic pathogens in food products for which time and temperature are the postharvest preventive measures in guaranteeing food safety. PMID- 21067672 TI - Tetracycline resistance associated with commensal bacteria from representative ready-to-consume deli and restaurant foods. AB - Proper knowledge of antibiotic resistance (AR) dissemination is essential for effective mitigation. This study examined the profiles of tetracycline-resistant (Tetr) commensal bacteria from representative ready-to-consume food samples from salad bars at local grocery stores and restaurants. Out of 900 Tetr isolates examined, 158 (17.6%) carried one or more of tetM, tetL, tetS, and tetK genes by conventional PCR, 28 harbored more than one Tetr determinants. The most prevalent genotype was tetM, which was detected in 70.9% of the AR gene carriers, followed by tetL (31.6%), tetS (13.9%), and tetK (2.5%). Identified AR gene carriers included Enterococcus, Lactococcus, Staphylococcus, Brochothrix, Carnobacterium, Stenotrophomonas, Pseudomonas, and Sphingobacterium, by 16S rRNA gene sequence analysis. AR determinants were successfully transmitted, and led to resistance in Streptococcus mutans via natural gene transformation and Enterococcus faecalis via electroporation, suggesting the functionality and mobility of the AR genes from the food commensal bacteria. In addition, the AR traits in many isolates are quite stable, even in the absence of the selective pressure. The identification of new commensal carriers for representative AR genes revealed the involvement of a broad spectrum of bacteria in the horizontal transmission of AR genes. Meanwhile, the spectrum of the antibiotic-resistant bacteria differed from the spectrum of the total bacteria (by denaturing gradient gel electrophoresis) associated with the food items. Our data revealed a common avenue in AR exposure and will assist in proper risk assessment and the development of comprehensive mitigation strategies to effectively combat AR. PMID- 21067673 TI - Observational study of food safety practices in retail deli departments. AB - In order to improve the safety of refrigerated ready-to-eat food products prepared at retail deli departments, a better understanding of current practices in these establishments is needed. Food employees in deli departments at six chain and three independent retail establishments in Maryland and Virginia were observed, using notational analysis, as they prepared deli products for sale. The frequency of contact with objects and deli products before sale, hand washing and glove changing during preparation, and equipment, utensil, and surface cleaning and sanitizing was determined. Compliance with the U.S. Food and Drug Administration's 2005 model Food Code recommendations, which must be adopted by the individual state and local jurisdictions that are responsible for directly regulating retail establishments, was also assessed. Observations indicated there were a large number of actions for which hand washing was recommended at independent and chain stores (273 recommended of 1,098 total actions and 439 recommended of 3,073 total actions, respectively). Moreover, 67% (295 of 439) of the actions for which hand washing was recommended at the chain stores and 86% (235 of 273) of those at the independent stores resulted from employees touching non-food contact surfaces prior to handling ready-to-eat food. Compliance with hand washing recommendations was generally low and varied depending on store type with independent stores exhibiting lower compliance than chain stores (5 instances of compliance for 273 recommended actions and 73 instances of compliance for 439 recommended actions, respectively). Potential risk mitigation measures that may reduce the frequency of hand washing actions needed during ready-to-eat food preparation in retail deli departments are discussed. More research is needed to determine the impact of such measures on food safety. PMID- 21067674 TI - A prolonged outbreak of Salmonella Montevideo infections associated with multiple locations of a restaurant chain in Phoenix, Arizona, 2008. AB - An outbreak of Salmonella serotype Montevideo infections associated with multiple locations of restaurant chain A in Phoenix, AZ, was identified in July 2008. One infected individual reported eating at a chain A catered luncheon where others fell ill; we conducted a cohort study among attendees to identify the vehicle. Food and environmental samples collected at six chain A locations were cultured for Salmonella. Restaurant inspection results were compared among 18 chain A locations. Routine surveillance identified 58 Arizona residents infected with the outbreak strain. Three chain A locations, one of which catered the luncheon, were named by two or more case patients as a meal source in the week prior to illness onset. In the cohort study of luncheon attendees, 30 reported illness, 10 of which were later culture confirmed. Illness was reported by 30 (61%) of 49 attendees who ate chicken and by 0 of 7 who did not. The outbreak strain was isolated from two of these three locations from uncooked chicken in marinade, chopped cilantro, and a cutting board dedicated to cutting cooked chicken. Raw chicken, contaminated before arrival at the restaurant, was the apparent source of this outbreak. The three locations where two or more case patients ate had critical violations upon routine inspection, while 15 other locations received none. Poor hygiene likely led to cross-contamination of food and work areas. This outbreak supports the potential use of inspections in identifying restaurants at high risk of outbreaks and the need to reduce contamination of raw products at the source and prevent cross-contamination at the point of service. PMID- 21067675 TI - Identification of Escherichia coli O157:H7 surrogate organisms to evaluate beef carcass intervention treatment efficacy. AB - We compared the survival of potential pathogen surrogates-meat-hygiene indicators (non-Escherichia coli coliforms), biotype I E. coli, and lactic acid bacteria starter cultures-with survival of an E. coli O157:H7 (ECO157:H7) inoculum in beef carcass intervention trials. Survival of one lactic acid bacteria starter culture (Bactoferm LHP Dry [Pediococcus acidilactici and Pediococcus pentosaceus]), a five-isolate biotype I inoculum, and a five-isolate non-E. coli coliform inoculum, was compared with survival of a 12-isolate ECO157:H7 inoculum in interventions by using beef brisket (adipose and lean), cod fat membrane, or neck tissue. Treatments were grouped by abattoir size: small (6-day dry aging; 22 degrees C acid treatment [2.5% acetic acid, 2% lactic acid, or Fresh Bloom], followed by 1-day dry aging; hot water) and large (warm acid treatment [5% acetic acid or 2% lactic acid] with or without a preceding hot water treatment). Reductions in pathogen and surrogate inocula were determined with excision sampling. A surrogate was considered a suitable replacement for ECO157:H7 if the intervention produced a reduction in surrogate levels that was not significantly greater (P>=0.05) than that observed for ECO157:H7. All three surrogate inocula were suitable as ECO157 surrogates for dry aging and acid spray plus dry-aging treatments used by small abattoirs. No one inoculum was suitable as an ECO157 surrogate across all intervention treatments used by large abattoirs. Effects seen on neck tissue were representative of other tissues, and the low value of the neck supports its use as the location for evaluating treatment efficacy in in plant trials. Results support using nonpathogenic surrogate organisms to validate beef carcass intervention efficacy. PMID- 21067676 TI - Risk ranking: investigating expert and public differences in evaluating food safety hazards. AB - The allocation of resources with respect to food safety issues requires that decision makers prioritize these issues, which may conflict with the public's opinions on these matters. The purpose of this study was to compare how Canadian expert and lay respondents rank different food hazards, with a view to better understanding their underlying rationales for making decisions on food safety. A Carnegie Mellon risk ranking model was adapted for use by individuals with different backgrounds to rank six food safety issues: bovine spongiform encephalopathy (BSE), Escherichia coli O157:H7, Salmonella, botulism, paralytic shellfish poisoning (PSP), and acrylamide. Focus groups were conducted using public (n=29) and expert (n=21) participants. Key themes were identified from the focus groups as reasons why issues were rated high or low. The most common themes for high rankings were prevalence (of an agent) and/or severity (of a disease) and knowledge and control of a food safety issue. For the lowest rankings, common themes included low prevalence and severity and personal control over an issue. Explanations for why choices were made included availability, affect, numeracy, and optimistic bias. The majority of the rationales used by all participants were similar with the exception of the high ranking given to acrylamide by the public participants. The effect of attribute framing seemed to be the most influential in a participant's choices. Understanding that comparable reasoning is used in food safety decisions by both experts and the public has important implications for developing productive risk communication dialogues about issues and priorities. PMID- 21067677 TI - Thermoaciduric Clostridium pasteurianum spoilage of shelf-stable apple juice. AB - Clostridium pasteurianum BB, a saccharolytic and spore-forming obligate anaerobe, was isolated and identified from shelf-stable apple juice that was responsible for multiple large spoilage outbreaks. The growth and sporulation conditions of C. pasteurianum were atypical compared with those previously published. C. pasteurianum spores were heat resistant in apple juice at pH 3.80, with D-values at 80, 85, and 90 degrees C being 34.4, 15.9, and 4.4 min, respectively, and a z value of 11 degrees C. The survival curves for thermal inactivation obeyed linear first-order kinetics. Apple juice with varying pH values was used to determine the effect of pH on germination capability of C. pasteurianum spores. The spores were found to be able to germinate at pH as low as 4.3 in pH-adjusted apple juice at low contamination levels. It was confirmed by PCR that C. pasteurianum isolated from spoiled apple juice did not contain the genes for botulinum toxins B and E, which were more commonly found in neurotoxigenic butyric clostridia. Control of finished-juice pH to below 4.0 in combination with mild heating was proposed to prevent potential spoilage of shelf-stable apple juice made with spore-contaminated apple juice concentrate. PMID- 21067678 TI - Microwave heating effects on the chemical composition and the antioxidant capacity of tataouine virgin olive oils from Tunisia. AB - Four Tunisian virgin olive oils (VOOs), derived from varieties (Chemlali Tataouine, Zarrazi Douirat, Fakhari Douirat, and Dhokar Douirat) grown in the harsh pedoclimatic conditions of the region of Tataouine, were evaluated for their responses to microwave heating. Aside from fatty acid composition, all other evaluated parameters were affected by microwave heating, and their variations depend on the genetic factor. Chemlali Tataouine VOO exhibited the slowest biophenol degradation rate and the least diminution in oxidative stability and consequently, its total fraction and both lipidic and methanolic fractions remained unchanged with an exceptional antioxidant potential. In the remaining studied VOOs, the biophenol contents, the oxidative stability, and the antioxidant potential underwent gradual decreases; nevertheless, their levels at the longer treatment time are close to some fresh VOOs. These results should be taken into consideration when Tataouine VOOs are recommended for microwave heating. PMID- 21067679 TI - Multiplication of Salmonella enteritidis in egg yolks after inoculation outside, on, and inside vitelline membranes and storage at different temperatures. AB - Prompt refrigeration to restrict bacterial growth is important for reducing eggborne transmission of Salmonella enterica serovar Enteritidis (SE). The nutrient-rich yolk interior is a relatively infrequent location for initial SE deposition in eggs, but migration across the vitelline membrane can result in rapid bacterial multiplication during storage at warm temperatures. The objective of the present study was to measure the multiplication of SE in yolks after introduction at three different locations and subsequent storage at a range of temperatures. Using an in vitro egg contamination model, approximately 100 CFU of SE was inoculated either inside yolks, onto the exterior surface of vitelline membranes, or into the adjacent albumen. After storage of samples from each inoculation group at 10, 15, 20, and 25 degrees C for 24 h, SE was enumerated in yolks. For all three inoculation locations, the final SE levels in yolks increased significantly with increasing storage temperatures. At all storage temperatures, significant differences in SE multiplication were observed between inoculation sites (yolk inoculation>vitelline membrane inoculation>albumen inoculation). At 25 degrees C, final log concentrations of 7.759 CFU of SE per ml (yolk inoculation), 2.014 CFU/ml (vitelline membrane inoculation), and 0.757 CFU/ml (albumen inoculation) were attained in yolks after storage. These results demonstrate that, even when the initial site of SE deposition is outside the egg yolk, substantial multiplication supported by yolk nutrients can occur during the first day of storage and the risk of bacterial growth increases at higher ambient storage temperatures. PMID- 21067680 TI - Control of Bacillus cereus in foods by Rhodomyrtus tomentosa (Ait.) Hassk. Leaf extract and its purified compound. AB - Edible natural products, either standardized plant extracts or pure compounds, provide unlimited opportunities as safe new antimicrobial agents. This study investigated the antibacterial properties of ethanolic extract from Rhodomyrtus tomentosa (Ait.) Hassk. leaves against Bacillus cereus. Preliminary screening to evaluate the activities of the extract used a paper disc diffusion method against 65 food isolates. The extract produced large inhibition zones in all isolates, ranging from 10 to 18 mm. The results were confirmed by MIC and MBC (16 to 64 and 32 to 256 MUg/ml, respectively). Rhodomyrtone, a purified compound, exhibited MIC and MBC at 0.5 and at 2 to 8 MUg/ml, respectively. The antimicrobial activity of the extract on vegetative cells and endospores of a representative B. cereus isolate (MIC=32 MUg/ml) was assessed by enumerating viable cells at different time intervals up to 24 h. At 2 MICs and 4 MICs, a reduction in the viability of the bacterial cells and endospores was at least 3 log within 6 to 8 h and 2 h after incubation, respectively. Application of the extract in precooked rice and tuna steak demonstrated that after exposure to 16 MICs and 32 MICs, the numbers of viable cells and endospores in both model systems were reduced by at least 2 log within 12 and 6 h, respectively. Since the extract consistently produced remarkable activity against both cells and endospores, it could be used as an alternative food additive for controlling B. cereus without compromising food safety. PMID- 21067681 TI - Efficacy of the thin agar layer method for the recovery of stressed Cronobacter spp. (Enterobacter sakazakii). AB - Cronobacter spp. (Enterobacter sakazakii) are emerging opportunistic pathogens for all age groups, and are of particular concern when it comes to infants. Prior to contaminating food, the organism may be exposed to a variety of stresses, leading to a generation of sublethally injured cells that may not be detected by selective media unless a protracted recovery period is included in the isolation procedure. This study evaluated the efficacy of the thin agar layer (TAL) method for the recovery of Cronobacter cells that had been exposed to various stress conditions. Five strains of C. sakazakii and C. muytjensii were exposed to starvation, heat, cold, acid, alkaline, chlorine, or ethanol, with or without further exposure to desiccation stress. The recovery of the stressed cells was determined on tryptone soy agar (TSA; nonselective control medium), violet red bile glucose agar (VRBGA; selective agar), Druggan-Forsythe-Iversen (DFI; selective agar), and TAL media (viz., VRBGA overlaid with TSA, and DFI overlaid with TSA). Regardless of stress type, there were no significant differences among the recoveries of stressed desiccated Cronobacter spp. cultures on TSA, DFI+TSA, and VRBGA+TSA, but there was significantly less recovery on VRBGA. The recovery of prestressed desiccated Cronobacter spp. on DFI+TSA was similar to that on TSA, whereas the recovery on VRBGA+TSA was lower. DFI+TSA performed better than VRBGA+TSA did in differentiating Cronobacter spp. within mixed bacterial cultures. The results of this study suggest the use of the TAL method DFI+TSA as an improved method for the direct recovery of stressed Cronobacter spp. PMID- 21067682 TI - Sources and risk factors for contamination, survival, persistence, and heat resistance of Salmonella in low-moisture foods. AB - Sources and risk factors for contamination, survival, persistence, and heat resistance of Salmonella in low-moisture foods are reviewed. Processed products such as peanut butter, infant formula, chocolate, cereal products, and dried milk are characteristically low-water-activity foods and do not support growth of vegetative pathogens such as Salmonella. Significant food safety risk might occur when contamination takes place after a lethal processing step. Salmonella cross contamination in low-moisture foods has been traced to factors such as poor sanitation practices, poor equipment design, and poor ingredient control. It is well recognized that Salmonella can survive for long periods in low-moisture food products. Although some die-off occurs in low-moisture foods during storage, the degree of reduction depends on factors such as storage temperature and product formulation. The heat resistance of Salmonella is affected by many factors, mostly by strain and serotypes tested, previous growth and storage conditions, the physical and chemical food composition, test media, and the media used to recover heat-damaged cells. Salmonella heat resistance generally increases with reducing moisture. Care must be taken when applying published D- and z-values to a specific food process. The product composition and heating medium and conditions should not be significantly different from the product and process parameters used by the processors. PMID- 21067683 TI - Outbreaks where food workers have been implicated in the spread of foodborne disease. Part 9. Washing and drying of hands to reduce microbial contamination. AB - During various daily activities at home and work, hands quickly become contaminated. Some activities increase the risk of finger contamination by pathogens more than others, such as the use of toilet paper to clean up following a diarrheal episode, changing the diaper of a sick infant, blowing a nose, or touching raw food materials. Many foodborne outbreak investigation reports have identified the hands of food workers as the source of pathogens in the implicated food. The most convenient and efficient way of removing pathogens from hands is through hand washing. Important components of hand washing are potable water for rinsing and soaps to loosen microbes from the skin. Hand washing should occur after any activity that soils hands and certainly before preparing, serving, or eating food. Antimicrobial soaps are marginally more effective than plain soaps, but constant use results in a buildup of the antimicrobial compound on the skin. The time taken to wash hands and the degree of friction generated during lathering are more important than water temperature for removing soil and microorganisms. However, excessive washing and scrubbing can cause skin damage and infections. Drying hands with a towel removes pathogens first by friction during rubbing with the drying material and then by wicking away the moisture into that material. Paper rather than cloth towels should be encouraged, although single-use cloth towels are present in the washrooms of higher class hotels and restaurants. Warm air dryers remove moisture and any surface microorganisms loosened by washing from hands by evaporation while the hands are rubbed together vigorously; however, these dryers take too long for efficient use. The newer dryers with high-speed air blades can achieve dryness in 10 to 15 s without hand rubbing. PMID- 21067684 TI - Athletic training in dance medicine and science. PMID- 21067685 TI - Functional criteria for assessing pointe-readiness. AB - The most popular criterion cited in the dance literature for advancement to pointe work is attainment of the chronological age of 12 years. However, dancers at this age vary greatly in terms of musculoskeletal maturity and motor skill development. The purpose of this study was to investigate whether objective, functional tests could be used in conjunction with dance teacher expertise to determine pointe-readiness. It was hypothesized that dynamic tests of motor control can better indicate pointe-readiness than chronological age alone or in combination with static musculoskeletal measurements. Thirty-seven pre-pointe students from two professional ballet schools were tested for muscular strength, ankle joint range of motion, single leg standing balance, dynamic alignment, and turning skill. In addition, the participating students' ballet teachers independently graded each student on her readiness to begin dancing en pointe. Performance on three functional tests (the Airplane test, Saute test, and Topple test) was closely associated with teacher subjective rating for pointe-readiness. It is concluded that these tests may be more useful for gauging acquisition of the skills required for safe and successful performance than the traditionally accepted indicators of chronological age, years of dance training, and ankle joint range of motion. PMID- 21067686 TI - Acute ankle sprain in dancers. AB - Ankle sprain is a common injury in dancers. Because of the relative frequency of this injury and its wide acceptance as a likely part of an active lifestyle, in many individuals it may not receive the careful attention it deserves. An extreme ankle range of motion and excellent ankle stability are fundamental to success in dance. Hence, following a proper treatment protocol is crucial for allowing a dancer who suffers an ankle sprain to return to dance as soon as possible without impaired function. This article reviews the basic principles of the etiology and management of ankle sprain in dancers. Key concepts are on-site examination and treatment, early restoration, dance-specific rehabilitation, and a carefully administered safe return to dance. Additionally, injuries that may occur in conjunction with ankle sprain are highlighted, and practical, clinically relevant summary concepts for dance healthcare professionals, dance scientists, dance teachers, and dancers are provided. PMID- 21067687 TI - Overview of thigh injuries in dance. AB - Thigh injuries include musculotendinous strains of the quadriceps, hamstrings, adductors, iliotibial band (ITB), and bony injuries to the shaft of the femur. There is scant information in the literature regarding thigh injuries in dance, which appear to range from 5% to 16% of total injury incidence. Hamstring strains and ITB syndrome are the most commonly reported thigh injuries. Hamstring injuries occur most frequently during slow stretching when the dancer's hip is flexed and knee extended. Uniquely in dancers, adductor injury occurs concurrently with hamstring injuries in approximately one-third of cases. Snapping of the ITB at the lateral hip and knee may result from imbalance of thigh muscle strength and flexibility. To date no quadriceps strain injuries or stress injuries to the shaft of the femur have been reported in the dance medicine literature. As dancers notoriously underestimate time needed to return to dance, it can be suggested that early return to work is a contributing factor to chronic injury. Further research is needed regarding the incidence and nature of injury to the thigh among dancers. PMID- 21067688 TI - Bandaging and taping considerations for the dancer. AB - Although widely disputed, bandaging and taping techniques are common practice in sports medicine. This article reviews literature related to the efficacy of bandaging and taping procedures and their role in sport and dance medicine. It further examines dance-specific application principles, and outlines selected techniques for treatment of common dance-related pathologies. PMID- 21067689 TI - Perspectives on dance science rehabilitation understanding whole body mechanics and four key principles of motor control as a basis for healthy movement. AB - This article reviews selected issues of importance in the evaluation, treatment, and reconditioning of dancers during and following injury and offers some insights on injury prevention. The athletic training practice domains of prevention, clinical evaluation and diagnosis, treatment, and rehabilitation and reconditioning within the context of dance medicine are the general focus. In particular, issues relating to the rehabilitation of dancers are presented as they reflect the reasonable, objective practices of the health care profession. PMID- 21067690 TI - Times they are a-changing. PMID- 21067691 TI - A year and two months of 2009 influenza pandemic. PMID- 21067692 TI - The early days of pandemic (H1N1) 2009 virus infection in the central region of Portugal. AB - BACKGROUND: The first case of pandemic (H1N1) 2009 virus infection was diagnosed in the central region of Portugal on June 16, 2009, in a woman infected in Canada. METHODS: The aim of our study was, first to characterize the clinical and epidemiologic aspects of all the patients with clinical manifestations included in the definition of case for investigation with samples submitted to diagnosis of the pandemic (H1N1) 2009 virus infection, in the central region of Portugal; second to assess the precision of the case definition of case for investigation considered in the study according to the presence or the absence of fever at the moment of clinical observation. We reviewed the medical records of all the patients presenting with Influenza like-illness classified as case for investigation and the first cases of patients infected with the new pandemic (H1N1) 2009 virus, diagnosed in the central region of Portugal during the pandemic period between June and August, 2009, were analyzed. Real-time reverse transcriptase polymerase-chain-reaction (RT-PCR) testing was used to confirm the pandemic (H1N1) 2009 virus infection. Data collection was performed on a standardized paper format in agreement with the General Health Directorate. RESULTS AND DISCUSSION: Pandemic (H1N1) 2009 virus infection was confirmed in 255 patients. Overall, median age was 23 years and 42.7 % were included in the category of 20 to 29 years. Confirmed infection in patients with less than 2 years or greater than 50 years was a rare event. The first cases were imported from Europe, namely France, Spain and England. On a second phase, pandemic (H1N1) 2009 virus infection was acquired in the south of Portugal (Algarve), before de diagnosis of the first domestic case. The incidence rate for pandemic (H1N1) 2009 virus infection was 10.7 per 100,000 persons and was different according to the district. It was higher in the district of Coimbra and Guarda were the main roads connecting to Europe are. The median calculated incubation period for the for pandemic (H1N1) 2009 virus infection was 2 days. The length of the clinical manifestations until the patients look for medical observation had a median time of 2 days. All the cases were of mild to moderate severity. No deaths were observed. CONCLUSIONS: The early days of pandemic (H1N1) 2009 virus infection was mild in our region. Most affected patients were young adults, with the extreme categories ages of life being spared. Early detection and diagnosis, combined with stringent isolation and treatment procedures could have slowed the spread of the infection in our region. PMID- 21067693 TI - Pandemic influenza A (H1N1) in the North of Portugal: how did the autumn-winter wave behave? AB - INTRODUCTION: In April 2009 the Centers for Disease Control and Prevention announced the identification of a novel influenza virus in two patients in California, called influenza A (H1N1) 2009. On 11 June 2009 the Director-General of the World Health Organization declared a pandemic of influenza A (H1N1). In Portugal the first case of pandemic influenza A (H1N1) was reported on 29th April and the Northern Region of the country registered the first cases soon after that. AIM: This report pretends to give an overview of the characteristics of Autumn/Winter pandemic wave in the North of Portugal. RESULTS: A total of 64 195 cases of influenza-like illness were registered in public health services in the region between week 40, 2009 and week 4, 2010. The cumulative attack rate of those cases was 17.1/1 000 inhabitants. Most of the cases occurred in females and in the under 20 years. The peak of the Autumn/Winter wave was attained in week 48, but geographic and time distribution of the pandemic was heterogeneous in the region. Hospitalization rate for influenza-like illness cases in the population was higher for the under 10 years and decreased with age. Forty four deaths in pandemic influenza A (H1N1) laboratory-confirmed cases occurred in the region (mortality rate - 1.2/100 000) and the risk of death was lower in younger age groups. The peak of deaths occurred two weeks later than the peak of cases. CONCLUSION: We can assume that the Autumn/Winter pandemic wave impact was mild in the Northern Region of Portugal. We consider the importance of pursuing and reinforcing influenza surveillance in the region. PMID- 21067694 TI - Effects of arm bracing posture on respiratory muscle strength and pulmonary function in patients with chronic obstructive pulmonary disease. AB - OBJECTIVE: To analyze the effect of arm bracing posture on respiratory muscle strength and pulmonary function in patients with Chronic Obstructive Pulmonary Disease (COPD). METHODS: 20 patients with COPD (11 male; 67 +/- 8 years; BMI 24 +/- 3 Kg . m-2) were submitted to assessments of Maximal Inspiratory and Expiratory Pressures (MIP and MEP, respectively) and spirometry with and without arm bracing in a random order. The assessment with arm bracing was done on standing position and the height of the support was adjusted at the level of the ulnar styloid process with elbow flexion and trunk anterior inclination of 30 degrees promoting weight discharge in the upper limbs. Assessment without arm bracing was also performed on standing position, however with the arms relaxed alongside the body. The time interval between assessments was one week. RESULTS: MIP, MEP and maximal voluntary ventilation (MVV) were higher with arm bracing than without arm bracing (MIP 64 +/- 22 cmH2O versus 54 +/- 24 cmH2O, p = 0,00001; MEP 104 +/- 37 cmH2O versus 92 +/- 37 cmH2O, p = 0,00001 and MVV 42 +/- 20 L/min versus 38 +/- 20 L/min, p = 0,003). Other variables did not show statistical significant difference. CONCLUSION: The arm bracing posture resulted in higher capacity to generate force and endurance of the respiratory muscles in patients with COPD. PMID- 21067695 TI - Respiratory muscle strength and quality of life in myotonic dystrophy patients. AB - INTRODUCTION: Studies on quality of life in myotonic dystrophy (MD) are scarce and the relationship between respiratory muscle strength and health-related quality of life (HRQoL) has yet to be determined. The present study aims to investigate respiratory muscle strength and HRQoL and their relationship in MD patients. METHODS: Twenty-three patients (13 men, aged 40 +/- 16 years) with MD were evaluated for pulmonary function, maximal inspiratory and expiratory pressure (MIP and MEP, respectively), sniff nasal inspiratory pressure (SNIP) and HRQoL using the Short Form (SF-36) quality of life questionnaire. RESULTS: Respiratory muscle strength values were 71 +/- 20 cmH2O (64 % predicted), 76 +/- 32 cmH2O (70 % predicted), and 79 +/- 28 cmH2O (80 % predicted) for MEP, MIP, and SNIP respectively. Significant differences were found in the SF-36 domains of physical functioning 58.7 +/- 31.4 vs. 84.5 +/- 23 (p < 0.01, 95 % CI = 1.6-39.9) and physical problems 43.4 +/- 35.2 vs. 81.2 +/- 34 (p < 0.001, 95 % CI = 19.4 6.1) when compared with the reference values. According to single linear regression analysis, MIP explains 29 % of the variance in physical functioning, 18 % of physical problems and 20 % of vitality. CONCLUSIONS: Individuals with MD have reduced expiratory muscle strength. HRQoL may be more impaired in some physical domains, which might be influenced by variations in inspiratory muscle strength. PMID- 21067696 TI - Portuguese Journal of Pulmonology: year-in-review 2009. AB - The Portuguese Journal of Pulmonology is progressively achieving an important status in Portuguese medical literature. The present editors thought it would be an enriching task to revise the main topics published during 2009. The invited members of the Editorial Board covered and commented the most relevant articles and gave us an important picture of the quality of the science it was published in Portuguese Pulmonology. PMID- 21067697 TI - H1N1 infection and acute respiratory failure: can we give non-invasive ventilation a chance? AB - In 2009, a novel H1N1 Influenza virus has emerged and on June 11 the World Health Organization declared it as pandemic. It may cause acute respiratory failure ranging from severe Acute Respiratory Distress Syndrome to exacerbations of airflow limitation. Non-invasive ventilation is now considered first-line intervention for different causes of acute respiratory failure and may be considered in the context of H1N1 pandemic. Although infection control issues have been arisen, non-invasive ventilation was effective and safe during the Severe Acute Respiratory Syndrome in Asia. It is reasonable to recommend non invasive ventilation in H1N1-related exacerbations of chronic respiratory diseases, especially in negative-pressure wards. Treatment of early Acute Respiratory Distress Syndrome associated with H1N1 using non-invasive ventilation could be tried rapidly identifying those who fail without delaying endotracheal intubation. Considering the high demand for critical care beds during the pandemic, non-invasive ventilation may have a role in reducing the estimated load. PMID- 21067698 TI - High frequency chest wall oscillation plus mechanical in-exsufflation in Duchenne muscular dystrophy with respiratory complications related to pandemic Influenza A/H1N1. AB - Two young boys with Duchenne muscular dystrophy, who had contracted 2009 pandemic influenza A/H1N1 (pH1N1), had been treated with antibiotics and steroids without significant improvement. One of them showed severe scoliosis. After hospitalization chest CT scan revealed extensive pulmonary bilateral segmental atelectasis. Their clinical and radiological findings rapidly improved when a sequential respiratory physiotherapy protocol was adopted that consisted of the application of multiple sessions of high-frequency chest wall oscillations, each one followed by mechanically assisted coughing manoeuvres. The protocol was well tolerated, effective, easy to apply and special positioning was not required. Fifteen days after treatment initiation both patients clinically recovered. This treatment can be very helpful for neuromuscular patients, particularly when scoliosis prevents conventional respiratory physiotherapy. PMID- 21067699 TI - Bronchoscopic hemostatic tamponade with oxidized regenerated cellulose for major hemoptysis control: two case reports. AB - Hemoptysis is a common and alarming clinical problem. Acute massive hemoptysis is a life threatening condition. Different therapeutic strategies such as surgery, endovascular treatment and/or bronchoscopy have been applied. We report two cases of patients with severe hemoptysis who were treated by bronchoscopy guided topical hemostatic tamponade therapy with oxidized regenerated cellulose. PMID- 21067700 TI - Argon-plasma treatment in benign metastasizing leiomyoma of the lung: a case report. AB - Benign metastasizing leiomyomas of the lung are rare smooth muscle cells tumours. We report the case of a 48 year-old female who was evaluated due to persistent cough, progressive dyspnoea and constitutional symptoms. Chest computed tomography revealed a left endobronchial mass, multiple parenchyma nodules and a pleural effusion. Bronchial biopsy histological features were consistent with benign metastasizing leiomyoma. The patient was successfully treated with argon plasma and mechanical debulking. There was no disease relapse in the last four years. PMID- 21067706 TI - Body composition changes during the first two years of university. AB - OBJECTIVE: Changes in body weight, composition, and shape were investigated in male and female college students between the freshman and sophomore years. METHODS: Changes in weight, body mass index (BMI), percent and absolute body fat and fat-free mass (via bioelectrical impedance), and waist circumference (via body scans) were assessed over the freshman and sophomore years (2007-2009) among 120 students attending a Southern public university. RESULTS: Weight (2.5 and 1.7 lbs) and BMI gains (0.3 and 0.3 kg/m(2)) did not significantly differ between the freshman and sophomore years, respectively. Significantly more percent body fat and fat mass were gained during the freshman (1.9% and 3.3 lbs, respectively) than the sophomore year (0.0% and 0.6 lbs, respectively). Females lost significantly more fat-free mass during the freshman (-0.8 lb) than during the sophomore year (1.0 lb). Changes in waist circumference and weight were significantly correlated. Increases in the percentages of females classified as overweight and with unhealthy body fat amounts and waist circumferences were observed. CONCLUSION: While the sophomore year was characterized by slightly healthier body composition changes than the freshman year, the gains in weight, fat mass, and waist circumference measurements suggest increased health risks for many college females. PMID- 21067705 TI - Mechanisms of myogenic tone of coronary arteriole: Role of down stream signaling of the EGFR tyrosine kinase. AB - BACKGROUND AND PURPOSE: we previously showed that epidermal growth factor receptor tyrosine kinase (EGFRtk) is essential in the development of myogenic tone. GRB2-SOS, protein kinase B (Akt), Janus kinase (JAK), and Signal Transducer and Activator of Transcription 3 (STAT3) are activated by stretch. Thus, we hypothesized that GRB2-SOS, Akt, JAK and STAT3 are downstream signaling of the EGFR and play role in myogenic tone. EXPERIMENTAL APPROACH: myogenic tone was determined in freshly isolated coronary arterioles from C57/BL6 mice with and without inhibitors. Pressurized coronary arterioles under 25 and 75mm Hg were subjected to Western blot analysis to determine signaling phosphorylation. Smooth muscle cells (SMC) stimulated with EGF were used to determine the interaction between signaling. KEY RESULTS: coronary arteriole myogenic tone was significantly reduced under EGFRtk, GRB2-SOS, JAK, and STAT3 inhibition (53.6 +/- 2 vs. 83.4 +/- 1.3; 82.8 +/- 1; 83.6 +/- 1; 86.1 +/- 1% of passive diameter at 75mm Hg, p<0.05, respectively). However, Akt inhibition had no effect on coronary arteriole myogenic tone. Western blot analysis showed increased EGFRtk, STAT3, JAK, and Akt phosphorylation at 75mm Hg, which was significantly inhibited under EGFRtk inhibition. Interestingly, immunoprecipitation/Western blot analysis showed two intracellular complexes (ERK1/2-JAK-STAT3) involved in myogenic tone and (Akt-JAK-STAT3) not involved in myogenic tone. CONCLUSION AND IMPLICATIONS: these findings demonstrate that ERK1/2-JAK-STAT3 complex and GRB2-SOS, down stream signaling of the EGFRtk, are critical in the development of myogenic tone, thereby highlighting these signaling events as potential therapeutic targets in cardiovascular disease states associated with altered myogenic tone. PMID- 21067709 TI - Self-organization in the flow of complex fluids (colloid and polymer systems). Part 2: Theoretical models. AB - Flow induced transitions in complex fluids are usually accompanied by changes in the internal media structure and the flow symmetry. In this review paper, we discuss the theoretical models and approaches that have been used for the analysis of different types of flow instabilities and flow patterns. The main attention is focused on the basic fluid models which reveal vortex and banding flow structures at high shear rates. The Oldroyd-B fluid is one of such models. The Reynolds and the Weissenberg (or Deborah) numbers are the parameters governing its flow behavior. For this model, the secondary flow patterns arising in viscometric flows of different geometries at the bifurcation point are described. Complex fluids which are able to exist in multiple states can form coexisting bands of different structures with different rheological properties and flowing with different shear rates at the same shear stress. Shear banding is typical for fluids demonstrating non-monotonous flow curves described by such models as the diffusive Johnson-Segalman fluid model, for example. Recent progress in exploring this phenomenon is discussed. PMID- 21067708 TI - A goal-based perspective on eye movements in visual world studies. AB - There is an emerging literature on visual search in natural tasks suggesting that task-relevant goals account for a remarkably high proportion of saccades, including anticipatory eye movements. Moreover, factors such as "visual saliency" that otherwise affect fixations become less important when they are bound to objects that are not relevant to the task at hand. We briefly review this literature and discuss the implications for task-based variants of the visual world paradigm. We argue that the results and their likely interpretation may profoundly affect the "linking hypothesis" between language processing and the location and timing of fixations in task-based visual world studies. We outline a goal-based linking hypothesis and discuss some of the implications for how we conduct visual world studies, including how we interpret and analyze the data. Finally, we outline some avenues of research, including examples of some classes of experiments that might prove fruitful for evaluating the effects of goals in visual world experiments and the viability of a goal-based linking hypothesis. PMID- 21067707 TI - Interest in health screening as a predictor of long-term overall mortality: multilevel analysis of a Japanese national cohort study. AB - BACKGROUND: In Japan, screening programmes have been widely implemented as a public health practice. We investigated the effect of the area-level interest in health screening on mortality using data from a large cohort in Japan. METHODS: A baseline survey was conducted between 1988 and 1990 among 110,792 residents of 45 areas, aged 40-79 years. Area-level interest in health screening was defined as the proportion of people with high and moderate interest in health screening in an area. Multilevel Poisson regression was employed in a two-level structure of individuals nested within the areas. During 15 years of follow-up (1,035,617 person-years), 13,184 deaths were observed. RESULTS: The reduction in mortality rate was (a) 2% in both men (p=0.009) and women (p=0.038) for each percent increase in area-level interest in screening, and (b) 10% in men (p=0.001) and 9% in women (p=0.001) for individual attendance to screening in the year before follow-up. There was no interaction between area-level interest in screening, individual-level attendance at screening and overall mortality. CONCLUSION: Area level and individual interest for health screening appear to be independent predictor of 15-year mortality in this national Japanese study. The present findings may support public health practices to promote knowledge and participation in screening programmes. PMID- 21067710 TI - Membranes and theoretical modeling of membrane distillation: a review. AB - Membrane distillation (MD) is one of the non-isothermal membrane separation processes used in various applications such desalination, environmental/waste cleanup, food, etc. It is known since 1963 and is still being developed at laboratory stage for different purposes and not fully implemented in industry. An abrupt increase in the number of papers on MD membrane engineering (i.e. design, fabrication and testing in MD) is seen since only 6 years ago. The present paper offers a comprehensive MD state-of-the-art review covering a wide range of commercial membranes, MD membrane engineering, their MD performance, transport mechanisms, experimental and theoretical modeling of different MD configurations as well as recent developments in MD. Improved MD membranes with specific morphology, micro- and nano-structures are highly demanded. Membranes with different pore sizes, porosities, thicknesses and materials as well as novel structures are required in order to carry out systematic MD studies for better understanding mass transport in different MD configurations, thereby improving the MD performance and looking for MD industrialization. PMID- 21067711 TI - Lactosylamidine-based affinity purification for cellulolytic enzymes EG I and CBH I from Hypocrea jecorina and their properties. AB - Selective adsorption and separation of beta-glucosidase, endo-acting endo-beta-(1 >4)-glucanase I (EG I), and exo-acting cellobiohydrolase I (CBH I) were achieved by affinity chromatography with beta-lactosylamidine as ligand. A crude cellulase preparation from Hypocrea jecorina served as the source of enzyme. When crude cellulase was applied to the lactosylamidine-based affinity column, beta glucosidase appeared in the unbound fraction. By contrast, EG I and CBH I were retained on the column and then separated from each other by appropriately adjusting the elution conditions. The relative affinities of the enzymes, based on their column elution conditions, were strongly dependent on the ligand. The highly purified EG I and CBH I, obtained by affinity chromatography, were further purified by Mono P and DEAE chromatography, respectively. EG I and CBH I cleave only at the phenolic bond in p-nitrophenyl glycosides with lactose and N acetyllactosamine (LacNAc). By contrast, both scissile bonds in p-nitrophenyl glycosides with cellobiose were subject to hydrolysis although with important differences in their kinetic parameters. PMID- 21067712 TI - Texture feature extraction based on a uniformity estimation method for local brightness and structure in chest CT images. AB - Texture feature is one of most important feature analysis methods in the computer aided diagnosis (CAD) systems for disease diagnosis. In this paper, we propose a Uniformity Estimation Method (UEM) for local brightness and structure to detect the pathological change in the chest CT images. Based on the characteristics of the chest CT images, we extract texture features by proposing an extension of rotation invariant LBP (ELBP(riu4)) and the gradient orientation difference so as to represent a uniform pattern of the brightness and structure in the image. The utilization of the ELBP(riu4) and the gradient orientation difference allows us to extract rotation invariant texture features in multiple directions. Beyond this, we propose to employ the integral image technique to speed up the texture feature computation of the spatial gray level dependent method (SGLDM). PMID- 21067713 TI - Traffic-related air pollution, preterm birth and term birth weight in the PIAMA birth cohort study. AB - BACKGROUND: Maternal exposure to air pollution has been associated with adverse pregnancy outcomes. Few studies took into account the spatial and temporal variation of air pollution levels. OBJECTIVES: To evaluate the impact of maternal exposure to traffic-related air pollution during pregnancy on preterm birth and term birth weight using a spatio-temporal exposure model. METHODS: We estimated maternal residential exposure to nitrogen dioxide (NO(2)), particulate matter (PM(2.5)) and soot during pregnancy (entire pregnancy, 1st trimester, and last month) for 3853 singleton births within the Dutch PIAMA prospective birth cohort study by means of temporally adjusted land-use regression models. Associations between air pollution concentrations and preterm birth and term birth weight were analyzed by means of logistic and linear regression models with and without adjustment for maternal physical, lifestyle, and socio-demographic characteristics. RESULTS: We found positive, statistically non-significant associations between exposure to soot during entire pregnancy and during the last month of pregnancy and preterm birth [adj. OR (95% CI) per interquartile range increase in exposure 1.08 (0.88-1.34) and 1.09 (0.93-1.27), respectively]. There was no indication of an adverse effect of air pollution exposure on term birth weight. CONCLUSIONS: In this study, maternal exposure to traffic-related air pollution during pregnancy was not associated with term birth weight. There was a tendency towards an increased risk of preterm birth with increasing air pollution exposure, but statistical power was low. PMID- 21067714 TI - Efficacy of hormone therapy for osteoporosis in adolescent girls after hematopoietic stem cell transplantation: a longitudinal study. AB - OBJECTIVE: To assess changes in bone mineral density of adolescent girls diagnosed with hypogonadism after hematopoietic stem cell transplantation (HSCT) during continuous hormone therapy (HT). DESIGN: Retrospective study. SETTING: Department of Obstetrics and Gynecology, Osaka Medical Center and Research Institute for Maternal and Child Health. PATIENT(S): Twenty-eight adolescent girls with hormone deficiency after HSCT. INTERVENTION(S): All patients were treated with HT. MAIN OUTCOME MEASURE(S): Bone mineral density and markers of bone metabolism were evaluated. RESULT(S): Twenty-eight patients were enrolled. The girls underwent HSCT at 10.2 +/- 4.0 years of age (median +/- SD).The first evaluation was performed at 15.1 +/- 1.9 years of age, 3.8 +/- 3.4 years after HSCT. Bone mineral density increased significantly from -2.7 +/- 1.1 (Z-score) to -2.3 +/- 1.2 during HT administration for 5.7 +/- 2.5 years. Twenty-four of 28 patients (86%) showed a good response to HT. The levels of urinary N-telopeptides of type 1 collagen and serum osteocalcin were high at the first evaluation in 76% and 53% of patients and at the last in 76% and 18%, respectively, thereafter. CONCLUSION(S): Significant effects on bone metabolism resulting from HSCT were observed; however, HT increased bone mineral density of the hypogonadal patients. PMID- 21067717 TI - Impact of luteinizing hormone administration on gonadotropin-releasing hormone antagonist cycles: an age-adjusted analysis. AB - OBJECTIVE: To analyze the impact of LH administration on cycle outcome in ovarian stimulation with GnRH antagonists. DESIGN: Randomized, open-label, controlled trial performed in two age subgroups. Recombinant (r) FSH versus rFSH + rLH administration was compared. SETTING: University-affiliated private infertility clinic. PATIENT(S): Up to 35 years old (n = 380) and aged 36 to 39 years (n = 340), undergoing their first or second IVF cycle. INTERVENTION(S): Recombinant LH administration since stimulation day 1. MAIN OUTCOME MEASURE(S): Implantation rate, ongoing pregnancy rate. RESULT(S): In the young population, implantation rates were similar: 27.8% versus 28.6%, odds ratio (OR) 1.03 (95% confidence interval [CI] 0.73-1.47), as was the ongoing pregnancy rate per started cycle: 37.4% versus 37.4%, OR 1.0 (95% CI 0.66-1.52). In older patients, the implantation rate was significantly higher in the rFSH + rLH group: 26.7% versus 18.6%, OR 1.56 (95% CI 1.04-2.33). Ongoing pregnancy rates per started cycle were 33.5% versus 25.3%, OR 1.49 (95% CI 0.93-2.38). CONCLUSION(S): Recombinant LH administration significantly increased the implantation rate in patients aged 36 to 39 years. A clinically relevant better ongoing pregnancy rate per started cycle was observed, although the difference was not statistically significant. Patients younger than 36 years do not obtain any benefit from rLH administration. PMID- 21067716 TI - The nucleolar channel system reliably marks the midluteal endometrium regardless of fertility status: a fresh look at an old organelle. AB - OBJECTIVE: To determine whether nucleolar channel systems (NCSs) in the midluteal endometrium are associated with overall fertility status and/or with unexplained infertility. DESIGN: Retrospective and prospective clinical studies. SETTING: Repository of stored specimens from prior multicenter study and private infertility center. PATIENT(S): Retrospective study that included 97 women (49 fertile couples, 48 infertile couples) who had been randomized for endometrial biopsy during the midluteal or late luteal phase. The prospective study included 78 women with a variety of infertility diagnoses. INTERVENTION(S): Endometrial biopsies were obtained and assessed for the presence of NCSs by indirect immunofluorescence. MAIN OUTCOME MEASURE(S): The presence of NCS was graded semiquantitatively and dichotomized as normal versus low or absent. RESULT(S): Normal presence of NCS was significantly associated with the midluteal phase compared with the late luteal phase (80% vs. 29%). However, there was no association between presence of NCS and fertility status or between presence of NCS and unexplained infertility. CONCLUSION(S): Midluteal phase endometrium consistently forms NCSs regardless of fertility status, including unexplained infertility. This indicates a possible role for the NCS in initiating the window of endometrial receptivity. However, the consistent presence of NCSs across several different types of infertility challenges the likelihood that inadequate secretory transformation is a cause of infertility. PMID- 21067718 TI - "Watchful waiting" in ectopic pregnancies: a balance between reduced success rates and less methotrexate. AB - Many cases of ectopic pregnancy will spontaneously resolve, so that "watchful waiting" and beta-hCG follow-up will separate true viable ectopic pregnancies from spontaneously resolving ectopic pregnancies. Withholding methotrexate in patients with spontaneously resolving ectopic pregnancies and administering it in only true viable ectopic pregnancies will no doubt decrease published success rates for this therapy. PMID- 21067719 TI - Is routine screening needed for coagulation abnormalities before oocyte retrieval? AB - A cross-sectional retrospective study was performed to question the utility of coagulation screening to prevent procedure-related bleeding before oocyte retrieval in IVF. Among 1,032 patients evaluated we found that 534 coagulation tests were needed to prevent one case of bleeding associated with an abnormal coagulation test result. PMID- 21067720 TI - Endocrine gland-derived vascular endothelial growth factor concentrations in follicular fluid and serum may predict ovarian hyperstimulation syndrome in women undergoing controlled ovarian hyperstimulation. AB - OBJECTIVE: To assess the predictive value of endocrine gland-derived vascular endothelial growth factor (EG-VEGF) concentrations in follicular fluid (FF) and serum for ovarian hyperstimulation syndrome (OHSS) in patients undergoing controlled ovarian hyperstimulation. DESIGN: Retrospective, case-control study. SETTING: University hospital, IVF center. PATIENT(S): Seventeen women with OHSS and 61 controls. INTERVENTION(S): None. MAIN OUTCOME MEASURE(S): FF and serum EG VEGF and VEGF concentrations, IVF outcome. RESULT(S): FF and serum EG-VEGF concentrations showed a significant negative correlation with serum E(2) concentration on the day of hCG administration. FF, but not serum, VEGF concentrations also showed a significant negative correlation with serum E(2) concentrations on hCG day. The FF EG-VEGF, FF VEGF, and serum EG-VEFG concentrations were significantly lower in the OHSS group than in the non-OHSS group. There was no significant difference in serum VEGF concentrations. Among FF and serum EG-VEGF and VEGF concentrations, only FF EG-VEGF concentrations were significantly lower in patients with moderate OHSS than in those with mild OHSS. CONCLUSION(S): FF and serum EG-VEGF concentrations may predict OHSS occurrence. Furthermore, FF EG-VEGF concentrations were significantly correlated with OHSS severity; thus, EG-VEGF appears to be more valuable than VEGF for predicting OHSS. PMID- 21067721 TI - HOXA10 expression is decreased in endometrium of women with adenomyosis. AB - HOXA10 gene expression is decreased in the secretory phase endometrium of women with adenomyosis. Diminished expression of HOXA10 is a potential mechanism explaining decreased implantation observed in women with adenomyosis. PMID- 21067722 TI - A critical look at the evidence does not support PGD for translocation carriers with a history of recurrent losses. PMID- 21067723 TI - Ultrasound-guided high-intensity focused ultrasound ablation for adenomyosis: the clinical experience of a single center. AB - OBJECTIVE: To assess the midterm outcomes after ultrasound-guided high-intensity focused ultrasound (HIFU) ablation in treatment of patients with symptomatic adenomyosis. DESIGN: A prospective clinical trial. SETTING: University teaching hospital. PATIENT(S): Seventy-eight patients with symptomatic adenomyosis. INTERVENTION(S): A single treatment session of ultrasound-guided HIFU ablation for adenomyosis. MAIN OUTCOME MEASURE(S): Dysmenorrhea and menorrhagia scores and the incidence of complications. RESULT(S): In all 78 patients, 84.6% tolerated the treatment procedure well with pain scores between 0 and 4. Treatment was terminated in only one patient because of increased blood pressure persistently. Sixty-nine patients have finished at least an 18-month follow-up; the mean follow up time was 24.2 months. Nonperfused regions in lesions were observed in 60 (87.0%) patients on the enhanced magnetic resonance imaging scans. Scores for menorrhea and dysmenorrhea decreased. Clinical effectiveness of the treatment was observed in 62 women (89.9%) with varying degrees of symptomatic relief of dysmenorrhea. Eight patients had relapses. Twenty-two patients (28.6%) had 27 complications. Of these, four had two or more complications. Twenty-three events (85.1%) did not need medical intervention (Society of Interventional Radiology class A). No serious complications including death or major permanent injuries were observed. CONCLUSION(S): Ultrasound-guided HIFU ablation may be a safe and effective noninvasive alternative in the treatment of symptomatic adenomyosis. PMID- 21067724 TI - Differential pH in embryo culture. AB - OBJECTIVE: To determine the optimum pH in sequential media for embryo culture around the fertilization-zygote stage and cleavage stage, with use of a mouse embryo assay. DESIGN: Experimental laboratory study. SETTING: University Hospital and University Research Unit. ANIMAL(S): F1 hybrids between CD1 female and BDF male mice. INTERVENTION(S): Fertilized, one-cell mouse embryos were cultured 5 days in test media where pH was changed at defined time intervals. MAIN OUTCOME MEASURE(S): Percentage of good-quality embryos, defined by strict morphology. RESULT(S): A significantly improved development was observed when pH was as high as 7.30 before the pronuclear stage and lowered to pH 7.15 during the cleavage period. CONCLUSION(S): Good embryo development is consistent with two different pH values in sequential culture media. This could have important implications for embryo culture in human IVF. PMID- 21067725 TI - Giant uterine leiomyomata. AB - OBJECTIVE: To present the case of a patient with giant uterine leiomyomata and review literature pertinent to the subject. DESIGN: Case report and literature review. SETTING: A major university, tertiary-care hospital. PATIENT(S): One patient, with said pathology, who gave informed consent for exploratory laparotomy, total abdominal hysterectomy, and bilateral salpingo-ophorectomy. INTERVENTION(S): Exploratory laparotomy, total abdominal hysterectomy, bilateral salpingo-ophorectomy, reoperation with abdominal washout, and hemostasis for hemoperitoneum. MAIN OUTCOME MEASURE(S): Not applicable. RESULT(S): Not applicable. CONCLUSION(S): Those with giant uterine leiomyomata are a very unique and tiny subset of the millions of women with fibroids. They should be treated similarly to older, more critically ill patients. Their optimal surgical management requires the careful attention to considerations and techniques not common to the typical myomectomy or hysterectomy. PMID- 21067726 TI - Vitamin E supplementation in semen-freezing medium improves the motility and protects sperm from freeze-thaw-induced DNA damage. AB - This study evaluated the effect of vitamin E supplementation to cryoprotective media on post-thaw motility and DNA integrity of normozoospermic and asthenozoospermic semen samples. The results of this study indicate that supplementation of vitamin E (5 mM) significantly improves the post-thaw motility and DNA integrity in normozoospermic and asthenozoospermic semen samples. PMID- 21067727 TI - Effects of percutaneous estradiol-oral progesterone versus oral conjugated equine estrogens-medroxyprogesterone acetate on breast cell proliferation and bcl-2 protein in healthy women. AB - In a prospective, randomized clinical study 77 women were assigned randomly to receive sequential hormone therapy with either conventional oral conjugated equine estrogens (0.625 mg) with the addition on 14 of the 28 days of oral medroxyprogesterone acetate (5 mg) or natural E(2) gel (1.5 mg) with oral micronized P (200 mg) on 14 of the 28 days of each cycle. Because oral conjugated equine estrogens-medroxyprogesterone acetate induced a highly significant increase in breast cell proliferation in contrast to percutaneous E(2)-oral P with a difference between therapies approaching significance, the former therapy has a marked impact on the breast whereas natural percutaneous E(2)-oral micronized P has not. PMID- 21067728 TI - Comparison of multiple psychological distress measures between men and women preparing for in vitro fertilization. AB - OBJECTIVE: To compare multiple measures of psychological distress between men and women preparing for IVF. DESIGN: Retrospective cohort study. SETTING: Outpatient, academic infertility clinic. PATIENT(S): One hundred sixty-two consecutive couples presenting for infertility treatment with IVF. INTERVENTION(S): Measures were completed as part of a routine, infertility-focused psychological evaluation, including the Beck Depression Inventory, State-Trait Anxiety Inventory, State-Trait Anger Inventory, and Impact of Events Scale. MAIN OUTCOME MEASURE(S): Scores of above psychological questionnaires. RESULT(S): Psychological distress scores were statistically significantly higher among women than men for symptoms of depression, state anxiety, infertility specific distress, and general perceived stress. However, aside from infertility-specific distress (d = .43), effect sizes for the paired differences between females and males ranged from d = .18 to .23. CONCLUSION(S): Women consistently scored higher on multiple measures of psychological distress than their male partners in the context of preparing for IVF. Comparison of infertility-specific distress scores yielded the largest statistically and clinically significant difference compared with traditional measures of general depression and anxiety symptoms. PMID- 21067729 TI - Heterozygous variant at nucleotide position 875+11A>T in exon 6A cystic fibrosis transmembrane conductance regulator gene induces 852del22 mutation false positivity by line probe assay. AB - OBJECTIVE: To explain the lack of genotype-phenotype correlation observed in a patient double heterozygous for the 852del22 and F508del mutations in the cystic fibrosis transmembrane conductance regulator (CFTR) gene. DESIGN: Case report. SETTING: Medical laboratory department. PATIENT(S): A 42-year-old asymptomatic patient underwent genetic screening for in vitro fertilization (IVF). INTERVENTION(S): CFTR genetic screening (commercial kit aimed at detecting 57 mutations), segregation analysis, evaluation of the polymerase chain reaction (PCR) products using a denaturing high performance liquid chromatography (DHPLC), and sequence analysis. MAIN OUTCOME MEASURE(S): To avoid diagnostic errors and improve genetic counseling. RESULT(S): Segregation analysis allowed us to establish that the mutations were in trans. Analysis of the PCR products using a DHPLC apparatus showed a heteroduplex formation indicative of a heterozygous variant in exon 6A. Direct sequencing characterized the heterozygous variant as an A to T transversion at nucleotide position 875+11. Therefore, the change of one single nucleotide in a portion surrounding the 852del22 mutation facilitated the aspecific interaction between the commercial oligonucleotide probe and the amplified genomic DNA, which explains the 852del22 mutation false molecular positivity that was detected by the line probe assay. CONCLUSION(S): The individualization of 852del22 mutation by a standard genetic panel should be confirmed by more extensive analyses. PMID- 21067730 TI - Precarious preoperative diagnostics and hints for the laparoscopic excision of uterine adenomatoid tumors: two exemplary cases and literature review. AB - OBJECTIVE: To present two exemplary cases of adenomatoid tumors. DESIGN: Case report. SETTING: Two laparoscopic centers in Germany. PATIENT(S): Two women of reproductive age with uterine adenomatoid tumors. INTERVENTION(S): Laparoscopic tumor excision. MAIN OUTCOME MEASURE(S): Differential diagnostics of uterine masses. RESULT(S): Intraoperatively, tumor excision is difficult because of the missing capsule that allows simple enucleation of fibroids. Adenomatoid tumors need to be cut out of the myometrium because they are densely adherent, with no clear plane of cleavage. Definite exclusion of a malignant tumor is only possible by histologic workup. CONCLUSION(S): The above might lead to an intraoperative dilemma in the case of young women because a malignant tumor would implicate a more radical operative strategy. Nevertheless, owing to the benign nature of adenomatoid tumors and the lack of recurrence, simple resection with conservation of the surrounding tissue is the treatment of choice. The proper laparoscopic handling of such cases is illustrated and placed in context within the existing literature. PMID- 21067731 TI - Apigenin inhibits tumor necrosis factor alpha-induced cell proliferation and prostaglandin E2 synthesis by inactivating NFkappaB in endometriotic stromal cells. AB - Apigenin suppressed tumor necrosis factor alpha-induced cell proliferation and prostaglandin E2 expression via the attenuation of nuclear factor kappaB pathway in endometriotic stromal cells in vitro. Apigenin reduced the mitogenic activity and inflammatory reaction in endometriotic stromal cells. PMID- 21067732 TI - Decidualized endometrial stromal cell derived factors promote trophoblast invasion. AB - OBJECTIVE: To evaluate the effects of decidua-derived factors on trophoblast invasion. DESIGN: Experimental study. SETTINGS: Research institute. PATIENT(S): In vitro decidualized human endometrial cells, trophoblast cell lines JEG-3, and ACH-3P. INTERVENTION(S): The effect of decidual conditioned medium (DCM) on the invasion of trophoblast cells lines via JEG-3 and ACH-3P was investigated using a Matrigel invasion assay. The changes in expression of matrix metalloproteinases (MMPs), tissue inhibitors of metalloproteinases (TIMPs) and integrins in response to DCM in the trophoblast cells was also evaluated. MAIN OUTCOME MEASURE(S): Response of the trophoblast cells to the conditioned medium from decidual cells in terms of their invasive capability, and expression on invasion related molecules was measured. RESULT(S): DCM increased the invasion of both the cell lines by approximately 1.8-2.2-fold, compared with control condition medium. The increase in invasion was associated with elevated levels of MMP2, MMP3, and MMP9 mRNA and increased activity of MMP2 and MMP9 in DCM-treated ACH-3P, but not JEG-3 cells. DCM treatment led to a reduction in TIMP1 and TIMP3 and increased TIMP2 mRNA in JEG-3, cells but not ACH-3P cells. Compared with CCM-treated controls, DCM treatment led to a significant increase in the mRNA expression of integrin alpha5 and alpha6, but not integrin alphaV subunit in both cell lines. CONCLUSION(S): Decidua-derived factors increase the invasiveness of trophoblast cell lines and alter the expression of integrins, MMPs, and TIMPs. PMID- 21067733 TI - Confocal endomicroscopic evaluation of colorectal squamous metaplasia and dysplasia in ulcerative colitis. PMID- 21067734 TI - Inverted Meckel's diverticulum: a rare cause of obscure GI bleeding. PMID- 21067736 TI - IgG4-related sclerosing esophagitis: a case report. PMID- 21067737 TI - Double-balloon enteroscopy enabled the diagnosis of duodenal adenocarcinoma in a patient with Roux-en-Y gastric bypass surgery presenting with chronic anemia. PMID- 21067735 TI - Effect of a retrograde-viewing device on adenoma detection rate during colonoscopy: the TERRACE study. AB - BACKGROUND: Although colonoscopy is currently the optimal method for detecting colorectal polyps, some are missed. The Third Eye Retroscope provides an additional retrograde view that may detect polyps behind folds. OBJECTIVE: To determine whether the addition of the Third Eye Retroscope to colonoscopy improves the adenoma detection rate. DESIGN: Prospective, multicenter, randomized, controlled trial. SETTING: Nine European and U.S. centers. PATIENTS: Of 448 enrolled subjects, 395 had data for 2 procedures. INTERVENTIONS: Subjects underwent same-day tandem examinations with standard colonoscopy (SC) and Third Eye colonoscopy (TEC). Subjects were randomized to SC followed by TEC or TEC followed by SC. MAIN OUTCOME MEASUREMENTS: Detection rates for all polyps and adenomas with each method. RESULTS: In the per-protocol population, 173 subjects underwent SC and then TEC, and TEC yielded 78 additional polyps (48.8%), including 49 adenomas (45.8%). In 176 subjects undergoing TEC and then SC, SC yielded 31 additional polyps (19.0%), including 26 adenomas (22.6%). Net additional detection rates with TEC were 29.8% for polyps and 23.2% for adenomas. The relative risk of missing with SC compared with TEC was 2.56 for polyps (P < .001) and 1.92 for adenomas (P = .029). Mean withdrawal times for SC and TEC were 7.58 and 9.52 minutes, respectively (P < .001). The median difference in withdrawal times was 1 minute (P < .001). The mean total procedure times for SC and TEC were 16.97 and 20.87 minutes, respectively (P < .001). LIMITATIONS: Despite randomization and a large cohort, there was disparity in polyp prevalence between the 2 groups of subjects. CONCLUSION: The Third Eye Retroscope increases adenoma detection rate by visualizing areas behind folds. ( CLINICAL TRIAL REGISTRATION NUMBER: NCT01044732.). PMID- 21067738 TI - Esophageal Dieulafoy lesion: an unusual cause of GI bleeding. PMID- 21067739 TI - Occurrence of and risk factors for complications after endoscopic dilation in eosinophilic esophagitis. AB - BACKGROUND: Several small series have suggested an increased risk of complications associated with esophageal dilation in patients with eosinophilic esophagitis (EoE). OBJECTIVE: To quantitate the risk and identify risk factors for esophageal complications in dilation in EoE patients. DESIGN: Retrospective, uncontrolled, single-center study. SETTING: Tertiary referral hospital. PATIENTS: A total of 161 EoE patients (mean +/- standard deviation age 44.3 +/- 15.3 years, 112 men, 49 women, 150 white patients, 10 unknown, 1 Asian). INTERVENTIONS: Through-the-scope balloon or Savary dilation of EoE. MAIN OUTCOME MEASUREMENTS: The rate of complications defined as deep mucosal tear, major bleeding, or perforation, and determination of risk factors for complications. RESULTS: A total of 293 dilations were performed in 161 patients. Complications reported were deep mucosal tear in 9.2% (n = 27), major bleeding in 0.3% (n = 1), and immediate perforation in 1.0% (n = 3). All patients with perforations were successfully treated medically without surgery (mean +/- standard deviation hospital stay 5.3 +/- 3.2 days). Factors associated with an increased risk of complications were luminal narrowing in the upper (odds ratio [OR], 5.62; 95% CI, 2.07-15.26; P < .001) and middle third of the esophagus (OR, 4.93; 95% CI, 1.64 14.83; P < .005) compared with lower third, luminal stricture unable to be traversed with a standard upper endoscope (OR, 2.48; 95% CI, 1.06-5.83; P = .037), and use of Savary dilator (OR, 2.63; 95% CI, 1.18-5.83; P = .018). LIMITATIONS: Retrospective design, uncontrolled study. CONCLUSIONS: Deep mucosal tears are common after dilation (9%), but the risk of immediate transluminal perforation with EoE is approximately 1%. The risk of severe complications is increased in patients with more proximal stricture and strictures that initially prevent endoscope passage. PMID- 21067740 TI - Inspection of the human stomach using remote-controlled capsule endoscopy: a feasibility study in healthy volunteers (with videos). AB - BACKGROUND: Remote control of capsule endoscopes might allow reliable inspection of the human stomach. OBJECTIVE: To assess the safety and efficacy of manipulation of a modified capsule endoscope with magnetic material (magnetic maneuverable capsule [MMC]) in the human stomach by using a handheld external magnet. DESIGN: Open clinical trial. SETTING: Academic hospital. PATIENTS: Ten healthy volunteers. INTERVENTIONS: Subjects swallowed the MMC and sherbet powder for gastric distention. An external magnetic paddle (EMP-2) was used to manipulate the MMC within the stomach. MMC responsiveness was evaluated on a screen showing the MMC film in real time. MAIN OUTCOME MEASUREMENTS: Safety and tolerability (questionnaire), gastric residence time of the MMC, its responsiveness to the EMP-2, area of gastric mucosa visualized. RESULTS: There were no adverse events. The MMC was always clearly attracted by the EMP-2 and responded to its movements. It remained in the stomach for 39 +/- 24 minutes. In 7 subjects, both the cardia and the pylorus were inspected and 75% or more of the gastric mucosa was visualized (>=50% in all of the remaining subjects). A learning curve was clearly recognizable (identification of MMC localization, intended movements). LIMITATIONS: Small amounts of fluid blocked the view of apical parts of the fundus; gastric distention was not sufficient to flatten all gastric folds. CONCLUSIONS: Remote control of the MMC in the stomach of healthy volunteers using a handheld magnet is safe and feasible. Responsiveness of the MMC was excellent, and visualization of the gastric mucosa was good, although not yet complete, in the majority of subjects. The system appeared to be clinically valuable and should be developed further. ( CLINICAL TRIAL REGISTRATION NUMBER: DE/CA05/2009031008.). PMID- 21067741 TI - Initial experience with a prototype peroral direct cholangioscope to perform intraductal lithotripsy (with video). PMID- 21067742 TI - EUS is still superior to multidetector computerized tomography for detection of pancreatic neuroendocrine tumors. AB - BACKGROUND: The role of EUS for detection of pancreatic neuroendocrine tumors (PNETs) is not clearly defined in institutions that use multidetector CT for pancreatic imaging. OBJECTIVE: The aims of this study were to (1) compare the detection rates of EUS and CT by type and size of PNET and calculate the incremental benefit of EUS over CT, (2) evaluate the CT detection rate for PNETs adjusted for improved CT technology over time, and (3) determine the factors associated with CT-negative PNETs. DESIGN: Retrospective single-center cohort study. SETTING: Johns Hopkins Hospital. PATIENTS: Patients with pathologically proven PNETs with preoperative CT. Incidentally found PNETs (resection specimens) and those without Johns Hopkins Hospital CT imaging were excluded. MAIN OUTCOME MEASUREMENT: Detection rates of CT and EUS were compared by using pathology as the reference standard. RESULTS: In 217 patients (with 231 PNETs) studied, CT detected 84% of tumors (54.3% of insulinomas). The sensitivity of CT for the detection of PNETs significantly increased with improvement in CT technology (P = .02; chi(2) for trend). CT was more likely to miss lesions <2 cm (P = .005) and insulinomas (P < .0001). In 56 patients who had both CT and EUS, the sensitivity of EUS was greater than CT (91.7% vs 63.3%; P = .0002), particularly for insulinomas (84.2% vs 31.6%; P = .001). EUS detected 20 of 22 CT-negative tumors (91%). LIMITATIONS: Retrospective nonrandomized design and referral bias. CONCLUSIONS: The detection rate of CT has significantly improved over time. CT negative tumors are small and more likely to be insulinomas. A sequential approach of CT followed by EUS can detect most PNETs. EUS is a more sensitive initial test for the detection of suspected insulinomas. PMID- 21067744 TI - Percutaneous endoscopic gastrostomy tract salvage using natural orifice transluminal endoscopic surgery technique (with video). PMID- 21067743 TI - Mesh erosion after inguinal hernia repair: a rare cause of acute lower GI bleeding (with video). PMID- 21067745 TI - The blind spot of an EGD: capsule endoscopy pinpointed the source of obscure GI bleeding on the dark side of the pylorus. PMID- 21067746 TI - Peroral pancreatoscopic images of the site of mechanical penetration of the choledochus combined with narrow-band imaging in a patient with main duct intraductal papillary mucinous neoplasm of the pancreas. PMID- 21067747 TI - Role of EUS for preoperative evaluation of cholangiocarcinoma: a large single center experience. AB - BACKGROUND: Accurate preoperative diagnosis and staging of cholangiocarcinoma (CCA) remain difficult. OBJECTIVE: To evaluate the utility of EUS in the diagnosis and preoperative evaluation of CCA. DESIGN: Observational study of prospectively collected data. SETTING: Single tertiary referral hospital in Indianapolis, Indiana. PATIENTS: Consecutive patients with CCA from January 2003 through October 2009. INTERVENTIONS: EUS and EUS-guided FNA (EUS-FNA). MAIN OUTCOME MEASUREMENTS: Sensitivity of EUS for the detection of a tumor and prediction of unresectability compared with CT and magnetic resonance imaging (MRI); sensitivity of EUS-FNA to provide tissue diagnosis, by using surgical pathology as a reference standard. RESULTS: A total of 228 patients with biliary strictures undergoing EUS were identified. Of these, 81 (mean age 70 years, 45 men) had CCA. Fifty-one patients (63%) had distal and 30 (37%) had proximal CCA. For those with available imaging, tumor detection was superior with EUS compared with triphasic CT (76 of 81 [94%] vs 23 of 75 [30%], respectively; P < .001). MRI identified the tumor in 11 of 26 patients (42%; P = .07 vs EUS). EUS identified CCA in all 51 (100%) distal and 25 (83%) of 30 proximal tumors (P < .01). EUS-FNA (median, 5 passes; range, 1-12 passes) was performed in 74 patients (91%). The overall sensitivity of EUS-FNA for the diagnosis of CCA was 73% (95% confidence interval, 62%-82%) and was significantly higher in distal compared with proximal CCA (81% vs 59%, respectively; P = .04). Fifteen tumors were definitely unresectable. EUS correctly identified unresectability in 8 of 15 and correctly identified the 38 of 39 patients with resectable tumors (53% sensitivity and 97% specificity for unresectability). CT and/or MRI failed to detect unresectability in 6 of these 8 patients. LIMITATION: Single-center study. CONCLUSION: EUS and EUS-FNA are sensitive for the diagnosis of CCA and very specific in predicting unresectability. The sensitivity of EUS-FNA is significantly higher in distal than in proximal CCA. PMID- 21067748 TI - Complex endoscopic resolution of a large bronchoesophageal fistula. PMID- 21067749 TI - Correlation between Glasgow coma score components and survival in patients with traumatic brain injury. AB - BACKGROUND: The Glasgow coma scale (GCS) score is used in the initial evaluation of patients with traumatic brain injury (TBI); however, the determination of an accurate score is not possible in all clinical situations. Our aim is to determine if the individual components of the GCS score, or combinations of them, are useful in predicting mortality in patients with TBI. METHODS: The components of the GCS score and the receiver-operating characteristic (ROC) curves were analyzed from 27,625 cases of TBI in Taiwan. RESULTS: The relationship between the survival rate and certain eye (E), motor (M) and verbal (V) score combinations for GCS scores of 6, 11, 12 and 13 were statistically significant. The areas under ROC curve of E+V, M+V and M alone were 0.904, 0.903 and 0.900, respectively, representing the 3 most precise combinations for predicting mortality. The area under the ROC curve for the complete GCS score (E+M+V) was 0.885. Patients with lower E, M and V score respectively, and lower complete GCS scores had higher hazard of death than those with the highest scores. CONCLUSION: The results of this study indicate that the 3 fundamental elements comprising the Glasgow coma scale, E, M, and V individually, and in certain combinations are predictive of the survival of TBI patients. This observation is clinically useful when evaluating TBI patients in whom a complete GCS score cannot be obtained. PMID- 21067750 TI - Health related quality of life in children and adolescents: reliability and validity of the Norwegian version of KIDSCREEN-52 questionnaire, a cross sectional study. AB - BACKGROUND: A number of health-related quality of life instruments for children and adolescents have been developed and used in European countries during recent years. However, few well-validated instruments have been translated into Norwegian. As part of a larger investigation about pain and health-related quality of life, the KIDSCREEN-52, a cross-cultural 10-scale questionnaire, was translated into Norwegian. The aim of this study was to examine psychometric properties of the first Norwegian version of KIDSCREEN, particularly reliability and construct validity. METHODS: A cross-sectional study was carried out, and a cluster sample of 20 randomly selected schools was drawn. The final study sample encompassed 1123 children and adolescents, aged 8-18 years. Internal consistency reliability was assessed using Cronbach's alpha. Construct validity was examined by confirmatory factor analysis, and by analysing whether the KIDSCREEN scales correlated with comparable KINDL scales, another health-related quality of life instrument. The analyses were conducted using SPSS (16.0) and Lisrel (8.7). RESULTS: The Cronbach's alpha value was above 0.80 for all KIDSCREEN scales, suggesting good internal consistency reliability for the instrument. Confirmatory factor analysis shows that most of the KIDSCREEN scales fit the data well. Fit statistics for the 10-factor model were satisfactory, although some scales displayed residual covariance. Several confirmatory factor analysis models were fitted to the data, and the model specified according to the 10-dimensional KIDSCREEN-52 measurement model with correlated first-order factors fitted the data well (RMSEA=0.04; CFI=0.99). The KIDSCREEN scales correlated sufficiently highly with comparable KINDL scales. CONCLUSIONS: The results of the present study indicate that the Norwegian version of the KIDSCREEN-52 seems to work well in a Norwegian context, and is a valid and reliable generic health-related quality of life instrument. It is considered appropriate for screening in the public health area, for example, in school health care. PMID- 21067751 TI - Leptin receptor-induced STAT3-independent signaling pathways are protective against atherosclerosis in a murine model of obesity and hyperlipidemia. AB - AIMS: Leptin is an adipocyte-derived hormone that has been shown to exert both beneficial metabolic effects and potentially adverse vascular effects in preclinical studies. The primary aim of this study was to determine the effects of leptin receptor signaling pathways on atherosclerosis in the setting of obesity and hyperlipidemia. METHODS AND RESULTS: Mice were generated with deficiency of apolipoprotein E (ApoE(-/-)) and either wild-type leptin receptor expression (Lepr(+/+), ApoE(-/-)), mutant leptin receptor expression defective in all leptin receptor signaling pathways (Lepr(db/db), ApoE(-/-)), or mutant leptin receptor expression with selective deficiency of leptin receptor-STAT3 signaling (Lepr(s/s), ApoE(-/-)). At 27 weeks of age (including 7 weeks on a Western diet), Lepr(db/db), ApoE(-/-) developed severe obesity, hypercholesterolemia, and increased atherosclerosis compared to Lepr(+/+), ApoE(-/-) mice. Despite similar obesity and hyperlipidemia to Lepr(db/db), ApoE(-/-) mice, Lepr(s/s), ApoE(-/-) developed less atherosclerosis than Lepr(db/db), ApoE(-/-) mice. Adipose tissue macrophage content, monocyte chemoattractant protein-1 and fatty-acid-binding protein 4 levels were also reduced in Lepr(s/s), ApoE(-/-) mice compared to Lepr(db/db), ApoE(-/-) mice. CONCLUSIONS: In a mouse model of obesity and hyperlipidemia, leptin receptor-mediated STAT3-independent signaling pathways confer protection against atherosclerosis. These differences occur independently of leptin effects on energy balance. PMID- 21067752 TI - Carotid intima-media thickness, hs-CRP and TNF-alpha are independently associated with cardiovascular event risk in patients with atherosclerotic occlusive disease. AB - This prospective study aimed to determine whether carotid intima-media thickness (CIMT) and biomarkers can enhance the predictive value of classic atherosclerosis risk factors (RFs) for cardiovascular (CV) event risk in patients with confirmed atherosclerosis. METHODS: Baseline levels of hs-CRP, Tumor Necrosis Factor alpha (TNF-alpha), Transforming Growth Factor beta (TGF-beta), Interleukin-6 (IL-6), Interleukin-10 (IL-10) and Nt-proBNP were measured in 304 subjects (189 men) aged 64.2+/-9.4 years, with confirmed atherosclerotic occlusive disease. Maximum CIMT values of common, bulb and internal carotid arteries were measured and expressed as mean CIMT value. The incidences of CV death, myocardial infarction (MI), ischemic stroke (IS) and symptomatic lesion progression were recorded. RESULTS: During 44.7+/-12.1 months of follow-up, CV events occurred in 61 (20.1%) patients. Age (odds ratio: OR=1.04; p=0.013), diabetes (OR=2.01; p=0.007), LDL cholesterol>3.35mmol/L (OR=2.03; p=0.007), previous MI (OR=2.14; p=0.003) and previous IS (OR=3.35; p<0.001) were found independent CV event RFs. Adding biomarkers or CIMT to classic RFs revealed that levels of TNF-alpha>6pg/mL (OR=1.77; p=0.024), hs-CRP>6mg/L (OR=1.69; p=0.009) or CIMT>1.25mm (OR=5.11; p<0.001) were independently associated with CV event risk. While Nt-proBNP was found RF of CV death (OR=1.19; p=0.003) and MI (OR=1.19; p=0.002). In patients with RFs plus TNF-alpha>6pg/mL and hs-CRP>6mg/L, a 2- and 5-year event-free survival was 8% and 4%, respectively, as compared to 42% and 33% in those with RFs but lower TNF-alpha and hs-CRP levels. While, CIMT<1.25mm increased a 2- and 5-year CV event-free survival probability to 79% and 73%, respectively, despite classic RFs presence. CONCLUSION: Additive value of TNF-alpha, hs-CRP and CIMT to classic RFs in CV risk stratification was found in patients with confirmed atherosclerosis. Nt-proBNP was found an independent risk factor of CV death and MI. PMID- 21067753 TI - Diurnal variation and effect of insulin on circulating high molecular weight (HMW) adiponectin and NF-kappaB activity in human endothelial cells. AB - OBJECTIVE: To study the diurnal variation and the effect of insulin on adiponectin multimers and nuclear factor-kappaB (NF-kappaB) activity in human endothelial cells. METHODS AND RESULTS: We utilized a prolonged insulin-glucose infusion in six healthy human subjects. HMW and total adiponectin levels were higher in the morning and lower at night; NF-kappaB activities in serum treated human microvascular endothelial cells (HMEC-1) cells were lower in the morning and higher at night. Hyperinsulinemic induction significantly decreased HMW and total adiponectin levels but increased NF-kappaB activity in serum treated HMEC-1 cells (P<0.05, P<0.01). There were no significant changes to MMW and LMW adiponectin levels (P>0.05). CONCLUSION: Circadian rhythm of HMW adiponectin and NF-kappaB activity are altered by hyperinsulinemia providing novel insights adiponectin and NF-kappaB biology, which may be pertinent to insulin resistant states, e.g. obesity and type 2 diabetes mellitus. PMID- 21067754 TI - Lower extremity peripheral artery disease in the absence of traditional risk factors. The Multi-Ethnic Study of Atherosclerosis. AB - OBJECTIVE: Lower-extremity peripheral artery disease (LE-PAD), is strongly related to traditional risk factors (smoking, hypertension, dyslipidemia, diabetes). We hypothesized that the prevalence of LE-PAD in the absence of traditional CVD risk factors is not negligible, and that this condition would remain associated with subclinical atherosclerosis in other territories. METHODS: In the Multi-Ethnic Study of Atherosclerosis, we classified participants without any traditional risk factor according to their ankle-brachial index (ABI) into 3 groups: low (<1.00), normal (1.00-1.30) and high (>1.30) ABI. Coronary or carotid artery diseases were defined by the presence of any coronary artery calcification (CAC score>0) or carotid plaque, respectively. RESULTS: Among the 6814 participants, 1932 had no traditional risk factors. A low- and high ABI were found in 176 (9%) and 149 (7.8%) cases, respectively. Lower glomerular filtration rate (OR: 0.88/10 units, p=0.04) and higher Interleukin-6 levels (OR: 1.42/natural-log unit, p=0.02) were associated with low ABI. Past smoking (cessation>10 years) and pulse pressure had borderline association with low ABI. In adjusted models, low-ABI was significantly associated with CAC prevalence (OR: 1.22, p<0.03). No significant association was found with carotid plaque. CONCLUSION: In the absence of traditional CVD risk factors, LE-PAD is still common and associated with coronary artery disease. PMID- 21067756 TI - Chromatographic selectivity triangles. AB - 2010 marked the 50th anniversary of the use of selectivity triangles to characterize chromatographic phases. Such plots ultimately identify and quantify the blend of intermolecular interactions that occur between solutes and solvents/phases. The first chromatographic triangle was proposed by Brown and applied to GC stationary phases. Snyder then developed the influential solvent selectivity triangle (SST) based on the gas-liquid partition data of Rohrschneider. The SST was combined with simplex experimental designs to optimize RPLC separations. Subsequent criticisms of the work revolved around the inaccurate predictions that resulted from the SST. These inaccuracies ultimately relate to the inability of the SST to account for the effects of water on the interaction ability of organic solvents. Other criticisms focused on the selection of the three probe solutes (ethanol, dioxane, and nitromethane) that were used to define the apices of the SST. Here, the concerns include the lack of explicit consideration of dispersion interactions and the fact that the three probes do not represent any single intermolecular interaction but rather reflect a blend of intermolecular interactions. The SST approach was modified for NPLC by redefining the triangle apices to reflect the localization, general adsorption, and basicity of NPLC mobile phase modifiers. Because water is generally absent in NPLC, the triangle approach leads to better predictions for NPLC than for RPLC. In subsequent modifications of selectivity triangles, Fu and Khaledi have created a micellar selectivity triangle (MST) based on linear solvation energy relationships (LSERs) and Zhang and Carr have used the Dolan-Snyder hydrophobic subtraction model to create RPLC column selectivity triangles. We end this review by highlighting more recent methods for comparing selectivities and by discussing a new 3D visualization tool for classifying chromatographic systems as having similar or different fundamental energetics of retention and hence having similar or different selectivities. PMID- 21067755 TI - Kinetic studies of drug-protein interactions by using peak profiling and high performance affinity chromatography: examination of multi-site interactions of drugs with human serum albumin columns. AB - Carbamazepine and imipramine are drugs that have significant binding to human serum albumin (HSA), the most abundant serum protein in blood and a common transport protein for many drugs in the body. Information on the kinetics of these drug interactions with HSA would be valuable in understanding the pharmacokinetic behavior of these drugs and could provide data that might lead to the creation of improved assays for these analytes in biological samples. In this report, an approach based on peak profiling was used with high-performance affinity chromatography to measure the dissociation rate constants for carbamazepine and imipramine with HSA. This approach compared the elution profiles for each drug and a non-retained species on an HSA column and control column over a board range of flow rates. Various approaches for the corrections of non-specific binding between these drugs and the support were considered and compared in this process. Dissociation rate constants of 1.7 (+/-0.2) s(-1) and 0.67 (+/-0.04) s(-1) at pH 7.4 and 37 degrees C were estimated by this approach for HSA in its interactions with carbamazepine and imipramine, respectively. These results gave good agreement with rate constants that have determined by other methods or for similar solute interactions with HSA. The approach described in this report for kinetic studies is not limited to these particular drugs or HSA but can also be extended to other drugs and proteins. PMID- 21067757 TI - Determination of free and ethoxylated alkylphenols in leather with gas chromatography-mass spectrometry. AB - An analytical approach was developed to determine nonylphenol (NP), octylphenol (OP), nonylphenol ethoxylates (NPEO(n)) and octylphenol ethoxylates (OPEO(n)) in leather samples involving the conversion of NPEO(n) and OPEO(n) into the corresponding NP and OP. The four targets were extracted from samples using ultrasonic-assisted acetonitrile extraction. NP and OP in the extracts were directly isolated with hexane and quantitatively determined with 4-n-nonylphenol as internal standard by gas chromatography-mass spectrometry (GC-MS). For NPEO(n) and OPEO(n) in the extracts, they were first converted into NP and OP with aluminum triiodide as cleavage agent, and the yielded NP and OP were determined by GC-MS. The contents of NPEO(n) and OPEO(n) were calculated by normalizing to NPEO(9) and OPEO(9), respectively. This method was properly validated and the real sample tests revealed the pollution significance of leather by NPEO(n) and OPEO(n). PMID- 21067758 TI - Simultaneous determination of clenbuterol, salbutamol and ractopamine in milk by reversed-phase liquid chromatography tandem mass spectrometry with isotope dilution. AB - A simple, sensitive and reliable analytical method was developed for the simultaneous determination of clenbuterol (CLB), salbutamol (SAL) and ractopamine (RAC) in milk by ultra high performance liquid chromatography-positive electrospray ionization tandem mass spectrometry (UHPLC-ESI-MS/MS) with isotope dilution. Samples were directly purified through HLB cartridge. Then the eluate was dried under nitrogen and residues were redissolved in mobile phase. Samples were analyzed by LC-MS/MS on an Acquity UPLC((r)) BEH C(18) column with gradient elution. The samples were quantified using clenbuterol-D(9), salbutamol-D(3) and ractopamine-D(6) as internal standards. The proposed method was validated according to the European Commission Decision 2002/657/EC determining specificity, decision limit (CCalpha), detection capability (CCbeta), recovery, precision, linearity, robustness and stability. CCalpha values were 0.054, 0.006 and 0.008MUg/kg for CLB, SAL and RAC, respectively. CCbeta values were 0.058, 0.007 and 0.009MUg/kg for CLB, SAL and RAC, respectively. The mean recoveries, repeatability (expressed as coefficient of variation, CV(r)), and reproducibility (CV(R)) varied from 95.8 to 106.2%, from 3.60 to 6.44% (CVr), and from 4.77 to 7.53% (CV(R)), respectively. The method is demonstrated to be suitable for the determination of clenbuterol, salbutamol and ractopamine in milk. The total time required for the analysis of one sample, including sample preparation, was about 45min. PMID- 21067759 TI - Physicochemical characterization of dilute n-alcohol/biodiesel mixtures by inverse gas chromatography. AB - Inverse gas chromatography (IGC) has been used to determine the physicochemical parameters that characterize solution thermodynamic interactions in biodiesel-n alcohol solute systems. Such data is of value to chemical engineers and separation scientists in optimizing separation processes to separate alcoholic solutes at low concentrations in soybean oil methyl ester mixtures (biodiesel). The derived activity and Henry's Law coefficient data can be used to rationalize the interaction of four members of an n-alcoholic homologous series and the soya based methyl ester solvent in terms of such esters as "green" renewable solvents. Sorption isotherm data confirm linear behavior in most cases between the solute (alcohol) vapor state concentrations and their uptake into the biodiesel phase. Overall, the experimentally determined activity coefficients agree well with those predicted by solution thermodynamic theories as well as correlative chemical engineering equations. PMID- 21067760 TI - Current approaches to trace analysis of pharmaceuticals and personal care products in the environment. AB - A large number of xenobiotics including pharmaceuticals and personal care products are continuously released into the environment. Effluents from sewage treatment plants are well known to be the major source for introduction of pharmaceuticals and personal care products into the aquatic system. In recent years, reliable methods have been established for residue analysis of these pollutants down to low ng/L levels. In this review, the different approaches to their trace determination are reviewed with special attention being paid to sample preparation procedures, state-of-the-art high-performance separation methods hyphenated with mass spectrometry, and immunochemical methods. PMID- 21067761 TI - Optimization of extraction of evodiamine and rutaecarpine from fruit of Evodia rutaecarpa using modified supercritical CO(2). AB - Evodiamine and rutaecarpine have been intensively studied due to their pharmacological actions and clinical applications. In this report, supercritical fluid was used to extract evodiamine and rutaecarpine from the unripe fruit of Evodia rutaecarpa. Response surface methodology using Box-Behnken experimental design was utilized to optimize parameters for supercritical carbon dioxide extraction with methanol as co-solvent. The effect of various values of dynamic extraction time (30-90min), temperature (50-70 degrees C) and pressure (200 400bar) on extraction yields of the two compounds was evaluated. Determinations of the extracts were performed by high-performance liquid chromatography. The experimental data obtained were fitted to second-order polynomial equations and analyzed by analysis of variance. The highest yields predicted were 1.217mg/g for evodiamine and 0.969mg/g for rutaecarpine at the optimal values (time 78min, temperature 62 degrees C, pressure 280bar and co-solvent flow rate 0.4mL/min), based on the selected range of experimental conditions. PMID- 21067762 TI - Establishment of an immunoaffinity chromatography for simultaneously selective extraction of Sudan I, II, III and IV from food samples. AB - The establishment of an immunoaffinity chromatography (IAC) for simultaneously selective extraction of four illegal colorants Sudan dyes (Sudan I, II, II and IV) from food samples was described. The IAC column was constructed by covalently coupling monoclonal antibody (mAb) against Sudan I to CNBr-activated Sepharose 4B and packed into a common solid phase extraction (SPE) cartridge. It was observed that IAC column was able to separately capture Sudan I, II, III and IV with maximum capacity of 295, 156, 184 and 173ng, respectively. The extraction conditions including loading, washing and eluting solutions were carefully optimized. Under optimal conditions, the extraction recoveries of the IAC column for Sudan I-IV at two different spiked concentrations were within 95.3-106.9%. After 50 times repeated usage, 64% of the maximum capacity was still remained. Six food samples randomly collected from local supermarket without spiking Sudan dyes were extracted with IAC column and detected by high performance liquid chromatography (HPLC). It was found that there was no detectable Sudan II, III and IV in all six food samples, but Sudan I with the content of 2.7-134.5ngg(-1) was detected in three food samples. To further verify the extraction efficiency, other three negative samples were spiked with Sudan I-IV at the concentrations of 20ngg(-1) and 50ngg(-1), which were then extracted with IAC column. The extraction recoveries and relative standard deviation (RSD) were 68.6-96.0% and 4.8-15.2%, respectively, demonstrating the feasibility of the prepared IAC column for Sudan dyes extraction. PMID- 21067763 TI - Polydimethylsiloxane-based permeation passive air sampler. Part II: Effect of temperature and humidity on the calibration constants. AB - Polydimethylsiloxane (PDMS) has low permeability towards water vapour and low energy of activation of permeation towards volatile organic compounds (VOCs) when compared to many other polymers. Suitability of the material for use in permeation-type passive air samplers was tested as it theoretically should reduce uptake rate variations due to temperature changes and eliminate or reduce complications arising from sorbent saturation by water vapour. The calibration constants of a simple autosampler vial-based permeation passive sampler equipped with a PDMS membrane (Waterloo Membrane Sampler((r))) were determined for various analytes at different temperatures. From the data, the activation energy of permeation for PDMS towards the analytes was determined. The analytes studied belonged to various classes of compounds with wide ranging polarities, including n-alkanes, aromatic hydrocarbons, esters and alcohols. The results confirmed Arrhenius-type relationship between temperature and calibration constant and the energy of activation of permeation for PDMS ranged from -5kJ/mole for butylbenzene to -17kJ/mole for sec-butylacetate. Calibration constants of the samplers towards n-alkanes and aromatic hydrocarbons determined at humidities between 30% and 91% indicated no statistically significant variations in the uptake rate with changes in humidity for 9 of the 11 analytes studied. The results confirmed the suitability of the sampler for deployment in high humidity areas and under varying temperature conditions. PMID- 21067764 TI - Quantification of phosphorus in DNA using capillary electrophoresis hyphenated with inductively coupled plasma mass spectrometry. AB - We have analyzed phosphorus in an enzymatically digested DNA molecule using capillary electrophoresis (CE) hyphenated with inductively coupled plasma mass spectrometry (ICP-MS). The DNA concentration was quantified by the phosphorus value obtained in the CE-ICP-MS analysis. The CE-ICP-MS measurement, for which the interface device AIF-01 equipped three layered nebulizer was adopted, was achieved with limited MUL/min nebulizing without loss of sample in the vaporizing chamber. The samples of nucleotides and free phosphate were separated well in the CE-ICP-MS measurement, and the calibration curve (0.1-10MUg/mL) of the phosphorus showed a linear (R(2)=0.999) increase in intensity. After digestion of the 100-bp double-strand DNA sample to deoxyribonucleotide-5'-monophosphates (dNMPs) by phosphodiesterase-I, phosphorus was detected by CE-ICP-MS without further purification steps. In this study, we applied two calculation schemes of DNA analysis using a dNMP concentration obtained from CE-ICP-MS. Comparative CE-ICP MS analysis with DNA digested to dNMPs showed that the assay gave an equal value obtained from the total DNA quantification using fluorescence detection. The detection limits of the DNA sample obtained from these species and phosphorus in nucleotides using CE-ICP-MS were 3.1-26ng/mL. These LOD values were equal to the conventional fluorescence determination of DNA. PMID- 21067765 TI - Retention and selectivity effects caused by bonding of a polar urea-type ligand to silica: a study on mixed-mode retention mechanisms and the pivotal role of solute-silanol interactions in the hydrophilic interaction chromatography elution mode. AB - The separation properties of five silica packings bonded with 1-[3 (trimethoxysilyl)propyl]urea in the range of 0-3.67 MUmol m-2 were investigated in the hydrophilic interaction chromatography (HILIC) elution mode. An increase of the ligand surface density promoted retention of non-charged polar compounds and even more so for acids. An opposite trend was observed for bases, while the amphoteric compound tyrosine exhibited a U-shaped response profile. An overall partitioning retention mechanism was incompatible with these observations; rather, the substantial involvement of adsorptive interactions was implicated. Support for the latter was provided by column-specific changes in analyte retention and concomitant selectivity effects due to variations of salt concentration, type of salt, pH value, organic modifier content, and column temperature. Silica was more selective for separating compounds differing in charge state (e.g. tyramine vs. 4-hydroxybenzoic acid), while in cases where structural differences of solutes resided in non-charged polar groups (e.g. tyramine vs. 5-hydroxydopamine, nucleoside vs. nucleobase) more selective separations were obtained on bonded phases. Hierarchical cluster analysis of the home-made urea-type and three commercial amide-type bonded packings evinced considerable differences in separation properties. The present data emphasise that the role of the packing material under HILIC elution conditions is hardly just the polar support for a dynamic coating with a water-enriched layer. Three major retention mechanisms are claimed to be relevant on bare silica and the urea type bonded packings: (i) HILIC-type partitioning, (ii) HILIC-type weak adsorption such as hydrogen bonding between solutes and ligands or solutes and silanols (potentially influenced by individual degrees of solvation, salt bridging, etc.), (iii) strong electrostatic (ionic) solute-silanol interactions (attractive/repulsive). Even when non-charged polar bonded phases are used, solute-silanol interactions should not be discounted, which makes them a prime parameter to be characterised by HILIC column tests. Multi/mixed-mode type separations seem to be common under HILIC elution conditions, associated with a great deal of selectivity increments. They are accessible and controllable by a careful choice of the type of packing, the mobile phase composition, and the temperature. PMID- 21067766 TI - Monolith peptide affinity chromatography for quantification of immunoglobulin M. AB - We have developed a method for quantification of a specific monoclonal IgM directed toward embryonic stem cells based on a peptide affinity monolith. A peptide affinity ligand with the sequence C-C-H-Q-R-L-S-Q-R-K was obtained by epitope mapping using peptide SPOT synthesis. The peptide ligand was covalently immobilized by coupling the N-terminal cysteine to a monolithic disk that was previously modified with iodated spacer molecules. The monolithic disc was used for quantification of purified IgM and for IgM present in mammalian cell culture supernatant. We observed 17% unspecific binding of IgM to the monolithic disk and additionally a product loss in the flow through of 20%. Nevertheless, calibration curves had high correlation coefficients and inter/intra-assay variability experiments proved sufficient precision of the method. A limit of quantification of 51.69 MUg/mL for purified IgM and 48.40 MUg/mL for IgM in cell culture supernatant could be calculated. The binding capacity was consistent within the period of the study which included more than 200 cycles. The analysis time of less than 2 min is an advantage over existing chromatographic methods that rely on pore diffusion. PMID- 21067767 TI - A model for investigating the behaviour of non-spherical particles at interfaces. AB - This paper introduces a simple method for modelling non-spherical particles with a fixed contact angle at an interface whilst also providing a method to fix the particles orientation. It is shown how a wide variety of particle shapes (spherical, ellipsoidal, disc) can be created from a simple initial geometry containing only six vertices. The shapes are made from one continuous surface with edges and corners treated as smooth curves not discontinuities. As such, particles approaching cylindrical and orthorhombic shapes can be simulated but the contact angle crossing the edges will be fixed. Non-spherical particles, when attached to an interface can cause large distortions in the surface which affect the forces acting on the particle. The model presented is capable of resolving this distortion of the surface around the particle at the interface as well as allowing for the particle's orientation to be controlled. It is shown that, when considering orthorhombic particles with rounded edges, the flatter the particle the more energetically stable it is to sit flat at the interface. However, as the particle becomes more cube like, the effects of contact angle have a greater effect on the energetically stable orientations. Results for cylindrical particles with rounded edges are also discussed. The model presented allows the user to define the shape, dimensions, contact angle and orientation of the particle at the interface allowing more in-depth investigation of the complex phenomenon of 3D film distortion around an attached particle and the forces that arise due to it. PMID- 21067768 TI - One-pot synthesis of Ag-Au bimetallic nanoparticles with Au shell and their high catalytic activity for aerobic glucose oxidation. AB - PVP-protected Ag(core)/Au(shell) bimetallic nanoparticles of enough small size, i.e., 1.4nm in diameter were synthesized in one-vessel using simultaneous reduction of the corresponding ions with rapid injection of NaBH(4), and characterized by HR-TEM. The Ag(core)/Au(shell) bimetallic nanoparticles show a high and durable catalytic activity for the aerobic glucose oxidation, and the catalyst can be stably kept for more than 2months under ambient conditions. The highest activity (16,890mol-glucoseh(-1)mol-metal(-1)) was observed for the bimetallic nanoparticles with Ag/Au atomic ratio of 2/8, the TOF value of which is several times higher than that of Au nanoparticles with nearly the same particle size. The higher catalytic activity of the prepared bimetallic nanoparticles than the usual Au nanoparticles can be ascribed to: (1) the small average diameter, usually less than 2.0nm, and (2) the electronic charge transfer effect from adjacent Ag atoms and protecting PVP to Au active sites. In contrast, the Ag-Au alloy nanoparticles, synthesized by dropwise addition of NaBH(4) into the starting solution and having the large mean particle size, showed a low catalytic activity. PMID- 21067769 TI - Visualization, dermatopharmacokinetic analysis and monitoring the conformational effects of a microemulsion formulation in the skin stratum corneum. AB - The use of nano-systems such as the microemulsions is considered as an increasingly implemented strategy in order to enhance the percutaneous transport into and across the skin barrier. The determination of the major pathway of penetration and the mechanisms by which these formulations work remains crucial. In this study, laser confocal scanning microscopy was used to visualize the penetration and the distribution of a fluorescently-labelled microemulsion (using 0.1% w/v Nile red) consisting of (%, w/w) 15.4% oleic acid, 30.8% Tween 20, 30.8% Transcutol(r) and 23% water. The surface images revealed that the microemulsion accumulated preferentially in the intercellular domains of the stratum corneum. Additionally, by analysis of the images taken across the whole stratum corneum (SC), the penetration was found to occur along its whole depth. The latter result was confirmed using tape stripping and the subsequent sensitive analysis using liquid chromatography mass spectroscopy. Dermatopharmacokinetic parameters were obtained for the microemulsion different components. These values proved the breakage of the microemulsion during its penetration across the stratum corneum. Moreover, the mechanisms of penetration enhancement and the micro molecular effects on the skin stratum corneum were investigated using attenuated Fourier transform infra-red spectroscopy. The results revealed the penetration of all the microemulsion components in the stratum corneum and demonstrated the microemulsion interaction with the skin barrier perturbing its architecture structure. PMID- 21067771 TI - Transfusion-related acute gut injury: necrotizing enterocolitis in very low birth weight neonates after packed red blood cell transfusion. AB - OBJECTIVE: This is a repeat cohort study in which we sought to determine whether an association of necrotizing enterocolitis (NEC) <48 hours of a packed red blood cells (PRBC) transfusion was a prior sampling artifact. STUDY DESIGN: All very low birth weight neonates with NEC Stage >= IIB admitted over an 18-month period were categorized for NEC: (1) <48 hours after a PRBC transfusion; (2) unrelated to the timing of PRBCs; and (3) never transfused. RESULTS: Eight hundred eighty three admissions over 18 months were reviewed; 256 were very low birth weight that resulted in 36 NEC cases and 25% were associated with PRBC (n = 9). PRBC associated cases had lower birth weight, hematocrit, and rapid onset of signs (<5 hours). The timing of association of PRBC transfusion and NEC differed from random, showing a distribution that was not uniform over time (chi(2) = 170.7, df = 40; P < .000001) consistent with the possibility of a causative relationship in certain cases of NEC. Current weight at onset of NEC did not differ; however, the more immature the neonate the later the onset of NEC creating a curious centering of occurrence at a median of 31 weeks postconceptual age. CONCLUSIONS: We conclude that PRBC-related NEC exists. Transfusion-related acute gut injury is an acronym we propose to characterize a severe neonatal gastrointestinal reaction proximal to a transfusion of PRBCs for anemia. The convergence at 31 weeks postconceptual age approximates the age of presentation of other O(2) delivery and neovascularization syndromes, suggesting a link to a generalized systemic maturational mechanism. PMID- 21067772 TI - Alterations of the early auditory evoked gamma-band response in first-degree relatives of patients with schizophrenia: hints to a new intermediate phenotype. AB - BACKGROUND: There is growing evidence of abnormalities of high-frequency oscillations in the gamma-range of the electroencephalography in schizophrenia. The generation of neural activity in the gamma-band was shown to be critically related to a glutamatergic and GABAergic microcircuit which is also known to be involved in the pathophysiology of schizophrenia. Recently, a reduction of the early auditory evoked gamma-band response (eGBR) in schizophrenic patients was reported. In order to investigate the possible applicability of this neurophysiological marker as an intermediate phenotype for schizophrenia, this is the main question of our investigation: Is the early eGBR decreased regarding evoked power and phase locking in first-degree relatives of patients with schizophrenia? METHODS: We investigated the early eGBR in 17 unaffected first degree relatives of patients with schizophrenia and in age-, gender- and education-matched groups of schizophrenic patients and healthy controls using an auditory reaction task. RESULTS: First-degree relatives of patients with schizophrenia and schizophrenic patients showed a significant reduction of evoked power and phase locking of the early eGBR compared to healthy controls. CONCLUSION: This study shows significantly reduced evoked power and phase locking of the early auditory eGBR in first-degree relatives of patients with schizophrenia pointing to the applicability of this marker as a heritable intermediate phenotype for schizophrenia. The findings are in line with the hypothesis of a disturbed GABAergic interneural modulation of pyramidal cells in schizophrenia and findings of different schizophrenia risk genes associated with transmission at glutamatergic and GABAergic synapses. PMID- 21067773 TI - Does circular stapled esophagogastric anastomotic size affect the incidence of postoperative strictures? AB - BACKGROUND: Postoperative anastomotic strictures produce significant morbidity after esophagectomy. Previous reports have described a variable association between the diameter of the circular end-to-end anastomosis (EEA) stapler commonly used in esophagogastric anastomoses and the incidence of stricture formation. Stapler technology has improved. We investigated an association between stapler diameter and the incidence of postoperative anastomotic strictures in a contemporary series. This has renewed importance given the limited diameter of trans-oral staplers that are being increasingly used. METHODS: Retrospective chart review revealed that of 194 patients undergoing an esophagectomy over a 10-y period (10/1998-8/2008) at our institution, an EEA stapler was used in 91. EEA size information and follow-up were available in 89 patients. Patients were divided into two groups based on EEA size: 'small' = 23 25 mm (n = 24) and 'large' = 28-33 mm (n = 65). Patients with strictures were identified based on symptoms of dysphagia requiring an esophageal dilation procedure. Patients with postoperative leaks were excluded when analyzing for the association of stricture with EEA size, as postoperative leaks are known to be associated with stricture. Wilcoxon and Fisher's exact tests were used for statistical analysis; a 5% alpha error was accepted. RESULTS: Fifteen (16.8%) of 89 patients developed a stricture postoperatively. The anastomotic leak rate was 3.3%. There was no statistically significant association between EEA size group and stricture formation (P = 0.7506). CONCLUSIONS: No association was found between the size of the EEA stapler used and stricture formation. EEA size should be determined at surgery by the native esophageal diameter. PMID- 21067774 TI - The expression of interferon receptor alpha/beta in human pancreatic cancer in nude mice is essential for tumor response to interferon alpha treatment. AB - BACKGROUND: Adjuvant interferon based chemoradiation has rendered promising results against pancreatic cancer. This study evaluated the in vivo effect of interferon alpha on two human pancreatic carcinoma cell lines implanted in nude. MATERIAL AND METHODS: MiaPaCa-2 expressed the interferon alpha/beta receptor and Panc-1 cells did not. Regimen I consisted of intraperitoneal single-agent gemcitabine and Regimen II consisted of IFN-alpha and gemcitabine biweekly for 30 d. RESULTS: Regimen I and II significantly decreased median tumor volume compared with control mice (P < 0.001). However, MiaPaCa-2 showed a more dramatic response to Regimen II compared with Panc-1 implanted mice. MiaPaCa-2 and treated with Regimen II showed less metastasis and less local invasion compared with Panc-1 treated with same regimen. Regimen II was more effective on MiaPaCa-2 compared with Regimen I (P < 0.001). There were no differences between Regimens I and II in the Panc-1 group. CONCLUSIONS: Treatment of human pancreatic cancer in nude mice with interferon alpha and gemcitabine was associated with a reduction in tumor volume. This process was more prominent in the cells that express the interferon receptors. PMID- 21067775 TI - Multiple imputation in trauma disparity research. AB - BACKGROUND: Missing data has remained a major disparity in trauma outcomes research due to missing race and insurance data. Multiple imputation (M.IMP) has been recommended as a solution to deal with this major drawback. STUDY DESIGN: Using the National Data Trauma Bank (NTDB) as an example, a complete dataset was developed by deleting cases with missing data across variables of interest. An incomplete dataset was then created from the complete set using random deletion to simulate the original NTDB, followed by five M.IMP rounds to generate a final imputed dataset. Identical multivariate analyses were performed to investigate the effect of race and insurance on mortality in both datasets. RESULTS: Missing data proportions for known trauma mortality covariates were as follows: age-4%, gender-0.4%, race-8%, insurance-17%, injury severity score-6%, revised trauma score-20%, and trauma type-3%. The M.IMP dataset results were qualitatively similar to the original dataset. CONCLUSION: M.IMP is a feasible tool in NTDB for handling missing race and insurance data. PMID- 21067776 TI - Surgical treatment of osteoporotic thoracolumbar compressive fractures with open vertebral cement augmentation of expandable pedicle screw fixation: a biomechanical study and a 2-year follow-up of 20 patients. AB - BACKGROUND: The incidence of screw loosening increases significantly in elderly patients with severe osteoporosis. Open vertebral cement augmentation of expandable pedicle screw fixation may improve fixation strength in the osteoporotic vertebrae. MATERIALS AND METHODS: Twenty cadaveric vertebrae (L1-L5) were harvested from six osteoporotic lumbar spines. Axial pullout tests were performed to compare the maximum pullout strength (Fmax) of four methods: 1. Conventional pedicle screws (CPS), 2. Expandable pedicle screws (EPS), 3. Cement augmentation of CPS (cemented-CPS), 4. Cement augmentation of EPS (cemented-EPS). Thirty-six consecutive patients with single-vertebral osteoporotic compressive fractures received posterior decompression and spinal fusion with cemented-CPS (16 cases) or cemented-EPS (20 cases). Plain film and/or CT scan were conducted to evaluate the spinal fusion and fixation effectiveness. RESULTS: The Fmax and energy absorption of cemented-EPS were significantly greater than three control groups. The mean BMD in the severe osteoporosis group was significantly lower than that in the osteoporosis group (t = 2.04, P = 0.036). In the osteoporosis group, cemented-EPS improved the Fmax by 43% and 21% over CPS and cemented-CPS group. In the severe osteoporosis group, cemented-EPS increased the Fmax by 59%, 22%, and 26% over CPS, EPS, and cemented-CPS, respectively. The clinical results showed that all patients suffered from severe osteoporosis. Six months after operation, the JOA and VAS scores in cemented-EPS group improved from 11.4 +/- 2.6 and 7.0 +/- 1.4 mm to 24.9 +/- 1.6 and 2.1 +/- 1.3 mm, respectively. No screw loosening occurred in the cemented-EPS group and spinal fusion was achieved. In the cemented-CPS group, four screws loosened (4.2%) according to the radiolucency. Six months after operation, the JOA and VAS scores improved from 13.1 +/- 1.9 and 7.6 +/- 1.5 mm to 22.8 +/- 2.2 and 2.5 +/- 1.6 mm, respectively. No cement leaked into the spinal canal in both groups. CONCLUSIONS: Cemented-EPS could increase fixation strength biomechanically. It could reduce the risks of screw loosening in patients with severe osteoporosis, requiring instrumented arthrodesis. PMID- 21067777 TI - The ultrastructural differences in rectus sheath of hernia patients and healthy controls. PMID- 21067778 TI - Patient satisfaction and symptomatic outcomes following stapled transanal rectal resection for obstructed defecation syndrome. AB - BACKGROUND: Obstructed defecation syndrome (ODS) is recognized as a functional (e.g., anismus) and anatomic (e.g., rectocele and rectal intussusception) defecatory disorder of the pelvic floor. This study was designed to evaluate outcomes and patient satisfaction following stapled transanal rectal resection (STARR) for the surgical treatment of ODS. MATERIALS AND METHODS: Between May 2006 and July 2009, 37 patients underwent STARR for correction of ODS secondary to rectocele and internal intussusception. Demographic data and postoperative outcomes were tabulated. Symptomatic outcomes were assessed by comparing pre- and postoperative subsets of the Wexner constipation scoring system, and quality outcomes were evaluated with patient satisfaction surveys. RESULTS: Thirty-seven female patients with a mean age of 52.9 +/- 11.2 y underwent STARR. All patients had clinically significant rectocele as evidenced on defecography and 81.1% had concomitant internal rectal intussusception. Postoperative complications occurred in 13 patients (35.1%). Two of these patients required re-intervention: dilation of stricture and transanal excision of staple granuloma. Mean quality of life follow-up occurred at 20.3 +/- 6.5 mo (median: 20 mo, range: 9-36 mo). Mean preoperative and postoperative constipation subset scores were 11.1 +/- 3.6 and 4.6 +/- 3.9, respectively (P < 0.00001). Overall outcome was reported as "excellent" or "good" in 71.9% of patients, "adequate" in 15.6%, and "poor" in 12.5%. When asked if they would undergo the procedure again, 81.3% responded affirmatively. CONCLUSIONS: The STARR procedure results in improved symptomatic outcomes, high patient satisfaction, and an acceptable complication rate. In selected patients, this minimally invasive approach was an acceptable procedure for the surgical correction of ODS secondary to rectocele and intussusception. PMID- 21067779 TI - Community-based appraisal of laparoscopic abdominal surgery in Japan. AB - BACKGROUND: Despite the prevalence of laparoscopic surgery (LS), community-based appraisal of its benefit over open surgery (OS) has not been performed. This can be measured by increased total charge (TC) spent and decreased length of stay (LOS), which are indicative of greater resource use and opportunistic cost reduction. We prioritized the value of LS for eight abdominal procedures. MATERIALS AND METHODS: We used a Japanese administrative database for the 6 mo leading up to December 2007. Study procedures were appendectomy, cholecystectomy, choledocholithotomy, herniorrhaphy, colectomy, partial or total gastrectomy, and small bowel resection (SBR) in adults. We analyzed patient demographics, mortality, comorbidity, complications, use of chemotherapy or postoperative pain control, hospital teaching status, postoperative LOS, and TCs. The impact of LS was determined using multivariate analysis on the propensity-score-matched cohorts of LS and OS. RESULTS: Herniorrhaphy was most frequently performed (24,088 cases), whereas SBR was performed least (3404). LS was performed most often in cholecystectomy (81%) and least in herniorrhaphy (3.7%). LS did not increase complications in any procedure. Laparoscopic cholecystectomy and SBR were associated with shorter LOS and lower TC, whereas laparoscopic herniorrhaphy increased LOS and TC. Laparoscopic appendectomy and partial gastrectomy reduced LOS and increased TC. CONCLUSIONS: LS safety was confirmed. Laparoscopic cholecystectomy or SBR might have advantages, whereas laparoscopic was no better than open herniorrhaphy and might be decided by patient's preference. Considering the variation in the decremental opportunistic cost produced by incremental medical expenses observed among the procedures, policymakers should determine an appropriate reimbursement schedule. PMID- 21067780 TI - Prevention of NKT cell activation accelerates cutaneous wound closure and alters local inflammatory signals. AB - We previously reported that in the absence of NKT cells, wound closure was accelerated in a murine excisional punch wound model. Here, we explored whether purposefully inhibiting NKT cell activation had similar effects on wound closure and the dermal inflammatory response to injury. We found that prevention of NKT cell activation accelerated wound closure in a dose-responsive manner. If anti CD1d was administered before wounding, NKT cell infiltration into cutaneous wounds was diminished without quantitative changes in cellular infiltrates. Furthermore, prevention of NKT cell activation transiently enhanced the local production of a subset of chemokines, including MIP-2, MCP-1, MIP-1alpha, and MIP 1beta, and altered the relative expression of CD69 and CXCR2 on the surface of both circulating and wound NKT cells. Taken together, these findings suggest that wounding activates NKT cells via CD1d presentation of glycolipid antigen and help further define a role for NKT cells in the regulation of wound inflammation and closure. Many soluble factors have been targeted as potential wound healing therapies, but their clinical success has been limited. Given our findings, the NKT cell may be an attractive target for wound healing therapies. PMID- 21067782 TI - Plastic ingestion by planktivorous fishes in the North Pacific Central Gyre. AB - A significant amount of marine debris has accumulated in the North Pacific Central Gyre (NPCG). The effects on larger marine organisms have been documented through cases of entanglement and ingestion; however, little is known about the effects on lower trophic level marine organisms. This study is the first to document ingestion and quantify the amount of plastic found in the gut of common planktivorous fish in the NPCG. From February 11 to 14, 2008, 11 neuston samples were collected by manta trawl in the NPCG. Plastic from each trawl and fish stomach was counted and weighed and categorized by type, size class and color. Approximately 35% of the fish studied had ingested plastic, averaging 2.1 pieces per fish. Additional studies are needed to determine the residence time of ingested plastics and their effects on fish health and the food chain implications. PMID- 21067781 TI - Hydrogen-rich saline provides protection against hyperoxic lung injury. AB - BACKGROUND: Hydrogen has been proven to be a novel antioxidant through its selectively reducing of the hydroxyl radical. In this study, we investigated the effects of hydrogen-rich saline on the prevention of acute lung injury induced by hyperoxia (HALI) in rats. MATERIALS AND METHODS: Physiologic saline, hydrogen rich saline, or nitrogen-rich saline was administered through intraperitoneal (i.p.) injection during exposure to hyperoxia (10 mL/Kg), respectively. RESULTS: Severity of HALI was assessed by the volume of pleural effusion, wet-to-dry weight ratio (W/D), and histologic analysis. Apoptosis in lung cells was determined with terminal deoxynucleotidyl transferase dUTP nick end labeling (TUNEL)-positive staining. The content of pro-inflammatory cytokine interleukin IL-1b and TNF-a in the lung tissues were detected by enzyme-linked immunosorbent assay (ELISA). Hydrogen-rich saline treatment provides protection against HALI by inhibiting lipid, DNA oxidation, and tissue edema. Moreover, hydrogen-rich saline treatment could inhibit apoptosis and inflammation while no significant reduction was observed in nitrogen-rich saline treated animals. CONCLUSION: The results of this study demonstrate that hydrogen-rich saline ameliorated hyperoxia-induced acute lung injury by reducing oxidative stress and inflammatory cascades in lung tissue. PMID- 21067783 TI - SAR observation and model tracking of an oil spill event in coastal waters. AB - Oil spills are a major contributor to marine pollution. The objective of this work is to simulate the oil spill trajectory of oil released from a pipeline leaking in the Gulf of Mexico with the GNOME (General NOAA Operational Modeling Environment) model. The model was developed by NOAA (National Oceanic and Atmospheric Administration) to investigate the effects of different pollutants and environmental conditions on trajectory results. Also, a Texture-Classifying Neural Network Algorithm (TCNNA) was used to delineate ocean oil slicks from synthetic aperture radar (SAR) observations. During the simulation, ocean currents from NCOM (Navy Coastal Ocean Model) outputs and surface wind data measured by an NDBC (National Data Buoy Center) buoy are used to drive the GNOME model. The results show good agreement between the simulated trajectory of the oil spill and synchronous observations from the European ENVISAT ASAR (Advanced Synthetic Aperture Radar) and the Japanese ALOS (Advanced Land Observing Satellite) PALSAR (Phased Array L-band Synthetic Aperture Radar) images. Based on experience with past marine oil spills, about 63.0% of the oil will float and 18.5% of the oil will evaporate and disperse. In addition, the effects from uncertainty of ocean currents and the diffusion coefficient on the trajectory results are also studied. PMID- 21067784 TI - Effects of 1 day of inactivity on insulin action in healthy men and women: interaction with energy intake. AB - Prolonged periods of limited muscle activity can reduce insulin action. Acute changes in low muscle activity (ie, sitting) have not been assessed. In addition, unless energy intake is reduced during sitting to match low expenditure, the concurrent energy surplus may explain lower insulin action. The objective of the study was to evaluate the acute effect of sitting, with and without energy surplus, on insulin action. Fourteen young (26.1 +/- 4.5 years, mean +/- SD), nonobese (23.7% +/- 7.1% fat), fit (peak oxygen consumption = 49.1 +/- 3.3 mL.kg( 1).min(-1)) men (n = 7) and women (n = 7) completed three 24-hour conditions: (1) an active, no-sitting condition (high energy expenditure of 2944 +/- 124 kcal with energy intake matched to expenditure) = NO-SIT; (2) low energy expenditure (sitting) of 2195 +/- 121 kcal with no reduction in energy intake (energy surplus) = SIT; and (3) sitting with energy intake reduced to 2139 +/- 118 kcal to match low expenditure (energy balance) = SIT-BAL. Insulin action was measured the following morning during a continuous infusion of [6,6-(2)H]-glucose. Data were analyzed using linear mixed-effects models with planned contrasts. Compared with NO-SIT, insulin action, defined as whole-body rate of glucose disappearance normalized to mean plasma insulin, was reduced by 39% in SIT (P < .001) and by 18% in SIT-BAL (P = .07). Insulin action was higher in SIT-BAL compared with SIT (P = .04). One day of sitting considerably reduced insulin action; this effect was minimized, but not prevented, when energy intake was reduced to match expenditure. Strategies to limit daily sitting may reduce metabolic disease risk. PMID- 21067785 TI - We need to look to broad horizons to understand (and change) health. PMID- 21067786 TI - WITHDRAWN: Preliminary investigation on Mycoplasma maculosum isolated from chickens. AB - This article has been withdrawn at the request of the authors. The Publisher apologizes for any inconvenience this may cause. The full Elsevier Policy on Article Withdrawal can be found at http://www.elsevier.com/locate/withdrawalpolicy. PMID- 21067787 TI - The effect of sea transport from Ireland to the Lebanon on inflammatory, adrenocortical, metabolic and behavioural responses of bulls. AB - The objective was to investigate the effect of sea transport on the physiological, behavioural and performance responses of bulls. One-hundred and eleven bulls (mean body weight (standard error of the mean) 429 (5.7 kg)) were randomly assigned to one of three treatments; control (C; n=54) bulls were housed in 6 pens at Teagasc, Grange Research Centre at a stocking density of (1), 1.7 m(2)/head (C1.7; 3 pens) and (2), 3.4 m(2)/head (C3.4; 3 pens) and (3), transported (T) bulls (n=57) were penned at a space allowance of 1.7 m(2)/head (6 pens) and allocated to one of five decks on the shipping vessel. C and T bulls were subjected to the same live weight (d -2), blood sampling and rectal temperature (d -1) measurements pre-transport and on d 3, d 6, d 9 and d 11 of the study. T bulls had greater (P<0.05) live weight gain (+4.4%) compared with C1.7 bulls (-2.0%) and C3.4 (+0.13%)). Time spent lying was greater (P<0.05) among C1.7 and C3.4 bulls (9.9% and 53.3%, respectively) compared with T bulls (45.8%). Rectal body temperature was not different (P>0.05) among treatment groups throughout the study. At d 11, neutrophil % was greater (P<0.05) in transported bulls on decks 1, 2, 4 and 5 compared with C1.7 and C3.4 treatments. Plasma cortisol concentrations were not different (P>0.05) between control and transported bulls. Plasma creatine kinase (CK) activity was lower (P<0.05) among C3.4 and T bulls on decks 2, 3, 4 and 5 compared with d 3 values. In conclusion, the welfare of bulls transported by sea on the sea journey was not adversely affected. Housing control bulls at a reduced space allowance (1.7 m(2)) had a negative effect on live weight gain. PMID- 21067788 TI - [Bilateral optic neuropathy with loss of vision after an influenza vaccination in a patient suffering from mixed connective tissue disease]. AB - BACKGROUND: Optic neuropathy is a rare adverse reaction to vaccination. CASE REPORT: A 62-year-old-woman was hospitalized for bilateral optic neuropathy with loss of vision. The symptoms occurred 15 days after a seasonal influenza vaccination. Her past medical history included a mixed connective tissue disease with no immunosuppressive treatment for several years. Investigations did not reveal any obvious cause and the hypothesis of post-influenza vaccination bilateral optic neuropathy was retained although a complication of the connective tissue disease complication could not be ruled out. The patient was given intravenous methylprednisolone 1g daily. At a cumulative dose of 8 g, oral steroids were given and tapered off. DISCUSSION: Few similar case reports have been described in literature. The causal link between vaccination and optic neuropathy thus remains to be confirmed. Clinicians should however consider this etiology. PMID- 21067789 TI - Obituary of Franc Gubensek, 1937-2010. PMID- 21067790 TI - The retroviral cyclin of walleye dermal sarcoma virus binds cyclin-dependent kinases 3 and 8. AB - Walleye dermal sarcoma virus encodes a retroviral cyclin (rv-cyclin) with a cyclin box fold and transcription activation domain (AD). Co-immune precipitation (co-IP) identified an association of rv-cyclin with cyclin-dependent kinase 8 (cdk8). Cdk8 is dependent upon cyclin C and regulates transcription with the Mediator complex, a co-activator of transcription. Mutation of cyclin residues, required for cdk binding, disrupts rv-cyclin-cdk8 co-IP. Mutation or removal of the AD has no effect on cdk8 interaction. Direct rv-cyclin-cdk8 binding is demonstrated by pulldown of active cdk8 and by GST-rv-cyclin binding to recombinant cdk8. Cdk3 is also activated by cyclin C and phosphorylates retinoblastoma protein to initiate entry into the cell division cycle. Co-IP and pulldowns demonstrate direct rv-cyclin binding to cdk3 as well. The rv-cyclin functions as a structural ortholog of cyclin C in spite of its limited amino acid sequence identity with C cyclins or with any known cyclins. PMID- 21067791 TI - Metabolic modeling of mixed substrate uptake for polyhydroxyalkanoate (PHA) production. AB - Polyhydroxyalkanoate (PHA) production by mixed microbial communities can be established in a two-stage process, consisting of a microbial enrichment step and a PHA accumulation step. In this study, a mathematical model was constructed for evaluating the influence of the carbon substrate composition on both steps of the PHA production process. Experiments were conducted with acetate, propionate, and acetate propionate mixtures. Microbial community analysis demonstrated that despite the changes in substrate composition the dominant microorganism was Plasticicumulans acidivorans in all experiments. A metabolic network model was established to investigate the processes observed. The model based analysis indicated that adaptation of the acetate and propionate uptake rate as a function of acetate and propionate concentrations in the substrate during cultivation occurred. The monomer composition of the PHA produced was found to be directly related to the composition of the substrate. Propionate induced mainly polyhydroxyvalerate (PHV) production whereas only polyhydroxybutyrate (PHB) was produced on acetate. Accumulation experiments with acetate-propionate mixtures yielded PHB/PHV mixtures in ratios directly related to the acetate and propionate uptake rate. The model developed can be used as a useful tool to predict the PHA composition as a function of the substrate composition for acetate-propionate mixtures. PMID- 21067792 TI - Abnormal glucose regulation in pyrethroid pesticide factory workers. AB - The purpose of this study was to investigate associations between pyrethroids occupational exposures, and risk of abnormal glucose regulation. Data from total of 3080 subjects in two pesticide factories were used. This was a population based case-controlled study in China. In total, 18.3% of subjects with impaired glucose regulation (IGR) and 6.5% of subjects with diabetes, and the prevalence of abnormal glucose regulation was 24.8%, 86 subjects had known type 2 diabetes and 114 had newly diagnosed diabetes. The prevalence of subjects with abnormal glucose regulation increased from 21.3% in the controls to 29.3% in the exposures (chi2 = 33.182, P < 0.001). Multivariate logistic regression was used to control potential confounders and calculate odd ratios as the estimate of effect. An indication of increased risk for abnormal glucose regulation was noted for exposure to pyrethroids (OR = 1.482, 95%CI = 1.238-1.774). Abnormal glucose regulation is common in subjects exposed to pyrethroids. The present investigation indicates the adverse health effects of pyrethroids are underestimated. PMID- 21067793 TI - Hydraulic performance of a proposed in situ photocatalytic reactor for degradation of MTBE in water. AB - Methyl tert-butyl ether (MTBE) groundwater remediation projects often require a combination of technologies resulting in increasing the project costs. A cost effective in situ photocatalytic reactor design, Honeycomb II, is proposed and tested for its efficiency in MTBE degradation at various flows. This study is an intermediate phase of the research in developing an in situ photocatalytic reactor for groundwater remediation. It examines the effect of the operating variables: air and water flow and double passages through Honeycomb II, on the MTBE removal. MTBE vaporisation is affected by not only temperature, Henry's law constant and air flow to volume ratio but also reactor geometry. The column reactor achieved more than 84% MTBE removal after 8 h at flows equivalent to horizontal groundwater velocities slower than 21.2 cm d-1. Despite the contrasting properties between a photocatalytic indicator methylene blue and MTBE, the reactor efficiency in degrading both compounds showed similar responses towards flow (equivalent groundwater velocity and hydraulic residence time (HRT)). The critical HRT for both compounds was approximately 1 d, which corresponded to a velocity of 21.2 cm d-1. A double pass through both new and used catalysts achieved more than 95% MTBE removal after two passes in 48 h. It also verified that the removal efficiency can be estimated via the sequential order of the removal efficiency of one pass obtained in the laboratory. This study reinforces the potential of this reactor design for in situ groundwater remediation. PMID- 21067794 TI - Feasibility of community food item collection for the National Children's Study. AB - BACKGROUND: The National Children's Study proposes to investigate biological, chemical, physical, and psychosocial environmental exposures and their role on health outcomes in pregnant women and children. One specific area of concern is contaminant exposure through the ingestion of solid foods. National food contaminant databases may miss dietary exposures unique to specific communities and sources of food. OBJECTIVE: The purpose of this study was to evaluate the feasibility of community food item collection for the assessment of pesticide exposure in pregnant women and young children. METHODS: A prospective observational design was used to test the food collection protocol in mothers (n=45) of children aged 15-24 months in Salt Lake City, Utah. Foods for collection were based on: 1) frequency of different foods consumed by the target population as determined by the National Health and Nutrition Examination Survey data; 2) child food frequency questionnaire; and 3) likelihood of pesticide contamination in the foods. Assessment measures included: demographics, environmental health survey, quality assurance checklist, and participant evaluation form. RESULTS: An average of three food items were obtained from 44 households, yielding a collection rate of 97.8%. Overall, 100% of the food samples were rated as acceptable. Moreover, a vast majority of mothers reported that the study was not burdensome (95.5%) and that preparing the food sample was easy (93.2%). CONCLUSIONS: This study suggests that the community food item collection methodology shows promise as a low-burden approach for capturing dietary exposures on a household level, and appears to be a feasible tool for large population studies to assess dietary exposures unique to specific communities. PMID- 21067795 TI - Sedimentary records of sewage pollution using faecal markers in contrasting peri urban shallow lakes. AB - Sewage contamination in shallow lake sediments is of concern because the pathogens, organic matter and nutrients contribute to the deterioration of the water-bodies' health and ecology. Sediment cores from three shallow lakes (Coneries, Church and Clifton Ponds) within Attenborough nature reserve located downstream of sewage treatment works were analysed for TOC, C/N, delta(13)C, delta(15)N, bacterial coliforms and faecal sterols. (210)Pb and (137)Cs activities were used to date the sediments. Elemental analysis suggests that the source of organic matter was algal and down profile changes in delta(13)C indicate a possible decrease in productivity with time which could be due to improvements in sewage treatment. delta(15)N for Coneries Pond are slightly higher than those observed in Church or Clifton and are consistent with a sewage derived nitrate source which has been diluted by non-sewage sources of N. The similarity in delta(15)N values (+12 0/00 to +10 0/00) indicates that the three ponds were not entirely hydrologically isolated. Analysis by gas chromatography/mass spectrometry (GC/MS) reveals that Coneries Pond had sterol concentrations in the range 20 to 30 MUg/g (dry wt.), whereas, those from Clifton and Church Ponds were lower. The highest concentrations of the human-sourced sewage marker 5beta-coprostanol were observed in the top 40 cm of Coneries Pond with values up to 2.2 MUg/g. In contrast, Church and Clifton Pond sediments contain only trace amounts throughout. Down-profile comparison of 5beta coprostanol/cholesterol, 5beta-coprostanol/(5beta-coprostanol+5alpha-cholestanol) and 5beta-epicoprostanol/coprostanol as well as 5alpha-cholestanol/cholesterol suggests that Coneries Pond has received appreciable amounts of faecal contamination. Examination of 5beta-stigmastanol (marker for herbivorous/ruminant animals) down core concentrations suggests a recent decrease in manure slurry input to Coneries Pond. The greater concentration of beta-sitosterol in sediments from Church and Clifton Ponds as compared to Coneries is attributed in part to their greater diversity and extent of aquatic plants and avian faeces. PMID- 21067796 TI - Platelets at the interface between thrombosis, inflammation and immunity. PMID- 21067797 TI - Tandem-robot assisted laparoscopic radical prostatectomy to improve the neurovascular bundle visualization: a feasibility study. AB - OBJECTIVES: To examine the feasibility of image-guided navigation using transrectal ultrasound (TRUS) to visualize the neurovascular bundle (NVB) during robot-assisted laparoscopic radical prostatectomy (RALP). The preservation of the NVB during radical prostatectomy improves the postoperative recovery of sexual potency. The accompanying blood vessels in the NVB can serve as a macroscopic landmark to localize the microscopic cavernous nerves in the NVB. METHODS: A novel, robotic transrectal ultrasound probe manipulator (TRUS Robot) and three dimensional (3-D) reconstruction software were developed and used concurrently with the daVinci surgical robot (Intuitive Surgical, Inc., Sunnyvale, CA) in a tandem-robot assisted laparoscopic radical prostatectomy (T-RALP). RESULTS: After appropriate approval and informed consent were obtained, 3 subjects underwent T RALP without associated complications. The TRUS Robot allowed a steady handling and remote manipulation of the TRUS probe during T-RALP. It also tracked the TRUS probe position accurately and allowed 3-D image reconstruction of the prostate and surrounding structures. Image navigation was performed by observing the tips of the daVinci surgical instruments in the live TRUS image. Blood vessels in the NVB were visualized using Doppler ultrasound. CONCLUSIONS: Intraoperative 3-D image-guided navigation in T-RALP is feasible. The use of TRUS during radical prostatectomy can potentially improve the visualization and preservation of the NVB. Further studies are needed to assess the clinical benefit of T-RALP. PMID- 21067798 TI - Prothrombin haplotype associated with kidney stone disease in Northeastern Thai patients. AB - OBJECTIVE: To evaluate genetic variations associated with kidney stone disease in Northeastern Thai patients. METHODS: Altogether, 67 single nucleotide polymorphisms (SNP) distributed within 8 candidate genes, namely TFF1, S100A8, S100A9, S100A12, AMBP, SPP1, UMOD, and F2, which encode stone inhibitor proteins, including trefoil factor 1, calgranulin (A, B, and C), bikunin, osteopontin, tamm Horsfall protein, and prothrombin, respectively, were initially genotyped in 112 individuals each and in additional subjects to consist of 164 patients and 216 control subjects in total. RESULTS: We found that minor allele and homozygous genotype frequencies of 8 of 10 SNPs distributed within the F2 gene were significantly higher in the control group than in the patient group. Two F2 haplotypes were found to be dually associated with kidney stone risk, one (TGCCGCCGCG) with increased disease risk and the other (CGTTCCGCTA) with decreased disease risk. However, these 2 haplotypes were associated with the disease risks in only the female, not the male, group. CONCLUSIONS: The results of our study indicate that genetic variation of F2 is associated with kidney stone risk in Northeastern Thai female patients. PMID- 21067799 TI - Novel artificial urinary sphincter in the canine model: the tape mechanical occlusive device. AB - OBJECTIVES: To assess the functionality, occlusive efficiency, and biocompatibility of a novel artificial urinary sphincter, the tape mechanical occlusive device (TMOD), after implantation in a live canine model, as well as its occlusive efficiency and sizing parameters in human cadavers. METHODS: Three female canines underwent implantation of the TMOD at the level of the bladder neck. Functionality was assessed starting at 2 weeks after implantation and continued for <=9 weeks. The TMODs were activated at 2 weeks and then deactivated for 3, 30-minute sessions daily to permit voiding. The urethral occlusion pressures and biocompatibility for systemic toxicity and the local tissue response were examined. Additionally, the TMOD was inserted in 3 male cadavers to determine the sizing parameters and to assess the urethral occlusion pressures using pressure profilometry. RESULTS: In the canine model, the urethral occlusion pressures increased from a range of 9-42 cm H(2)O with the TMOD deactivated to a range of 57-82 cm H(2)O with the TMOD activated. Pathologic examination revealed unremarkable pseudocapsular tissues surrounding the device. No histologic or structural evidence of systemic toxicity was observed. Sizing parameters similar to those of other urologic implants were confirmed in the male cadavers, and the urethral occlusion pressures increased from 24 to 30 cm H(2)O with the device deactivated to 61-105 cm H(2)O with the device activated. CONCLUSIONS: The TMOD meets the current standards for an artificial urinary sphincter in terms of functionality, biocompatibility, and achieving desired occlusion pressures following chronic implantation. Additional testing in male canines followed by early human clinical trials is being contemplated. PMID- 21067800 TI - Minimally invasive nephrectomy: the influence of laparoendoscopic single-site surgery on patient selection, outcomes, and morbidity. AB - OBJECTIVES: To define clinical scenarios in urology for which laparoendoscopic single-site surgery (LESS) is indicated and likely to be successful. We report a series of LESS nephrectomies and compare patient characteristics with traditional laparoscopic nephrectomies performed during the same time period. METHODS: We retrospectively reviewed all laparoscopic nephrectomies (conventional or LESS) performed by a single surgeon at our institution since our initial LESS cases in August 2007. Patients were not randomized; instead the surgeon used clinical judgment to decide with the patient which procedure should be performed. Factors that may have influenced this decision were retrospectively analyzed. RESULTS: Of all minimally invasive nephrectomies, 47% were performed using LESS technique (30/64). One conversion from LESS to standard laparoscopy occurred. Patients undergoing LESS had a smaller median age (47 vs 63.5 years, P = .004), body mass index (24.4 vs 28.4, P = .001), tumor size in nephrectomies performed for suspected malignancy (4 cm vs 6 cm, P = .043), and hospital length of stay (42.7 vs 46.1 hours, P = .006). LESS patients were also more likely to be undergoing a nephrectomy for a benign indication (50% vs 15%, P = .006). The complication rate for LESS and conventional laparoscopy was 13% (4/30) and 15% (5/34), respectively, with similar distributions across Clavien grades. CONCLUSIONS: With appropriate patient selection, almost 50% of minimally invasive nephrectomies can be performed using LESS with similar complication rates and outcomes compared with traditional laparoscopy. Younger, thinner patients with nononcological indications or smaller tumors are prime candidates for LESS nephrectomy. PMID- 21067801 TI - Previous laparoscopic inguinal hernia repair does not adversely affect the functional or oncological outcomes of endoscopic extraperitoneal radical prostatectomy. AB - OBJECTIVE: To investigate whether previous laparoscopic inguinal hernia repair (LIHR) affected adversely key outcome measures in radical prostatectomy, including perioperative data, pathologic data, complications, potency, continence, and prostate-specific antigen (PSA). We have shown previously that LIHR does not preclude safe endoscopic extraperitoneal radical prostatectomy (EERPE). METHODS: EERPE is the standard approach to radical prostatectomy in our unit. Between 2001 and June 2009 we encountered 92 patients who had previously undergone LIHR who underwent our standard technique of EERPE other than modification of port placement and development of the extraperitoneal space. We recorded our standard perioperative/postoperative dataset. Twelve-month follow-up data were available from 75 of 92 patients with 6-month follow-up of the remaining 17. RESULTS: Fifty-nine patients had undergone previous unilateral total extraperitoneal hernioplasty (TEP): 16 bilateral TEP, 15 unilateral transabdominal extraperitoneal hernioplasty (TAPP), and 2 bilateral TAPP. Although we needed to modify our technique, there was no increase in our operative time (153 minutes). Where indicated, we were able to perform bilateral nerve sparing and pelvic lymphadenectomy on the contralateral side to the LIHR. There were no major complications and no blood transfusions. Our positive margin rate, continence, and potency rates did not differ from our series of 2000 consecutive EERPEs. Ninety-four-point-seven percent of men had an undetectable PSA at 12 months. CONCLUSIONS: LIHR does not adversely affect perioperative and key outcome measures in EERPE. PMID- 21067802 TI - Robot-assisted laparoendoscopic single-site surgery: partial nephrectomy for renal malignancy. AB - OBJECTIVES: To describe our experience with robot-assisted laparoendoscopic single-site surgery (LESS) to perform partial nephrectomy and evaluate a hybrid homemade port system as an effective access technique. METHODS: From December 2008 to September 2009, robot-assisted LESS to perform partial nephrectomy through a hybrid homemade port was performed to treat 14 cases of renal cell carcinoma. The data, including patient characteristics, operative records, complications, and pathologic results, were analyzed. RESULTS: The mean tumor size was 3.2 cm, the mean ischemic time was 30 minutes, and the mean operative time was 233 minutes. We used the hybrid homemade port technique in 10 cases. All surgical margins after partial nephrectomy were negative for malignancy. No port related complications were reported. Two cases required conversion to mini incisional partial nephrectomy. CONCLUSIONS: Robot-assisted LESS for performing partial nephrectomy using a hybrid homemade port system is a safe and feasible treatment technique. It provided access for meticulous suturing on the renal parenchyma using articulating robot arms and ready access to the surgical field for the assistant. PMID- 21067803 TI - Photoinitiator type and applicability of exposure reciprocity law in filled and unfilled photoactive resins. AB - OBJECTIVES: To test the influence of photoinitiator type and filler particle inclusion on the validity of exposure reciprocity law. MATERIALS AND METHODS: 50/50 wt% Bis-GMA/TEGDMA resins were prepared with equimolar concentrations of camphorquinone/DMAEMA (0.20/0.80 mass%) (CQ) or Lucirin-TPO (0.42 mass%), and were used either unfilled or filled to 75 mass%. Specimens were cured with a halogen Swiss Master Light (EMS, Switzerland) using four different curing protocols: 400 mW/cm2 for 45 s as reference protocol (18 J/cm2), 1500 mW/cm2 for 12 s (18 J/cm2), 3000 mW/cm2 for 6 s (18 J/cm2) and 3 s (9 J/cm2). Degree of conversion (DC) was measured in real time for 70 s by FT-NIRS and temperature rise using a thermocouple. Depth of cure was determined with a penetrometer technique. RESULTS: With respect to DC and depth of cure, exposure reciprocity law did not hold for any tested material, except for the depth of cure of filled CQ-based materials. At similar radiant exposure, DC was significantly higher (p<0.05) for all unfilled and filled TPO-based materials compared with CQ-based materials. As exposure time was reduced and irradiance increased, TPO-based materials exhibited higher DC whilst an opposite trend was observed for CQ-based materials (p<0.05). For similar curing regimes, depth of cure of CQ-based materials remained significantly greater than that of TPO-based materials. Adding fillers generally reduced DC, except at higher irradiance for CQ-based materials where a positive effect was observed (p<0.05). SIGNIFICANCE: The validity of exposure reciprocity law was dependent on several factors, among which photoinitiator type and filler content were important. Lucirin-TPO is a highly reactive and efficient photoinitiator, which may allow the potential for a reduction in curing time of TPO-based photoactive materials in thin sections. PMID- 21067806 TI - Is intensive LDL-cholesterol lowering beneficial and safe? PMID- 21067804 TI - Efficacy and safety of more intensive lowering of LDL cholesterol: a meta analysis of data from 170,000 participants in 26 randomised trials. AB - BACKGROUND: Lowering of LDL cholesterol with standard statin regimens reduces the risk of occlusive vascular events in a wide range of individuals. We aimed to assess the safety and efficacy of more intensive lowering of LDL cholesterol with statin therapy. METHODS: We undertook meta-analyses of individual participant data from randomised trials involving at least 1000 participants and at least 2 years' treatment duration of more versus less intensive statin regimens (five trials; 39 612 individuals; median follow-up 5.1 years) and of statin versus control (21 trials; 129 526 individuals; median follow-up 4.8 years). For each type of trial, we calculated not only the average risk reduction, but also the average risk reduction per 1.0 mmol/L LDL cholesterol reduction at 1 year after randomisation. FINDINGS: In the trials of more versus less intensive statin therapy, the weighted mean further reduction in LDL cholesterol at 1 year was 0.51 mmol/L. Compared with less intensive regimens, more intensive regimens produced a highly significant 15% (95% CI 11-18; p<0.0001) further reduction in major vascular events, consisting of separately significant reductions in coronary death or non-fatal myocardial infarction of 13% (95% CI 7-19; p<0.0001), in coronary revascularisation of 19% (95% CI 15-24; p<0.0001), and in ischaemic stroke of 16% (95% CI 5-26; p=0.005). Per 1.0 mmol/L reduction in LDL cholesterol, these further reductions in risk were similar to the proportional reductions in the trials of statin versus control. When both types of trial were combined, similar proportional reductions in major vascular events per 1.0 mmol/L LDL cholesterol reduction were found in all types of patient studied (rate ratio [RR] 0.78, 95% CI 0.76-0.80; p<0.0001), including those with LDL cholesterol lower than 2 mmol/L on the less intensive or control regimen. Across all 26 trials, all-cause mortality was reduced by 10% per 1.0 mmol/L LDL reduction (RR 0.90, 95% CI 0.87-0.93; p<0.0001), largely reflecting significant reductions in deaths due to coronary heart disease (RR 0.80, 99% CI 0.74-0.87; p<0.0001) and other cardiac causes (RR 0.89, 99% CI 0.81-0.98; p=0.002), with no significant effect on deaths due to stroke (RR 0.96, 95% CI 0.84-1.09; p=0.5) or other vascular causes (RR 0.98, 99% CI 0.81-1.18; p=0.8). No significant effects were observed on deaths due to cancer or other non-vascular causes (RR 0.97, 95% CI 0.92-1.03; p=0.3) or on cancer incidence (RR 1.00, 95% CI 0.96-1.04; p=0.9), even at low LDL cholesterol concentrations. INTERPRETATION: Further reductions in LDL cholesterol safely produce definite further reductions in the incidence of heart attack, of revascularisation, and of ischaemic stroke, with each 1.0 mmol/L reduction reducing the annual rate of these major vascular events by just over a fifth. There was no evidence of any threshold within the cholesterol range studied, suggesting that reduction of LDL cholesterol by 2-3 mmol/L would reduce risk by about 40-50%. FUNDING: UK Medical Research Council, British Heart Foundation, European Community Biomed Programme, Australian National Health and Medical Research Council, and National Heart Foundation. PMID- 21067807 TI - Unique biomaterial compositions direct bone marrow stem cells into specific chondrocytic phenotypes corresponding to the various zones of articular cartilage. AB - Numerous studies have reported generation of cartilage-like tissue from chondrocytes and stem cells, using pellet cultures, bioreactors and various biomaterials, especially hydrogels. However, one of the primary unsolved challenges in the field has been the inability to produce tissue that mimics the highly organized zonal architecture of articular cartilage; specifically its spatially varying mechanical properties and extra-cellular matrix (ECM) composition. Here we show that different combinations of synthetic and natural biopolymers create unique niches that can "direct" a single marrow stem cell (MSC) population to differentiate into the superficial, transitional, or deep zones of articular cartilage. Specifically, incorporating chondroitin sulfate (CS) and matrix metalloproteinase-sensitive peptides (MMP-pep) into PEG hydrogels (PEG:CS:MMP-pep) induced high levels of collagen II and low levels of proteoglycan expression resulting in a low compressive modulus, similar to the superficial zone. PEG:CS hydrogels produced intermediate-levels of both collagen II and proteoglycans, like the transitional zone, while PEG:hyaluronic acid (HA) hydrogels induced high proteoglycan and low collagen II levels leading to high compressive modulus, similar to the deep zone. Additionally, the compressive moduli of these zone-specific matrices following cartilage generation showed similar trend as the corresponding zones of articular cartilage, with PEG:CS:MMP pep having the lowest compressive modulus, followed by PEG:CS while PEG:HA had the highest modulus. These results underscore the potential for composite scaffold structures incorporating these biomaterial compositions such that a single stem-progenitor cell population can give rise to zonally-organized, functional articular cartilage-like tissue. PMID- 21067808 TI - Multifunctional superparamagnetic nanocarriers with folate-mediated and pH responsive targeting properties for anticancer drug delivery. AB - Multifunctional nanocarriers with multilayer core-shell architecture were prepared by coating superparamagnetic Fe(3)O(4) nanoparticle cores with a mixture of the triblock copolymer methoxy poly(ethylene glycol)-b-poly(methacrylic acid co-n-butyl methacrylate)-b-poly(glycerol monomethacrylate) and the folate conjugated block copolymer folate-poly(ethylene glycol)-b-poly(glycerol monomethacrylate). The model anticancer agent adriamycin (ADR), containing an amine group and a hydrophobic moiety, was loaded into the nanocarrier at pH 7.4 by ionic bonding and hydrophobic interactions. The release rate of the loaded drug molecules was slow at pH 7.4 (i.e. mimicking the blood environment) but increased significantly at acidic pH (i.e. mimicking endosome/lysosome conditions). Acid-triggered drug release resulted from the polycarboxylate protonation of poly(methacrylic acid), which broke the ionic bond between the carrier and ADR. Cellular uptake by folate receptor-overexpressing HeLa cells of the folate-conjugated ADR-loaded nanoparticles was higher than that of non folated-conjugated nanoparticles. Thus, folate conjugation significantly increased nanoparticle cytotoxicity. These findings show the potential viability of a folate-targeting, pH-responsive nanocarrier for amine-containing anticancer drugs. PMID- 21067809 TI - Pattern of hypomethylating agents use among elderly patients with myelodysplastic syndromes. AB - Little is known about how hypomethylating agents (HMAs) have been adopted into the treatment of myelodysplastic syndromes (MDS). We conducted a population-based study to assess the use of HMAs among 4416 MDS patients (age>=66 years) who were diagnosed during 2001-2005 and followed up through the end of 2007. Multivariate logistic regression models were utilized to evaluate the role of various patient characteristics. 475 (10.8%) patients had received HMAs by 2007, with the proportion increasing over time. Patients who were white (odds ratio (OR)=0.66, 95% confidence interval (CI): 0.46-0.95), male (OR=1.47, 95% CI: 1.19-1.82), young (Ptrend<0.01), more recently diagnosed (OR=1.90, 95% CI: 1.54-2.34), had fewer comorbidities (Ptrend<0.01), or had a history of other cancer (OR=1.28, 95% CI: 1.00-1.63) were more likely to receive HMAs. Compared with patients with refractory anemia, those diagnosed with refractory anemia with excess blasts or refractory cytopenia with multilineage dysplasia had a higher chance to be treated with HMAs (OR=3.52 and 2.32, respectively). Relatively few MDS patients were treated with HMAs during the introduction period of these agents, and multiple patient characteristics such as sex, comorbidities, and MDS subtype influence the likelihood a patient receives HMAs. PMID- 21067810 TI - Viral and bacterial minigene products are presented by MHC class I molecules with similar efficiencies. AB - MHC class I molecules present short peptides, usually 8-10 amino acids in length, to CD8(+) T cells. These peptides are typically generated from full-length endogenously synthesized proteins degraded by the antigen processing machinery of the target cell. However, exogenous proteins, whether originating from intracellular bacteria or parasites or via phagocytosis during cross presentation, can also be processed for presentation by MHC class I molecules. It is currently not known whether endogenously synthesized proteins and proteins acquired from exogenous sources follow the same presentation pathway. One clue that the processing pathways followed by endogenous and exogenous proteins may not be identical is the vastly different presentation efficiencies reported for viral versus bacterial antigens. Because class I antigen processing involves multiple steps, we sought to determine where in the processing pathway these differences in efficiency occur. To accomplish this, we expressed identical minimal peptide determinants from viral and bacterial vectors using a minigene expression system and determined the rate of peptide-MHC generation per molecule of minigene product synthesized. We found that peptides expressed from either the viral or bacterial vector were presented with virtually identical efficiencies. These results suggest that differences in the processing pathways followed by endogenous versus exogenous proteins most likely occur at a point prior to where free peptide is liberated from full-length protein. PMID- 21067812 TI - Maternal attachment representations after very preterm birth and the effect of early intervention. AB - OBJECTIVE: For very preterm infants the mother-infant relationship may be compromised. Maternal attachment representations 18 (corrected) months after very preterm birth and the effect of the post-discharge Infant Behavioral Assessment and Intervention Program (IBAIP) were studied. The IBAIP is designed to assist parents to support and enhance their infant's regulatory competence and development. The intervention consisted of 6-8 home visits during the first 8 months after birth. METHOD: Seventy-eight mothers of very preterm infants (< 32 weeks and/or < 1500 g) were interviewed, who participated in a randomized controlled trial: 41 from the intervention group and 37 from the control group. Maternal attachment representations were assessed with the Working Model of the Child Interview (WMCI). The interviews resulted in a classification of the attachment representations into balanced or non-balanced. RESULTS: 30% of the mothers had non-balanced attachment representations. Qualitative content analysis of the answers showed that negative feelings when first seeing their baby and negative or ambivalent feelings in the first weeks at home with their baby are related to non-balanced attachment representations. The WMCI revealed no differences between the intervention and control group. CONCLUSION: Early support for mothers of very preterm born infants to develop a healthy mother-infant relationship is recommended especially for mothers who report negative first experiences. PMID- 21067811 TI - Novel analogues of the therapeutic complement inhibitor compstatin with significantly improved affinity and potency. AB - Compstatin is a 13-residue disulfide-bridged peptide that inhibits a key step in the activation of the human complement system. Compstatin and its derivatives have shown great promise for the treatment of many clinical disorders associated with unbalanced complement activity. To obtain more potent compstatin analogues, we have now performed an N-methylation scan of the peptide backbone and amino acid substitutions at position 13. One analogue (Ac-I[CVW(Me)QDW-Sar-AHRC](NMe)I NH(2)) displayed a 1000-fold increase in both potency (IC(50) = 62 nM) and binding affinity for C3b (K(D) = 2.3 nM) over that of the original compstatin. Biophysical analysis using surface plasmon resonance and isothermal titration calorimetry suggests that the improved binding originates from more favorable free conformation and stronger hydrophobic interactions. This study provides a series of significantly improved drug leads for therapeutic applications in complement-related diseases, and offers new insights into the structure-activity relationships of compstatin analogues. PMID- 21067813 TI - Validity of the 12-item General Health Questionnaire (GHQ-12) in detecting depressive and anxiety disorders among high school students. AB - Despite the common use of the 12-item General Health Questionnaire (GHQ-12) with adolescents, there is limited data supporting its validity with this population. The aims of the study were to investigate the psychometric properties of the GHQ 12 among high school students, to validate the GHQ-12 against the gold standard of a diagnostic interview, and to suggest a threshold score for detecting depressive and anxiety disorders. Six hundred and fifty-four high school students from years 10 to 12 (ages 15-18) completed the GHQ-12 (Likert scored) and the Structured Clinical Interview for Diagnostic and Statistical Manual of Mental Disorders-IV-Test Revision (DSM-IV-TR). Receiver operating characteristic (ROC) curves were plotted. The mean GHQ-12 score for the total sample was 9.9 (S.D.=5.4). Results from the ROC curve indicated that the GHQ-12 performed better than chance at identifying depressive and anxiety disorders (area under the curve (AUC)=0.781). A GHQ-12 threshold score of 9/10 for males and 10/11 for females was found to be optimal. Given the significant proportion of mental illness among high school students, there may be a need to introduce screening for mental illnesses as part of the school curriculum. This can assist with the early identification and enable low stigma preventive intervention within the school environment. PMID- 21067814 TI - The proteomic advantage: label-free quantification of proteins expressed in bovine milk during experimentally induced coliform mastitis. AB - Coliform mastitis remains a primary focus of dairy cattle disease research due in part to the lack of efficacious treatment options for the deleterious side effects of exposure to LPS, including profound intra-mammary inflammation. To facilitate new veterinary drug approvals, reliable biomarkers are needed to evaluate the efficacy of adjunctive therapies for the treatment of inflammation associated with coliform mastitis. Most attempts to characterize the host response to LPS, however, have been accomplished using ELISAs. Because a relatively limited number of bovine-specific antibodies are commercially available, reliance on antibodies can be very limiting for biomarker discovery. Conversely, proteomic approaches boast the capability to analyze an unlimited number of protein targets in a single experiment, independent of antibody availability. Liquid chromatography coupled to tandem mass spectrometry (LC MS/MS), a widely used proteomic strategy for the identification of proteins in complex mixtures, has gained popularity as a means to characterize proteins in various bovine milk fractions, both under normal physiological conditions as well as during clinical mastitis. The biological complexity of bovine milk has, however, precluded the complete annotation of the bovine milk proteome. Conventional approaches to reducing sample complexity, including fractionation and the removal of high abundance proteins, has improved proteome coverage, but the dynamic range of proteins present, and abundance of a relatively small number of proteins, continues to hinder comparative proteomic analyses of bovine milk. Nonetheless, advances in both liquid chromatography and mass spectrometry instrumentation, including nano-flow liquid chromatography (nano-LC), nano-spray ionization, and faster scanning speeds and ionization efficiency of mass spectrometers, have improved analyses of complex samples. In the current paper, we review the proteomic approaches used to conduct comparative analyses of milk from healthy cows and cows with clinical mastitis, as well as proteins related to the host response that have been identified in mastitic milk. Additionally, we present data that suggests the potential utility of LC-MS/MS label-free quantification as an alternative to costly labeling strategies for the relative quantification of individual proteins in complex mixtures. Temporal expression patterns generated using spectral counts, an LC-MS/MS label-free quantification strategy, corresponded well with ELISA data for acute phase proteins with commercially available antibodies. Combined, the capability to identify low abundance proteins, and the potential to generate temporal expression profiles, indicate the advantages of using proteomics as a screening tool in biomarker discovery analyses to assess biologically relevant proteins modulated during disease, including previously uncharacterized targets. PMID- 21067815 TI - Transcriptome and proteome profiling of host responses to Marek's disease virus in chickens. AB - Marek's disease (MD) is an immunosuppressive and proliferative disease of domestic chickens caused by a highly oncogenic cell-associated alpha-herpesvirus, named Marek's disease virus (MDV). Despite the availability of highly efficacious vaccines for control of MD and existence of lines of chickens which display differential genetic susceptibility or resistance to this disease, little is known about the underlying mechanisms of MDV-host interactions. The recent advent of global or targeted gene and protein expression profiling has paved the way towards gaining a better understanding of host responses to MDV. The main objective of this review is to discuss some of the recent advancements made in relation to elucidating the mechanisms of MDV pathogenesis, host responses to MDV, genetic resistance/susceptibility to MD, and immunity conferred by vaccines. In this regard, particular emphasis has been placed on studies employing proteome and transcriptome profiling approaches. Finally, the utility of microRNA and RNA interference (RNAi) technologies for functional analysis of genes, proteins, and pathways that play a role in the complex interactions between MDV and its host is discussed. PMID- 21067816 TI - Postpartum toll-like receptors and beta-defensin 5 mRNA levels in the endometrium of Holstein cows. AB - Toll-like receptors (TLRs) and beta-defensins are important components of the innate immune system. This study aimed to evaluate endometrial mRNA levels of TLRs (1/6, 2, 4, and 5) and beta-defensin 5 in Holstein cows by quantitative real time RT-PCR. Uterine biopsies were performed from 6 to 12 h after parturition, and cows were divided into two groups: (i) cows with placental retention and clinical signs of uterine infection until 45 days postpartum (n=10) or (ii) cows with normal puerperium (n=10). All cows had detectable levels of TLRs and beta defensin 5 mRNAs, but these levels did not differ between groups (P>0.05). Levels of TLR4 mRNA had a positive and significant correlation with the time required for uterine involution in both groups. PMID- 21067817 TI - Cloning and characterization of ovine immunoglobulin G Fc receptor III (FcgammaRIII). AB - Receptors for the Fc regions of immunoglobin G (IgG) play a critical role in immunoregulation and immune defenses against pathogens. In this study, we describe the cloning, eukaryotic expression and IgG subclass specificity of ovine Fc gamma receptor III (FcgammaRIII). The newly cloned ovine FcgammaRIII cDNA contains a 940 bp open-reading frame (ORF), and is predicted to encode a 250 amino acid transmembrane glycoprotein composed of two immunoglobulin-like extracellular domains, a transmembrane region and a short cytoplasmic tail. The overall identity of the ovine FcgammaRIII amino acid sequence to its cattle, pig and human counterparts was 83.2%, 62.0%, 60.7%, respectively. Overlapping PCR was performed with the extracellular domain of ovine FcgammaRIII and the transmembrane and intracellular region of ovine Fc gamma chain to construct a chimeric receptor. Rosetting analysis showed that transfected COS-7 cells required Fc receptor gamma chain for the expression of FcgammaRIII on the surface. COS-7 cells expressing FcgammaRIII were able to bind chicken erythrocytes sensitized with ovine IgG1, but not IgG2. Identification of ovine FcgammaRIII will further our understanding of the ovine immune system. PMID- 21067818 TI - Characterisation of antibodies to bovine Toll-like receptor (TLR)-2 and cross reactivity with ovine TLR2. AB - Host recognition of conserved pathogen-associated molecular patterns (PAMPs) and their interactions with pattern-recognition receptors, including the Toll-like receptors (TLR) is essential for innate immune response induction. The TLR1 family (TLR1, 2, 6 and 10) is involved in the recognition of gram-positive and gram-negative bacteria and heterodimers of TLR1 or TLR6 with TLR2 are crucial for the identification of several PAMPs. Studies on cell surface expression of TLR in ruminants are hampered by the lack of specific antibodies and no convincingly cross-reactive anti-human antibodies have been described so far. We describe herein four antibodies which recognise bovine TLR2. Differences in TLR2 expression were evident on bovine antigen presenting cells with high level expression on peripheral blood monocytes and monocyte-derived macrophages. Lower levels of expression were evident on dendritic cell populations derived in vitro and ex vivo, and on alveolar macrophages. One of the antibodies recognised TLR2 expression on ovine peripheral blood monocytes. The identification of antibodies specific for bovine and ovine TLR2 will facilitate studies of the role of this important PRR in the initiation of immune responses to important pathogens. PMID- 21067805 TI - Intensive lowering of LDL cholesterol with 80 mg versus 20 mg simvastatin daily in 12,064 survivors of myocardial infarction: a double-blind randomised trial. AB - BACKGROUND: Lowering of LDL cholesterol reduces major vascular events, but whether more intensive therapy safely produces extra benefits is uncertain. We aimed to establish efficacy and safety of more intensive statin treatment in patients at high cardiovascular risk. METHODS: We undertook a double-blind randomised trial in 12,064 men and women aged 18-80 years with a history of myocardial infarction. Participants were either currently on or had clear indication for statin therapy, and had a total cholesterol concentration of at least 3.5 mmol/L if already on a statin or 4.5 mmol/L if not. Randomisation to either 80 mg or 20 mg simvastatin daily was done centrally using a minimisation algorithm. Participants were assessed at 2, 4, 8, and 12 months after randomisation and then every 6 months until final follow-up. The primary endpoint was major vascular events, defined as coronary death, myocardial infarction, stroke, or arterial revascularisation. Analysis was by intention to treat. This study is registered, number ISRCTN74348595. FINDINGS: 6031 participants were allocated 80 mg simvastatin daily, and 6033 allocated 20 mg simvastatin daily. During a mean follow-up of 6.7 (SD 1.5) years, allocation to 80 mg simvastatin produced an average 0.35 (SE 0.01) mmol/L greater reduction in LDL cholesterol compared with allocation to 20 mg. Major vascular events occurred in 1477 (24.5%) participants allocated 80 mg simvastatin versus 1553 (25.7%) of those allocated 20 mg, corresponding to a 6% proportional reduction (risk ratio 0.94, 95% CI 0.88 1.01; p=0.10). There were no apparent differences in numbers of haemorrhagic strokes (24 [0.4%] vs 25 [0.4%]) or deaths attributed to vascular (565 [9.4%] vs 572 [9.5%]) or non-vascular (399 [6.6%] vs 398 [6.6%]) causes. Compared with two (0.03%) cases of myopathy in patients taking 20 mg simvastatin daily, there were 53 (0.9%) cases in the 80 mg group. INTERPRETATION: The 6% (SE 3.5%) reduction in major vascular events with a further 0.35 mmol/L reduction in LDL cholesterol in our trial is consistent with previous trials. Myopathy was increased with 80 mg simvastatin daily, but intensive lowering of LDL cholesterol can be achieved safely with other regimens. FUNDING: Merck; The Clinical Trial Service Unit also receives funding from the UK Medical Research Council and the British Heart Foundation. PMID- 21067819 TI - beta 1-4 mannobiose enhances Salmonella-killing activity and activates innate immune responses in chicken macrophages. AB - Salmonella spp. is one of the major causes of food-borne illness in humans, and Salmonella enteritidis (SE) infection in commercial poultry is a world-wide problem. Here we have investigated the in vitro immune-modulating effects of beta 1-4 mannobiose (MNB), which was previously found to prevent SE infection in vivo in chickens, using chicken macrophage (MQ-MCSU) cells. Treatment of MQ-NCSU cells with MNB dose-dependently increased both phagocytic activity and Salmonella killing activity of macrophages, with the highest reduction in SE viability observed at a concentration of 40 MUg/ml at 48 h post-infection. Likewise, both hydrogen peroxide (H(2)O(2)) and nitric oxide (NO) production were increased in a dose-dependent manner by MNB. Gene expression analysis of MNB-treated macrophages revealed significant increases in the expression of iNOS, NOX-1, IFN-gamma, NRAMP1, and LITAF, genes critical for host defense and antimicrobial activity, when compared to untreated cells. This data confirms that MNB possesses potent innate immune-modulating activities and can up-regulate antibacterial defenses in chicken macrophages. PMID- 21067820 TI - Neuromyelitis optica: concepts in evolution. AB - Neuromyelitis optica (NMO) is a rare demyelinating disease, affecting selectively the optic nerve and the spinal cord. It was previously considered to be a severe variant of multiple sclerosis (MS) due to the similar pathological features and its resemblance to optico-spinal, or Japanese, MS, typical of Asian populations. The finding that most NMO patients have auto-antibodies against aquaporin-4, a water channel particularly abundant on the astrocytes of the glia limitans, has allowed early diagnosis and specific treatment of these patients, and has greatly improved our knowledge of its pathogenesis. When laboratories worldwide can detect anti-aquaporin-4 auto-antibodies with comparable sensitivity and specificity, we will be able to have large multi-centric studies to define better the epidemiological, clinical and pathological features of patients and their responses to treatment. PMID- 21067822 TI - Duplication of lower lip and mandible--a rare diprosopus. AB - Diprosopus or duplication of the lower lip and mandible is a very rare congenital anomaly. We report this unusual case occurring in a girl who presented to our hospital at the age of 4 months. Surgery and problems related to this anomaly are discussed. PMID- 21067821 TI - Sensorineural hearing loss in pediatric celiac patients. AB - OBJECTIVE: Celiac disease (CD) is an immune-mediated chronic inflammatory gluten dependent intestinal disease affecting 0.5-1% of the general population worldwide. CD is underdiagnosed even with sophisticated health care; approximately 10% of people affected by CD are now diagnosed. The recognition of the atypical extra-intestinal manifestations, including neurological disorders increased the diagnosis of CD. At present, no data are available on the presence of sensorineural hearing loss in pediatric CD patients. The aim of this study was to determine the incidence and severity of sensorineural hearing loss (SNHL) in different frequencies in pediatric CD patients. METHODS: A sample of 32 biopsies and serologically proven newly diagnosed pediatric CD patients (CD group) (64 ears) and 32 sex and age-matched healthy subjects (64 ears) as control group (C group) were included in this study. Anthropometric measurements, physical examinations including ear nose and throat and pure-tone audiometry at frequencies 250-8000 Hz were performed in all subjects in both groups. Slight/mild SNHL was defined as a loss of detection of sound within the 16-40 dB range. The mean age of patient and control group was 11.9 and 11.3, respectively (p>0.05). RESULTS: In CD group, sensorineural hearing loss was found in 13 (40.6%) patients (group A) as it was bilateral in six and unilateral in seven patients. In control group (group C), slight/mild SNHL was found in one (3.1%) subject. The frequency of hearing loss was significantly higher in CD group than in group C (p<0.001). CONCLUSION: The present study showed a higher prevalence of sensory neural hearing loss in pediatric celiac patients than in healthy controls, suggesting an association between CD and SNHL. The findings of this study suggest that hearing impairment should be searched in newly diagnosed pediatric CD patients. Further longitudinal investigations on a larger sample size will be necessary to confirm the present data and to search the immunological processes which could be the basis of the association between CD and SNHL. PMID- 21067823 TI - Topical bupivacaine compared to lidocaine with epinephrine for post-tonsillectomy pain relief in children: a randomized controlled study. AB - OBJECTIVE: To compare the topical administration of bupivacaine hydrochloride, lidocaine hydrochloride with epinephrine and saline in alleviating post tonsillectomy pain. STUDY DESIGN: A double-blind prospective randomized controlled clinical study. METHODS: Between November 2008 and March 2009, 60 patients (32 males and 28 females) between ages of 1.5 and 15 years were recruited into the study. After informed consent was obtained from the parents, patients, admitted for tonsillectomy, were randomized into three groups using sealed envelops. Group 1 (20 patients, mean age 5.2+/-1.7) received topical lidocaine hydrochloride (20 mg/ml) with 0.00125% epinephrine. Group 2 (20 patients, mean age 6+/-3.7) received topical 0.5% bupivacaine hydrochloride and group 3 (20 patients, mean age 6.7+/-3.6) received topical saline. RESULTS: The post-operative pain scores at 1h were similar among the groups (p=0.29). Pain scores in bupivacaine hydrochloride group were significantly lesser than the saline group at 5th, 13th, 17th and 21st hours, until the sixth day (p<0.017). Moreover, pain scores of bupivacaine hydrochloride group were superior to lidocaine hydrochloride group starting at 17 h, until fourth day (p<0.017). Pain scores of lidocaine hydrochloride group were lesser than saline group in the first and fifth days (p<0.017), whereas, there was no significant difference at other times. CONCLUSION: Topical administration of bupivacaine hydrochloride proved to provide more efficient pain control than both saline and lidocaine without any drug related complication. PMID- 21067825 TI - Tuning of synaptic responses: an organizing principle for optimization of neural circuits. AB - Neuron types are classically defined by anatomical and physiological properties that determine how synaptic inputs are integrated. Here, we provide an overview of the evidence that, among neurons of a single type, integration of synaptic responses is further tuned according to the particular function that individual neurons carry out. Recent data suggest that tuning of synaptic responses is not restricted to sensory pathways, but extends to cognitive and motor circuits. We propose that tuning of synaptic integration results from general cellular mechanisms for optimization of information processing that are distinct from, but complementary to, homeostasis and memory storage. These cellular tuning mechanisms might be crucial for distributed computations underlying sensory, motor and cognitive functions. PMID- 21067824 TI - Stuck in a rut: rethinking depression and its treatment. AB - The current definition of major depressive disorder (MDD) emerged from efforts to create reliable diagnostic criteria for clinical and research use. However, despite decades of research, the neurobiology of MDD is largely unknown, and treatments are no more effective today than they were 50-70 years ago. Here, we propose that the current conception of depression is misguiding basic and clinical research. Redefinition is necessary and could include a focus on a more narrowly defined set of core symptoms. However, we conclude that depression is better defined as the tendency to enter into, and inability to disengage from, a negative mood state rather than the mood state per se. We also discuss the implications of this revised definition for future clinical and basic research. PMID- 21067826 TI - Effect directed analysis of riverine sediments--the usefulness of Potamopyrgus antipodarum for in vivo effect confirmation of endocrine disruption. AB - In vivo tests are not commonly used in effect directed analysis (EDA) approaches. In the present study, a novel methodology was developed whereby Potamopyrgus antipodarum, which is known to be sensitive to endocrine disrupting compounds, was used as test organism. Field sediments from a polluted site in the north of Belgium were extracted and fractionated using three coupled and automatically switched normal-phase HPLC columns. Part of the fractions were spiked to artificial sediments and tested in a sediment contact test with P. antipodarum. The other part was used for an in vitro effect confirmation with the ER-LUC and anti-AR CALUX assays. Two of the six tested fractions stimulated the reproduction of the snails, while two others inhibited the reproduction. The fractions that caused an increase in reproduction also showed an increased estrogenic potency in the ER-LUC assay. Chemical analysis revealed that one of the most prominent compounds in those fractions was bisphenol-A, which has already been reported to have a stimulating effect on the reproduction of P. antipodarum by other authors. Due to the fact that previous studies have shown that this snail is also present in the field at this certain site, it was possible to directly link the results with effects that were observed in the field. This study indicates that effect directed analyses, supported by in vivo biotests, are very useful tools in order to identify the compounds that cause adverse effects on organisms or even population level. PMID- 21067827 TI - Impact of social connections on risk of heart disease, cancer, and all-cause mortality among elderly Americans: findings from the Second Longitudinal Study of Aging (LSOA II). AB - This study examined the associations between social connections and risk of mortality among older adults aged >= 70 using data (n = 9246) from the United States LSOA II. Social connections were measured at baseline (1994-96), and survival status and cause of mortality were identified in December 2002. A weighted sum score of lack of social connections (LSC) was estimated. Associations between LSC score by quartiles and risk of mortality were examined prospectively using Cox's proportional hazard regression models. The results show that within an eight-year follow-up, African Americans (AA) had the highest age adjusted all-cause mortality (48.7%), followed by white Americans (WA) (44.7%), and Asian Americans (ASA) (38.4%). Participants within the highest quartile of LSC score had 2 times higher risk of death from heart disease, cancer, and all causes among AA, and 1.40-1.80 times higher among WA than those within the lowest quartile (p < 0.01). No significant association was observed among ASA, which was likely due to the small sample size of the ASA participants. In conclusion, the association between lack of social connections and risk of mortality in older adults raises important clinical and public health concerns, and calls for a reframing of health problems to include social connections. PMID- 21067828 TI - Hip fracture post-operation dysnatremia and Na+-courses in different cognitive and functional patient groups. AB - The aim of the study was to investigate Na(+)-course of hip fracture patients in relation to demographic and clinical parameters. Data on 155 older hip fracture patients were analyzed retrospectively. Clinical parameters and serum Na(+) on admission (Na1), during 24h pre-op. (Na2), during 24h post-op. (Na3), and pre discharge (Na4) were recorded. Hyponatremia and hypernatremia rates pre- and post operation were 26.5%, 2.6%, 24.5% and 5.8%. Higher Na3 (138.76 +/- 4.4 mEq/l) vs. Na1 (137.69 +/- 4.5 mEq/l) (p = 0.004) and correlation between age and Na3 (p = 0.021) was found. Mean serum Na(+) of impaired mental status (IMS) vs. normal patients and of partially/independent vs. dependent patients were higher (p < 0.05). More complications occurred in IMS patients tending to higher Na3 in patients with complications. No differences in Na(+)-courses were found according to sex or co-morbidities. Dysnatremia is highly prevalent in older hip fracture patients. A distinct post-operative increase in serum Na(+) was found, higher in the cognitively and functionally impaired patients. It seems that the Na(+) increase characterizes more IMS patients who suffer more complications, but does not necessarily indicate complications. We recommend surveillance of serum Na(+), particularly in cognitively and functionally impaired older patients in whom the risk of hypernatremia and complications is higher. PMID- 21067829 TI - MK-0677 (ibutamoren mesylate) for the treatment of patients recovering from hip fracture: a multicenter, randomized, placebo-controlled phase IIb study. AB - Most elderly patients admitted for hip fracture suffer functional decline. Previous studies with MK-0677 in hip fracture patients suggested possible benefits to functional recovery. This is a randomized, double-blind study of 123 elderly hip fracture patients assigned to receive 25mg/day of MK-0677 (n = 62) or placebo (n = 61). Primary outcomes were a rank analysis of change during the study in objective functional performance measurements and insulin-like growth factor-1 (IGF-1) levels in blood. At 24-weeks, the mean stair climbing power increased by 12.5 W in the MK-0677 group (95% confidence interval (CI) = -10.95 35.88; p = 0.292) compared with placebo. Gait speed increased by a 0.7-score difference in the means (95% CI = 0.17-1.28; p = 0.011). There was no improvement in MK-0677 treated patients in several other functional performance measures. The MK-0677 group experienced fewer falls during the study compared to placebo and smaller number of patients who had any falls (p = 0.096). Levels of IGF-1 in treated patients increased by 51.4 ng/ml (95% CI = 34.42-68.44; p < 0.001) compared to placebo. Trial was terminated early due to a safety signal of congestive heart failure in a limited number of patients. In hip fracture patients treated with 25mg/day MK-0677, the increase in plasma IGF-1 levels was not paralleled by improvement in most functional performance measures. MK-0677 has an unfavorable safety profile in this patient population. PMID- 21067830 TI - Impact of physical activity on hospitalization in older adults: a nationwide cohort from Taiwan. AB - The aim of the present study was to explore the effect of baseline physical activity on hospitalization over one year in a representative sample of older adults in Taiwan. We conducted a prospective study of persons aged 65 and above (N = 2064) participating in the National Health Interview Survey in Taiwan, 2001. A total of 1521 participants had complete data on physical activity and were successfully linked to 2002 National Health Insurance claims data. Participants reporting physical activity of >= 1000 kcal per week had a significantly lower risk of hospitalization, fewer admissions, and fewer hospital bed days compared with inactive individuals. However, the strength of these associations was substantially reduced after adjustment for number of chronic diseases, activities of daily living (ADL) limitation and self-rated health. A possible effect of physical activity between 500 and 999 kcal per week on reducing hospitalization was also demonstrated. Moreover, this association was not altered by adjustment for other health factors. PMID- 21067831 TI - Sirolimus- versus paclitaxel-eluting stents in patients with acute myocardial infarction: a meta-analysis of randomized trials. PMID- 21067832 TI - Short-term effects of L-citrulline supplementation on arterial stiffness in middle-aged men. AB - BACKGROUND: Nitric oxide (NO) plays a key role in the maintenance of vascular tone, contributing to the functional regulation of arterial stiffness. Although oral L-citrulline could become the effective precursor of L-arginine (substrate for endothelial NO synthase) via the L-citrulline/ L-arginine pathway, little is known about the efficacy of L-citrulline application on arterial stiffness. OBJECTIVE: We examined the short-term effects of L-citrulline supplementation on arterial stiffness in humans. METHODS: In a double-blind, randomized, placebo controlled parallel-group trial, 15 healthy male subjects (age: 58.3 +/- 4.4 years) with brachial-ankle pulse wave velocity (baPWV; index of arterial stiffness >1400 cm/sec) were given 5.6g/day of L-citrulline (n=8) or placebo (n=7) for 7 days. baPWV and various clinical parameters were measured before (baseline) and after oral supplementation of L-citrulline or placebo. RESULTS: Compared with the placebo group, baPWV was significantly reduced in the L citrulline group (p<0.01). No significant differences in blood pressure (BP) were found between the two groups, and no correlation was observed between BP and baPWV. The serum nitrogen oxide (NOx, the sum of nitrite plus nitrate) and NO metabolic products were significantly increased only in the L-citrulline group (p<0.05). Plasma citrulline, arginine and the ratio of arginine/asymmetric dimethylarginine (ADMA), an endogenous inhibitor of NO synthase (arginine/ADMA ratio) were significantly increased in the L-citrulline group compared with the placebo group (p<0.05, p<0.01, p<0.05, respectively). Moreover, there was a correlation between the increase of plasma arginine and the reduction of baPWV (r=-0.553, p<0.05). CONCLUSION: These findings suggest that short-term L citrulline supplementation may functionally improve arterial stiffness, independent of blood pressure, in humans. PMID- 21067833 TI - Microbial solar cells: applying photosynthetic and electrochemically active organisms. AB - Microbial solar cells (MSCs) are recently developed technologies that utilize solar energy to produce electricity or chemicals. MSCs use photoautotrophic microorganisms or higher plants to harvest solar energy, and use electrochemically active microorganisms in the bioelectrochemical system to generate electrical current. Here, we review the principles and performance of various MSCs in an effort to identify the most promising systems, as well as the bottlenecks and potential solutions, for "real-life" MSC applications. We present an outlook on future applications based on the intrinsic advantages of MSCs, specifically highlighting how these living energy systems can facilitate the development of an electricity-producing green roof. PMID- 21067834 TI - Analysis of acute radiation-induced esophagitis in non-small-cell lung cancer patients using the Lyman NTCP model. AB - PURPOSE: To analyze acute esophagitis (AE) in a Chinese population receiving 3D conformal radiotherapy (3DCRT) for non-small cell lung cancer (NSCLC), combined or not with chemotherapy (CT), using the Lyman-Kutcher-Burman (LKB) normal tissue complication probability (NTCP) model. MATERIALS AND METHODS: 157 Chinese patients (pts) presented with NSCLC received 3DCRT: alone (34 pts) or combined with sequential CT (59 pts) (group 1) or with concomitant CT (64 pts) (group 2). Parameters (TD(50), n, and m) of the LKB NTCP model predicting for>grade 2 AE (RTOG grading) were identified using maximum likelihood analysis. Univariate and multivariate analyses using a binary regression logistic model were performed to identify patient, tumor and dosimetric predictors of AE. RESULTS: Grade 2 or 3 AE occurred in 24% and 52% of pts in group 1 and 2, respectively (p<0.001). For the 93 group 1 pts, the fitted LKB model parameters were: m=0.15, n=0.29 and TD(50)=46 Gy. For the 64 group 2 pts, the parameters were: m=0.42, n=0.09 and TD(50)=36 Gy. In multivariate analysis, the only significant predictors of AE were: NTCP (p<0.001) and V(50), as continuous variable (RR=1.03, p=0.03) or being more than a threshold value of 11% (RR=3.6, p=0.009). CONCLUSIONS: A LKB NTCP model has been established to predict AE in a Chinese population, receiving thoracic RT, alone or combined with CT. The parameters of the models appear slightly different than the previous one described in Western countries, with a lower volume effect for Chinese patients. PMID- 21067835 TI - Type 2 diabetes does not attenuate racial differences in coronary calcification. AB - AIMS: Coronary artery calcification (CAC) is a strong predictor of atherosclerotic cardiovascular disease (CVD). Whites appear to have a higher prevalence of CAC than African-Americans (AAs), but it is unknown if type 2 diabetes, a major cardiovascular risk factor, attenuates this difference. We investigated the relationship of race and CAC in a sample of patients with type 2 diabetes without clinical CVD. METHODS: multivariable analyses of self-reported ethnicity and CAC scores, stratified by gender, in 861 subjects [32% AA, 66.9% male] with type 2 diabetes. RESULTS: AA race was associated with lower CAC scores in age-adjusted models in males [Tobit ratio for AAs vs. Whites 0.14 (95% CI 0.08 0.24, p<0.001)] and females [Tobit ratio 0.26 (95% CI 0.09-0.77, p=0.015)]. This persisted in men after adjustment for traditional, metabolic and inflammatory risk factors, but adjustment for plasma triglycerides [0.48 (95% CI 0.15-1.49, p=0.201)] and HOMA-IR [0.28 (95% CI 0.08-1.03, p=0.055)] partially attenuated the association in women. CONCLUSIONS: relative to African-Americans, White race is a strong predictor of CAC, even in the presence of type 2 diabetes. The relationship in women appears less robust possibly due to gender differences in metabolic risk factors. PMID- 21067836 TI - The association between co-morbidity and the use of antidiabetics or adjunctive cardiovascular medicines in Australian veterans with diabetes. AB - OBJECTIVE: To examine the association between co-morbidities and the use of antidiabetic medications or adjunctive cardiovascular medicines among Australian veterans with diabetes. METHODS: data were sourced from the Australian Department of Veterans' Affairs Health Claims database. All veterans aged 65 years and over who were dispensed medicines for diabetes from July to December 2006 were included. Dispensings of antidiabetic and adjunctive cardiovascular medicines over the first six months of 2007 were examined. Log binominal regression models were used to calculate the relative risks of the dispensing of medications for various co-morbidities, taking into account potential confounders. RESULTS: among the 14,802 veterans who were dispensed medicines for diabetes, 70% had five or more co-morbidities. Patients who had diabetes-related co-morbidities had significantly less dispensing of metformin monotherapy and more dispensing of insulin than those without these conditions. Patients who had cardiovascular disease were more likely to have three or more oral antidiabetics dispensed (RR=1.16, 95% CI: 1.04-1.30), particularly those who had heart failure (RR=1.24, 95% CI: 1.05-1.47). Patients with renal disease were more likely to have glitazones dispensed (RR=1.46, 95% CI: 1.24-1.72). Adjunctive cardiovascular medicines were significantly less likely to be dispensed to those with established heart conditions and non-related co-morbidities, particularly dementia. CONCLUSIONS: consistent with guideline recommendations, in this cohort more intensive antidiabetic and cardiovascular therapy is used in those with more severe disease as measured by related co-morbidities. Cardiovascular medicines however may be underutilised in those with un-related co-morbidities. PMID- 21067837 TI - Contribution of glimepiride to basal-prandial insulin therapy in patients with type 2 diabetes. AB - AIM: To investigate the efficacy of continuing glimepiride in combination with basal-prandial insulin therapy in type 2 diabetes. METHODS: An open crossover study was performed with arms of discontinuation and continuation of glimepiride in 25 subjects with mean diabetes duration of 17 years and 5 years of insulin treatment combined with glimepiride plus metformin. At entry and at the end of each 3-month arm, meal tolerance tests were performed for measurements of blood glucose and C-peptide. RESULTS: In terms of between-treatment differences (discontinuation vs. continuation arm of glimepiride) during meal tolerance tests performed at the ends of arms, significant increases in plasma glucose were seen on the discontinuation arm at 0-, 30-, and 60-min, while significant decreases in serum C-peptide were observed at 60- and 120-min. A1C values of the discontinuation arm significantly increased (from 6.6 +/- 0.6 at baseline to 7.7 +/- 0.8 at 3-months, p<0.0001). Increases in A1C were closely correlated with decreases in area under the curve of meal-stimulated serum C-peptide (r=-0.61, p<0.0001). CONCLUSIONS: Since endogenous insulin secretion is more physiological than subcutaneous insulin injection, continuing glimepiride may remain beneficial, partly through enhancing insulin secretion, in individuals with a long duration of diabetes and basal-prandial insulin therapy. PMID- 21067838 TI - Overlap syndromes: the International Autoimmune Hepatitis Group (IAIHG) position statement on a controversial issue. AB - Some patients present with overlapping features between disorders within the spectrum of autoimmune liver diseases (i.e. autoimmune hepatitis (AIH), primary biliary cirrhosis (PBC), and primary sclerosing cholangitis (PSC)) and are commonly classified as having an "overlap syndrome". Standardized definitions of "overlap syndromes" are lacking. The aim of this report by the International Autoimmune Hepatitis Group (IAIHG) is to evaluate if there are important reasons to classify conditions with overlapping features between autoimmune liver diseases as separate diagnostic entities. Definition of diagnostic criteria for overlap conditions can only be arbitrary. The IAIHG scoring system for diagnosis of AIH has been widely used to diagnose "overlap syndromes", but was not intended for such use and has not proven to be an efficient tool for this purpose. Some patients with overlapping features between a cholestatic and hepatitic disorder appear to benefit from treatment with a combination of ursodeoxycholic acid and immunosuppressants, but this strategy is not evidence-based, and it seems unjustified to define new diagnostic groups in this regard. The IAIHG suggests that patients with autoimmune liver disease should be categorized according to the predominating feature(s) as AIH, PBC, and PSC/small duct PSC, respectively, and that those with overlapping features are not considered as being distinct diagnostic entities. The IAIHG scoring system should not be used to establish subgroups of patients. Patients with PBC and PSC with features of AIH should be considered for immunosuppressive treatment. Due to the low prevalence of such "overlap syndromes", prospective interventional therapeutic trials cannot be expected in the foreseeable future. PMID- 21067839 TI - Acute endotoxemia following transjugular intrahepatic stent-shunt insertion is associated with systemic and cerebral vasodilatation with increased whole body nitric oxide production in critically ill cirrhotic patients. AB - BACKGROUND & AIMS: Transjugular intrahepatic stent-shunt (TIPSS) insertion, in patients with uncontrolled gastro-intestinal bleeding, often results in worsening of the systemic hemodynamics which can be associated with intracranial hypertension but the underlying mechanisms are unclear. This study explored the hypothesis that TIPSS insertion results in acute endotoxemia which is associated with increased nitric oxide production resulting in systemic and cerebral vasodilatation. METHODS: Twelve patients with cirrhosis who were undergoing TIPSS for uncontrolled variceal bleeding were studied prior to and 1-h after TIPSS insertion. Changes in cardiac output (CO) and cerebral blood flow (CBF) were measured. NO production was measured using stable isotopes using l-[guanidino (15)N(2)] arginine and l-[ureido-(13)C;5,5-(2)H(2)] citrulline infusion. The effect of pre- and post-TIPSS plasma on nitric oxide synthase (NOS) activity on human endothelial cell-line (HUVEC) was measured. RESULTS: TIPSS insertion resulted in a significant increase in CO and CBF. Endotoxin and induced neutrophil oxidative burst increased significantly without any significant changes in cytokines. Whole body NO production increased significantly and this was associated with increased iNOS activity in the HUVEC lines. The change in NO production correlated with the changes in CO and CBF. Brain flux of ammonia increased without significant changes in arterial ammonia. CONCLUSIONS: In conclusion, the insertion of TIPSS results in acute endotoxemia which is associated with increased nitric oxide production possibly through an iNOS dependent mechanism which may have important pathophysiological and therapeutic relevance to understanding the basis of circulatory failure in the critically ill cirrhotic patient. PMID- 21067840 TI - Inactivation of Ras GTPase-activating proteins promotes unrestrained activity of wild-type Ras in human liver cancer. AB - BACKGROUND & AIMS: Aberrant activation of the RAS pathway is ubiquitous in human hepatocarcinogenesis, but the molecular mechanisms leading to RAS induction in the absence of RAS mutations remain under-investigated. We defined the role of Ras GTPase activating proteins (GAPs) in the constitutive activity of Ras signaling during human hepatocarcinogenesis. METHODS: The mutation status of RAS genes and RAS effectors was assessed in a collection of human hepatocellular carcinomas (HCC). Levels of RAS GAPs (RASA1-4, RASAL1, nGAP, SYNGAP1, DAB2IP, and NF1) and the RASAL1 upstream inducer PITX1 were determined by real-time RT-PCR and immunoblotting. The promoter and genomic status of RASAL1, DAB2IP, NF1, and PITX1 were assessed by methylation assays and microsatellite analysis. Effects of RASAL1, DAB2IP, and PITX1 on HCC growth were evaluated by transfection and siRNA analyses of HCC cell lines. RESULTS: In the absence of Ras mutations, downregulation of at least one RAS GAP (RASAL1, DAB2IP, or NF1) was found in all HCC samples. Low levels of DAB2IP and PITX1 were detected mostly in a HCC subclass from patients with poor survival, indicating that these proteins control tumor aggressiveness. In HCC cells, reactivation of RASAL1, DAB2IP, and PITX1 inhibited proliferation and induced apoptosis, whereas their silencing increased proliferation and resistance to apoptosis. CONCLUSIONS: Selective suppression of RASAL1, DAB2IP, or NF1 RAS GAPs results in unrestrained activation of Ras signaling in the presence of wild-type RAS in HCC. PMID- 21067841 TI - Towards integrated care for chronic conditions: Dutch policy developments to overcome the (financial) barriers. AB - Chronic non-communicable diseases are a major threat to population health and have a major economic impact on health care systems. Worldwide, integrated chronic care delivery systems have been developed to tackle this challenge. In the Netherlands, the recently introduced integrated payment system--the chain-DTC -is seen as the cornerstone of a policy stimulating the development of a well functioning integrated chronic care system. The purpose of this paper is to describe the recent attempts in the Netherlands to stimulate the delivery of integrated chronic care, focusing specifically on the new integrated payment scheme and the barriers to introducing this scheme. We also highlight possible threats and identify necessary conditions to the success of the system. This paper is based on a combination of methods and sources including literature, government documents, personal communications and site visits to disease management programs (DMPs). The most important conditions for the success of the new payment system are: complete care protocols describing both general (e.g. smoking cessation, physical activity) and disease-specific chronic care modules, coverage of all components of a DMP by basic health care insurance, adequate information systems that facilitate communication between caregivers, explicit links between the quality and the price of a DMP, expansion of the amount of specialized care included in the chain-DTC, inclusion of a multi-morbidity factor in the risk equalization formula of insurers, and thorough economic evaluation of DMPs. PMID- 21067842 TI - The use of a formal sensitivity analysis on epidemic models with immune protection from maternally acquired antibodies. AB - This paper considers the outcome of a formal sensitivity analysis on a series of epidemic model structures developed to study the population level effects of maternal antibodies. The analysis is used to compare the potential influence of maternally acquired immunity on various age and time domain observations of infection and serology, with and without seasonality. The results of the analysis indicate that time series observations are largely insensitive to variations in the average duration of this protection, and that age related empirical data are likely to be most appropriate for estimating these characteristics. PMID- 21067843 TI - Comprehensive CADM1 promoter methylation analysis in NSCLC and normal lung specimens. AB - Methylation-mediated silencing of the tumour suppressor CADM1 has been functionally linked to lung cancer development. We aimed to determine whether CADM1 promoter methylation is a candidate early detection marker for lung cancer. To this end frozen tissue samples of 36 non-small cell lung cancers, 26 corresponding tumour distant normal tissue samples as well as 6 samples of normal lung from non-lung cancer patients were tested for DNA methylation at three different regions within the CADM1 promoter (M1, M5 and M9) using methylation specific PCR followed by methylation specific reverse line blot analysis. Sixty four percentage of tumour samples tested positive at the M1 region, 47% at M5 and 74% at the M9 region, compared with 65% (M1), 23% (M5) and 46% (M9) of paired normal tissue samples. Methylation of each of these promoter regions was also detected in the majority of non-lung cancer control samples. Dense methylation, defined as methylation at >=2 promoter regions, was detected in 66% of tumour samples compared with 38% of paired normal tissues and 67% of non-lung cancer control samples. Within the small subgroup of female patients dense methylation was found in all tumour samples but only 22% of paired normal samples. Neither methylation of individual sites nor dense methylation was correlated with disease free survival. In conclusion, CADM1 promoter methylation is a frequent event in NSCLC as well as normal lung, both of lung cancer and non-lung cancer patients. Hence, CADM1 methylation analysis is unlikely to have diagnostic value for the early detection of lung cancer in an unselected population. However, a diagnostic value for selected subjects, such as females, cannot be excluded. PMID- 21067844 TI - [Optical coherence tomography in following up papilledema in idiopathic intracranial hypertension treated with lateral sinus stent placement]. AB - OBJECTIVE: To quantify the course of papilledema using the OCT 3 Stratus (Carl Zeiss Meditec, Dublin, CA, USA) after lateral sinus stent placement in patients with idiopathic intracranial hypertension (IIH). METHODS: Ten consecutive patients with a diagnosis of IIH underwent OCT examination before and after lateral sinus stenting, between March 2006 and April 2008, in Timone Hospital's Ophthalmology Department (Marseille, France). All patients had criteria for IIH (International Headache Society, 2004) and sinus abnormalities were diagnosed using three-dimensional rotational gadolinium-enhanced MR venography. In all cases, a direct retrograde cerebral venography with manometry was performed. We used the Cordis PRECISE(r) RX Nitinol Stent system (ref. 10136245-3, Johnson & Johnson), 30-40 mm in length and 8 mm in diameter, all placed by a single operator via a femoral venous puncture. For each eye, the mean retinal nerve fiber layer thickness was noted using the RNFL Thickness (3.4) strategy, before stenting, and three times after stent placement. The other parameters considered were age, sex, weight, height and body mass index. RESULTS: A significant decrease in retinal nerve fiber layer thickness was observed after stent placement. This parameter was considered normal 3 months after stent placement for eight of ten patients. CONCLUSION: Even though this pathology remains misunderstood, we observed a significant decrease in papilledema in IIH after lateral sinus stent placement, suggesting that high intracranial venous pressure could play a role in this pathology. PMID- 21067845 TI - [Calculations of mean refraction and variation of refraction using a dioptric space]. AB - Polar notations (sphere, cylinder, and axis) of refraction perfectly characterize a single refraction but are not suitable for statistical analysis or graphic representation. While the spherical component of refraction can be easily analyzed by the spherical equivalent, statistical analysis of astigmatism requires non-polar expressions of refraction. Indeed, the cylinder and axis of astigmatism are not independent data. In addition, axis is a directional data including a non-trigonometric cycle. Refraction can be written in a non-polar notation by three rectangular coordinates (x, y, z), which can also represent the spherocylinder by one point in a dioptric space. These three coordinates constitute three independent (orthogonal) variables that correspond to a sphere equivalent component and a pair of Jackson cross-cylinder components, oriented at 0 degrees /90 degrees (WTR/ATR astigmatism) and 45 degrees /135 degrees (oblique astigmatism). Statistical analysis and graphical representation become less complicated when using rectangular coordinates of refraction. Rectangular coordinates of the mean refraction are obtained by average rectangular coordinates. Similarly, rectangular coordinates of refraction change are obtained by a single subtraction of rectangular coordinates between the final and initial refractions. After statistical analysis, the rectangular coordinates obtained can be converted into a polar form for a more easily understood result. Finally, non polar notations including rectangular coordinates are useful for statistical and graphical analysis, which would be difficult with only conventional polar notations of refraction. PMID- 21067846 TI - Emergency department operational metrics, measures and definitions: results of the Second Performance Measures and Benchmarking Summit. AB - There is a growing mandate from the public, payers, hospitals, and Centers for Medicare & Medicaid Services (CMS) to measure and improve emergency department (ED) performance. This creates a compelling need for a standard set of definitions about the measurement of ED operational performance. This Concepts article reports the consensus of a summit of emergency medicine experts tasked with the review, expansion, and update of key definitions and metrics for ED operations. Thirty-two emergency medicine leaders convened for the Second Performance Measures and Benchmarking Summit on February 24, 2010. Before arrival, attendees were provided with the original definitions published in 2006 and were surveyed about gaps and limitations in the original work. According to survey responses, a work plan to revise and update the definitions was developed. Published definitions from key stakeholders in emergency medicine and health care were reviewed and circulated. At the summit, attendees discussed and debated key terminology and metrics and work groups were created to draft the revised document. Workgroups communicated online and by teleconference to reach consensus. When possible, definitions were aligned with performance measures and definitions put forth by the CMS, the Emergency Nurses Association Consistent Metrics Document, and the National Quality Forum. The results of this work are presented as a reference document. PMID- 21067847 TI - Nanobody specific for oligomeric beta-amyloid stabilizes nontoxic form. AB - While accumulation and deposition of beta amyloid (Abeta) is a primary pathological feature of Alzheimer's disease (AD), increasing evidence has implicated small, soluble oligomeric aggregates of Abeta as the neurotoxic species in AD. Reagents that specifically recognize oligomeric morphologies of Abeta have potential diagnostic and therapeutic value. Using a novel biopanning technique that combines phage display technology and atomic force microscopy, we isolated the nanobody E1 against oligomeric Abeta. Here we show that E1 specifically recognizes a small oligomeric Abeta aggregate species distinct from the species recognized by the A4 nanobody previously reported by our group. While E1, like A4, blocks assembly of Abeta into larger oligomeric and fibrillar forms and prevents any Abeta induced toxicity toward neuronal cells, it does so by binding a small Abeta oligomeric species, directing its assembly toward a stable nontoxic conformation. The E1 nanobody selectively recognizes naturally occurring Abeta aggregates produced in human AD brain tissue indicating that a variety of morphologically distinct Abeta aggregate forms occur naturally and that a stable low-n nontoxic Abeta form exists that does not readily aggregate into larger forms. Because E1 catalyses the formation of a stable nontoxic low-n Abeta species it has potential value as a therapeutic reagent for AD which can be used in combination with other therapeutic approaches. PMID- 21067848 TI - [The 62nd Congress of the French Society of Internal Medicine, Dijon, 8-10 December 2010]. PMID- 21067849 TI - [Pathogenesis of sarcoidosis]. AB - Many improvements have been obtained in understanding the immune and genetic mechanisms of sarcoidosis. Main immune abnormalities in this disease involve T lymphocytes, macrophages and dendritic cells. Interactions between these various immune cells through the immune synapse are tight. Environmental factors and genetic polymorphisms interact at molecular level in these immune targets. Recent pangenomic studies highlight some regions of the genome such as 6p21 where are located important immune genes: MHC, BTNL2 and TNF-alpha. Gene-environment interactions are important in this polymorphic disease. They need accurate clinical analysis for a better definition of patient subgroups and familial disease studies to progress in the role of genetic determinants. PMID- 21067850 TI - Assessment of food intake in hospitalised patients: a 10-year comparative study of a prospective hospital survey. AB - BACKGROUND & AIMS: A food quality control and improvement permanent process was initiated in 1999. To evaluate the food service evolution, protein-energy needs coverage were compared in 1999 and 2008 with the same structure survey in all hospitalized patients receiving 3 meals/day. METHODS: Nutritional values of food provided, consumed and wasted over 24h including non-exclusive nutritional support were calculated individually. Nutritional needs were estimated as 110% of Harris-Benedict formula for energy and 1.2 or 1.0 g protein/kg/day for patients <65 or >=65 years old, respectively. Multivariate analysis identified factors associated with low nutritional intake in both populations standardized to body mass index (BMI) of 1999's patients. RESULTS: Out of 1677 patients, 1291 were included. Mean BMI was higher in 2008 than 1999 (P<0.001). The proportion of underfed patients was unchanged (69 vs. 70%, NS). The consumption of >=1 oral nutritional supplements (ONS) daily increased the protein needs coverage from 80% to 115% (P<0.001). The year 1999, high BMI, 1st week of hospital stay, specific diet, ONS absence and low meal quality were associated with low nutritional intakes. CONCLUSION: The nutritional needs coverage could have improved in 2008 if BMI was similar to 1999's. ONS consumption is associated with a lower risk of underfeeding in hospitalized patients. PMID- 21067851 TI - Toxic effects of oral 2-amino-4,6-dinitrotoluene in the Western fence lizard (Sceloporus occidentalis). AB - The compound 2-amino-4,6-dinitrotoluene (2A-DNT) was evaluated under laboratory conditions in the Western fence lizard (Sceloporus occidentalis) to assess the potential for reptile toxicity. Oral LD(50) values were 1406 and 1867 mg/kg for male and female lizards, respectively. Based on responses from a 14-day subacute study, a 60-day subchronic experiment followed where lizards were orally dosed at 0, 5, 15, 20, 25, 30 mg/kg-d. At day 60, number of days and survivors, food consumption, and change in body weight were inversely related to dose. Signs of toxicity were characterized by anorexia and generalized cachexia. Significant adverse histopathology was observed in hepatic tissue at >= 15 mg/kg-d, consistent with hepatocellular transdifferentiation. Based on survival, loss of body weight, diminished food intake, changes in liver, kidney, and testes, and increased blood urea nitrogen, these data suggest a LOAEL of 15 mg/kg-d and a NOAEL of 5 mg/kg-d in S. occidentalis. PMID- 21067852 TI - Effects of audio-visual integration on the detection of masked speech and non speech sounds. AB - Integration of simultaneous auditory and visual information about an event can enhance our ability to detect that event. This is particularly evident in the perception of speech, where the articulatory gestures of the speaker's lips and face can significantly improve the listener's detection and identification of the message, especially when that message is presented in a noisy background. Speech is a particularly important example of multisensory integration because of its behavioural relevance to humans and also because brain regions have been identified that appear to be specifically tuned for auditory speech and lip gestures. Previous research has suggested that speech stimuli may have an advantage over other types of auditory stimuli in terms of audio-visual integration. Here, we used a modified adaptive psychophysical staircase approach to compare the influence of congruent visual stimuli (brief movie clips) on the detection of noise-masked auditory speech and non-speech stimuli. We found that congruent visual stimuli significantly improved detection of an auditory stimulus relative to incongruent visual stimuli. This effect, however, was equally apparent for speech and non-speech stimuli. The findings suggest that speech stimuli are not specifically advantaged by audio-visual integration for detection at threshold when compared with other naturalistic sounds. PMID- 21067853 TI - Memory and brain volume in adults prenatally exposed to alcohol. AB - The impact of prenatal alcohol exposure on memory and brain development was investigated in 92 African-American, young adults who were first identified in the prenatal period. Three groups (Control, n=26; Alcohol-related Neurodevelopmental Disorder, n=36; and Dysmorphic, n=30) were imaged using structural MRI with brain volume calculated for multiple regions of interest. Memory was measured using the Verbal Selective Reminding Memory Test and its nonverbal counterpart, the Nonverbal Selective Reminding Memory Test, which each yielding measures of learning and recall. For both Verbal and Nonverbal Recall and Slope, linear trends were observed demonstrating a spectrum of deficits associated with prenatal alcohol exposure. Dysmorphic individuals performed significantly poorer than unexposed controls on 5 of 6 memory outcomes. Alcohol exposed individuals demonstrated significantly lower total brain volume than controls, as well as lower volume in a number of specific regions including hippocampus. Mediation analyses indicated that memory performance associated with effects of prenatal alcohol exposure was mediated from dysmorphic severity through hippocampal volume, particularly right hippocampus. These results indicate that the association between the physical effects of prenatal alcohol exposure and deficits in memory are mediated by volumetric reduction in specific brain regions. PMID- 21067854 TI - [Destruction of a penile urethra resulting from a snake bite: Uretroplasty using inguinal flap of Mac Gregor]. AB - Following a snake bite to the penis, a 69 years old man presented a large defect of hemicircumferential ventral penis, destroying the penile urethra along its entire length exposing the erectile bodies. The authors proposed a two-stage reconstruction of the urethra with an inguinal axial pedicle flap of Mac Gregor. This well-vascularized flap thick after degreasing helped repair the penile urethra along its entire length and make up losses of substance with a good functional and aesthetic result. PMID- 21067855 TI - Do Not Attempt Resuscitation decisions on the intensive therapy unit. PMID- 21067856 TI - Emergency skill training--a randomized controlled study on the effectiveness of the 4-stage approach compared to traditional clinical teaching. AB - INTRODUCTION: The "4-stage approach" has been widely accepted for practical skill training replacing the traditional 2 stages ("see one, do one"). However, the superior effectiveness of the 4-stage approach was never proved. OBJECTIVES: To evaluate whether skill training with the 4-stage approach results in shorter performance time needed for a successful percutaneous needle-puncture cricothyroidotomy, and consequently in a reduced number of attempts needed to perform the skill in <60s compared to traditional teaching. TRIAL DESIGN: Randomized controlled single-blinded parallel group study at the University Hospital Bern. METHODS: With IRB approval and informed consent 128 undergraduate medical students were randomized in four groups: traditional teaching, no stage 2, no stage 3, and 4-stage approach for the training of cricothyroidotomy. Everyone watched a video of the cricothyroidotomy as stage 1 followed by skill training in the respective teaching group. Participants had to perform the cricothyroidotomy 10 times on skin-covered pig larynxes. Performance time was measured from skin palpation to trachea ventilation. Study participants filled out a self-rating on competency during the training. RESULTS: Performance time for each attempt was comparable in all groups and improved similarly to reach a performance time of <60 s. Self-rating revealed that all groups felt equally competent throughout. CONCLUSIONS: Even if the 4-stage approach is widely accepted and used as a didactic method for skill teaching we could not find evidence that its use or omitting stage 2 or 3 results in superior learning of an emergency skill compared to traditional teaching. PMID- 21067857 TI - Prospective evaluation of tools to assess the psychological response of CPR provision to a relative who has suffered a cardiac arrest: a pilot project. AB - OBJECTIVES: We aimed to evaluate assessment tools to measure the psychological impact of providing CPR to a relative. We set out to evaluate the Revised Impact of Event Scale (IES-R) and Texas Inventory of Grief (TIG) for comparing CPR providers and non-providers, and to establish whether research of this nature had a negative impact on the participants. We also collected narrative data from CPR providers. METHODS: Prospective sampling of relatives of patients presenting to hospital who had witnessed their relative have a cardiac arrest and who had performed or witnessed CPR. Participants performed two interviews and completed the IES-R and the TIG. RESULTS: Twenty-nine cardiac arrest victims presented, with ten relatives enrolled. The IES-R and TIG were feasible, and registered moderate responses from CPR providers and non-providers. There was no significant difference in the IES-R score between CPR providers and non-providers (1.96 vs. 1.04, p=0.3). There was no significant difference between scores obtained at two different time points (1.75 vs. 1.63, p=0.43). Participants demonstrated a moderate response on the TIG (mean TIG score 2.8, SD 1.7). Participants did not have a negative perception of study involvement, and actually perceived a benefit from discussion with a health professional. CONCLUSION: It is acceptable and achievable to prospectively assess the response of a cardiac arrest victim's relatives to the provision of CPR. The test instruments used were appropriate and feasible. Results suggested a sample size of 48 to achieve a statistically significant result. PMID- 21067858 TI - Estradiol supplementation during the luteal phase of in vitro fertilization cycles: a prospective randomised study. AB - OBJECTIVE: To find the optimal dosage of estradiol (E2) for luteal phase support through the addition of different doses of E2 to progeserone (P) luteal phase support in patients undergoing long GnRH agonist in vitro fertilization (IVF) treatments. STUDY DESIGN: Two hundred and eighty-five women undergoing IVF treatment with a long GnRH agonist protocol were prospectively randomized into three groups. Group 1 (n = 95) received P and 2mg E2, group 2 (n = 95) received P and 4 mg E2 and group 3 (n = 95) received P and 6 mg E2 as luteal phase support. The primary outcome was the clinical pregnancy rate (PR). The secondary variables of interest were the implantation rate (IR), miscarriage rate and multiple PR. RESULTS: The clinical PR was 31.6%, 40% and 32% respectively in groups 1, 2 and 3 and the differences between groups were not statistically significant. However, the miscarriage rate was significantly lower in group 2 (2.6%) than in group 1 (20%) but was not significantly lower than in group 3 (9.6%). CONCLUSION: For luteal phase support, adding 2, 4 or 6 mg of oral E2 to P creates no statistical difference in terms of pregnancy rates. However, a significantly higher miscarriage rate was found when 2mg E2 was used. Therefore, in the luteal phase support, 4 mg of oral estradiol in addition to progesterone can be considered to reduce the miscarriage rate. CONDENSATION: For luteal phase support, adding 2, 4 or 6 mg of oral estradiol to progesterone showed no statistical difference in terms of pregnancy and implantation rates, but a significantly higher miscarriage rate was found when 2mg estradiol was used. PMID- 21067859 TI - Heavy metal removal from industrial effluents by sorption on cross-linked starch: chemical study and impact on water toxicity. AB - Batch sorption experiments using a starch-based sorbent were carried out for the removal of heavy metals present in industrial water discharges. The influence of contact time, mass of sorbent and pollutant load was investigated. Pollutant removal was dependent on the mass of sorbent and contact time, but independent of the contaminant load. The process was uniform, rapid and efficient. Sorption reached equilibrium in 60 min irrespective of the metal considered (e.g. Zn, Pb, Cu, Ni, Fe and Cd), reducing concentrations below those permitted by law. The material also removed residual turbidity and led to a significant decrease in the residual chemical oxygen demand (COD) present in the industrial water discharge. The germination success of lettuce (Lactuca sativa) was used as a laboratory indicator of phytotoxicity. The results show that the sorption using a starch based sorbent as non-conventional material, is a viable alternative for treating industrial wastewaters. PMID- 21067860 TI - A two-stage inexact joint-probabilistic programming method for air quality management under uncertainty. AB - A two-stage inexact joint-probabilistic programming (TIJP) method is developed for planning a regional air quality management system with multiple pollutants and multiple sources. The TIJP method incorporates the techniques of two-stage stochastic programming, joint-probabilistic constraint programming and interval mathematical programming, where uncertainties expressed as probability distributions and interval values can be addressed. Moreover, it can not only examine the risk of violating joint-probability constraints, but also account for economic penalties as corrective measures against any infeasibility. The developed TIJP method is applied to a case study of a regional air pollution control problem, where the air quality index (AQI) is introduced for evaluation of the integrated air quality management system associated with multiple pollutants. The joint-probability exists in the environmental constraints for AQI, such that individual probabilistic constraints for each pollutant can be efficiently incorporated within the TIJP model. The results indicate that useful solutions for air quality management practices have been generated; they can help decision makers to identify desired pollution abatement strategies with minimized system cost and maximized environmental efficiency. PMID- 21067861 TI - Effects of nitric oxide on the primary bladder afferent activities of the rat with and without intravesical acrolein treatment. AB - BACKGROUND: It has been suggested that nitric oxide (NO) affects the afferent pathways innervating the bladder. In addition, acrolein, a metabolite of cyclophosphamide, causes bladder hypersensitivity in rats. OBJECTIVE: We investigated the direct effects of an NO substrate (L-arginine) and an NO synthase inhibitor (N(omega)-nitro-L-arginine methyl ester hydrochloride [L NAME]) on single fiber activities of the primary bladder afferent nerves with or without acrolein application. DESIGN, SETTING, AND PARTICIPANTS: Female Sprague Dawley rats were used. Under urethane anesthesia, a single nerve fiber primarily originating from the bladder was identified by electrical stimulation of the left pelvic nerve and by bladder distention, and it was divided by conduction velocity as Adelta fiber or C fiber. MEASUREMENTS: The afferent activity measurements with constant bladder filling were repeated three times, and the third measurement served as the baseline observation. After that, two experiments were performed. First, L-NAME (10mg/ml) was instilled intravesically. Then L-arginine (300 mg/kg) was administrated intravenously to investigate the competition with L-NAME. Second, L-arginine was administrated intravenously. Then 0.003% of acrolein or saline was instilled intravesically to obtain another three cycles of instillations. RESULTS AND LIMITATIONS: Forty-two single afferent fibers (Adelta fibers: n=19; C fibers: n=23) were isolated in 31 rats. When the bladder was filled with L-NAME solution, afferent activities of both Adelta and C fibers increased significantly, and L-arginine administration inhibited these stimulated responses. In addition, intravenous administration of L-arginine significantly decreased the activities of both fibers during saline instillation. Intravesical acrolein instillation significantly increased the activities of both fibers, which were inhibited by pretreatment with L-arginine. CONCLUSIONS: The results suggest that NO synthase exists in the rat urinary bladder and clearly demonstrate that L-arginine, an NO substrate, can inhibit both Adelta and C mechanosensitive afferent fibers of the bladder in the rat. In addition, L arginine can inhibit the activated responses of both fibers to intravesical acrolein. PMID- 21067862 TI - Dysregulation of microRNA-34a expression causes drug-resistance to 5-FU in human colon cancer DLD-1 cells. AB - MiR-34a was identified as one of the down-regulated micro-RNAs (miRs) in human colorectal cancer 5-fluorouracil (5-FU)-resistant DLD-1 cells compared with those in the parental DLD-1 cells. Exposure to 5-FU at 30 MUM activated phosphoinositide 3-kinase (PI3K)/Akt signaling markedly from 12h up to 48 h in the 5-FU-resistant cells compared with that in the parental cells and resulted in an overt difference in growth at those times. Furthermore, the expression of miR 34a in the 5-FU-resistant cells was sustained at a low-level, whereas it was up regulated in the parental cells after the 5-FU treatment. Sirt1, which is one of the target genes for miR-34a and related to drug-resistance, was strikingly up regulated in the 5-FU-resistant cells. The ectopic expression of miR-34a in the 5 FU-resistant cells inhibited growth, as in the parental cells, and attenuated the resistance to 5-FU through the down-regulation of Sirt1 and E2F3. Moreover, the silencing of Sirt1 significantly canceled the resistance to 5-FU in the 5-FU resistant cells. These findings suggest that miR-34a targeting the Sirt1 and E2F3 genes could negatively regulate, at least in part, the resistance to 5-FU in human colorectal cancer DLD-1 cells. PMID- 21067863 TI - Combined treatment with the Cox-2 inhibitor niflumic acid and PPARgamma ligand ciglitazone induces ER stress/caspase-8-mediated apoptosis in human lung cancer cells. AB - The present study was performed to investigate the possible combined use of the Cox-2 inhibitor niflumic acid and the PPARgamma ligand ciglitazone and to elucidate the mechanisms underlying enhanced apoptosis by this combination treatment in human lung cancer cells. Combined niflumic acid-ciglitazone treatment synergistically induced apoptotic cell death, activated caspase-9, caspase-3, and induced caspase-3-mediated PARP cleavage. The combination treatment also triggered apoptosis through caspase-8/Bid/Bax activation, and the inhibition of caspase-8 suppressed caspase-8/Bid activation, caspase-3-mediated PARP cleavage, and concomitant apoptosis. In addition, combined niflumic acid ciglitazone treatment significantly induced ER stress responses, and suppression of CHOP expression significantly attenuated the combined niflumic acid ciglitazone treatment-induced activation of caspase-8 and caspase-3, and the subsequent apoptotic cell death, indicating a role of ER stress in caspase-8 activation and apoptosis. Interestingly, the pro-apoptotic effects of combined niflumic acid-ciglitazone treatment were realized through Cox-2- and PPARgamma independent mechanisms. Taken together, these results suggest that sequential ER stress and caspase-8 activation are critical in combined niflumic acid ciglitazone treatment-induced apoptosis in human lung cancer cells. PMID- 21067864 TI - Cognitive-emotional sensitization contributes to wind-up-like pain in phantom limb pain patients. AB - Peripheral mechanisms are known to play a role in phantom pain following limb amputation, and more recently it has been suggested that central mechanisms may also be of importance. Some patients seem to have a psychological sensitivity that predisposes them to react with pain catastrophizing after amputation of a limb, and this coping style may contribute to increased facilitation, impaired modulation of nociceptive signals, or both. To investigate how pain catastrophizing, independently of anxiety and depression, may contribute to phantom limb pain and to alterations in pain processing twenty-four upper-limb amputees with various levels of phantom limb pain were included in the study. Patients' level of pain catastrophizing, anxiety and depression was assessed and they went through quantitative sensory testing (QST) of thresholds (mechanical and thermal) and wind-up-like pain (brush and pinprick). Catastrophizing accounted for 35% of the variance in phantom limb pain (p=0.001) independently of anxiety and depression. Catastrophizing was also positively associated with wind up-like pain in non-medicated patients (p=0.015), but not to pain thresholds. These findings suggest that cognitive-emotional sensitization contributes to the altered nociceptive processing seen in phantom limb pain patients. The possible interactions between pain catastrophizing, wind-up-like pain, and peripheral input in generating and maintaining phantom limb pain are discussed. PMID- 21067865 TI - Influence of adjuvant and antigen dose on protection induced by an inactivated whole vaccine against Neospora caninum infection in mice. AB - In this study, the protection afforded by a Neospora caninum inactivated vaccine formulated with three different adjuvants (water-in-oil emulsion, aluminum hydroxide with CpG oligodeoxynucleotides and aluminum hydroxide with ginseng extract) and three different parasite doses (10(5), 5 * 10(5) or 10(6) inactivated whole tachyzoites) was evaluated using a mouse model. Mice were immunized subcutaneously twice at three-week intervals with inactivated Nc-Spain 1H tachyzoites and challenged by intraperitoneal inoculation with 10(6) live Nc-1 tachyzoites. The efficacy of the immunization was evaluated in non-pregnant BALB/c mice on days 1 and 5 (acute infection phase) and days 14 and 30 (chronic infection phase) post-challenge. The results showed the ability of water-in-oil emulsion combined with inactivated 5 * 10(5) tachyzoites to induce protection against neosporosis during the chronic stage, limiting parasite multiplication in the brain. Aluminum hydroxide-ginseng extract and inactivated tachyzoites reduced the number of parasites circulating in the blood during acute phase but failed to limit the establishment of chronic infection. On the other hand, a dose-effect was observed in groups vaccinated with aluminum hydroxide-ginseng extract in which the lesion severity increased as the inactivated tachyzoite dose. This study demonstrates that efficacy can significantly vary depending on the adjuvant, the dose of antigen and the phase of N. caninum infection in which the vaccine is tested. PMID- 21067866 TI - Changes in estradiol predict within-women shifts in attraction to facial cues of men's testosterone. AB - Many studies have demonstrated that women express stronger attraction to androgen related traits when tested near ovulation than when tested at other times in the cycle. Much less research, however, has directly addressed which hormonal or other physiological signals may regulate these temporal shifts in women's attractiveness judgments. In the present study, we measured women's preferences for facial cues of men's testosterone concentrations on two occasions spaced two weeks apart, while also measuring women's salivary estradiol and testosterone concentrations at each testing session. Changes in women's estradiol concentrations across sessions positively predicted changes in their preferences for facial cues of high testosterone; there was no such effect for changes in women's testosterone concentrations. For the subset of women who had a testing session fall within the estimated fertile window, preferences for high testosterone faces were stronger in the fertile window session, and change in estradiol from outside to inside the fertile window positively predicted the magnitude of the ovulatory preference shift. These patterns were not replicated when testing preferences for faces that were rated as high in masculinity, suggesting that facial cues of high testosterone can be distinguished from the cues used to subjectively judge facial masculinity. Our findings suggest that women's estradiol promotes attraction to androgen-dependent cues in men (similar to its effects in females of various nonhuman species), and support a role for this hormone as a physiological regulator of cycle phase shifts in mating psychology. PMID- 21067867 TI - Locally synthesized HSP27 in hepatocytes: Is it possibly a novel strategy against human liver ischemia/reperfusion injury? AB - Ischemia/reperfusion injury (IRI) is a common complication after liver surgery. Approximately 10% of grafts lose function in the early stage after liver transplantation. However, there is no effective way against IRI yet. Heat shock protein 27 (HSP27), a member of the heat shock protein families, is recognized as a protective factor against liver IRI recently. Studies showed that HSP27 can lessen the induction of proinflammatory messenger, reduce neutrophil infiltration, decrease apoptosis (caspase 3 fragmentation and DNA laddering), and reduce disruption of filamentous actin. In addition, Kupffer cells inhibitor- gadolinium chloride can reduce lipid peroxidation and promote hepatocytes regeneration. Herein, we hypothesize that transfecting liver with HSP27 gene accompanied by gadolinium chloride might be a potentially novel treatment against IRI. Compared to passive defense, we firstly suggest positive protection against ischemia/reperfusion injury by hepatocytes automatically. PMID- 21067868 TI - Is there another possible approach to inhibit wear particles-induced inflammatory osteolysis? AB - Periprosthetic osteolysis can lead to aseptic loosening of components, massive bone loss that renders revision surgery substantially more complex. Recent researches had been demonstrated the biological cascade of events that was initiated by particulate debris and involved in proinflammatory cytokine production and osteoclastogenesis, finally resulted in periprosthetic bone loss. Recent advances in our understanding of cellular and molecular mechanisms of periprosthetic bone loss had highlighted cytokine release and osteoclasts function controlled by numerous intracellular signaling pathway, one of which was TNF-like weak inducer of apoptosis (TWEAK) binding FGF-inducible molecule 14 (Fn14). TWEAK and/or Fn14 inhibition can diminish joint inflammation, synovial angiogenesis, as well as cartilage and bone erosion. At present there were no approved nonoperative treatments for periprosthetic osteolysis. Specific inhibition or blockade of signaling pathway, however, may be one of potential methods to treat periprosthetic osteolysis. As the implant interface cells were located in the closed joint space, intra-articular injection of some proteins or antibodies to block TWEAK/Fn14 signaling pathway was accessible as local administration to avoid systemic side effect. We hypothesized that local administration of some proteins or antibodies to block TWEAK/Fn14 signaling pathway could inhibit wear particles-induced inflammatory osteolysis. In our opinion, specific signaling pathway blockage may be with promising future prospects for effective therapeutic interventions in humans. PMID- 21067869 TI - Low-intensity pulsed ultrasound (LIPUS) therapy may enhance the negative effects of oxygen radicals in the acute phase of fracture. AB - Though it is well accepted that low-intensity pulsed ultrasound (LIPUS) can accelerate the healing process of a fracture with very good results, we should still pay attention to its side effects and further improve its application in detail, such as the appropriate time and point for the application. In the early phase of a bone fracture, there are millions of oxygen radicals released by neutrophils in the injured area. This article focuses on whether the increased permeability of normal cell membranes by LIPUS makes the concentration of oxygen radicals increase to such a high degree that damage occurs to healthy tissue cells. It is proposed that it may be better not to use LIPUS in the acute phase of a fracture (i.e. within 1week after injury) but instead delay its application until after any inflammatory reaction has weakened to yield better results. PMID- 21067870 TI - Application of lysine, taurine, disodium inosinate and disodium guanylate in fermented cooked sausages with 50% replacement of NaCl by KCl. AB - The effects of 50% replacement of NaCl by KCl and addition of the amino acids lysine and taurine and the 5'-ribonucleotide disodium inosinate and disodium guanylate on some sensory and physicochemical parameters of fermented cooked sausages were evaluated. The partial replacement of NaCl by KCl did not alter the manufacturing process; however, defects in the sensory quality were detected. Lysine at a concentration of 0.313% and a mixture of taurine (750 mg/kg) with disodium inosinate (300 mg/kg) and disodium guanylate (300 mg/kg) reduced the sensory defects caused by KCl, allowing the fermented cooked sausages to be elaborated with reduced sodium content and high sensory quality. PMID- 21067871 TI - Glomerular fibrin thrombi in ABO and crossmatch compatible renal allograft biopsies. AB - Glomerular fibrin thrombi may be an early indication of antibody-mediated rejection in renal allograft biopsies. However, fibrin thrombi have a broad differential; thus, we sought to evaluate the etiology and implications of glomerular fibrin thrombi in allograft biopsies of blood group and cytotoxic crossmatch compatible renal allografts. Biopsies were identified from the pathology files of Oregon Health & Science University. Detailed histopathologic findings were retrospectively correlated with clinical data, treatment, and outcome. Sixteen early posttransplant biopsies had glomerular fibrin thrombi, including three surveillance biopsies. Six of 16 biopsies had no other histopathologic findings; 5/16 had glomerulitis and peritubular capillaritis; 4/16 had concomitant cellular vascular rejection; one had parenchymal infarction. C4d staining was positive in 4/16 cases. Most patients were treated with IVIg and plasmapheresis, others with rapamycin, thymoglobulin, or rituximab. At an average follow-up of 62 months, 8 patients with functioning grafts had a mean serum creatinine of 1.4 mg/dL (122 MUmol/L). Antibody-mediated rejection is an important consideration in blood group compatible allograft biopsies with glomerular fibrin thrombi, even with C4d-negative biopsies. However, multidisciplinary evaluation is necessary, given other etiologies, including drug toxicity, hemolytic-uremia syndrome, and large vessel thrombosis. Despite aggressive treatment, both short and long-term graft survival may be compromised. PMID- 21067872 TI - [Radiotherapy and combined therapy in breast cancer: standards and innovations in the adjuvant setting]. AB - Due to the significant advances in the diagnosis and treatment of breast cancer seen in the last decades, increased survival rates and better outcomes of patients are being observed. The role of radiotherapy remains pivotal in the treatment of early breast cancer. In the adjuvant setting, whole breast irradiation remains the standard of care using a relatively well standardized radiation technique. The recent technology advances and 3D conformal radiotherapy allow for better volumes definition resulting to increased organ at risk--sparing and therefore treatment optimization. Sophisticated techniques and emerging options (such as accelerated partial breast irradiation) are not routinely used yet outside of a clinical trial. Moreover, new drugs and targeted therapies have recently been introduced to the clinical practice for treatment individualization according to the specific tumours' prognosis and/or prediction of the drugs' efficacy based on new biological tools. Regarding the synergistic effect of these molecules with ionizing radiation, rigorous prospective evaluation of combined therapy is important to ensure improved long-term benefit/risk ratio. In this review, the significant advances of radiotherapy and combined therapy in the new era of breast cancer management will be discussed. PMID- 21067873 TI - [Feasibility of chorionic villous sampling outside a prenatal diagnosis center]. AB - OBJECTIVES: According to new recommendations, a high combined risk for Down syndrome in the first trimester of pregnancy must indicate the need for a prenatal diagnosis. This is possible thanks to chorionic villous sampling. The objective of our study was to show that chorionic villous sampling is achievable in everyday practice, even outside research centers for pre-natal diagnosis. PATIENTS AND METHODS: It was a descriptive, retrospective study. All the patients who underwent a chorionic villous sampling in our level II maternity center from November 2005 to September 2009 were included. Success and complications rates linked with the procedure were calculated. RESULTS: One hundred and fourteen pregnancies were included. A definitive diagnosis was given in 98.25% of cases. A secondary amniocentesis was necessary in 1.75% of cases. A medical termination of the pregnancy was done in 18.42% of cases. Without accounting for underlying pathology, fetal loss rate was up to 5.75%. Only one case of unexpected fetal loss was noted (1.15% of the ongoing pregnancies). CONCLUSION: Our study shows that the presence of trained professional allows for onsite performance chorionic villous sampling. PMID- 21067874 TI - Phenotypic and 16S ribosomal RNA gene diversity of Taylorella asinigenitalis strains isolated between 1995 and 2008. AB - The objective of this study was to examine the degree of phenotypic and genotypic diversity between 43 French Taylorella asinigenitalis strains isolated from 22 jacks, two stallions and one mare between 1995 and 2008 by culturing genital swabs obtained during routine diagnosis for contagious equine metritis. This retrospective analysis revealed the existence of T. asinigenitalis species since 1995 and the natural colonization of a mare's genital tract in 2001. Despite the presence of 27 different patterns revealed by the combination of API ZYM, antibiogram and 16S rDNA profiles, we show that T. asinigenitalis is a highly homogeneous species. API ZYM diversity only concerns acid phosphatase and naphthol-AS-BI-phosphohydrolase activity. The majority of strains are susceptible to a wide range of antimicrobial agents but most are streptomycin-resistant (95.5%), ampicillin-resistant (88.4%), and four strains are atypical due to a high degree of resistance to at least eight antimicrobial agents. 16S rDNA sequence analysis showed only two clusters and revealed similarity of 99.3-100% between T. asinigenitalis strains. The geographic origin of the 43 isolates correlates to the two 16S rDNA clusters. PMID- 21067875 TI - Development of latent fingermarks by aqueous electrolytes. AB - In this work we present our observations on the interaction between metallic (copper, aluminum, iron, brass, zinc) and non-metallic (glass and plastic) surfaces bearing latent fingermarks and several aqueous electrolytic solutions. Good quality fingermarks could be observed on some of the metallic and even on non-metallic surfaces after such treatment. The influence of factors such as time interval from deposition, pH of the electrolytes, wiping the latent marks prior to processing and the presence of a second metal on the quality and permanence of the developed impressions have been studied. As a rule, sebaceous marks provided much better quality impressions on all the surfaces. Initial explanations based on electrochemical processes are suggested. PMID- 21067876 TI - Temperature variations in a parked vehicle. AB - There were two reasons why this work was conducted. The first was to help determine the time of death of suicide and homicide victims inside vehicles. The second was to investigate the serious threat to life of children or pets left in stationary vehicles on a hot summers day. This paper demonstrates that when a vehicle is parked in the sun, temperature levels in the cabin of the vehicle can be more than 20 degrees C above the ambient temperature. A simple 'greenhouse' model for predicting the daily internal vehicle temperatures, using readily available local meteorological data, was developed. This statistical model was calibrated using meteorological data and temperature data collected on parked vehicles over several summer seasons. The model uses environmental temperature and radiation data as input, and is shown to predict cabin temperatures to within about 1 degrees C. Both the data collected and the model developed show that the temperature inside the cabin of a black vehicle is typically 5 degrees C higher than that inside a white vehicle on a hot summer day. Also lowering the driver's window of the vehicle by 2.5 cm typically reduces cabin temperatures by about 3 degrees C, which is not sufficient to reduce significantly the safety concerns for children or pets left in parked vehicles. PMID- 21067877 TI - Epilepsies and epileptic syndromes starting in the neonatal period. AB - As seizures in the neonatal period have generally been identified only by direct clinical observation, there is frequently a lack of objectivity as to whether seizures are categorized as epilepsies or non-epilepsies. A major characteristic of neonatal seizures is electro-clinical dissociation and some electro-graphic seizures do not produce clinical symptoms. It is difficult to correctly identify real epilepsies or epileptic syndromes in the neonatal period without ictal electroencephalogram (EEG). Some epileptic syndromes starting in the neonatal period such as early myoclonic encephalopathy, Ohtahara syndrome, or migrating partial seizures in infancy are categorized as malignant epilepsies. A suppression-burst EEG pattern (SBP) is usually seen in neonates with serious brain damage, malignant epileptic syndromes or other neurological conditions. However SBP has not been consistently defined in the literature. We review malignant epilepsies and benign familial and non-familial neonatal seizures starting in the neonatal period and propose the characteristics of SBP in Ohtahara syndrome. Epileptic encephalopathies with SBP in the neonatal period are known to evolve into relatively few types of epileptic syndromes. We emphasize the importance of ictal EEG for diagnosis and treatment of malignant epilepsies and epileptic syndromes in the neonatal period. PMID- 21067878 TI - [Long-term patency of a popliteal venous aneurysm treated surgically]. AB - Popliteal venous aneurysms are infrequent but should be screened for with venous ultrasound in patients with acute or chronic venous diseases because of the unpredictable high risk of thromboembolism and potential curability. Therapeutic alternatives are discussed: follow-up, anticoagulation, surgery with different techniques. To illustrate this, we report the case of a 51-year-old woman presenting pulmonary embolism and left popliteal venous aneurysm treated surgically. Anticoagulation was stopped 12 months after surgery and primary patency was maintained 40 months after surgery. In patients with thromboembolism disease, clinicians should search for popliteal venous aneurysms in order to prevent recurrent thrombosis and adapt follow-up and treatment. PMID- 21067879 TI - Patterns of complications of neonatal and infant meningitis on MRI by organism: a 10 year review. AB - INTRODUCTION: Imaging of meningitis in neonates and infants is not routine, but is frequent for complications. Aside from tuberculosis and herpesvirus, imaging findings related to most responsible pathogens are thought to be nonspecific, but few studies exist. We reviewed the imaging features of complicated meningitis in infants and neonates at our hospital in the past decade, hypothesizing that patterns of complications might be more specific than previously recognized. METHODS: 10 yr retrospective review of magnetic resonance imaging (MRI) and microbiology data for all neonates (age <30 d) and infants (age <1 yr) imaged for possible complications of meningitis at a tertiary children's hospital. RESULTS: We had 63 patients (25 neonates, 38 infants). The 3 most common pathogens were streptococcal species (n=32, mean age 4.7 mo), E. coli (n=9, mean 1.2 mo), and herpes simplex virus (n=4). The most common findings were meningeal enhancement (78% of those given IV contrast), infarct (52%), subdural collection (35%), and ventriculomegaly (32%). E. coli presented much more frequently with ventriculomegaly (64% vs. 22%) than streptococcal species. Extensive infarcts were typical of streptococcal meningitis (13/32, 41%) and rarely seen with other organisms (2/31, 6%, p=0.001). All 3 cases of Serratia meningitis had large parenchymal abscesses, and 2/4 cases of meningococcus had occipital cortical necrosis. CONCLUSION: Although overlap was present, each organism responsible for neonatal/infant meningitis produced an identifiable pattern of complications on MRI. Recognising these patterns can help the radiologist suggest possible diagnosis and influence early management. PMID- 21067880 TI - Evaluation of hypervascular hepatocellular carcinoma in cirrhotic liver: comparison of different concentrations of contrast material with multi-detector row helical CT--a prospective randomized study. AB - PURPOSE: To evaluate two different iodine concentrations of contrast material for detecting hypervascular hepatocellular carcinomas (HCCs) in cirrhotic liver by multi-detector row helical CT (MDCT) when a fixed contrast material volume and injection rate is used. MATERIALS AND METHODS: Institutional Review Board approval was obtained, and informed consent was obtained from all patients. In this prospective study, 105 patients were randomly assigned a group A (an iodine concentration of 300 mg I/mL), and a group B (an iodine concentration of 370 mg I/mL). In both groups the volume of contrast material was 100 mL and the injection rate was 4 mL/s. Fifty-two patients had 122 hypervascular HCCs. The diagnosis of HCCs was established histopathologically (n=24) and by imaging findings (n=98). Three readers independently analyzed four image sets: an arterial phase (AP), a portal phase (PP), an equilibrium phase (EP), and combined all three phase images set. Sensitivity, specificity, and diagnostic accuracy were calculated by receiver operating characteristic (ROC) analysis. RESULTS: The mean sensitivity for detecting hypervascular HCCs of the AP set, EP set, and combination set in group B (0.94, 0.81, and 0.93) was significantly higher than in group A (0.84, 0.69, and 0.80). Area under the ROC curve of the AP set and the combination set in group B (0.974 and 0.981) was significantly higher than in group A (0.939 and 0.958). CONCLUSION: At the same contrast material volume and injection rate, higher iodine concentration of contrast material was effective for detecting hypervascular HCCs by MDCT. PMID- 21067881 TI - Hyperintense vessels on FLAIR: a useful non-invasive method for assessing intracerebral collaterals. AB - OBJECTIVE: This study was aimed to evaluate relationship between hyperintense vessels (HV) on fluid-attenuated inversion recovery (FLAIR) and artery steno occlusion related intracerebral collaterals. MATERIALS AND METHODS: A total of 233 patients with 260 atherosclerotic lesions in the M1 segment of the middle cerebral artery (MCA) were examined with FLAIR and digital subtraction angiography (DSA). HV were graded as 0, 1, 2 and 3 by its distributions in the MCA territory. Grade 0 indicated no HV; Grade 1 indicated the HV limited in Sylvian fissure; Grade 2 indicated the HV limited in Sylvian fissure and the temporal-occipital junction; Grade 3 indicated the HV extended to frontal parietal lobes. Collateral blood flows were classified by DSA results. The relationship between HV grades and patterns of collateral flows was analyzed. RESULTS: HV were observed in 76 out of 260 hemispheres. For patients with Grade 1 HV, most of their collateral flows (80.8%) were antegrade; for patients with Grade 2, the retrograde leptomeningeal flows were commonly manifested as anterior cerebral artery to MCA (75%); for patients with Grade 3 HV, most of the retrograde leptomeningeal flows were manifested as posterior cerebral artery to MCA (81.8%). As the grade HV increased, the frequency of retrograde leptomeningeal collateral from ACA to MCA decreased (100% to 75% and to 18.2%), and increased (0% to 25% and to 81.8%) for the retrograde leptomeningeal collateral via PCA to MCA (P<0.001). CONCLUSIONS: The HV could assess non invasively intracerebral collaterals in patients with steno-occlusive lesions of M1 segment of MCA. PMID- 21067882 TI - Complications of bone tumors after multimodal therapy. AB - PURPOSE: To define and compare the complications of bone tumors after resection, extracorporeal irradiation and re-implantation, with or without radiotherapy. MATERIALS AND METHODS: Eighty patients (40 males and 40 females, ages 4-77 years) with 61 malignant and 19 benign bone tumors were evaluated for local and distant complications after treatment. Two groups of patients were studied: (1) 53 patients had resection without (43 patients) or with external beam radiotherapy (RadRx) (10 patients) and (2) 27 patients underwent extracorporeal irradiation and re-implantation without (22 patients) or with RadRx (5 patients). Patient follow-up varied from 1 month to 13.63 years with mean follow-up of 4.7 years. Imaging studies included bone and chest radiography, spin echo T1- and T2 weighted (or STIR) magnetic resonance imaging (MRI), dynamic contrast-enhanced magnetic resonance imaging (DCE-MRI), computed tomography (CT) for thoracic and abdominopelvic metastases and 3-phase technetium-99m-labeled-methylene diphosphonate (Tc99m MDP) scintigraphy for bone metastases. RESULTS: DCE-MRI differentiated the rapidly enhancing recurrences, residual tumors and metastases from the slowly enhancing inflammation, and the non-enhancing seromas and fibrosis. Recurrences, metastases (mainly to lung and bone), and seromas were greater than twice as frequent in patients after resection than after ECCRI. Although 11.3% of post-resection patients had residual tumor, no ECRRI-treated patient had residual tumor. In contrast, after ECRRI, infection was almost three times as frequent and aseptic loosening twice as frequent as compared with the post-resection patients. Bones treated with RadRx and/or ECRRI showed increased prevalence of fractures and osteoporosis. In addition, muscle inflammation was more common in the externally irradiated patient as compared with the patient who did not receive this therapy. However, another soft tissue complication, heterotopic ossification, was rare in the patient after RadRx, but 25.6% of patients after resection and 40.9% after ECRRI showed heterotopic ossification. Unusual complications after resection or ECRRI involved adjacent nerves with partial denervation, amputation neuroma, or entrapment (secondary to recurrence or fibrosis) after resection or ECRRI with or without RadRx. One patient developed a posterior tibial artery pseudoaneurysm after ECRRI. CONCLUSIONS: Follow-up of patients with benign and malignant bone tumors demonstrated the efficacy of DCE-MRI for distinguishing rapidly enhancing viable tumor from the slowly enhancing or non-enhancing benign processes after different therapies. Although recurrences, residual tumors, metastases and seromas were more common after resection, fractures, osteoporosis, infection, and muscular atrophy predominated in the ECRRI-treated patient. RadRx further predisposed post resection and post-ECRRI patients to develop fractures, osteoporosis and infection and was the major cause of persistent muscle inflammation at MRI. Because complications can evolve and resolve years after treatment, the patients with bone tumors, particularly sarcomas, must receive life-time multimodal imaging for maximal diagnosis and treatment. PMID- 21067883 TI - The CT frequencies of various non-traumatic acute abdominal emergencies in hemodialysis, peritoneal dialysis patients and the general population. AB - PURPOSE: To investigate the frequency of non-traumatic acute abdominal emergencies in end-stage renal disease (ESRD) (peritoneal dialysis (PD) and haemodialysis (HD)) patients and in the general population as diagnosed by computed tomography (CT) imaging. METHODS: The abdominal CT findings of ESRD patients with non-traumatic acute abdominal pain during the years 2001-2010 have been retrospectively evaluated. Thirty-three HD (14 females, 19 males, mean age: 62 +/- 10.5) and 22 PD patients (12 females, 10 males, mean age: 59 +/- 9.4) with acute abdominal pathology based on their CT scans have been included into the study. In addition, 127 individuals (68 females, 59 males, mean age: 40.7 +/- 12.8) with normal renal functions who presented with non-traumatic acute abdominal pain diagnosed with an acute abdominal pathology based on their CT scans have been prospectively evaluated during the years 2009-2010. RESULTS: While the most frequent etiology in PD patients was peritonitis (45.4%), acute pancreatitis (13.6%) and perforation (18.1), and in HD patients it was nonocclusive mesenteric ischemia (18.1%) and spontaneous intraabdominal bleeding (21.2%). The basic causes of acute abdomen in the general population were ureteral stone (34.6%) and appendicitis (18.1%). CONCLUSIONS: The causes of acute abdominal pain in ESRD patients is significantly different when compared to the general population. And within this special patient population the etiology of acute abdomen differs depending on the renal replacement therapy modality they are receiving. Thus, the causes of acute abdomen in PD patients are mostly peritonitis, acute pancreatitis, and perforation, while being mostly nonocclusive mesenteric ischemia and spontaneous intraabdominal bleeding in patients receiving HD therapy. PMID- 21067884 TI - Designing for diffusion: how can we increase uptake of cancer communication innovations? AB - OBJECTIVE: The best innovations in cancer communication do not necessarily achieve uptake by researchers, public health and clinical practitioners, and policy makers. This paper describes design activities that can be applied and combined for the purpose of spreading effective cancer communication innovations. METHODS: A previously developed Push-Pull-Infrastructure Model is used to organize and highlight the types of activities that can be deployed during the design phase of innovations. Scientific literature about the diffusion of innovations, knowledge utilization, marketing, public health, and our experiences in working to spread effective practices, programs, and policies are used for this purpose. RESULTS: Attempts to broaden the reach, quicken the uptake, and facilitate the use of cancer communication innovations can apply design activities to increase the likelihood of diffusion. Some simple design activities hold considerable promise for improving dissemination and subsequent diffusion. CONCLUSION: Augmenting current dissemination practices with evidence-based concepts from diffusion science, marketing science, and knowledge utilization hold promise for improving results by eliciting greater market pull. PRACTICE IMPLICATIONS: Inventors and change agencies seeking to spread cancer communication innovations can experience more success by explicit consideration of design activities that reflect an expanded version of the Push-Pull Infrastructure Model. PMID- 21067885 TI - Pregnancy and interferon tau regulate N-myc interactor in the ovine uterus. AB - In ruminants, interferon tau (IFNT) is synthesized and secreted by the mononuclear trophectoderm cells of the conceptus and maintains the corpus luteum and its secretion of progesterone for successful implantation and maintenance of pregnancy. In this study, we examined regulation of the expression of N-myc interactor (NMI) gene by IFNT in the ovine uterus based on results of microarray data from a study that compared gene expression by human 2fTGH and U3A (STAT1 null 2fTGH) cell lines in response to treatment with IFNT or vehicle. In the present study, semiquantitative reverse transcription-polymerase chain reaction analyses verified that IFNT stimulated expression of NMI mRNA in 2fTGH (ie, in a STAT1-dependent manner), but not in U3A (STAT1-null) cells. Furthermore, results of western blot analyses indicated that immunoreactive NMI proteins in 2fTGH and U3A cell lines increased in a time-dependent manner only in response to IFNT. In ovine endometria, steady-state levels of NMI mRNA increased between days 14 and 16 of pregnancy and then decreased slightly by day 20, but there was no effect of day of the estrous cycle. Expression of NMI mRNA was most abundant in endometrial stromal cells, glandular epithelium, and conceptus trophectoderm. Intrauterine infusion of IFNT in cyclic ewes increased expression of NMI in the endometrium. Expression of NMI in ovine and bovine uterine cell lines increased in response to IFNT. Collectively, the results of the present study indicate that IFNT regulates expression of NMI mRNA and protein in ovine endometria during pregnancy via a STAT1-dependent cell signaling pathway. PMID- 21067886 TI - Pilot testing of a decision support tool for patients with abdominal aortic aneurysms. AB - OBJECTIVE: Patients with abdominal aortic aneurysms (AAAs) who are surgical candidates have as many as three options: open surgery, endovascular surgery, or no surgery. As with all treatment decisions, informed patient preferences are critical. Decision support tools have the potential to better inform patients about the risks and benefits associated with each treatment option and to empower patients to participate meaningfully in the decision-making process. The objective of this study was to develop and pilot test a decision support tool for patients with AAAs. METHODS: We developed a personalized, interactive, computer based decision support tool reflecting the most current outcomes data and input from surgeons and patients. We piloted the tool with AAA repair candidates who used the tool prior to meeting with their surgeon. Patients were recruited from a university-based vascular surgery clinic and affiliated VA hospital clinic. To determine feasibility and acceptability, the following outcomes were measured: (1) percent of patients who agreed to participate, (2) length of time required to use the tool, (3) the amount of assistance required to use the tool, and (4) patients' opinions on the acceptability of the tool. To assess effectiveness of the tool, we measured change in knowledge and decisional conflict pre- and post tool using the paired t-test. RESULTS: One hundred percent of patients who were approached (n = 12) agreed to participate in the study. The tool was administered in a median time of 35 minutes (range, 25-45 minutes), and all patients were able to navigate the program with minor technical assistance. Mean knowledge scores increased from 56% to 90% (P = .005), and decisional conflict scores decreased from 29% to 8% (P = .04). Overall, patients reported that the program content was balanced across treatment options, presented information clearly and concisely, helped them to organize their thoughts about the decision, and prepared them to talk to their surgeon about what mattered most to them. CONCLUSIONS: Preliminary evidence suggests that use of an evidence-based AAA decision support tool is feasible and acceptable to patients, increases knowledge, and decreases decisional conflict. Widespread use of such a tool might improve the content and quality of informed consent for this difficult treatment decision. PMID- 21067888 TI - WITHDRAWN: Modification in redox status of diabetes mellitus type 1 patients after insulin transition. AB - The Publisher regrets that this article is an accidental duplication of an article that has already been published, http://dx.doi.org/10.1016/j.bionut.2010.09.005. The duplicate article has therefore been withdrawn. PMID- 21067887 TI - [Confidentiality: from rules to medical practice]. PMID- 21067889 TI - [Dental caries as chronic disease, a new therapeutic approach]. AB - For many decades, oral health has been improving considerably in France. Caries indicators have decreased strongly. However, some "high risk" populations accumulate the majority of tooth decay. For them, health education and public health policies are inefficient. Tooth decay starts early and continues throughout their lives. Describing dental caries as a chronic pathology enables us to envisage alternative therapies, such as therapeutic patient education. PMID- 21067890 TI - Imaging approaches and findings in the reconstructed breast: a pictorial essay. AB - Advances in breast imaging over the last 15 years have improved early breast cancer detection and management. After treatment for breast cancer, many women choose to have reconstructive surgery. In addition, with the availability of widespread genetic screening for breast cancer, an increasing number of women are choosing prophylactic mastectomies and subsequent breast reconstruction. The purpose of this pictorial essay is to present the spectrum of imaging findings in the reconstructed breast. PMID- 21067891 TI - Waiting time for cataract extraction: Predictive factors and influence on outcomes. AB - PURPOSE: To identify variables related to time spent on a waiting list for cataract extraction and the effect of waiting time on some outcomes. SETTING: Twelve ophthalmology units throughout Spain. DESIGN: Cohort study. METHODS: This study included consecutive patients scheduled to have cataract removal by phacoemulsification. Sociodemographic and clinical data, including visual acuity, and Visual Function Index 14 (VF-14) results were collected before and after cataract extraction. Univariate and multivariate linear regression was performed to identify variables related to time on the waiting list for cataract extraction and the influence of waiting time on postoperative visual acuity, visual function, and complications. RESULTS: The study comprised 3787 patients. Patients with social support spent significantly more time (1.04 times) on the waiting list (P = .0188), while those with contralateral visual acuity better than 0.5 and those with vision-related daily living difficulties spent less time on the waiting list. Patients who waited longer than 5 months for cataract extraction had smaller gains in visual acuity than those who waited fewer than 3 months (P = .0348). Time on the waiting list did not significantly influence changes in the VF-14 results or complications from surgery. CONCLUSIONS: The finding that some contradictory sociodemographic factors influence time spent on a waiting list for cataract extraction suggests that rational, explicit, and homogeneous appropriateness and priority criteria are not being applied to these patients. Use of such criteria could improve waiting times and order waiting lists so patients who need cataract extraction the most would receive it soonest. FINANCIAL DISCLOSURE: No author has a financial or proprietary interest in any material or method mentioned. PMID- 21067892 TI - Vertical versus oblique implantation of intrastromal corneal ring segments for keratoconus. AB - PURPOSE: To determine the effect on postoperative outcomes of different sites for intrastromal corneal ring segment (ICRS) implantation in keratoconic eyes. SETTING: Eye Research Center of Mashhad University of Medical Sciences, Khatam-al Anbia Eye Hospital, Mashhad, Iran. DESIGN: Case series study. METHODS: After a detailed ophthalmologic examination, pairs of 160-degree Ferrara ICRS were implanted in eyes with keratoconus. Patients were categorized into 2 groups depending on the axis of ICRS implantation as follows: vertical implantation, in which the axis of the radial incision was between 70 degrees and 110 degrees, and oblique implantation, in which the axis was outside the vertical range. An independent-samples t test was used to compare the postoperative refractive and visual outcomes in the 2 groups. RESULTS: This series included 48 eyes of 48 patients (28 men, 20 women) with a mean age of 26.65 years +/- 6.8 (SD). The vertical implantation group comprised 23 patients and the oblique implantation group, 25 patients. There was no statistically significant difference in any preoperative parameter between the groups. The mean postoperative corrected distance visual acuity and astigmatism reduction were significantly better in the vertical group than in the oblique group (P<.05). CONCLUSION: Although the improvements in refractive outcomes and visual acuity were significant in eyes with vertical or oblique astigmatism, simulated keratometry showed that patients with a vertical steep axis had more favorable results than those with an oblique steep axis. FINANCIAL DISCLOSURE: Neither author has a financial or proprietary interest in any material or method mentioned. PMID- 21067893 TI - Anterior capsule relaxing incisions with neodymium:YAG laser for patients at high risk for anterior capsule contraction. AB - PURPOSE: To examine the effect of anterior capsule relaxing incisions created with a neodymium:YAG (Nd:YAG) laser on prevention of anterior capsule contraction after cataract surgery in high-risk patients. SETTING: Hayashi Eye Hospital, Fukuoka, Japan. DESIGN: Randomized masked clinical trials. METHODS: Patients at high risk for anterior capsule contraction had anterior capsule relaxing incisions in either eye 3 days postoperatively. The anterior capsule opening was measured using a Scheimpflug videophotography system (EAS-1000) immediately and 1, 3, and 6 months after capsulotomy, and the percentage reduction in area was calculated. The degree of intraocular lens (IOL) decentration and tilt, posterior capsule opacification (PCO), and other complications were also assessed. RESULTS: Of the 84 patients included, 30 had primary angle closure, 28 had pseudoexfoliation, and 26 had diabetic retinopathy. There was no significant difference in the mean opening area between fellow eyes at baseline. In patients with primary angle closure, the area was significantly greater and the percentage reduction in area was significantly less in the capsulotomy group than in the no capsulotomy group (P<=.0428). In patients with pseudoexfoliation or diabetic retinopathy, the percentage reduction was significantly less in the capsulotomy group than in the no-capsulotomy group (P<=.0493), although there was no significant difference in area. No significant difference was found in IOL decentration or tilt, PCO, or incidence of other complications. CONCLUSION: Neodymium:YAG laser anterior capsule relaxing incisions in the early period after cataract surgery were effective in preventing anterior capsule contraction in high-risk patients and had no adverse effects. FINANCIAL DISCLOSURE: No author has a financial or proprietary interest in any material or method mentioned. PMID- 21067894 TI - Long-term follow-up of photorefractive keratectomy for myopia: Comparative study of excimer lasers. AB - PURPOSE: To compare the long-term results of photorefractive keratectomy (PRK) for low to moderate myopia performed using a broad-beam laser system or a scanning-slit laser system. SETTING: Department of Ophthalmology, University of Helsinki, Helsinki, Finland. DESIGN: Case-control study. METHODS: This follow-up study comprised eyes with myopia (-1.25 to -7.00 diopters [D]) or myopic astigmatism (astigmatism lower than -2.50 D) corrected by PRK using a broad-beam (Visx) or scanning-slit (Nidek) laser. Follow-up included a visit at 3 months and at more than 8 years. The uncorrected distance visual acuity (UDVA), corrected distance visual acuity (CDVA), and manifest refraction were recorded. RESULTS: The broad-beam group comprised 27 eyes and the scanning-slit group, 34 eyes. At the last postoperative follow-up, the UDVA was 0.0 or better in 55% of eyes in the broad-beam group and 65% of eyes in the scanning-slit group. The CDVA was 0.0 or better in all eyes in the broad-beam group and 96% of eyes in the scanning slit group. Regarding predictability, 48% and 73% of the eyes, respectively, were within +/-0.50 D of the intended spherical equivalent refraction. There were no statistically significant differences between the 2 laser groups in any preoperative or postoperative parameter. CONCLUSION: There were no significant differences in UDVA, CDVA, or SE after PRK for low to moderate myopia between the broad-beam laser system and the scanning-slit laser system. FINANCIAL DISCLOSURE: No author has a financial or proprietary interest in any material or method mentioned. PMID- 21067895 TI - Evaluation of in vitro resistance of titanium and resorbable (poly-L-DL-lactic acid) fixation systems on the mandibular angle fracture. AB - The purpose of this study was to compare, by mechanical in vitro testing, a 2.0 mm system made with poly-L-DL-lactide acid with an analogue titanium-based system. Mandible replicas were used as a substrate and uniformly sectioned on the left mandibular angle. The 4-hole plates were adapted and stabilized passively in the same site in both groups using four screws, 6.0mm long. During the resistance to-load test, the force was applied perpendicular to the occlusal plane at three different points: first molar at the plated side; first molar at the contralateral side; and between the central incisors. At 1mm of displacement, no statistically significant difference was found. At 2mm displacement, a statistically significant difference was observed when an unfavourable fracture was simulated and the load was applied in the contralateral first molar and when a favourable fracture was simulated and the load was applied between the central incisors. At the failure displacement, a statistically significant difference was observed only when the favourable fracture was simulated and the load was applied on the first molar at the plated side. In conclusion, despite more failure, the poly-L-DL-lactic acid-based system was effective. PMID- 21067896 TI - The role of vascular failure in coronary artery spasm. AB - Coronary artery spasm plays an important role in the pathogenesis of angina pectoris as well as acute coronary syndrome and sudden death. The prevalence of coronary spasm is greater in East Asian populations than in other parts of the world. Although the mechanism of coronary spasm is still unclear, both endothelial and smooth muscle dysfunction have been reported to play a role. We recently proposed a new concept termed 'vascular failure' that represents an integration of endothelial and smooth muscle abnormalities. Thus, vascular failure is the primary cause of coronary artery spasm. PMID- 21067897 TI - Long-term event monitoring study of fluvastatin in Japanese patients with hypercholesterolemia: Efficacy and incidence of cardiac and other events in elderly patients (>= 65 years old). AB - OBJECTIVE: This long-term event monitoring (LEM) study was designed to evaluate the long-term lipid-lowering efficacy and safety of fluvastatin (Lochol(r), Novartis A.G.) along with the incidence of cardiac and other events, and safety of fluvastatin in Japanese patients with hypercholesterolemia. METHODS: Patients (n = 21,139) who started fluvastatin between April 1, 2000 and March 31, 2002, across 2563 centers in Japan were prospectively registered and followed up for 3 years (secondary prevention cohort) or 5 years (primary prevention cohort). RESULTS: Of the patients registered, 19,084 were included in this analysis. Levels of low-density lipoprotein-cholesterol (LDL-C) and total cholesterol (TC) decreased significantly in the primary (-27.1% and -18.8%) and secondary (-25.3% and -18.4%) prevention cohorts. Reductions in LDL-C (-22.1 vs. -18.2%, p < 0.0001) and TC (-16.1 vs. -13.1%, p < 0.0001) levels were significantly greater among patients aged >= 65 than < 65 years old. Overall, 1.7% (146/8563) and 1.1% (93/8563) of patients aged >= 65 years old experienced confirmed cardiac and cerebral events, compared with 1.1% (112/10,517) and 0.3% (28/10,517) of patients aged < 65 years old (p = 0.0002 and < 0.0001, respectively). Incidence of cardiac and cerebral events was lowest in patients aged < 65 years old in the primary prevention cohort and highest among patients aged >= 65 years old in the secondary prevention cohort. Adverse events were reported in 7.9% (1501/19,084) of patients. CONCLUSION: This large-scale, prospective, uncontrolled study confirmed the lipid-lowering efficacy and safety of long-term fluvastatin treatment for hypercholesterolemia in Japanese patients aged >= 65 years old. The higher incidence of cardiac and cerebral events in patients aged >= 65 years old in the secondary prevention cohort reflects a high-risk clinical profile with multiple classic risk factors warranting multifactorial interventions. PMID- 21067898 TI - Thalamus abnormalities during working memory in schizophrenia. An fMRI study. AB - We aimed to identify and compare cerebral activations in schizophrenia patients and controls during a working memory (WM) task at the same performance level for both a verbal and a spatial task. Whereas the performances of the patients (n=22) and controls (n=15) were similar, cerebral activations were significantly increased in the patients, particularly in the thalamus/basal ganglia for the two tasks and in regions of the prefrontal cortex and the cerebellum for the spatial task only. Our results suggest that stronger activations of deep brain structures in patients may be the result from a compensating mechanism for WM difficulties. PMID- 21067899 TI - Volumetric MRI analysis of hippocampal subregions in Cushing's disease: a model for glucocorticoid neural modulation. AB - Several preclinical studies have demonstrated neuronal effects of glucocorticoids on the hippocampus (HC), a limbic structure with anterior-posterior anatomical and functional segmentation. We propose a volumetric magnetic resonance imaging analysis of hippocampus head (HH), body (HB) and tail (HT) using Cushing's disease (CD) as model, to investigate whether there is a differential sensitivity to glucocorticoid neuronal damage in these segments. We found a significant difference in the HH bilaterally after 12 months from trans-sphenoidal surgical selective resection of the adrenocorticotropic hormone (ACTH)-secreting pituitary micro-adenomas. This pre-post surgery difference could contribute to better understand the pathopysiology of CD as an in vivo model for stress-related hypercortisolemic neuropsychiatric disorders. PMID- 21067900 TI - Corticostriatal functional connectivity in non-medicated patients with obsessive compulsive disorder. AB - The basal ganglia represents a key component of the pathophysiological model for obsessive-compulsive disorder (OCD). This brain region is part of several neural circuits, including the orbitofronto-striatal circuit and dorsolateral prefronto striatal circuit. There are, however, no published studies investigating those circuits at a network level in non-medicated patients with OCD. Resting state functional magnetic resonance imaging scans were obtained from 20 non-medicated patients with OCD and 23 matched healthy volunteers. Voxelwise statistical parametric maps testing strength of functional connectivity of three striatal seed regions of interest (ROIs) with remaining brain regions were calculated and compared between groups. We performed additional correlation analyses between strength of connectivity and the severity scores for obsessive-compulsive symptoms, depression, and anxiety in the OCD group. Positive functional connectivity with the ventral striatum was significantly increased (P(corrected) < .05) in the orbitofrontal cortex, ventral medial prefrontal cortex and dorsal lateral prefrontal cortex of subjects with OCD. There was no significant correlation between measures of symptom severity and the strength of connectivity (P(uncorrected) < .001). This is the first study to investigate the corticostriatal connectivity in non-medicated patients with OCD. These findings provide the first direct evidence supporting a pathophysiological model involving basal ganglia circuitry in OCD. PMID- 21067901 TI - Handedness, repetitive transcranial magnetic stimulation and bulimic disorders. AB - Repetitive Transcranial Magnetic Stimulation (rTMS) research in psychiatry mostly excludes left-handed participants. We recruited left-handed people with a bulimic disorder and found that stimulation of the left prefrontal cortex may result in different effects in left- and right-handed people. This highlights the importance of handedness and cortex lateralisation for rTMS. PMID- 21067902 TI - Drowning in numbers-what psychiatrists mean when talking to patients about probabilities of risks and benefits of medication. PMID- 21067903 TI - With friends like these...: peer delinquency influences across age cohorts on smoking, alcohol and illegal substance use. AB - BACKGROUND: Discussions and debate about youth smoking, alcohol use, and illegal substance use (collectively referred to as youth substance use) continue to receive wide attention among researchers, policymakers, and the general public. Previous research has suggested that peer delinquency is a particularly strong correlate of youth substance use. The current study focuses on the influence of delinquent peers on substance use, and how peer delinquency influences change across age cohorts of youth. METHOD: The current study examines multiple correlates for youth substance use in a sample of 8,256 youth (mean age 14), with the goal of identifying the influence of delinquent peers across age cohorts while controlling for other correlates. Data was collected from the Ohio version of the Youth Risk Behavior Surveillance System (YRBSS) developed by the Centers for Disease Control. RESULTS: Results from multiple regression analyses identified peer delinquency as the strongest correlate of youth substance use even when other relevant factors related to family, neighborhood, and media use were controlled. Correlations between peer delinquency and substance use behavior increased across age cohorts and for individuals who first used in middle teen years (13-16) irrespective of current age. INTERPRETATION: Age appears to be a moderating factor regarding the correlation between peer delinquency and youth substance abuse. Primary and secondary prevention and intervention strategies that focus on peers are potentially more likely to reduce youth substance use and improve peer relationships than those focused on other areas such as schools or media. PMID- 21067905 TI - [Nasal septal abscess complicating acute sinusitis in a child]. AB - Nasal septal abscess is a rare complication of acute sinusitis in children. We report the case of a 9-year-old girl who presented at the emergency unit with a bilateral eyelid edema evolving over 2 days, associated with bilateral rhinorrhea and nasal obstruction. Clinical examination found a tumefied nasal septum and nasal obstruction. A computed tomography scan of the nose and paranasal sinuses showed pansinusitis with an abscess of the nasal septum. Treatment consisted in the evacuation of the abscess associated with a triple antibiotic therapy. Progression was favorable. Acute sinusitis is seldom complicated by an abscess of the nasal septum, and very few cases are reported in the literature. Early diagnosis and treatment can avoid complications, which engage not only the functional but also the vital prognosis. PMID- 21067904 TI - Effects of voluntary ethanol consumption on emotional state and stress responsiveness in socially isolated rats. AB - Social isolation of rats immediately after weaning is thought to represent an animal model of anxiety-like disorders. This mildly stressful condition reduces the cerebrocortical and plasma concentrations of 3alpha-hydroxy-5alpha-pregnan-20 one (3alpha,5alpha-TH PROG) as well as increases the sensitivity of rats to the effects of acute ethanol administration on the concentrations of this neuroactive steroid. We further investigated the effects of voluntary consumption of ethanol at concentrations increasing from 2.5 to 10% over 4 weeks of isolation. Isolated rats showed a reduced ethanol preference compared with group-housed animals. Ethanol consumption did not affect the isolation-induced down-regulation of BDNF or Arc, but it attenuated the increase in the cerebrocortical concentration of 3alpha,5alpha-TH PROG induced by foot-shock stress in both isolated and group housed animals as well as increased the percentage of number of entries made by socially isolated rats into the open arms in the elevated plus-maze test. Ethanol consumption did not affect expression of the alpha4 subunit of the GABA(A) receptor in the hippocampus of group-housed or isolated rats, whereas it up regulated the delta subunit throughout the hippocampus under both conditions. The results suggest that low consumption of ethanol may ameliorate some negative effects of social isolation on stress sensitivity and behavior. PMID- 21067907 TI - Assessment of the methane oxidation capacity of compacted soils intended for use as landfill cover materials. AB - The microbial oxidation of methane in engineered cover soils is considered a potent option for the mitigation of emissions from old landfills or sites containing wastes of low methane generation rates. A laboratory column study was conducted in order to derive design criteria that enable construction of an effective methane oxidising cover from the range of soils that are available to the landfill operator. Therefore, the methane oxidation capacity of different soils was assessed under simulated landfill conditions. Five sandy potential landfill top cover materials with varying contents of silt and clay were investigated with respect to methane oxidation and corresponding soil gas composition over a period of four months. The soils were compacted to 95% of their specific proctor density, resulting in bulk densities of 1.4-1.7 g cm(-3), reflecting considerably unfavourable conditions for methane oxidation due to reduced air-filled porosity. The soil water content was adjusted to field capacity, resulting in water contents ranging from 16.2 to 48.5 vol.%. The investigated inlet fluxes ranged from 25 to about 100g CH(4)m(-2)d(-1), covering the methane load proposed to allow for complete oxidation in landfill covers under Western European climate conditions and hence being suggested as a criterion for release from aftercare. The vertical distribution of gas concentrations, methane flux balances as well as stable carbon isotope studies allowed for clear process identifications. Higher inlet fluxes led to a reduction of the aerated zone, an increase in the absolute methane oxidation rate and a decline of the relative proportion of oxidized methane. For each material, a specific maximum oxidation rate was determined, which varied between 20 and 95 g CH(4)m(-2)d(-1) and which was positively correlated to the air-filled porosity of the soil. Methane oxidation efficiencies and gas profile data imply a strong link between oxidation capacity and diffusive ingress of atmospheric air. For one material with elevated levels of fine particles and high organic matter content, methane production impeded the quantification of methane oxidation potentials. Regarding the design of landfill cover layers it was concluded that the magnitude of the expected methane load, the texture and expected compaction of the cover material are key variables that need to be known. Based on these, a column study can serve as an appropriate testing system to determine the methane oxidation capacity of a soil intended as landfill cover material. PMID- 21067906 TI - Efficacy and safety of ciclesonide in the treatment of 24,037 asthmatic patients in routine medical care. AB - BACKGROUND: The efficacy and safety profile of ciclesonide (CIC) in the treatment of asthma was evaluated in a large patient population in a real-life setting in Germany. METHODS: 24,037 patients with persistent mild/moderate bronchial asthma were enrolled into three observational studies with identical design. Data were pooled and analyzed. Patients received ciclesonide (160 MUg/day) and were observed for 3 months. FEV(1), PEF, NO, asthma episodes, use of rescue medication and adverse drug reactions (ADR) were recorded. RESULTS: Mean (95% CI) FEV(1) significantly increased from 80.7 [80.5; 80.9]% of predicted at baseline to 90.1 [89.9; 90.2]% after 3 months (n = 20,297), mean PEF significantly increased from 338 [335; 340] l/min to 392 [390; 395] l/min (n = 8100). NO was significantly reduced from 53.6 [51.8; 55.4] ppb to 26.2 [25.2; 27.1] ppb (n = 971). The percentage of patients with daily symptoms declined from 24.3% to 1.9%, night time symptoms from 13.3% to 1.3%, and beta(2)-agonists use from 26.9% to 8.8%. ADRs were reported by 51 patients (0.2%). Most frequent ADRs were: dysphonia (n = 11), cough (n = 10), dyspnoea, throat irritation, and oral candidiasis (n = 5 each). 46 patients terminated the study prematurely, 41 due to ADR and 5 due to unknown/missing reason. One patient died due to cardiac failure (no causal relation). CONCLUSION: These observational studies under real-life conditions support findings from controlled clinical studies regarding efficacy and tolerability of ciclesonide in patients with mild to moderate bronchial asthma. No unexpected ADRs were detected. PMID- 21067908 TI - Utilization of municipal solid waste incineration (MSWI) fly ash in ceramic brick: product characterization and environmental toxicity. AB - In this study, municipal solid waste incineration (MSWI) fly ash was used as a blending in making ceramic brick based on its characterization and an orthogonal test was performed to determine the optimal mixture ratio of the materials. Besides, the fired bricks made in accordance with the optimal mixture ratio were characterized for performance, phase transformation, microstructure, leaching toxicity of the heavy metals in accordance with GB/T 2542-92 (Detection methods for bricks analysis, China) and by means of XRD, SEM and leaching toxicity analysis. It was found that the optimal mixture ratio of materials (MSWI fly ash:red ceramic clay:feldspar:gang sand) was 20:60:10:10 by mass, and the optimal sintering temperature was 950 degrees C. Leaching results of heavy metals from sintered bricks were reduced considerably in comparison with those from green bricks prior to sintering process. The results as a whole suggested that utilization of MSWI fly ash in ceramic brick constituted a potential means of adding value. PMID- 21067909 TI - DNA-based biosensor for the electrocatalytic determination of antioxidant capacity in beverages. AB - Reactive oxygen species (ROS) are produced as a consequence of normal aerobic metabolism and are able to induce DNA oxidative damage. At the cellular level, the evaluation of the protective effect of antioxidants can be achieved by examining the integrity of the DNA nucleobases using electrochemical techniques. Herein, the use of an adenine-rich oligonucleotide (dA(21)) adsorbed on carbon paste electrodes for the assessment of the antioxidant capacity is proposed. The method was based on the partial damage of a DNA layer adsorbed on the electrode surface by OH radicals generated by Fenton reaction and the subsequent electrochemical oxidation of the intact adenine bases to generate an oxidation product that was able to catalyze the oxidation of NADH. The presence of antioxidant compounds scavenged hydroxyl radicals leaving more adenines unoxidized, and thus, increasing the electrocatalytic current of NADH measured by differential pulse voltammetry (DPV). Using ascorbic acid (AA) as a model antioxidant species, the detection of as low as 50 nM of AA in aqueous solution was possible. The protection efficiency was evaluated for several antioxidant compounds. The biosensor was applied to the determination of the total antioxidant capacity (TAC) in beverages. PMID- 21067910 TI - Electron transfer from Proteus vulgaris to a covalently assembled, single walled carbon nanotube electrode functionalised with osmium bipyridine complex: application to a whole cell biosensor. AB - We report the fabrication and use of electrodes constructed from single walled carbon nanotubes (SWCNTs) chemically assembled on a carbon surface and functionalised with an osmium(II) bipyridine complex (Osbpy). The ability of the electrodes to transduce biologically generated currents from Proteus vulgaris has been established. Our investigations show that there are two contributions to the current: one from electroactive species secreted into solution and another from cell redox sites. The modified electrode can be used to monitor cell metabolism, thereby acting as a whole cell biosensor. The biosensor was used in a 1-h assay to investigate the toxicity of ethanol, sodium azide and the antibiotic ampicillin and gave quantitative data that were closely correlated with standard cell plate viability assays. The results provide proof of principle that the whole cell biosensor could be used for high throughput screening of antimicrobial activity. One of the modified electrodes was used for approximately 1000 measurements over four months demonstrating the robustness of the system. PMID- 21067911 TI - SiO2/SnO2/Sb2O5 microporous ceramic material for immobilization of Meldola's blue: application as an electrochemical sensor for NADH. AB - The mixed oxide SiO(2)/SnO(2), containing 25 wt% of SnO(2), determined by X-ray fluorescence, was prepared by the sol-gel method and the porous matrix obtained was then grafted with Sb (V), resulting the solid designated as (SiSnSb). XPS indicated 0.7% of Sb atoms on the surface. Sb grafted on the surface contains Bronsted acid centers (SbOH groups) that can immobilize Meldola's blue (MB(+)) cationic dye onto the surface by an ion exchange reaction, resulting the solid designated as (SiSnSb/MB). In the present case a surface concentration of MB(+)=2.5*10(-11) mol cm(2) on the surface was obtained. A homogeneous mixture of the SiSnSb/MB with ultra pure graphite (99.99%) was pressed in disk format and used to fabricate a working electrode that displayed an excellent specific electrocatalytic response to NADH oxidation, with a formal potential of -0.05 V at pH 7.3. The electrochemical properties of the resulting electrode were investigated thoroughly with cyclic voltammetric and chronoamperometry techniques. The proposed sensor showed a good linear response range for NADH concentrations between 8*10(-5) and 9.0*10(-4) mol L(-1), with a detection limit of 1.5*10(-7) mol L(-1). The presence of dopamine and ascorbic acid did not show any interference in the detection of NADH on this modified electrode surface. PMID- 21067912 TI - The effect of prophylactic calcium and magnesium infusions on the incidence of neurotoxicity and clinical outcome of oxaliplatin-based systemic treatment in advanced colorectal cancer patients. AB - BACKGROUND: Peripheral sensory neurotoxicity is a frequent and potentially debilitating side effect of oxaliplatin treatment. Calcium and magnesium (Ca/Mg) infusions are frequently used to prevent this toxicity. However, concerns about a negative impact of Ca/Mg infusions on outcome have been raised. We retrospectively assessed the effect of Ca/Mg infusions on the incidence of neurotoxicity and on clinical outcome in advanced colorectal cancer (ACC) patients treated in the phase III CAIRO2 study. MATERIALS AND METHODS: Seven hundred and fifty five previously untreated ACC patients were randomised between treatment with capecitabine, oxaliplatin and bevacizumab or the same combination with the addition of cetuximab. Patients were retrospectively divided into two groups: patients in the Ca/Mg(+) group received Ca/Mg at least during their first treatment cycle, and patients in the Ca/Mg(-) group did not. RESULTS: Seven hundred and thirty two patients were evaluable for this analysis. The Ca/Mg(+) group consisted of 551 patients, the Ca/Mg(-) group consisted of 181 patients. The incidence of all grade neurotoxicity in the Ca/Mg(+) group and the Ca/Mg(-) group was 85% and 92%, respectively (p = 0.02), and the incidence of grade >= 2 neurotoxicity was 40% and 45%, respectively (p = 0.22). The median PFS in the Ca/Mg(+) versus Ca/Mg(-) group was 10.1 versus 10.7 months (p = 0.92), the median OS was 19.8 versus 20.7 months (p = 0.10), and the response rate was 43.1% versus 50% (p = 0.11), respectively. CONCLUSIONS: In this largest retrospective analysis to date we observed that Ca/Mg infusions significantly reduced all grade oxaliplatin-related neurotoxicity. Ca/Mg infusions did not affect the clinical efficacy of treatment. PMID- 21067914 TI - Mucosal immune environment in colonic carcinogenesis: CD80 up-regulation in colonic dysplasia in ulcerative colitis. AB - BACKGROUND: In patients with ulcerative colitis (UC) the inconsistency between the rate of dysplasia and actual cancer incidence suggests the presence of an immunosurveillance mechanism. The aim of our study was to analyse the expression of CD80 and CD86 during the different stages of UC-associated and in non inflammatory carcinogenesis. PATIENTS AND METHODS: Sixty-two patients affected with UC, UC with colonic dysplasia, UC and cancer, colonic adenoma, or colonic cancer and 11 healthy subjects were enrolled in our study. Tissue samples were taken from surgical specimens during colonic resection or during colonoscopy. Mucosal mRNA expression of CD80 and CD86 was quantified with real time polymerase chain reaction (RT-PCR). CD80, CD86 and p53 expressions and lamina propria mononuclear cell populations (CD3, CD20 and CD68) were analysed by immunohistochemistry. Mucosal levels of IL-1beta, IL-2 and IFN-gamma were measured with immunometric assays. RESULTS: Among UC patients, CD80 protein expression was higher in those with dysplasia (p=0.017). In non-inflammatory carcinogenesis pathway CD80 protein and mRNA expressions were lower compared to the corresponding steps in the UC pathway. CD80 expression was directly correlated with the lamina propria mononuclear cell populations (T and B lymphocytes and monocytes). CD80 protein, but not CD80 mRNA, expression was significantly and directly correlated with IL-2 expression. CONCLUSION: CD80 resulted to be up-regulated in UC with dysplasia, while it was down-regulated in cancer. CD80 mucosal levels correlate with lamina propria T-cell and with IL-2 expression suggesting that it may elicit an active role in the immunosurveillance mechanism. PMID- 21067913 TI - Parity, early menopause and the incidence of bladder cancer in women: a case control study and meta-analysis. AB - INTRODUCTION: Incidence rates of bladder cancer are notably higher in men than in women. While there is evidence that reproductive and hormonal risk factors may influence risk of bladder cancer, data are inconclusive. MATERIALS AND METHODS: We examined reproductive, menstrual and hormonal use history in our population based case-control study of bladder cancer in New Hampshire (NH), USA (n=207 women cases and n=463 women controls). Additionally, we performed a meta-analysis of the published literature. We used unconditional logistic regression analysis to compute adjusted odds ratios associated with each risk factor in the NH study. We combined these estimates with those from the published literature using inverse variance effects models. RESULTS: In the NH study, a slightly decreased odds ratio was found among women who had ever had a birth compared to nulliparous women and an elevated odds ratio among women who underwent surgical menopause (bilateral oophorectomy), especially at an early age. No overall associations were found with oral contraceptive use or hormone replacement therapy. These findings were generally in agreement with the meta-analytic results for which the combined relative risk (RR) estimate was reduced among ever parous women (combined RR estimate for ever parous versus nulliparous=0.66, 95% confidence intervals [95% CI] 0.55-0.79) and elevated among those undergoing an early menopause (combined RR estimate for early versus late menopause=1.59, 95% CI 1.31 1.92). No consistent risk was observed for the other factors. DISCUSSION: Some reproductive and menstrual factors appear to be related to the incidence of bladder cancer among women; but whether effects are due to female hormones is uncertain. PMID- 21067915 TI - Preparation of solid acid catalyst from glucose-starch mixture for biodiesel production. AB - The aim of this work is to study the catalyst prepared by glucose-starch mixture. Assessment experiments showed that solid acid behaved the highest esterification activity when glucose and corn powder were mixed at ratio of 1:1, carbonized at 400 degrees C for 75 min and sulfonated with concentrated H(2)SO(4) (98%) at 150 degrees C for 5 h. The catalyst was characterized by acid activity measurement, XPS, TEM and FT-IR. The results indicated that solid acid composed of CS(0.073)O(0.541) has both Lewis acid sites and Bronsted acid sites caused by SO(3)H and COOH. The conversions of oleic acid esterification and triolein transesterification are 96% and 60%, respectively. Catalyst for biodiesel production from waste cottonseed oil containing high free fatty acid (FFA 55.2 wt.%) afforded the methyl ester yield of about 90% after 12h. The catalyst deactivated gradually after recycles usage, but it could be regenerated by H(2)SO(4) treatment. PMID- 21067916 TI - Statistical optimization of sulfite pretreatment of corncob residues for high concentration ethanol production. AB - In this study, a central composite design of response surface method was used to optimize sulfite pretreatment of corncob residues, in respect to sulfite charge (5-10%), treatment time (1-2h), liquid/solid (l/s) ratio (6:1-10:1) and temperature (150-180 degrees C) for maximizing glucose production in enzymatic hydrolysis process. The relative optimum condition was obtained as follows: sulfite charge 7.1%, l/s ratio 7.6:1, temperature 156 degrees C for 1.4h, corresponding to 79.3% total glucan converted to glucose+cellobiose. In the subsequent simultaneous saccharification and fermentation (SSF) experiments using 15% glucan substrates pretreated under this kind of conditions, 60.8 g ethanol l( 1) with 72.2% theoretical yield was obtained. PMID- 21067917 TI - Purification and substrate specificities of a fructanase from Kluyveromyces marxianus isolated from the fermentation process of Mezcal. AB - A fructanase, produced by a Kluyveromyces marxianus strain isolated during the fermentation step of the elaboration process of "Mezcal de Guerrero" was purified and biochemically characterized. The active protein was a glycosylated dimer with a molecular weight of approximately 250 kDa. The specific enzymatic activity of the protein was determined for different substrates: sucrose, inulin, Agave tequilana fructan, levan and Actilight(r) and compared with the activity of Fructozyme(r). The hydrolysis profile of the different substrates analyzed by HPAEC-PAD showed that the enzyme has different affinities over the substrates tested with a sucrose/inulin enzymatic activity ratio (S/I) of 125. For the hydrolysis of Agave tequilana fructans, the enzyme also showed a higher enzymatic activity and specificity than Fructozyme(r), which is important for its potential application in the tequila industry. PMID- 21067918 TI - Microbial production of xylitol from D-xylose and sugarcane bagasse hemicellulose using newly isolated thermotolerant yeast Debaryomyces hansenii. AB - A thermotolerant yeast capable of fermenting xylose to xylitol at 40 degrees C was isolated and identified as a strain of Debaryomyces hansenii by ITS sequencing. This paper reports the production of xylitol from D-xylose and sugarcane bagasse hemicellulose by free and Ca-alginate immobilized cells of D. hansenii. The efficiency of free and immobilized cells were compared for xylitol production from D-xylose and hemicellulose in batch culture at 40 degrees C. The maximum xylitol produced by free cells was 68.6 g/L from 100 g/L of xylose, with a yield of 0.76 g/g and volumetric productivity 0.44 g/L/h. The yield of xylitol and volumetric productivity were 0.69 g/g and 0.28 g/L/h respectively from hemicellulosic hydrolysate of sugarcane bagasse after detoxification with activated charcoal and ion exchange resins. The Ca-alginate immobilized D. hansenii cells produced 73.8 g of xylitol from 100 g/L of xylose with a yield of 0.82 g/g and volumetric productivity of 0.46 g/L/h and were reused for five batches with steady bioconversion rates and yields. PMID- 21067919 TI - Novel epoxide formation in the reaction of 2-bromo-3-methyl-1,4-naphthoquinone with 1,3-propanedithiol. AB - A novel epoxide 2 was formed as the major product in the reaction of 2-bromo-3 methyl-1,4-naphthoquinone with 1,3-propanedithiol in the presence of triethylamine in 92% yield. Molecular oxygen is suggested to be the source of the added oxygen in 2, an oxidation product of its precursor 3. A strong base such as triethylamine is required to abstract the methyl hydrogen of 1,4-naphthoquinones, leading to the formation of 3 as well as 2. PMID- 21067920 TI - 4-aryl piperazine and piperidine amides as novel mGluR5 positive allosteric modulators. AB - Positive allosteric modulation of metabotropic glutamate receptor 5 (mGluR5) is regarded as a potential novel treatment for schizophrenic patients. Herein we report the synthesis and SAR of 4-aryl piperazine and piperidine amides as potent mGluR5 positive allosteric modulators (PAMs). Several analogs have excellent activity and desired drug-like properties. Compound 2b was further characterized as a PAM using several in vitro experiments, and produced robust activity in several preclinical animal models. PMID- 21067921 TI - Discovery of new azaindole-based PI3Kalpha inhibitors: apoptotic and antiangiogenic effect on cancer cells. AB - Phosphatidylinositol-3-kinase alpha (PI3Kalpha) is an important target in cancer due to the deregulation of the PI3K/AKT signaling pathway in many tumors. In this study, we designed [3,5-d]-7-azaindole analogs as PI3Kalpha inhibitors through the fragment-growing strategy. By varying groups at the 3,5-positions of azaindole, we developed the SAR (Structure-activity relationship) and identified a series of potent PI3Kalpha inhibitors. Representative azaindole derivatives showed activity in a cellular proliferation and apoptosis assays. Moreover, B3 exhibited strong antiangiogenic effects on cancer cells. PMID- 21067922 TI - Stereoselective synthesis of hexahydro-3-methyl-1-arylchromeno[3,4-b]pyrrole and its annulated heterocycles as potent antimicrobial agents for human pathogens. AB - Synthesis of a series of novel hexahydrochromenopyrrole analogues has been accomplished through an intramolecular 1,3-dipolar cycloaddition (1,3-DC reaction) of azomethine ylides, generated by the aldehyde induced decarboxylation of secondary amino acids. These compounds were screened for antibacterial and antifungal activities against six human pathogenic bacteria and three human pathogenic fungi and found to have good antimicrobial properties against most of the microorganisms. PMID- 21067923 TI - Synthesis and biological evaluations of P4-benzoxaborole-substituted macrocyclic inhibitors of HCV NS3 protease. AB - We disclose here a series of P4-benzoxaborole-substituted macrocyclic HCV protease inhibitors. These inhibitors are potent against HCV NS3 protease, their anti-HCV replicon potencies are largely impacted by substitutions on benzoxaborole ring system and P2* groups. P2* 2-thiazole-isoquinoline provides best replicon potency. The in vitro SAR studies and in vivo PK evaluations of selected compounds are described herein. PMID- 21067924 TI - 7,8-disubstituted- but not 6,7-disubstituted coumarins selectively inhibit the transmembrane, tumor-associated carbonic anhydrase isoforms IX and XII over the cytosolic ones I and II in the low nanomolar/subnanomolar range. AB - Two series of disubstituted coumarins incorporating ether and acetyl/propionyl moieties in positions 6,7- and 7,8- of the heterocyclic ring were synthesized investigated for the inhibition of the zinc enzyme carbonic anhydrase (CA, EC 4.2.1.1). All these coumarins were very weak or ineffective as inhibitors of the housekeeping, offtarget isoforms CA I and II. The 6,7-disubstituted series showed ineffective inhibition also for the transmembrane tumor-associated isoforms CA IX and XII, whereas the corresponding isomeric 7,8-disubstituted coumarins showed nanomolar/subnanomolar inhibition of CA IX/XII. The nature and position of the groups substituting the coumarin ring in the 7,8-positions greatly influenced CA inhibitory properties, with C1-C4 alkyl ethers being the most effective inhibitors. PMID- 21067925 TI - Selective elution of target protein from affinity resins by a simple reductant with a thiol group. AB - We have made a chance discovery of selective elution of a specific binding protein from affinity resins by mixing them with aqueous solutions of a widely used reductant, 2-mercaptoethanol (2ME), under mild conditions. Our studies suggest this phenomenon would be generic, and could be a powerful method for identification of a specific binding protein. We here exhibit the experimental conditions and successful examples in which target proteins of benzensulfonamide and FK506 were selectively eluted from affinity resins bearing these compounds, while non-specific ones remained. PMID- 21067926 TI - Antifungal agents. Part 5: synthesis and antifungal activities of aminoguanidine derivatives of N-arylsulfonyl-3-acylindoles. AB - In order to discover more promising antifungal agents, a series of aminoguanidine derivatives of N-arylsulfonyl-3-acylindoles (5a-r) were prepared and evaluated in vitro for their antifungal activities against seven phytopathogenic fungi. Especially compounds 5n and 5o exhibited more potent antifungal activities than or comparable to hymexazol, a commercially available agricultural fungicide at the concentration of 100 MUg/mL. Preliminary structure-activity relationships study demonstrated that introduction of electron-donating substituents R(1) and R(2), and the proper length of substituent R(3) were usually very important for their antifungal activities. PMID- 21067927 TI - Biaryl modification of the 5'-terminus of one strand of a microRNA duplex induces strand specificity. AB - MicroRNAs (miRNAs) are single-stranded non-coding RNAs composed of 20-23 nucleotides. They are initially transcribed in the nucleus as pri-miRNAs. After processing, one strand from the miRNA duplex (miR-5p/miR-3p duplex) is loaded onto the RNA-induced silencing complex (RISC) to produce a functional, mature miRNA that inhibits the expression of multiple target genes. In the case of some miRNAs, both strands can be equally incorporated into the RISC as single strands, and both strands can function as mature miRNAs. Thus, a technique for selective expression of miR-5p and miR-3p strands is required to identify distinct targets of miRNAs. In this Letter, we report the synthesis and properties of miRNA duplexes carrying biaryl units at the 5'-terminus of one strand. We found that incorporation of biaryl units at the 5'-terminus of one strand of miRNA duplexes induced strand specificity in these duplexes. Further, we succeeded in identifying endogenous mRNA targets for each strand of the duplex by using the biaryl-modified miRNA duplexes. PMID- 21067928 TI - Indium(III) chloride catalyzed three-component coupling reaction: a novel synthesis of 2-substituted aryl(indolyl)kojic acid derivatives as potent antifungal and antibacterial agents. AB - Three-component coupling of aldehyde, indole and kojic acid has been achieved using a catalytic amount of InCl(3) under solvent free conditions to produce a novel series of 2-substituted aryl(indolyl)kojic acid derivatives in good yields and with high selectivity. These compounds are found to exhibit potent antifungal properties. PMID- 21067930 TI - Discovery of 1H-benzo[d][1,2,3]triazol-1-yl 3,4,5-trimethoxybenzoate as a potential antiproliferative agent by inhibiting histone deacetylase. AB - Twenty-one benzotriazoles (3-16 and 18-24) were synthesized and half of them (5, 8-16, 20, and 21) were reported for the first time. Their antiproliferative activities against three human cancer cells were assayed. It revealed that 1H benzo[d][1,2,3]triazol-1-yl 3,4,5-trimethoxybenzoate (9) showed considerable activity against three human cancer cell lines with the half maximal inhibitory concentration (IC(50)) values of 1.2-2.4 nM, which were close to the value of the positive control, doxorubicin. Further investigation indicated compound 9 was a potential histone deacetylase inhibitor (IC(50)=9.4 MUM) and its binding mode was simulated using docking method. PMID- 21067929 TI - EHD proteins: key conductors of endocytic transport. AB - Regulation of endocytic transport is controlled by an elaborate network of proteins. Rab GTP-binding proteins and their effectors have well-defined roles in mediating specific endocytic transport steps, but until recently less was known about the four mammalian dynamin-like C-terminal Eps15 homology domain (EHD) proteins that also regulate endocytic events. In recent years, however, great strides have been made in understanding the structure and function of these unique proteins. Indeed, a growing body of literature addresses EHD protein structure, interactions with binding partners, functions in mammalian cells, and the generation of various new model systems. Accordingly, this is now an opportune time to pause and review the function and mechanisms of action of EHD proteins, and to highlight some of the challenges and future directions for the field. PMID- 21067931 TI - Novel lipophilic 7H-pyrido[1,2,3-de]-1,4-benzoxazine-6-carboxylic acid derivatives as potential antitumor agents: improved synthesis and in vitro evaluation. AB - A convenient route for the synthesis of some acyloxymethyl esters and carboxamides of levofloxacin (LV) with modulated lipophilicity is described. The synthesized compounds were evaluated in vitro for their growth inhibitory effect in five human cancer cell lines. The most efficient LV derivatives (ester 2e and amide 4d) displayed IC(50) values in the 0.2-2.2 MUM range, while IC(50) values for parent LV ranged between 70 and 622 MUM depending on the cell line. The esters displayed no in vivo toxicity up to 80 mg/kg when administered intraperitoneally. This study thus shows that LV analogs displayed antitumor efficacy, at least in vitro, a feature that appeared to be independent from the lipophilicity of the grafted substituent. PMID- 21067932 TI - Design, synthesis, and characterization of BK channel openers based on oximation of abietane diterpene derivatives. AB - Oxime ether derivatives at the benzylic position of unsubstituted, dichloro, trichloro, and monobromo derivatives of the aromatic C-ring of dehydroabietic acid and podocarpic acid were synthesized and evaluated as BK channel openers in an assay system of CHO-K1 cells expressing hBKalpha channels. Detailed SAR analysis showed that the oximation was particularly effective in the cases of dehydroabietic acid derivatives, and some of these oxime derivatives showed more potent BK channel activities than the standard compound, NS1619. The present studies provide a new structural basis for development of efficient BK channel openers. PMID- 21067933 TI - Design, synthesis, and biological evaluation of novel N-gamma-carboline arylsulfonamides as anticancer agents. AB - A series of novel N-gamma-carboline arylsulfonamide derivatives designed based on the common feature of colchicine binding site inhibitors were synthesized and evaluated for their antiproliferative activity in vitro against five human cancer cell lines. Most of the compounds showed moderate to potent cytotoxic activities against all the tested cells. Preliminary mechanism research on one of the most potent compound 6p indicated that it was a potent tubulin polymerization inhibitor, with IC(50) value of 3.8 MUM, equivalent to that of CA-4, and arresting cell cycle in G(2)/M phase. PMID- 21067934 TI - Physical dose distribution due to multi-sliced kV X-ray beam in labeled tissue like media: an experimental approach. AB - Radiotherapy remains a major modality of cancer therapy. Thanks to high flux and high brilliance of synchrotron-generated X-ray, laboratory research with planar microscopically thin X-ray beam promise exciting new opportunities for treatment of cancer. High tolerance of normal tissues at doses up to several hundred Gy in a single dose fraction and preferential damage of tumors at very high doses have been uniquely observed in animal models exposed to microbeams. The fact that beams as thick as 0.68 mm could retain a part of these effects, opens the possibility that the required beam can be produced by high power X-ray tubes besides a dedicated synchrotron. Fortunately, dose distribution due to kilovolt X rays could be enhanced by the introduction of high-Z contrast agents to tissue like media. In this work, dose deposition in a phantom--partially loaded with Au and I as contrast agents--irradiated by multi-sliced kV X-ray beam was experimentally investigated in the peak and valley regions both on the surface and in the depth of phantom. The results of experimental dosimetry using Gaf chromic films were compared with corresponding Monte-Carlo simulation. Relative reduction in the deposited dose in the peak regions downstream the area containing contrast agents in comparison with the adjacent areas was experimentally observed. PMID- 21067935 TI - One-pot production of 18F-biotin by conjugation with 18F-FDG for pre-targeted imaging: synthesis and radio-labelling of a PEGylated precursor. AB - The biotin-avidin affinity system is exploited in pre-targeted imaging using avidin-conjugated antibodies. (18)F-FDG is available at all PET centres. (18)F FDG forms oximes by reaction with oxyamine. Herein we describe the synthesis of oxyamine-funtionalised biotin, its (18)F-labelling by conjugation with (18)F-FDG and confirm its ability to interact with avidin. PMID- 21067936 TI - Chondrogenic effect of the perichondrium graft on the internal derangement and osteoarthritis of the temporomandibular joint of the rabbit. AB - Internal derangement of the temporomandibular joint is usually defined as the disruption of the condyle and disc relationship. In addition to this description the other elements of the joint including the cartilage surface, synovial fluid, the ligaments and the bony surface itself demonstrate varying degrees of pathology in concordance with the stage of the internal derangement, as well. This study is designed to create an osteoarthritic model in the rabbit temporomandibular joint. A 2*2mm defect was performed on the cartilage surface of the both condyles of each animal (n=30). The osteoarthritic changes were demonstrated by computerised tomography sections. The right joints of the animals constituted the control group and the left, the study group. At the time of the defect generation, a perichondrium graft from the animal's ear was implanted onto the defect in the study group. The control group was left to heal secondarily. The joints of three randomized groups of 10 animals for each were inspected at the 4th, 6th, and 8th weeks. Cartilage regeneration and regression of the osteoarthritic changes were demonstrated in the study group both in the 6th and 8th week groups. However, the control group showed less cartilage regeneration and progression of the osteoarthritic changes in all weeks, with progression with time. The perichondrium graft has demonstrated chondrogenic effect on the condyle and this in turn changed the progression to internal derangement. PMID- 21067937 TI - Angiomyolipomatous hamartoma of the upper lip: a rare case in an 8-month-old child and differential diagnosis. AB - Hamartoma is a proliferation of normal tissues that are considered endogenous to the site of occurrence. In the head and neck region, hamartomas composed of other tissues different from blood and lymphatic vessels (hemangiomas and lymphangiomas) are very uncommon. We report an unusual case of upper lip angiomyolipomatous hamartoma in an 8-month-old patient. The patient underwent surgical treatment and the 1-year follow-up revealed no signs of recurrence. Angiomyolipomatous hamartoma is a very rare condition in the paediatric population group, especially in the head and neck region. It should be considered in the differential diagnosis of congenital lesions in childhood. PMID- 21067938 TI - Effect of fiducial configuration on target registration error in image-guided cranio-maxillofacial surgery. AB - OBJECTIVE: To investigate the effect of the configuration of fiducials on target registration error (TRE) and test the accuracy of theoretical model of TRE prediction in image-guided cranio-maxillofacial surgery. METHODS: A skull specimen was prepared with 20 titanium microscrews placed at defined locations and scanned with a 64-slice spiral computed tomography unit. These markers were separated into a registration fiducial group and a target fiducial group. An optical tracking system was used to perform skull-to-image registration procedures. Subsequent to each registration, the TRE was calculated by the navigation system. Each configuration registration was performed 50 times and the average was regarded as TRE of the configuration. The TRE prediction was also calculated for each configuration. RESULTS: The TRE ranged from 0.58 mm to 3.88 mm. Relatively smaller values of TRE may be achieved by placing a majority of fiducials on the maxillary alveolus in proximity to the target and placing a small number on the cranium contralaterally. The TRE values are always larger than the corresponding TRE prediction but there is a high correlation between them. CONCLUSION: The configuration of fiducials is an important factor in minimizing TRE and the TRE prediction is a good guide for fiducial marker placement. PMID- 21067939 TI - Infected elastofibroma with chronic abscess formation. PMID- 21067940 TI - Trans-arterial chemoembolization as a therapy for liver tumours: New clinical developments and suggestions for combination with angiogenesis inhibitors. AB - The liver is the primary site of metastases for many malignancies. Gastrointestinal cancers are especially prone to spread to the liver and other tumours, as breast cancer and melanoma often spread to the liver. On the other hand, hepatocellular cancer (HCC) is the fifth most common malignancy in the world due to its common etiology from chronic liver damage caused by hepatitis or cirrhosis. Treatments of liver tumours vary according to histology and liver invasion and until now trans-arterial chemoembolization (TACE) has represented a main approach in the therapy of liver tumours. This review takes into consideration: (i) the background to utilizing TACE in liver tumours; (ii) TACE methods and the biological rationale for utilizing chemotherapeutic agents coated to a new micro-particle such as DC-Beads and HepaSphere; (iii) clinical experiences employing TACE in different liver tumours; (iv) the pivotal role of angiogenesis and hypoxia-induced angiogenesis following TACE with special references to HCC. Finally, the rationale for the combination of TACE with angiogenesis inhibitors is also discussed. PMID- 21067941 TI - High density lipoprotein: it's not just about lipid transport anymore. AB - Plasma levels of high density lipoprotein cholesterol (HDL-C) have long been associated with protection against cardiovascular disease (CVD) in large populations. However, HDL-C has been significantly less useful for predicting CVD risk in individual patients. This has ignited a new debate on the merits of measuring HDL quantity versus quality in terms of protective potential. In addition, numerous recent studies have begun to uncover HDL functions that vary surprisingly from traditional lipid transport roles. In this paper, we review recent findings that point to important functions for HDL that go well beyond lipid transport. These discoveries suggest that HDL might be a platform that mediates protection from a host of disease states ranging from CVD to diabetes to infectious disease. PMID- 21067942 TI - Fructose induced lipogenesis: from sugar to fat to insulin resistance. AB - Increasing consumption of sugars is one of the contributing factors to the obesity epidemic. Both cane sugar and high-fructose corn syrup contain glucose and fructose. Fructose, in contrast to glucose, is known to potently stimulate lipogenesis, but the mechanisms responsible are not yet fully known. This paper reviews several possible pathways that might be involved, such as activation of pyruvate dehydrogenase, and transcriptional activation of sterol regulatory element binding protein 1c by key regulators such as peroxisome proliferator activated receptor-gamma co-activator 1beta and the splice variant of X-box binding protein 1. Together, these pathways might establish a feed forward cycle that can rapidly increase hepatic lipogenesis. As a result, dietary fructose might promote the development of nonalcoholic fatty liver disease, which in and of itself, can result in hepatic insulin resistance, a key feature of type 2 diabetes mellitus. PMID- 21067943 TI - beta-cell regeneration: the pancreatic intrinsic faculty. AB - Type I diabetes (T1D) patients rely on cumbersome chronic injections of insulin, making the development of alternate durable treatments a priority. The ability of the pancreas to generate new beta-cells has been described in experimental diabetes models and, importantly, in infants with T1D. Here we discuss recent advances in identifying the origin of new beta-cells after pancreatic injury, with and without inflammation, revealing a surprising degree of cell plasticity in the mature pancreas. In particular, the inducible selective near-total destruction of beta-cells in healthy adult mice uncovers the intrinsic capacity of differentiated pancreatic cells to spontaneously reprogram to produce insulin. This opens new therapeutic possibilities because it implies that beta-cells can differentiate endogenously, in depleted adults, from heterologous origins. PMID- 21067944 TI - Spectral properties of electromyographic and mechanomyographic signals during isometric ramp and step contractions in biceps brachii. AB - The purposes of this study were: (1) to apply wavelet and principal component analysis to quantify the spectral properties of the surface EMG and MMG signals from biceps brachii during isometric ramp and step muscle contractions when the motor units are recruited in an orderly manner, and (2) to compare the recruitment patterns of motor unit during isometric ramp and step muscle contractions. Twenty healthy participants (age = 34 +/- 10.7 years) performed step and ramped isometric contractions. Surface EMG and MMG were recorded from biceps brachii. The EMGs and MMGs were decomposed into their intensities in time frequency space using a wavelet technique. The EMG and MMG spectra were then compared using principal component analysis (PCA) and ANCOVA. Wavelet combined PCA offers a quantitative measure of the contribution of high and low frequency content within the EMG and MMG. The ANCOVA indicated that there was no significant difference in EMG total intensity, EMG(MPF), first and second principal component loading scores (PCI and PCII) between ramp and step contractions, whereas the MMG(MPF) and MMG PCI loading scores were significantly higher during ramp contractions than during step contractions. These findings suggested that EMG and MMG may offer complimentary information regarding the interactions between motor unit recruitment and firing rate that control muscle force production. In addition, our results support the hypothesis that different motor unit recruitment strategy was used by the muscle when contracting under different conditions. PMID- 21067945 TI - Cognitive and behavioral effects of lamotrigine and carbamazepine monotherapy in patients with newly diagnosed or untreated partial epilepsy. AB - PURPOSE: In this prospective study, we compared the long-term cognitive and behavioral effects of lamotrigine (LTG) and carbamazepine (CBZ) in patients with newly diagnosed or untreated partial epilepsy. METHODS: This was a multicenter, open-label, randomized study that compared monotherapy with LTG and CBZ in newly diagnosed or untreated patients with partial epilepsy. We employed an 8-week titration period and a 40-week maintenance period. Neuropsychological tests, Symptom Check List-90, and QOLIE-31 were assessed at baseline, 16 weeks, and 48 weeks after drug treatment. A group-by-time interaction was the primary outcome measure and was analyzed by use of the linear mixed model. RESULTS: A total of 110 patients were eligible and 73 completed the 48-week study (LTG, n=39; CBZ, n=34). Among the cognitive tests, significant group-by-time interaction was identified only in phonemic fluency of Controlled Oral Word Association Task (p=0.0032) and Stroop Color-Word Interference (p=0.0283), with a significant better performance for LTG group. All other neuropsychological tests included did not show significant group-by-time interactions. Among the subscales of Symptom Check List-90, significant group-by-time interactions were identified in Obsessive-Compulsive (p=0.0005), Paranoid Ideation (p=0.0454), Global Severity Index (p=0.0194), and Positive Symptom Total (p=0.0197), with a significant improvement for CBZ group. QOLIE-31 did not show significant group-by-time interactions. CONCLUSION: Our data suggest that epilepsy patients on LTG have better performance on phonemic fluency and the task of Stroop Color-Word Interference than do patients on CBZ, whereas patients on CBZ had more favorable behavioral effects on two subscales and two global scores of Symptom Check List 90 than did patients on LTG. PMID- 21067946 TI - The incidence of Parkinsonism in patients with type 1 Gaucher disease: data from the ICGG Gaucher Registry. AB - PURPOSE: Investigate the incidence of Parkinsonism among patients with Gaucher disease type 1 (GD1) and describe demographics, genotypes, and Gaucher disease (GD)-related characteristics for affected and non-affected patients. METHODS: STUDY TYPE: Cohort study with age- and gender-matched nested case-control analysis. Calculation of event incidence, standardized morbidity ratio, and event free survival (Kaplan-Meier). DATA SOURCE: The International Collaborative Gaucher Group (ICGG) Gaucher Registry data as of June 2010. Study cohort: GD1 patients with any report of Parkinsonism. Pre-matching control group: All GD1 patients with no report of Parkinsonism. RESULTS: The matched study cohort comprised of 68 patients with reports of Parkinsonism and 649 patients without Parkinsonism. Demographic and clinical characteristics suggest a milder GD phenotype in patients with Parkinsonism compared to the control group. The most prevalent GD1 genotype was N370S/N370S (39% for controls; 46% for patients with Parkinsonism). Patients with Parkinsonism were diagnosed with GD1 at a mean age of 37 years compared to 31 years in control patients. The standardized morbidity ratio for the development of Parkinsonism among all GD1 patients indicated an approximately 6 to 17 fold increase over that of 2 reference populations. The mean age of reported Parkinsonism onset was 57 years compared to 60 years in the general population (Lees, Hardy, and Revesz, 2009 [1]). The probability that a patient with GD1 will develop Parkinsonism before age 70 years is 5 to 7% and 9 to 12% before age 80 years. CONCLUSIONS: The incidence of Parkinsonism among GD1 patients is significantly increased compared to two reference populations. GD1 patients with Parkinsonism have a later median age at GD diagnosis, later age at the start of treatment, and later age at death than patients with GD1 alone. The Gaucher-related clinical profile of GD1 patients with Parkinsonism is similar to or milder than the GD1 alone group. Therefore, severity of the common GD1 clinical manifestations does not appear to be predictive for the onset of Parkinsonism. PMID- 21067947 TI - Characterizing the role of RNA silencing components in Cryptococcus neoformans. AB - The RNA interference (RNAi) mediated by homology-dependent degradation of the target mRNA with small RNA molecules plays a key role in controlling transcription and translation processes in a number of eukaryotic organisms. The RNAi machinery is also evolutionarily conserved in a wide variety of fungal species, including pathogenic fungi. To elucidate the physiological functions of the RNAi pathway in Cryptococcus neoformans that causes fungal meningitis, here we performed genetic analyses for genes encoding Argonaute (AGO1 and AGO2), RNA dependent RNA polymerase (RDP1), and Dicers (DCR1 and DCR2) in both serotype A and D C. neoformans. The present study shows that Ago1, Rdp1, and Dcr2 are the major components of the RNAi process occurring in C. neoformans. However, the RNAi machinery is not involved in regulation of production of two virulence factors (capsule and melanin), sexual differentiation, and diverse stress response. Comparative transcriptome analysis of the serotype A and D RNAi mutants revealed that only modest changes occur in the genome-wide transcriptome profiles when the RNAi process was perturbed. Notably, the serotype D rdp1Delta mutants showed an increase in transcript abundance of active retrotransposons and transposons, such as T2 and T3, the latter of which is a novel serotype D specific transposon of C. neoformans. In a wild type background both T2 and T3 were found to be weakly active mobile elements, although we found no evidence of Cnl1 retrotransposon mobility. In contrast, all three transposable elements exhibited enhanced mobility in the rdp1Delta mutant strain. In conclusion, the RNAi pathway plays an important role in controlling transposon activity and genome integrity of C. neoformans. PMID- 21067948 TI - Design and applications of an in situ electrochemical NMR cell. AB - A device using a three-electrode electrochemical cell (referred to as an ECNMR cell) was successfully constructed that could be used in a standard 5mm NMR probe to acquire high-resolution NMR spectra while the working electrode was held at a constant electrical potential. The working electrode was a 20 nm thick gold film thermally coated on the outside of an inner 3mm glass tube. An underlayer consisting of (3-mercaptopropyl)trimethoxy-silane was coated on the glass surface in order to improve its adhesion to gold. Tests showed prolonged life of the gold film. Details of the design and construction of the ECNMR cell are described. The ECNMR cell could be routinely used in a multi-user service high-resolution NMR instrument under oxygen-free conditions in both aqueous and non-aqueous solvents. Different approaches were applied to suppress the noise transmitted between the potentiostat and the NMR spectrometer. These approaches were shown to be effective in reducing background noise in the NMR spectra. The electrochemical and NMR performance of the ECNMR cell is presented. The reduction of 1,4 benzoquinone in both aqueous and non-aqueous solvents was used for testing. The evolution of the in situ ECNMR spectra with time demonstrated that use of the ECNMR cell was feasible. Studies of caffeic acid and 9-chloroanthracene using this ECNMR cell were undertaken to explore its applications, such as monitoring reactions and studying their reaction mechanisms. PMID- 21067949 TI - [Transfusion and postpartum haemorrhage]. AB - Postpartum haemorrhage is the leading cause of maternal death in France and worldwide. Guidelines help to conduct a timed management and to reduce maternal morbidity and mortality. Rescue and surgical care, transfusion and monitoring have to be previously organized. PMID- 21067950 TI - [Immuno-haematology and blood bank inventory and issue management]. AB - Blood bank management must ensure the correct blood product issuance in the right time. For this purpose, patient clinical and immuno-haematological data have to be taken into consideration. Inventory composition, by blood group and phenotype, blood product providing possibilities and transport delays are determining factors. Finally, a good management relies also on the use of consensually written procedures and the monitoring of pertinent indicators. PMID- 21067951 TI - Anemia, fatigue and aging. AB - Aging is associated with increased incidence and prevalence of both cancer and anemia. Cancer and aging may conspire in making anemia more frequent and more severe. This article reviews the causes and the consequences of anemia in the older individual. The most common causes include chronic inflammation that is a typical manifestation of aging, iron deficiency that may be due to chronic hemorrhage, malabsorption and Helicobacter pylori infection, cobalamin deficiency from malabsorption and renal insufficiency. Other causes of anemia whose prevalence is not well established include myelodysplasia, copper deficiency, hypothyroidism, and sarcopenia. Anemia is associated with increased risk of mortality, functional dependence, dementia, falls, and chemotherapy-related toxicity. When correcting the anemia of older cancer patients one should remember that the erythropoietic stimulating agents (ESA) may stimulate cancer growth and cause thrombosis. These products may be safe when given exclusively to patients receiving chemotherapy and when the hemoglobin levels are maintained below 12 g/dL. PMID- 21067952 TI - Rituximab therapy for AA-amyloidosis secondary to rheumatoid arthritis. PMID- 21067953 TI - Vitamin D and inflammation. AB - Calcitriol, or 1,25-dihydroxyvitamin D3 (1,25(OH)(2)D3) is a well-known endocrine regulator of calcium homeostasis. More recently, local calcitriol production by immune cells was shown to exert autocrine or paracrine immunomodulating effects. Immune cells that produce calcitriol also express the vitamin D receptor (VDR) and the enzymes needed to metabolize vitamin D3 (1alpha-, 25-, and 24 hydroxylases). Studies of animal models and cell cultures showed both direct and indirect immunomodulating effects involving the T cells, B cells, and antigen presenting cells (dendritic cells and macrophages) and affecting both innate and adaptive immune responses. The overall effect is a switch from the Th1/Th17 response to the Th2/Treg profile. The immunomodulating effects of vitamin D may explain the reported epidemiological associations between vitamin D status and a large number of autoimmune and inflammatory diseases. Such associations have been suggested by observational studies not only in rheumatoid arthritis, lupus, inflammatory bowel disease, and type 1 diabetes; but also in infections, malignancies, transplant rejection, and cardiovascular disease. In animal models for these diseases, vitamin D supplementation has been found to produce therapeutic effects. Thus, vitamin D is a key focus for public health efforts and may hold promise for the treatment of dysimmune diseases. PMID- 21067954 TI - Comments on the article by Arrestier S et al.: "Ultrasound features of nonstructural lesions of the proximal and distal interphalangeal joints of the hands in patients with finger osteoarthritis". PMID- 21067955 TI - [Fracture of the distal radius associated with an articular comminutive fracture of the distal ulna: treatment in emergency by osteosynthesis of the radius by volar locking plate for the radius and a resection of the distal end of the ulna: report of one case]. AB - The authors present a case of a distal radius fracture associated with a comminutive fracture of the ulna head, treated by volar locking plate for the radius fracture and ulnar head resection. We obtain an early good objective and subjective functional result, whereas this type of fracture is classically associated with bad results in the series published with other treatments. This result needs to be confirmed by a more exhaustive series. PMID- 21067956 TI - [Fingers necrosis after an accidental intra-arterial injection of flucloxacilline: case report]. AB - Accidental intra-arterial injection of drugs is rare in pediatric patients. It is a source of considerable morbidity. Vessel proximity, aberrant vasculature, difficult procedures, and clerical errors can contribute to accidental intra arterial injection. We report a case of a 7-year-old girl who had an accidental intra-arterial injection of 500 mg of floxacillin in her right elbow for open wound of the index. Initial signs and symptoms consisted of intense forearm pain and cyanosis. Two to 3 hours later, discoloration and nail bed pallor became evident, with no radial pulse. Rapid development of signs of acute ischemia prompted urgent brachial artery embolectomy and exploration and forearm fasciotomy. Postoperative heparin was administered. Postoperatively gangrene of the five fingers of the right hand developed. We present a comprehensive review of literature, highlighting available information on symptoms, pathogenesis, sequelae and management strategies for accidental intra-arterial injection. We believe that all physicians and ancillary personnel who administer intravenous therapy should be aware of this serious risk. PMID- 21067957 TI - [Trigger digits]. AB - Trigger finger is an entity seen commonly by hand surgeons. It is produced by a size mismatch between the flexor tendon and the A1 pulley, which causes pain, clicking, catching, and loss of motion of the affected finger. The diagnosis is usually easy but other pathological processes (extensor apparatus instability, locked metacarpo-phalangeal joint) must be excluded. Treatment modalities in trigger finger include splinting, corticosteroid injection and/or surgery. Indication depends on the clinical form of trigger finger. PMID- 21067958 TI - The Canaletto(r)TM implant for reconstructing transverse carpal ligament in carpal tunnel surgery. Surgical technique and cohort prospective study about 400 Canaletto cases versus 400 cases with open carpal tunnel surgery. AB - The techniques used for carpal tunnel release are open surgery, endoscopy and retinaculum repair. Postoperative outcome, however, is often altered by pain, weakness, insufficient sensory or motor recovery and recurrences. We propose, since March 2001, a new surgical technique based on the reconstruction of the flexor retinaculum using the Canaletto(r)TM implant. The present study consists in a comparative prospective analysis of 400 patients with a Canaletto(r)TM implant versus 400 patients having undergone open surgery without Canaletto. The average follow-up was 31 months (1-72 months). The rate of carpal tunnel syndrome recovery (suppression of diurnal and nocturnal paraesthesia) was 97.25% in the Canaletto group and 96.11% in the group without Canaletto. The quality of healing was better in the Canaletto group, with a reduced rate of postoperative oedema. Strength recovery defined as a postoperative strength between 80 and 100% of preoperative strength, as assessed by Jamar, was obtained in 67% of patients in the Canaletto group vs. 33% in the group without Canaletto at 1 month of follow up. There were still some early strength failures in 226 patients (56.5%) of the group without Canaletto and 31 patients (7.75%) of the Canaletto group. In the long term, patients of the Canaletto group displayed strength between 120 and 200% of preoperative strength. Sensory recovery measured by visual analogic scale in patients with preoperative loss of sensitivity was better in the Canaletto group with an average of 8.9/10 vs. 5.8/10 in the group without Canaletto. No recurrence of carpal tunnel was observed in the Canaletto group whereas four recurrences occurred in the group without Canaletto. Mean duration of sick leave decreased from 5 weeks in the group without Canaletto to 3 weeks in the Canaletto group. Such mechanical and biological properties made this implant becoming part of our surgical armamentum; we use it in about 25% of our surgical procedures for carpal tunnel syndromes. There is some limitations regarding the results of this study due to: (1) a potential selection bias between the two cohorts related to Canaletto indication, and (2) one of the authors is also the designer of the implant (the other one has no conflict of interest). PMID- 21067959 TI - [An exceptional localization of an intraosseous haemangioma]. AB - The intraosseous capillary haemangioma is classified as a benign vascular bone tumor. It is extremely rare in the hand. We present the case of a carpometacarpal capillary haemangioma involving the capitate and the bases of third and fourth metacarpals. To our knowledge it is the first case reported at this site. PMID- 21067960 TI - [Use of dermal substitute Matriderm(r) in severe injuries of the hand: about one case]. AB - Restoring function after hand burns is still a great surgical challenge. Reconstitution of elasticity and pliability are of utmost importance for hand function and aesthetics results. Dermal substitutes have been developed and have been used for many years. The dermal substitute Matriderm(r) is an acellular three-dimensional matrix composed of native structurally intact collagen fibrils coated with elastin obtained from bovine dermis. The possibility of a one-stage procedure is profitable in treatment of hand burns. The authors report the case of a 43-year-old man admitted for severe burn by flames to 18% of his total body surface area with complete full thickness injury of left hand. After debridement incisions in emergency, early excision and skin graft using Matriderm(r) were performed. Physical therapy was established at Day 10. At six weeks follow-up, full range of motion was achieved and the patient was able to use his hand in daily activities. PMID- 21067961 TI - [Doing a master 2 - or how to get an overview of research]. PMID- 21067962 TI - [Peri- or post-menopausal myomectomy: the pros]. PMID- 21067963 TI - [Does educational workshop improve performance in obstetrical anal sphincter laceration repair?]. PMID- 21067964 TI - Carotid artery stenting simulation: from patient-specific images to finite element analysis. AB - The outcome of carotid artery stenting (CAS) depends on a proper selection of patients and devices, requiring dedicated tools able to relate the device features with the target vessel. In the present study, we use finite element analysis to evaluate the performance of three self-expanding stent designs (laser cut open-cell, laser-cut closed-cell, braided closed-cell) in a carotid artery (CA). We define six stent models considering the three designs in different sizes and configurations (i.e. straight and tapered), evaluating the stress induced in the vessel wall, the lumen gain and the vessel straightening in a patient specific CA model based on computed angiography tomography (CTA) images. For the considered vascular anatomy and stents, the results suggest that: (i) the laser cut closed-cell design provides a higher lumen gain; (ii) the impact of the stent configuration and of the stent oversizing is negligible with respect to the lumen gain and relevant with respect to the stress induced in the vessel wall; (iii) stent design, configuration and size have a limited impact on the vessel straightening. The presented numerical model represents a first step towards a quantitative assessment of the relation between a given carotid stent design and a given patient-specific CA anatomy. PMID- 21067965 TI - Steroid-responsive post-traumatic dystonia: a video case report. PMID- 21067966 TI - Porphyra: a marine crop shaped by stress. AB - The marine red alga Porphyra is an important marine crop, worth ~US$1.3 billion per year. Cultivation research now includes farm ecology, breeding, strain conservation and new net-seeding technologies. The success of cultivation is due, in part, to the high stress tolerance of Porphyra. Many species of Porphyra lose 85-95% of their cellular water during the daytime low tide, when they are also exposed to high light and temperature stress. Antioxidant and mycosporine-like amino acid activities have been partially characterized in Porphyra, but, as we discuss here, the Porphyra umbilicalis genome project will further elucidate proteins associated with stress tolerance. Furthermore, phylogenomic and transcriptomic investigations of Porphyra sensu lato could elucidate tradeoffs made during physiological acclimation and factors associated with life-history evolution in this ancient lineage. PMID- 21067967 TI - Ethical and legal challenges for health telematics in a global world: telehealth and the technological imperative. AB - Telehealth is one of the more recent applications of ICT to health care. It promises to be both cost-effective and efficient. However, there lies a danger that focusing mainly on pragmatic considerations will ignore fundamental ethical issues with legal implications that could undermine its success. Implicated here are, among others, changes in the nature of the health care professional patient relationship and informed consent, etc. The position of health informatics professionals as well as hard- and software providers is also affected. A further complicating factor is outsourcing. This paper identifies relevant issues and outlines some of their implications. PMID- 21067968 TI - Designing for collaborative interpretation in telemonitoring: re-introducing patients as diagnostic agents. AB - PURPOSE: We investigate why clinicians experience problems interpreting implantable cardioverter-defibrillator (ICD) data when the patient is absent, and we explore how to re-introduce patients into the socio-technical setup of telemonitored interpretation practices. METHOD: An action research study with a design interventionist perspective was conducted to investigate the telemonitoring arrangement for chronic heart patients with ICDs and to identify the nature of the collaborative practices involved in ICD data interpretation. We diagnose the main challenges involved in collaborative interpretation practices. These insights were used to re-design the socio-technical setup of the telemonitoring practices by designing and building a web-based, patient-centric, collaborative application, myRecord, to re-introduce the patients as active participants into the telemonitoring setup. Finally, we introduce myRecord at Copenhagen University Hospital and evaluate the new practices and the collaborative technology related to the transformed role of the patients. RESULTS: The interpretation of ICD data is a collaborative practice engaging clinicians and patients and involving three separate collaborative processes: interpretation of numbers; interpretation of general condition; and patient's interpretation of own condition and ICD data. In a collocated setup, these three interpretation processes are entangled and seamlessly interrelated. However, in the current telemonitoring setup, only the interpretation of numbers process is fully supported, neglecting the two other processes, and, in particular, the role of the patient. By re-introducing patients into the socio-technical setup of telemonitoring through myRecord, our design acknowledges the collaborative nature of the interpretation process. However, re-introducing patients transforms their role, and leads to new transformed telemonitoring practices, different from both the current telemonitoring setup as well as from the collocated setup. CONCLUSION: Telemonitoring practices of patients with ICDs involve three entangled collaborative processes, whereas the existing socio-technical setup only mediate one. myRecord is designed as an add-on collaborative technology to mediate the two remaining collaborative processes. We argue that myRecord solves some of the problems with ICD data interpretation inherent in telemonitoring practices by providing a collaborative, asynchronous space for healthcare practitioners and patients to mediate the two processes that are otherwise lost. Our new socio-technical design also transforms the role of patients considerably, thus new studies should take these insights into consideration. PMID- 21067969 TI - Functional connectivity between non-primary motor cortex and primary motor and sensory areas investigated in humans with TDCS and rTMS. PMID- 21067971 TI - Respiratory-related evoked potential measurements using high-density electroencephalography. AB - OBJECTIVE: The respiratory-related evoked potential (RREP) has become an established technique for studying the neural processing of respiratory signals. However, the increasing availability of high-density EEG systems necessitates new criteria for obtaining acceptable RREPs with these systems. METHODS: The present study examined the minimum criteria for the number of inspiratory occlusions that need to be averaged in order to obtain a signal-to-noise ratio of 2:1 (3 dB) for the RREP components Nf, P1, N1, P2 and P3 with a 129 sensor high-density EEG system in 12 healthy volunteers. RREPs resulting from averaging 8, 16, 32 and 64 inspiratory occlusions were compared. RESULTS: Analyses of signal-to-noise ratios demonstrated that a minimum of 32 and 16 inspiratory occlusions should be averaged for Nf and P1, respectively. For N1, P2, and P3, an average of at least 8 inspiratory occlusions is required. However, to account for inter-individual variability, 64 averaged occlusions for Nf, 32 averaged occlusions for P1, and 16 averaged occlusions for N1, P2, and P3 are recommended which more reliably exceed the signal-to-noise threshold. CONCLUSIONS: These numbers provide the minimum and the recommended criteria for reliable measurements of the RREP for an adequate number of repeated occlusion epochs to be averaged in order to yield a reliable signal-to-noise ratio using a 129 sensor EEG system. SIGNIFICANCE: The present study provides minimum and recommended criteria for obtaining acceptable RREPs with high-density EEG systems. PMID- 21067970 TI - The P300-based brain-computer interface (BCI): effects of stimulus rate. AB - OBJECTIVE: Brain-computer interface technology can restore communication and control to people who are severely paralyzed. We have developed a non-invasive BCI based on the P300 event-related potential that uses an 8*9 matrix of 72 items that flash in groups of 6. Stimulus presentation rate (i.e., flash rate) is one of several parameters that could affect the speed and accuracy of performance. We studied performance (i.e., accuracy and characters/min) on copy spelling as a function of flash rate. METHODS: In the first study of six BCI users, stimulus-on and stimulus-off times were equal and flash rate was 4, 8, 16, or 32 Hz. In the second study of five BCI users, flash rate was varied by changing either the stimulus-on or stimulus-off time. RESULTS: For all users, lower flash rates gave higher accuracy. The flash rate that gave the highest characters/min varied across users, ranging from 8 to 32 Hz. However, variations in stimulus-on and stimulus-off times did not themselves significantly affect accuracy. Providing feedback did not affect results in either study suggesting that offline analyses should readily generalize to online performance. However there do appear to be session-specific effects that can influence the generalizability of classifier results. CONCLUSIONS: The results show that stimulus presentation (i.e., flash) rate affects the accuracy and speed of P300 BCI performance. SIGNIFICANCE: These results extend the range over which slower flash rates increase the amplitude of the P300. Considering also presentation time, the optimal rate differs among users, and thus should be set empirically for each user. Optimal flash rate might also vary with other parameters such as the number of items in the matrix. PMID- 21067972 TI - Preparation of tea catechins using polyamide. AB - An adsorption separation method using Polyamide-6 (PA) as an adsorbent was developed to separate catechins from green tea extract. The adsorption capacity of total catechins for PA was 193.128 mg g-1 with an adsorption selectivity coefficient K(A)(B) of total catechins over caffeine 21.717, which was better than macroporous resin model HPD 600. The Langmuir model and the pseudo-second order mode were primely fitted to describe its equilibrium data and adsorption kinetics, respectively. PA column separation by two-step elution using water and 80% (v/v) aqueous ethanol was established to prepare catechins complex which contained 670.808 mg g-1 total catechins and 1.828 mg g-1 caffeine. It is considered that PA was a promising adsorbent for selective isolation of catechins. PMID- 21067973 TI - Microbial and viral pathogens in colorectal cancer. AB - The heterogenetic and sporadic nature of colorectal cancer has led to many epidemiological associations with causes of this disease. As our understanding of the underlying molecular processes in colorectal-cancer develops, the concept of microbial-epithelial interactions as an oncogenic trigger might provide a plausible hypothesis for the pathogenesis of colorectal cancer. By contrast with other cancers of the gastrointestinal tract (gastric carcinoma, mucosa-associated lymphoid-tissue lymphoma), a direct causal link between microbial infection (bacteria and viruses) and colorectal carcinoma has not been established. Studies support the involvement of these organisms in oncogenesis, however, in colorectal cancer, clinical data are lacking. Here, we discuss current evidence (both in vitro and clinical studies), and focus on a putative role for bacterial and viral pathogens as a cause of colorectal cancer. PMID- 21067974 TI - Molecular mechanisms regulating myeloid-derived suppressor cell differentiation and function. AB - Myeloid-derived suppressor cells (MDSCs) are one of the main cell populations responsible for regulating immune responses. MDSCs accumulate during tumor progression, autoimmunity, chronic infection and other pathological conditions, and can potently suppress T cell function. Recent studies have demonstrated the ability of MDSCs to modulate the activity of NK and myeloid cells and have implicated MDSCs in the induction of regulatory T cells. Here, we discuss recent findings that describe the molecular mechanisms that regulate the expansion and function of MDSCs, as well as recent attempts to use MDSCs in cell therapy for different pathologic conditions. PMID- 21067975 TI - Aerosol vaccines for tuberculosis: a fine line between protection and pathology. AB - Pulmonary delivery of vaccines against airborne infection is being investigated worldwide, but there is limited effort directed at developing inhaled vaccines for tuberculosis (TB). This review addresses some of the challenges confronting vaccine development for TB and attempts to link these challenges to the promises of mucosal immunity offered by pulmonary delivery. There are several approaches working toward this goal including subunit vaccines, recombinant strains, a novel vaccine strain Mycobacterium w, and DNA vaccine approaches. While it is clear that lung-resident adaptive immunity is an attainable goal, vaccine platforms must ensure that damage to the lung is limited during both vaccination and when memory cells respond to pathogenic infection. PMID- 21067976 TI - Quadrivalent human papillomavirus vaccination and trends in genital warts in Australia: analysis of national sentinel surveillance data. AB - BACKGROUND: Quadrivalent human papillomavirus (HPV) vaccine has high efficacy in clinical trials but no reports describe its effects at a population level. From July, 2007, Australia was the first country to fund a vaccination programme for all women aged 12-26 years. We established a national surveillance network in Australia and aimed to identify trends in diagnoses of genital warts in 2004-09. METHODS: We obtained standardised data for demographic factors, frequency of genital warts, HPV vaccination status, and sexual behaviour for new patients attending eight sexual health services in Australia between January, 2004, and December, 2009. We used chi2 analysis to identify significant trends in proportions of patients diagnosed with warts in periods before and after vaccination began. Our primary group of interest was female Australian residents who were eligible for free vaccination, although data were assessed for patients ineligible for free vaccination, including women older than 26 years of age, non resident women, and men. FINDINGS: Among 112 083 new patients attending sexual health services, we identified 9867 (9%) cases of genital warts. Before the vaccine programme started, there was no change in proportion of women or heterosexual men diagnosed with genital warts. After vaccination began, a decline in number of diagnoses of genital warts was noted for young female residents (59%, p(trend)<0.0001). No significant decline was noted in female non-residents, women older than 26 years in July, 2007, or in men who have sex with men. However, proportionally fewer heterosexual men were diagnosed with genital warts during the vaccine period (28%, p(trend)<0.0001), and this effect was more pronounced in young men. By 2009, 65.1% of female Australian residents who were eligible for free vaccine reported receipt of quadrivalent or unknown HPV vaccine. INTERPRETATION: The decrease in frequency of genital warts in young Australian women resulting from the high coverage of HPV vaccination might provide protective effects in heterosexual men through herd immunity. FUNDING: CSL Biotherapies. PMID- 21067977 TI - Methodological issues in the assessment of cognitive decline in ONTARGET and TRANSCEND. PMID- 21067978 TI - Differences in the humoral autoreactivity to zinc transporter 8 between childhood and adult-onset type 1 diabetes in Japanese patients. AB - The aim of this study was to evaluate the humoral autoreactivity to zinc transporter 8 (ZnT8) depending on the clinical phenotype of type 1 diabetes (T1D). ZnT8 autoantibodies (ZnT8A) were determined by radioimmunoassay using carboxy-terminal ZnT8 constructs in 57 childhood-onset, 97 adult-onset, and 85 fulminant T1D. The ZnT8A frequency was higher in childhood-onset patients and decreased with increasing age of onset from 70% to 24% (P(trend)<0.005). None of the patients with fulminant T1D was positive for ZnT8A. There were at least two distinct ZnT8A epitope patterns associated with the aa325-restriction, childhood onset patients have aa325-nonrestricted response more frequently compared to the adult-onset group (P<0.05). The level of ZnT8A was inversely associated with the copy number of HLA-DR4 allele (P<0.05). These results suggest differences in the humoral autoreactivity to ZnT8 depending on the clinical phenotype, which should provide strategy for autoantibody measurement in subjects to allow early diagnosis of autoimmune T1D. PMID- 21067979 TI - Increased seizure susceptibility induced by prenatal methamphetamine exposure in adult female rats is not affected by early postnatal cross-fostering. AB - Our previous studies repeatedly demonstrated that prenatal methamphetamine (MA) exposure alters seizure susceptibility in adult rats. Both the inhibitory GABA system and the excitatory NMDA system play a role in the effect of MA on epileptic seizures. On the basis of our previous behavioral results, the effect of cross-fostering on seizure susceptibility in adult female rats was examined in the present study. Bicuculline (GABA(A) receptor antagonist) and NMDA (NMDA receptor agonist) were used to induce seizures in adult female offspring exposed to MA in the prenatal and/or preweaning periods. Female dams were injected with MA (5mg/kg daily) or physiological saline (S) for approximately 9 weeks [about 3 weeks prior to impregnation, for the entire gestation period (22 days), and in the preweaning period (21 days)]. Absolute controls (C) did not receive any injections. On postnatal day 1, pups were cross-fostered so that each mother received pups from all three treatments. Thus, nine groups (based on the prenatal and postnatal drug exposures) of adult female rats were tested in each seizure test: C/C, C/S, C/MA, S/C, S/S, S/MA, MA/C, MA/S, MA/MA. The present study demonstrated that both the excitatory NMDA system and the inhibitory GABA system are involved in the proconvulsive effect of MA during prenatal and partially also postnatal development in female rats. However, because our results did not show any improvement in seizure susceptibility in prenatally MA-exposed animals that were fostered by control mothers (MA/C) relative to their siblings fostered by MA treated mothers (MA/MA), our hypothesis of the cross-fostering effect seems to be incorrect in contrast to our behavioral studies. PMID- 21067980 TI - Quantitative determination of the diastereoisomers of hexabromocyclododecane in human plasma using liquid chromatography coupled with electrospray ionization tandem mass spectrometry. AB - A sensitive, simple and feasible method has been developed and validated for the simultaneous determination of three diastereoisomers of hexabromocyclododecane (HBCD) in human plasma using liquid chromatography tandem mass spectrometry (LC MS/MS). The simple pretreatment generally involved protein precipitation with methanol (MeOH). The separation was performed with a C18 reverse phase column. The mobile phases were 5mM ammonium acetate (NH(4)AC) in water and acetonitrile (ACN). The mass spectrometer was operated using negative electrospray ionization (ESI) source and the data acquisition was carried out with multiple reaction monitoring (MRM) mode. The analyte quantifications were performed by external standard method with matrix-matched calibration curves. The method was partially validated with the evaluations of accuracy, precision, linearity, limit of quantification (LOQ), limit of detection (LOD), recovery, matrix effect and carryover effect. With the present method, the intra-batch accuracies were 94.7 104.3%, 91.9-109.3% and 89.8-105.0% for alpha-, beta- and gamma-HBCD, respectively. And the inter-batch accuracies were ranged from 94.2% to 109.7%. Both intra-batch and inter-batch precisions (relative standard deviation, RSD, %) of the analytes were no more than 11.2%. The recoveries were from 79.0% to 108.9% and the LOQ was 10pg/mL for each diastereoisomer. The linear range was 10 10,000pg/mL with the linear correlation coefficient R(2)>0.996. No significant matrix effect and carryover effect of the analytes were observed in this study. This method is in possession of sufficient resolution, high sensitivity as well as selectivity and convenient to be applied to the trace determination of HBCDs in human plasma. PMID- 21067981 TI - Literature review and recommendations for clinical application of Colon Capsule Endoscopy. AB - Colon Capsule Endoscopy represents a new diagnostic technology for colonic exploration. Despite the great enthusiasm around this new technique, only few studies are available in the literature, and reported results are still controversial and non-homogeneous. Current preparation protocols have led to discordant results. In January 2010, the first Italian Meeting on Colon Capsule Endoscopy was held. Aim of this Meeting was to critically evaluate the available results obtained by Colon Capsule Endoscopy in clinical studies, in order to identify the proper test indications, to propose a shared preparation protocol and Colon Capsule Endoscopy procedure. Studies published in literature were extensively reviewed and analysed during the Meeting. The available evidence served to propose recommendations for preparation protocols, proper test indications and Colon Capsule Endoscopy procedure. Possible perspectives were also critically analysed and are reported in this paper. PMID- 21067982 TI - Metastatic melanoma of the ampulla of Vater. PMID- 21067983 TI - Linkage disequilibrium analysis of D12S391 and vWA in U.S. population and paternity samples. AB - Recently, the European Network of Forensic Science Institutes voted to adopt five additional STR loci (D12S391, D1S1656, D2S441, D10S1248, and D22S1045) to their existing European Standard Set of seven STRs (TH01, vWA, FGA, D8S1179, D18S51, D21S11, and D3S1358). The D12S391 and vWA loci are located 6.3megabases (Mb) apart on chromosome 12. Ideally for use in forensic analyses, genetic markers on the same chromosome should be more than 50Mb in physical distance in order to ensure full recombination and thus independent inheritance. The purpose of this study was to evaluate if the closely located D12S391 and vWA loci are independent and, consequently, if these loci can be included in the product rule calculation for forensic and kinship analyses. Departures from Hardy-Weinberg equilibrium and linkage disequilibrium between the D12S391 and vWA loci were tested using n=654 unrelated U.S. African American, Caucasian, and Hispanic samples, and n=764 father/son paternity samples. In the unrelated U.S. population samples, no significant departures from HWE were detected for D12S391 or vWA. No significant evidence of linkage disequilibrium was observed between the loci in the population samples. However, significant linkage disequilibrium was detected in U.S. African American, Caucasian, and Asian father/son samples with phased genotypes. No significant linkage disequilibrium was detected for U.S. Hispanic paternity samples. The use of phased father/son pairs allowed for robust detection of linkage disequilibrium between D12S391 and vWA. In unrelated population samples, linkage disequilibrium is present but more difficult to detect due to the large number of possible haplotype combinations and unknown allelic phase. For casework analyses that involve unrelated or related individuals, the single-locus genotype probabilities for D12S391 and vWA should not be multiplied to determine the match probability of an autosomal STR profile. Since the D12S391 and vWA loci are not independent, it is recommended that the observed combination of alleles at D12S391 and vWA should be treated as a non independent diplotype for profile probability calculations. The observed haplotype frequencies for U.S. African American, Caucasian, Hispanic, and Asian populations are provided for match probability calculations. PMID- 21067984 TI - Application of a west Eurasian-specific filter for quasi-median network analysis: Sharpening the blade for mtDNA error detection. AB - The application of quasi-median networks provides an effective tool to check the quality of mtDNA data. Filtering of highly recurrent mutations prior to network analysis is required to simplify the data set and reduce the complexity of the network. The phylogenetic background determines those mutations that need to be filtered. While the traditional EMPOPspeedy filter was based on the worldwide mtDNA phylogeny, haplogroup-specific filters can more effectively highlight potential errors in data of the respective (sub)-continental region. In this study we demonstrate the performance of a new, west Eurasian filter EMPOPspeedyWE for the fine-tuned examination of data sets belonging to macrohaplogroup N that constitutes the main portion of mtDNA lineages in Europe. The effects on the resulting network of different database sizes, high-quality and flawed data, as well as the examination of a phylogenetically distant data set, are presented by examples. The analyses are based on a west Eurasian etalon data set that was carefully compiled from more than 3500 control region sequences for network purposes. Both, etalon data and the new filter file, are provided through the EMPOP database (www.empop.org). PMID- 21067985 TI - Evaluating sequence-derived mtDNA length heteroplasmy by amplicon size analysis. AB - Length heteroplasmy (LH) in mitochondrial (mt)DNA is usually observed in homopolymeric tracts and manifest as mixture of various length variants. The generally used difference-coded annotation to report mtDNA haplotypes does not express the degree of LH variation present in a sample, even more so, it is sometimes difficult to establish which length variants are present and clearly distinguishable from background noise. It has therefore become routine practice for some researchers to call the dominant type, the "major molecule", which represents the LH variant that is most abundant in a DNA extract. In the majority of cases a clear single dominant variant can be identified. However, in some samples this interpretation is difficult, i.e. when (almost) equally quantitative LH variants are present or when multiple sequencing primers result in the presentation of different dominant types. To better understand those cases we designed amplicon sizing assays for the five most relevant LH regions in the mtDNA control region (around ntps 16,189, 310, 460, 573, and the AC-repeat between 514 and 524) to determine the ratio of the LH variants by fluorescence based amplicon sizing assays. For difficult LH constellations derived by Sanger sequencing (with Big Dye terminators) these assays mostly gave clear and unambiguous results. In the vast majority of cases we found agreement between the results of the sequence and amplicon analyses and propose this alternative method in difficult cases. PMID- 21067987 TI - Arthroscopic repair of subscapularis tear: Surgical technique and results. AB - INTRODUCTION: Arthroscopic management of extensive subscapularis tendon lesions was reported more recently than for the supra- and infra-spinatus tendons. Extensive tears create technical problems requiring an extra-articular approach. The few results so far reported have been encouraging. STUDY DESIGN: Surgical techniques adapted to each type of tear according to our subscapularis lesion classification are described, with the preliminary results from our cohort. PATIENTS AND METHODS: Between January 2006 and December 2008, 74 patients were operated on for extensive subscapularis tear. Twenty-three were assessed over a minimum 2 years' follow-up (mean, 32 months) on UCLA, ASES and Constant scores, comparative dynamometric Bear-Hug test, visual analog pain scale and self assessed shoulder function. RESULTS: Postoperative clinical results for the 23 patients followed up showed an improvement in shoulder function from 58 to 86%, in UCLA score from 16.4 to 30.9 points and in weighted Constant score from 48.6 to 75.2%. DISCUSSION: In case of severe tear, we recommend visualizing the subscapularis tendon along its main axis from above, on a lateral approach allowing the intra- and extra-articular parts to be controlled, so as to check the reduction achieved by traction wire and anatomic fixation by anchors and sutures via an anterior access of varying height but systematically kept under tension. Biceps tenodesis is often required. Results show a clear improvement on all scores: pain, strength and function. The failure rate was 9% (two cases). There were no complications. PMID- 21067986 TI - Inspecting close maternal relatedness: Towards better mtDNA population samples in forensic databases. AB - Reliable data are crucial for all research fields applying mitochondrial DNA (mtDNA) as a genetic marker. Quality control measures have been introduced to ensure the highest standards in sequence data generation, validation and a posteriori inspection. A phylogenetic alignment strategy has been widely accepted as a prerequisite for data comparability and database searches, for forensic applications, for reconstructions of human migrations and for correct interpretation of mtDNA mutations in medical genetics. There is continuing effort to enhance the number of worldwide population samples in order to contribute to a better understanding of human mtDNA variation. This has often lead to the analysis of convenience samples collected for other purposes, which might not meet the quality requirement of random sampling for mtDNA data sets. Here, we introduce an additional quality control means that deals with one aspect of this limitation: by combining autosomal short tandem repeat (STR) marker with mtDNA information, it helps to avoid the bias introduced by related individuals included in the same (small) sample. By STR analysis of individuals sharing their mitochondrial haplotype, pedigree construction and subsequent software-assisted calculation of likelihood ratios based on the allele frequencies found in the population, closely maternally related individuals can be identified and excluded. We also discuss scenarios that allow related individuals in the same set. An ideal population sample would be representative for its population: this new approach represents another contribution towards this goal. PMID- 21067988 TI - Calcium scoring and chest pain: is it dead on arrival? AB - Coronary artery calcium (CAC) scoring has been shown to be a measure of overall coronary artery disease (CAD) burden and is a well-validated screening test that significantly improves cardiovascular risk prediction in asymptomatic adults beyond that provided with standard risk factors. The absence of coronary artery calcification identifies persons at very low cardiovascular risk. Among symptomatic patients, calcium scans have been shown to have high sensitivity for the presence of obstructive CAD among stable, low-intermediate risk middle-aged adults. This has prompted many to advocate for the expanded use of calcium scanning as a diagnostic test in symptomatic patients to rapidly identify patients without CAD, serving as a filter for invasive coronary angiography or hospital admission or both. However, recent studies suggest that the negative predictive value of CAC scoring to exclude obstructive CAD may be significantly decreased among patients at higher pretest likelihood for obstructive CAD, consistent with Bayesian reasoning. In a point-counterpoint format, this article discusses several considerations and potential limitations to the widespread use of CAC to exclude obstructive CAD in symptomatic patients which include (1) the effect of pretest disease prevalence on test accuracy, (2) limited clinical efficiency due to low specificity for obstructive CAD and myocardial ischemia and high background prevalence of CAC in adults, (3) occurrence of CAC relatively late in the atherosclerotic process, (4) lack of association of CAC with vulnerable and culprit coronary artery lesions, and (5) interindividual and racial heterogeneity in the process of atherosclerosis calcification. PMID- 21067989 TI - Contrast-enhanced computed tomographic and echocardiographic detection of intra aortic floating thrombus causing acute myocardial infarction. AB - We present the rare case of a 51-year-old man with acute myocardial infarction (AMI) caused by left main coronary artery (LMCA) occlusion due to intra-aortic thrombus. He arrived at our hospital with sudden left precordial oppression. Transcatheter coronary angiography for suspected AMI detected no coronary lesions. After 10 minutes, noncontrast computed tomography (CT) confirmed significantly high transmural attenuation areas suggesting myocardial infarction in the septal, anterior and lateral wall. Contrast-enhanced CT and transesophageal echocardiography detected a small mass near the ostium of the LMCA in the coronary sinus of Valsalva. We thus considered that the mass occluded the LMCA, which led to AMI. A stent was implanted to prevent the mass from sealing the LMCA ostium, but the patient died of sepsis. A post-mortem examination clarified that the mass was a red thrombus attached to an erosive and atherosclerotic aortic wall. PMID- 21067990 TI - Healing the scars of torture. PMID- 21067991 TI - Grasping the nettle of mental illness in prisons. PMID- 21067993 TI - Experimenting with fire: giving malaria. PMID- 21067996 TI - Computed tomography of adrenocortical carcinoma containing macroscopic fat. AB - The presence of macroscopic fat in an adrenal mass has classically been associated with myelolipoma. Adrenocortical carcinoma is typically an aggressive malignancy with a poor prognosis. The presence of macroscopic fat is not a characteristic finding in adrenocortical carcinoma or other adrenal malignancies. We report a case of a newly discovered large adrenal mass containing multiple areas of macroscopic fat, which was pathologically proven to represent an adrenocortical carcinoma. PMID- 21067997 TI - Abstracts of 20th World Congress of the World Society of Cardio-Thoracic Surgeons, 21-23 October 2010, Chennai, India. PMID- 21067998 TI - Reactome: a database of reactions, pathways and biological processes. AB - Reactome (http://www.reactome.org) is a collaboration among groups at the Ontario Institute for Cancer Research, Cold Spring Harbor Laboratory, New York University School of Medicine and The European Bioinformatics Institute, to develop an open source curated bioinformatics database of human pathways and reactions. Recently, we developed a new web site with improved tools for pathway browsing and data analysis. The Pathway Browser is an Systems Biology Graphical Notation (SBGN) based visualization system that supports zooming, scrolling and event highlighting. It exploits PSIQUIC web services to overlay our curated pathways with molecular interaction data from the Reactome Functional Interaction Network and external interaction databases such as IntAct, BioGRID, ChEMBL, iRefIndex, MINT and STRING. Our Pathway and Expression Analysis tools enable ID mapping, pathway assignment and overrepresentation analysis of user-supplied data sets. To support pathway annotation and analysis in other species, we continue to make orthology-based inferences of pathways in non-human species, applying Ensembl Compara to identify orthologs of curated human proteins in each of 20 other species. The resulting inferred pathway sets can be browsed and analyzed with our Species Comparison tool. Collaborations are also underway to create manually curated data sets on the Reactome framework for chicken, Drosophila and rice. PMID- 21067999 TI - Improving evolutionary models of protein interaction networks. AB - MOTIVATION: Theoretical models of biological networks are valuable tools in evolutionary inference. Theoretical models based on gene duplication and divergence provide biologically plausible evolutionary mechanics. Similarities found between empirical networks and their theoretically generated counterpart are considered evidence of the role modeled mechanics play in biological evolution. However, the method by which these models are parameterized can lead to questions about the validity of the inferences. Selecting parameter values in order to produce a particular topological value obfuscates the possibility that the model may produce a similar topology for a large range of parameter values. Alternately, a model may produce a large range of topologies, allowing (incorrect) parameter values to produce a valid topology from an otherwise flawed model. In order to lend biological credence to the modeled evolutionary mechanics, parameter values should be derived from the empirical data. Furthermore, recent work indicates that the timing and fate of gene duplications are critical to proper derivation of these parameters. RESULTS: We present a methodology for deriving evolutionary rates from empirical data that is used to parameterize duplication and divergence models of protein interaction network evolution. Our method avoids shortcomings of previous methods, which failed to consider the effect of subsequent duplications. From our parameter values, we find that concurrent and existing existing duplication and divergence models are insufficient for modeling protein interaction network evolution. We introduce a model enhancement based on heritable interaction sites on the surface of a protein and find that it more closely reflects the high clustering found in the empirical network. PMID- 21068000 TI - Generation of a consensus protein domain dictionary. AB - MOTIVATION: The discovery of new protein folds is a relatively rare occurrence even as the rate of protein structure determination increases. This rarity reinforces the concept of folds as reusable units of structure and function shared by diverse proteins. If the folding mechanism of proteins is largely determined by their topology, then the folding pathways of members of existing folds could encompass the full set used by globular protein domains. RESULTS: We have used recent versions of three common protein domain dictionaries (SCOP, CATH and Dali) to generate a consensus domain dictionary (CDD). Surprisingly, 40% of the metafolds in the CDD are not composed of autonomous structural domains, i.e. they are not plausible independent folding units. This finding has serious ramifications for bioinformatics studies mining these domain dictionaries for globular protein properties. However, our main purpose in deriving this CDD was to generate an updated CDD to choose targets for MD simulation as part of our dynameomics effort, which aims to simulate the native and unfolding pathways of representatives of all globular protein consensus folds (metafolds). Consequently, we also compiled a list of representative protein targets of each metafold in the CDD. AVAILABILITY AND IMPLEMENTATION: This domain dictionary is available at www.dynameomics.org. PMID- 21068001 TI - PriSM: a primer selection and matching tool for amplification and sequencing of viral genomes. AB - SUMMARY: PriSM is a set of algorithms designed to select and match degenerate primer pairs for the amplification of viral genomes. The design of panels of hundreds of primer pairs takes just hours using this program, compared with days using a manual approach. PriSM allows for rapid in silico optimization of primers for downstream applications such as sequencing. As a validation, PriSM was used to create an amplification primer panel for human immunodeficiency virus (HIV) Clade B. AVAILABILITY: The program is freely available for use at: www.broadinstitute.org/perl/seq/specialprojects/primerDesign.cgi. PMID- 21068002 TI - The necessity of adjusting tests of protein category enrichment in discovery proteomics. AB - MOTIVATION: Enrichment tests are used in high-throughput experimentation to measure the association between gene or protein expression and membership in groups or pathways. The Fisher's exact test is commonly used. We specifically examined the associations produced by the Fisher test between protein identification by mass spectrometry discovery proteomics, and their Gene Ontology (GO) term assignments in a large yeast dataset. We found that direct application of the Fisher test is misleading in proteomics due to the bias in mass spectrometry to preferentially identify proteins based on their biochemical properties. False inference about associations can be made if this bias is not corrected. Our method adjusts Fisher tests for these biases and produces associations more directly attributable to protein expression rather than experimental bias. RESULTS: Using logistic regression, we modeled the association between protein identification and GO term assignments while adjusting for identification bias in mass spectrometry. The model accounts for five biochemical properties of peptides: (i) hydrophobicity, (ii) molecular weight, (iii) transfer energy, (iv) beta turn frequency and (v) isoelectric point. The model was fit on 181 060 peptides from 2678 proteins identified in 24 yeast proteomics datasets with a 1% false discovery rate. In analyzing the association between protein identification and their GO term assignments, we found that 25% (134 out of 544) of Fisher tests that showed significant association (q-value <=0.05) were non significant after adjustment using our model. Simulations generating yeast protein sets enriched for identification propensity show that unadjusted enrichment tests were biased while our approach worked well. PMID- 21068003 TI - Fast and efficient dynamic nested effects models. AB - MOTIVATION: Targeted interventions in combination with the measurement of secondary effects can be used to computationally reverse engineer features of upstream non-transcriptional signaling cascades. Nested effect models (NEMs) have been introduced as a statistical approach to estimate the upstream signal flow from downstream nested subset structure of perturbation effects. The method was substantially extended later on by several authors and successfully applied to various datasets. The connection of NEMs to Bayesian Networks and factor graph models has been highlighted. RESULTS: Here, we introduce a computationally attractive extension of NEMs that enables the analysis of perturbation time series data, hence allowing to discriminate between direct and indirect signaling and to resolve feedback loops. AVAILABILITY: The implementation (R and C) is part of the Supplement to this article. PMID- 21068004 TI - Pnpla3/Adiponutrin deficiency in mice does not contribute to fatty liver disease or metabolic syndrome. AB - PNPLA3 (adiponutrin, calcium-independent phospholipase A(2) epsilon [iPLA(2)epsilon]) is an adipose-enriched, nutritionally regulated protein that belongs to the patatin-like phospholipase domain containing (PNPLA) family of lipid metabolizing proteins. Genetic variations in the human PNPLA3 gene (i.e., the rs738409 I148M allele) has been strongly and repeatedly associated with fatty liver disease. Although human PNPLA3 has triacylglycerol (TAG) hydrolase and transacylase activities in vitro, its in vivo function and physiological relevance remain controversial. The objective of this study was to determine the metabolic consequences of global targeted deletion of the Pnpla3 gene in mice. We found that Pnpla3 mRNA expression is altered in adipose tissue and liver in response to acute and chronic nutritional challenges. However, global targeted deletion of the Pnpla3 gene in mice did not affect TAG hydrolysis, nor did it influence energy/glucose/lipid homoeostasis or hepatic steatosis/injury. Experimental interventions designed to increase Pnpla3 expression (refeeding, high-sucrose diet, diet-induced obesity, and liver X receptor agonism) likewise failed to reveal differences in the above-mentioned metabolic phenotypes. Expression of the Pnpla3 paralog, Pnpla5, was increased in adipose tissue but not in liver of Pnpla3-deficient mice, but compensatory regulation of genes involved in TAG metabolism was not identified. Together these data argue against a role for Pnpla3 loss-of-function in fatty liver disease or metabolic syndrome in mice. PMID- 21068005 TI - Glyceroneogenesis is inhibited through HIV protease inhibitor-induced inflammation in human subcutaneous but not visceral adipose tissue. AB - Glyceroneogenesis, a metabolic pathway that participates during lipolysis in the recycling of free fatty acids to triglycerides into adipocytes, contributes to the lipid-buffering function of adipose tissue. We investigated whether glyceroneogenesis could be affected by human immunodeficiency virus (HIV) protease inhibitors (PIs) responsible or not for dyslipidemia in HIV-infected patients. We treated explants obtained from subcutaneous adipose tissue (SAT) and visceral adipose tissue (VAT) depots from lean individuals. We observed that the dyslipidemic PIs nelfinavir, lopinavir and ritonavir, but not the lipid-neutral PI atazanavir, increased lipolysis and decreased glyceroneogenesis, leading to an increased release of fatty acids from SAT but not from VAT. At the same time, dyslipidemic PIs decreased the amount of perilipin and increased interleukin-6 (IL-6) and tumor necrosis factor-alpha (TNF-alpha) secretion in SAT but not in VAT. Parthenolide, an inhibitor of the NFkappaB pathway, counteracted PI-induced increased inflammation and decreased glyceroneogenesis. IL-6 (100 ng) inhibited the activity of phosphoenolpyruvate carboxykinase, the key enzyme of glyceroneogenesis, in SAT but not in VAT. Our data show that dyslipidemic but not lipid-neutral PIs decreased glyceroneogenesis as a consequence of PI-induced increased inflammation in SAT that could have an affect on adipocytes and/or macrophages. These results add a new link between fat inflammation and increased fatty acids release and suggest a greater sensitivity of SAT than VAT to PI induced inflammation. PMID- 21068006 TI - Specificity and rate of human and mouse liver and plasma phosphatidylcholine synthesis analyzed in vivo. AB - Phosphatidylcholine (PC) synthesis by the direct cytidine diphosphate choline (CDP-choline) pathway in rat liver generates predominantly mono- and di unsaturated molecular species, while polyunsaturated PC species are synthesized largely by the phosphatidylethanolamine-N-methyltransferase (PEMT) pathway. Although altered PC synthesis has been suggested to contribute to development of hepatocarcinoma and nonalcoholic steatohepatitis, analysis of the specificity of hepatic PC metabolism in human patients has been limited by the lack of sensitive and safe methodologies. Here we incorporated a deuterated methyl-D(9)-labled choline chloride, to quantify biosynthesis fluxes through both of the PC synthetic pathways in vivo in human volunteers and compared these fluxes with those in mice. Rates and molecular specificities of label incorporated into mouse liver and plasma PC were very similar and strongly suggest that label incorporation into human plasma PC can provide a direct measure of hepatic PC synthesis in human subjects. Importantly, we demonstrate for the first time that the PEMT pathway in human liver is selective for polyunsaturated PC species, especially those containing docosahexaenoic acid. Finally, we present a multiple isotopomer distribution analysis approach, based on transfer of deuterated methyl groups to S-adenosylmethionine and subsequent sequential methylations of PE, to quantify absolute flux rates through the PEMT pathway that are applicable to studies of liver dysfunction in clinical studies. PMID- 21068007 TI - Circulating sphingolipid biomarkers in models of type 1 diabetes. AB - Alterations in lipid metabolism may contribute to diabetic complications. Sphingolipids are essential components of cell membranes and have essential roles in homeostasis and in the initiation and progression of disease. However, the role of sphingolipids in type 1 diabetes remains largely unexplored. Therefore, we sought to quantify sphingolipid metabolites by LC-MS/MS from two animal models of type 1 diabetes (streptozotocin-induced diabetic rats and Ins2(Akita) diabetic mice) to identify putative therapeutic targets and biomarkers. The results reveal that sphingosine-1-phosphate (So1P) is elevated in both diabetic models in comparison to respective control animals. In addition, diabetic animals demonstrated reductions in plasma levels of omega-9 24:1 (nervonic acid) containing ceramide, sphingomyelin, and cerebrosides. Reduction of 24:1-esterfied sphingolipids was also observed in liver and heart. Nutritional stress via a high fat diet also reduced 24:1 content in the plasma and liver of mice, exacerbating the decrease in some cases where diabetes was also present. Subcutaneous insulin corrected both circulating So1P and 24:1 levels in the murine diabetic model. Thus, changes in circulating sphingolipids, as evidenced by an increase in bioactive So1P and a reduction in cardio- and neuro-protective omega-9 esterified sphingolipids, may serve as biomarkers for type 1 diabetes and represent novel therapeutic targets. PMID- 21068010 TI - Does a relationship exist between the number of thoracoscopic thymectomies performed and the learning curve for thoracoscopic resection of thymoma in patients with myasthenia gravis? AB - This study aimed to analyze surgeons' learning curve for thymoma resection with video-assisted thoracoscopic surgery (VATS). Two hundred and eleven myasthenia gravis patients had VATS thymic resections, including 25 patients with a thymoma. Three groups of surgeries, according to the order of operations, were analyzed: Group A comprised the first 70 thymectomies, Group B comprised the second 70 thymectomies, and Group C comprised the final 71 thymectomies. We compared the groups on a set of preoperative (age, gender, body mass index, and Osserman stage), operative (number in each group, size, and Masaoka stage), and postoperative (complications and length of stay) variables. A significant difference was observed in the number of thymoma operations (Group A: four patients, Group B: seven patients, and Group C: 14 patients; P: 0.031) and the duration of operation (Group A: 66 min, Group B: 52 min, and Group C: 48 min; P: 0.024). A strong correlation was found between the duration of operation and order of patients (Pearson r: -0.554, P: 0.000). We recommend the start of a program for the resection of thymoma with VATS after surgical staff have performed 70 VATS thymectomy operations. PMID- 21068008 TI - Treatment of patients with cardiovascular disease with L-4F, an apo-A1 mimetic, did not improve select biomarkers of HDL function. AB - L-4F, an apolipoprotein A-I (apoA-I) mimetic peptide (also known as APL180), was administered daily by either intravenous (IV) infusion for 7 days or by subcutaneous (SC) injection for 28 days in patients with coronary heart disease in two distinct clinical studies. L-4F was well tolerated at all doses tested. Despite achieving plasma levels (mean maximal plasma concentration of 2,907 ng/ml and 395 ng/ml, following IV infusion and SC injection, respectively), that were effective in previously published animal models, treatment with L-4F, as assessed by biomarkers of HDL function such as HDL-inflammatory index (HII), and paraoxonase activity, did not improve. Paradoxically, there was a 49% increase in high-sensitivity C-reactive protein (hs-CRP) levels after seven IV infusions of 30 mg L-4F (P < 0.05; compared with placebo) and a trend for hs-CRP increase in subjects receiving 30 mg SC injection for 28 days. In a subsequent, ex vivo study, addition of L-4F at concentrations of 150, 375, or 1,000 ng/ml to plasma from subjects prior to L-4F treatment resulted in significant dose-dependent HII improvement. In conclusion, in vivo L-4F treatment, delivered by either SC injection or IV infusion, did not improve HDL functional biomarkers despite achieving plasma levels that improved identical biomarkers ex vivo and in animal models. PMID- 21068011 TI - Peripheral primitive neuroectodermal tumor of the thymus. AB - Peripheral primitive neuroectodermal tumors (pPNET) are an extremely rare disease entity of malignant tumors belonging to the Ewing sarcoma family that usually occur in children and adolescents with a predilection for the truncal and axial soft tissue. Thoracopulmonary manifestation in children mostly involves the chest wall as a large tumorous mass or the posterior mediastinum. In adults these tumors are even more infrequent. We report about a 46-year-old woman with a pPNET of the thymus which infiltrated the pericardium. According to the English literature this is the first reported case both of a thymic pPNET and a pPNET arising in the anterior mediastinum. This illustrates that pPNETs belong to the differential diagnosis of neurogenic mediastinal malignancies not only in the pediatric age population but also in adults and even in so far unknown localizations like the anterior mediastinum. PMID- 21068012 TI - Isolated pleural metastases from renal cell carcinoma. AB - A 71-year-old female was referred with three right-sided intrathoracic tumours. In 2003, she underwent radical left nephrectomy for renal cell cancer (RCC) clinical stage 1. She was since followed at her local hospital with annual computed tomography (CT)-scans during the first five years and did not present any symptoms until October 2009 when she was admitted with shortness of breath, cough and tiredness. The patient was scheduled for a diagnostic thoracoscopy when it was discovered that her lesions were not located in the lung parenchyma but were protruding nodules from the parietal pleura. Histology demonstrated metastases from RCC which apparently can reach the parietal pleura without lung metastases. PMID- 21068013 TI - Uncovered stent-graft in the treatment for residual patent false lumen after surgical repair for acute type A aortic dissection. AB - Patent false lumen after surgery for acute type A aortic dissection (AAAD) influences the outcome with increased risk of aortic rupture and visceral malperfusion. We report a case of a patient previously operated on for aortic valve repair and replacement of the ascending aorta because of AAAD, presenting at follow-up with a retrograde dissection in aortic arch, persistent patent false lumen and significative aortic enlargement. An extensive (arch and thoraco abdominal aorta) successful repair was performed using uncovered stent grafts. We welcome discussion on the real indication of this alternative approach and advantages and disadvantages on mid-/long-term follow-up. PMID- 21068014 TI - Which medications to avoid in people at risk of delirium: a systematic review. AB - BACKGROUND: delirium is a common clinical problem and is associated with adverse health outcomes. Many medications have been associated with the development of delirium, but the strength of the associations is uncertain and it is unclear which medications should be avoided in people at risk of delirium. METHODS: we conducted a systematic review to identify prospective studies that investigated the association between medications and risk of delirium. A sensitivity analysis was performed to construct an evidence hierarchy for the risk of delirium with individual agents. RESULTS: a total of 18,767 studies were identified by the search strategy. Fourteen studies met the inclusion criteria. Delirium risk appears to be increased with opioids (odds ratio [OR] 2.5, 95% CI 1.2-5.2), benzodiazepines (3.0, 1.3-6.8), dihydropyridines (2.4, 1.0-5.8) and possibly antihistamines (1.8, 0.7-4.5). There appears to be no increased risk with neuroleptics (0.9, 0.6-1.3) or digoxin (0.5, 0.3-0.9). There is uncertainty regarding H(2) antagonists, tricyclic antidepressants, antiparkinson medications, steroids, non-steroidal anti-inflammatory drugs and antimuscarinics. CONCLUSION: for people at risk of delirium, avoid new prescriptions of benzodiazepines or consider reducing or stopping these medications where possible. Opioids should be prescribed with caution in people at risk of delirium, but this should be tempered by the observation that untreated severe pain can itself trigger delirium. Caution is also required when prescribing dihydropyridines and antihistamine H1 antagonists for people at risk of delirium and considered individual patient assessment is advocated. PMID- 21068015 TI - Ethical issues related to professional exposure of pregnant women in the medical field: monitoring and limiting effective dose. AB - The International Commission on Radiological Protection recommendations for occupational exposed pregnant women do not imply necessarily the complete avoidance of work with radiation or radioactive materials. Instead, a careful review of the exposure conditions, once the pregnancy is declared, as part of the exercise of the ICRP optimisation principle (based in a teleological ethics point of view) is suggested. The dose limitation (following a deontological ethics point of view) of the fetus/embryo is, however, not clearly well established as happens in the case of workers or members of the public. Also, the justification of practices (to continue to work or not with radiation or radioactive materials) is not clearly addressed in most national or international recommendations. An analysis of this justification (bearing in mind both teleological and deontological ethics) is examined in this work having in mind the best interest of the child-to-be as well as other existing social and economical factors. PMID- 21068016 TI - NaCl as a retrospective and accident dosemeter. AB - NaCl is a cheap and widely available material. This study investigated the potential of NaCl in the form of a household salt as a retrospective and accident dosemeter using optically stimulated luminescence (OSL). Samples of the investigated household salt were stimulated using blue light of linearly modulated power. Attention was concentrated on sensitivity, dose dependence of the OSL signal, fading, optimisation of the read-out procedure and application of analytical protocols that do not require a specific calibration. A potential of NaCl as a complementary dosemeter within emergency preparedness was considered. The behaviour of the OSL signal observed was found to be favourable for dosimetry. PMID- 21068017 TI - Experience of introducing a new database for an approved coordination and recordkeeping service. AB - The Health Protection Agency (and its predecessors) has many years experience of running Approved Dosimetry Services, including coordination and recordkeeping. This paper describes the experiences gained whilst introducing a new web-based system for coordination and recordkeeping to replace the ageing mainframe database. This includes the planning of the project, the migration of the data between the two systems, parallel running of all the operational tasks and lessons learned during the process. PMID- 21068018 TI - The dose from Compton backscatter screening. AB - Systems based on the detection of Compton backscattered X rays have been deployed for screening personnel for weapons and explosives. Similar principles are used for screening vehicles at border-crossing points. Based on well-established scattering cross sections and absorption coefficients in conjunction with reasonable estimates of the image contrast and resolution, the entrance skin dose and the dose at a depth of 1 cm can be calculated. The effective dose can be estimated using the same conversion coefficients as used to convert exposure measurements to the effective dose. It is shown that the effective dose is highly dependent on image resolution (i.e. pixel size).The effective doses for personnel screening systems are unlikely to be in compliance with the American National Standards Institute standard NS 43.17 unless the pixel sizes are >4 mm. Nevertheless, calculated effective doses are well below doses associated with health effects. PMID- 21068019 TI - X-ray tube current modulation and patient doses in chest CT. AB - The aim of the study was to investigate how patient effective doses vary as a function of X-ray tube projection angle, as well as the patient long axis, and quantify how X-ray tube current modulation affects patient doses in chest CT examinations. Chest examinations were simulated for a gantry CT scanner geometry with projections acquired for a beam width of 4 cm. PCXMC 2.0.1 was used to calculate patient effective doses at 15 degrees intervals around the patient's isocentre, and at nine locations along the patient long axis. Idealised tube current modulation schemes were modelled as a function of the X-ray tube angle and the patient long axis. Tube current modulations were characterised by the modulation amplitude R, which was allowed to vary between 1.5 and 5. Effective dose maxima occur for anteroposterior projections at the location of the (radiosensitive) breasts. The maximum to minimum ratio of effective doses as a function of the patient long axis was 4.9, and as a function of the X-ray tube angle was 2.1. Doubling the value of R reduces effective doses from longitudinal modulation alone by ~4% and from angular modulation alone by ~2%. In chest CT, tube current modulation schemes currently have longitudinal R values of ~2.2, and angular R values that range between 1.5 and 3.4. Current X-ray tube current modulation schemes are expected to reduce patient effective doses in chest CT examinations by ~10%, with longitudinal modulation accounting for two-thirds and angular modulation for the remaining one-third. PMID- 21068020 TI - Overview of physical and biophysical techniques for accident dosimetry. AB - From feedback experience from recent radiation accident cases, in addition to biological dosimetry and physical dosimetry based on Monte Carlo calculations or experimental means, there is a need for complementary methods of dosimetry for radiation accident. Electron paramagnetic resonance (EPR) spectrometry on bones or teeth is considered as efficient but is limited by the invasive character of the sampling. Since 2005, Institute for Radiological Protection and Nuclear Safety (IRSN) develops some new approaches and methodologies based on the EPR and luminescence techniques. This article presents the overview of the different studies currently in progress in IRSN. PMID- 21068021 TI - Measurement of the radiation dose and assessment of the risk in mammography screening for early detection of cancer of the breast, in Israel. AB - The mean glandular doses to samples of women attending for mammographic screening are measured routinely at screening centres in Israel. As at present, no detailed and systematic data have been collected regarding the average glandular dose in mammography screening procedures carried out in Israel for the last 20 y. Especially data are lacking related to the glandular dose (GD) involved in mammography with the new digital mammography systems. In this work, partial results of the measurements are presented to asses the radiation dose to the breast and to the glandular tissue within the Israeli national mammography programme updated to year 2009. PMID- 21068022 TI - Minimum detectable annual dose calculation for routine individual monitoring programme in case of plutonium and uranium contamination of the workplace. AB - An example of a numerical method application for minimum detectable annual dose calculation that can be guaranteed by the operating monitoring programmes for plutonium and uranium is presented. The method analyses the frequency distribution for a total number of counts obtained in n measurements performed during n monitoring intervals that are inside the calendar year. Urine sample radiometric measurement technique for plutonium and uranium operating monitoring programmes is investigated, showing dependences of the detection limits on the frequency of measurements, on number of calendar years under observation and on the activity median aerodynamic diameter (AMAD). Only a probability density function (PDF), for the background number of counts, stochastic variability of urine excretion and uncertainty of the intake pattern were taken into account as the main variables influencing the calculated detection limits. But there is no limitation for the proposed method to include the variability of other influencing model parameters in the calculation procedure. PMID- 21068023 TI - Congenital pulmonary vein stenosis in an adult patient treated with transcatheter balloon angioplasty. AB - Congenital pulmonary vein stenosis (PVS) is a very rare cardiac malformation and commonly associated with cardiac or extracardiac abnormalities. It is usually found during the newborn period and survival to maturity is very rare due to either of progressive pulmonary hypertension or associated cardiac anomalies. In this case report, an adult patient with congenital PVS that was incidentally found during an evaluation for pneumonia, was treated with balloon angioplasty. After balloon angioplasty, the pressure gradient between the stenotic pulmonary vein and left atrium was significantly reduced and this patient had a benign course during the routine follow-up. Although there is no consensus concerning the optimal treatment strategy for this anomaly, balloon angioplasty can be a reasonable therapeutic option for the palliation of adult congenital PVS. PMID- 21068024 TI - Differential effects of plant diversity on functional trait variation of grass species. AB - BACKGROUND AND AIMS: Functional trait differences and trait adjustment in response to influences of the biotic environment could reflect niche partitioning among species. In this study, we tested how variation in above-ground plant traits, chosen as indicators for light and nitrogen acquisition and use, differs among taxonomically closely related species (Poaceae) to assess their potential for niche segregation at increasing plant diversity. METHODS: Traits of 12 grass species were measured in experimental grasslands (Jena Experiment) of varying species richness (from 1 to 60) and presence of particular functional groups (grasses, legumes, tall herbs and small herbs). KEY RESULTS: Grass species increased shoot and leaf length, investment into supporting tissue (stem mass fraction) and specific leaf area as well as reduced foliar delta(13)C values with increasing species richness, indicating higher efforts for light acquisition. These species-richness effects could in part be explained by a higher probability of legume presence in more diverse communities. Leaf nitrogen concentrations increased and biomas s : N ratios in shoots decreased when grasses grew with legumes, indicating an improved nitrogen nutrition. Foliar delta(15)N values of grasses decreased when growing with legumes suggesting the use of depleted legume derived N, while decreasing delta(15)N values with increasing species richness indicated a shift in the uptake of different N sources. However, efforts to optimize light and nitrogen acquisition by plastic adjustment of traits in response to species richness and legume presence, varied significantly among grass species. It was possible to show further that trait adjustment of grass species increased niche segregation in more diverse plant communities but that complementarity through niche separation may differ between light and nutrient acquisition. CONCLUSIONS: The results suggest that even among closely related species such as grasses different strategies are used to cope with neighbours. This lack in redundancy in turn may facilitate complementary resource use and coexistence. PMID- 21068025 TI - Randomized phase II study comparing dose escalated weekly paclitaxel vs. standard dose weekly paclitaxel for patients with previously treated advanced gastric cancer. AB - Weekly paclitaxel is an effective and widely used regimen for patients with advanced gastric cancer, with main dose-limiting toxicities of neutropenia and neurotoxicity. Neutropenia during weekly paclitaxel administration was reported to be associated with better survival. The aim of this study is to evaluate prospectively whether dosing adjustments based on the occurrence of neutropenia may improve chemotherapy efficacy. A total of 90 patients will be randomized to receive either a standard dose of weekly paclitaxel (80 mg/m(2)) or an escalated dose of weekly paclitaxel (80 mg/m(2) initially followed by 100 and 120 mg/m(2) unless severe toxicity is observed). The primary endpoint is overall survival. Secondary endpoints include progression-free survival, response rate, disease control rate and adverse events. PMID- 21068026 TI - Does foreplay matter? Gammarus pulex females may benefit from long-lasting precopulatory mate guarding. AB - Precopulatory mate guarding (PCMG) is generally assumed to be costly for both sexes. However, males may gain by displaying long-lasting mate guarding under strong male-male competition. Surprisingly, the potential for females to benefit from being held by males has been largely overlooked in previous studies. In Gammarus pulex, an amphipod crustacean, PCMG lasts several weeks, yet females are described as bearing only cost from such male mating strategy. We investigated potential female benefits by assessing the effect of mate guarding on her intermoult duration. Unpaired females had longer intermoult duration than paired females. Intermoult duration clearly decreased when paired females engaged in early and long-lasting mate guarding. In addition, short intermoults and long lasting mate guarding had no effect on egg number. These results highlight a potential benefit associated with PCMG for G. pulex females, suggesting that the strength of an intersexual conflict over its duration may be overestimated. PMID- 21068027 TI - Spatial ecology across scales. AB - The international conference 'Models in population dynamics and ecology 2010: animal movement, dispersal and spatial ecology' took place at the University of Leicester, UK, on 1-3 September 2010, focusing on mathematical approaches to spatial population dynamics and emphasizing cross-scale issues. Exciting new developments in scaling up from individual level movement to descriptions of this movement at the macroscopic level highlighted the importance of mechanistic approaches, with different descriptions at the microscopic level leading to different ecological outcomes. At higher levels of organization, different macroscopic descriptions of movement also led to different properties at the ecosystem and larger scales. New developments from Levy flight descriptions to the incorporation of new methods from physics and elsewhere are revitalizing research in spatial ecology, which will both increase understanding of fundamental ecological processes and lead to tools for better management. PMID- 21068028 TI - Larger testes are associated with a higher level of polyandry, but a smaller ejaculate volume, across bushcricket species (Tettigoniidae). AB - While early models of ejaculate allocation predicted that both relative testes and ejaculate size should increase with sperm competition intensity across species, recent models predict that ejaculate size may actually decrease as testes size and sperm competition intensity increase, owing to the confounding effect of potential male mating rate. A recent study demonstrated that ejaculate volume decreased in relation to increased polyandry across bushcricket species, but testes mass was not measured. Here, we recorded testis mass for 21 bushcricket species, while ejaculate (ampulla) mass, nuptial gift mass, sperm number and polyandry data were largely obtained from the literature. Using phylogenetic-comparative analyses, we found that testis mass increased with the degree of polyandry, but decreased with increasing ejaculate mass. We found no significant relationship between testis mass and either sperm number or nuptial gift mass. While these results are consistent with recent models of ejaculate allocation, they could alternatively be driven by substances in the ejaculate that affect the degree of polyandry and/or by a trade-off between resources spent on testes mass versus non-sperm components of the ejaculate. PMID- 21068029 TI - Role of two-way airflow owing to temperature difference in severe acute respiratory syndrome transmission: revisiting the largest nosocomial severe acute respiratory syndrome outbreak in Hong Kong. AB - By revisiting the air distribution and bioaerosol dispersion in Ward 8A where the largest nosocomial severe acute respiratory syndrome (SARS) outbreak occurred in Hong Kong in 2003, we found an interesting phenomenon. Although all the cubicles were in 'positive pressure' towards the corridor, the virus-containing bioaerosols generated from the index patient's cubicle were still transmitted to other cubicles, which cannot be explained in a traditional manner. A multi-zone model combining the two-way airflow effect was used to analyse this phenomenon. The multi-zone airflow model was evaluated by our experimental data. Comparing with the previous computational fluid dynamic simulation results, we found that the air exchange owing to the small temperature differences between cubicles played a major role in SARS transmission. Additionally, the validated multi-zone model combining the two-way airflow effect could simulate the pollutant transport with reasonable accuracy but much less computational time. A probable improvement in general ward design was also proposed. PMID- 21068030 TI - A dynamic dose-response model to account for exposure patterns in risk assessment: a case study in inhalation anthrax. AB - The most commonly used dose-response models implicitly assume that accumulation of dose is a time-independent process where each pathogen has a fixed risk of initiating infection. Immune particle neutralization of pathogens, however, may create strong time dependence; i.e. temporally clustered pathogens have a better chance of overwhelming the immune particles than pathogen exposures that occur at lower levels for longer periods of time. In environmental transmission systems, we expect different routes of transmission to elicit different dose-timing patterns and thus potentially different realizations of risk. We present a dose response model that captures time dependence in a manner that incorporates the dynamics of initial immune response. We then demonstrate the parameter estimation of our model in a dose-response survival analysis using empirical time-series data of inhalational anthrax in monkeys in which we find slight dose-timing effects. Future dose-response experiments should include varying the time pattern of exposure in addition to varying the total doses delivered. Ultimately, the dynamic dose-response paradigm presented here will improve modelling of environmental transmission systems where different systems have different time patterns of exposure. PMID- 21068031 TI - Diminished organelle motion in murine Kupffer cells during the erythrocytic stage of malaria. AB - Parasitized erythrocytes are ingested by murine hepatic macrophages during malaria infection. We non-invasively monitored how this altered the motion of intracellular phagosomes in Kupffer cells using magnetometry. Submicrometric gammaFe(2)O(3) particles were injected prior to malaria infection. They were cleared from the blood, primarily by Kupffer cells, and retained within their phagosomes. The mice were periodically magnetized. After removing this external magnet, the aligned iron particles created a remnant magnetic field (RMF) which then decayed (relaxation), reflecting the motion of particle-containing phagosomes. After baseline measurements of relaxation, the mice were injected intravenously with Plasmodium chabaudi-parasitized or normal murine red blood cells (RBCs). During the next 15 days, relaxation measurements, parasitaemia and haematocrit values were monitored. At 6 days post injection with 3 * 10(7) parasitized RBCs, relaxation rates had decreased. At this time, all mice had parasitaemias greater than 58 per cent and haematocrits less than 20 per cent. At day 7, while the parasitaemias were declining, the rate of relaxation continued to decrease. Throughout the experiment, relaxation remained constant in animals injected with normal RBCs. Electron microscopy revealed Kupffer cells filled with damaged and parasitized erythrocytes, and haemoglobin degradation pigment. We conclude that ingestion and metabolism of parasitized erythrocytes by liver macrophages during malaria infection decreases their organelle motion with likely consequences of compromised host defences. PMID- 21068032 TI - Friction force reduction triggers feet grooming behaviour in beetles. AB - In insects, cleaning (grooming) of tarsal attachment devices is essential for maintaining their adhesive ability, necessary for walking on a complex terrain of plant surfaces. How insects obtain information on the degree of contamination of their feet has remained, until recently, unclear. We carried out friction force measurements on walking beetles Gastrophysa viridula (Coleoptera, Chrysomelidae) and counted grooming occurrence on stiff polymer substrata with different degrees of nanoroughness (root mean square: 28-288 nm). Since nanoscopically, rough surfaces strongly reduced friction and adhesion without contaminating feet, we were able to demonstrate, for the first time to our knowledge, that friction force between tarsal attachment pads and the substrate provides an insect with information on the degree of contamination of its attachment structures. We have shown that foot grooming occurrence correlates not only with the degree of contamination but also with the decrease of friction force. This result indicates that insects obtain information about the degree of contamination, not statically but rather dynamically and, presumably, use mechanoreceptors monitoring either tensile/compressive forces in the cuticle or tensile forces between leg segments. PMID- 21068033 TI - Personality-dependent dispersal in the invasive mosquitofish: group composition matters. AB - Understanding/predicting ecological invasions is an important challenge in modern ecology because of their immense economical and ecological costs. Recent studies have revealed that within-species variation in behaviour (i.e. animal personality) can shed light on the invasion process. The general hypothesis is that individuals' personality type may affect their colonization success, suggesting that some individuals might be better invaders than others. We have recently shown that, in the invasive mosquitofish (Gambusia affinis), social personality trait was an important indicator of dispersal distance, with more asocial individuals dispersing further. Here, we tested how mean personality within a population, in addition to individual personality type, affect dispersal and settlement decisions in the mosquitofish. We found that individual dispersal tendencies were influenced by the population's mean boldness and sociability score. For example, individuals from populations with more asocial individuals or with more bold individuals are more likely to disperse regardless of their own personality type. We suggest that identifying behavioural traits facilitating invasions, even at the group level, can thus have direct applications in pest management. PMID- 21068034 TI - A latitudinal gradient in rates of evolution of avian syllable diversity and song length. AB - We ask whether rates of evolution in traits important for reproductive isolation vary across a latitudinal gradient, by quantifying evolutionary rates of two traits important for pre-mating isolation-avian syllable diversity and song length. We analyse over 2500 songs from 116 pairs of closely related New World passerine bird taxa to show that evolutionary rates for the two main groups of passerines-oscines and suboscines-doubled with latitude in both groups for song length. For syllable diversity, oscines (who transmit song culturally) evolved more than 20 times faster at high latitudes than in low latitudes, whereas suboscines (whose songs are innate in most species and who possess very simple song with few syllable types) show no clear latitudinal gradient in rate. Evolutionary rates in oscines and suboscines were similar at tropical latitudes for syllable complexity as well as for song length. These results suggest that evolutionary rates in traits important to reproductive isolation and speciation are influenced by latitude and have been fastest, not in the tropics where species diversity is highest, but towards the poles. PMID- 21068035 TI - Acute sun damage and photoprotective responses in whales. AB - Rising levels of ultraviolet radiation (UVR) secondary to ozone depletion are an issue of concern for public health. Skin cancers and intraepidermal dysplasia are increasingly observed in individuals that undergo chronic or excessive sun exposure. Such alterations of skin integrity and function are well established for humans and laboratory animals, but remain unexplored for mammalian wildlife. However, effects are unlikely to be negligible, particularly for species such as whales, whose anatomical or life-history traits force them to experience continuous sun exposure. We conducted photographic and histological surveys of three seasonally sympatric whale species to investigate sunburn and photoprotection. We find that lesions commonly associated with acute severe sun damage in humans are widespread and that individuals with fewer melanocytes have more lesions and less apoptotic cells. This suggests that the pathways used to limit and resolve UVR-induced damage in humans are shared by whales and that darker pigmentation is advantageous to them. Furthermore, lesions increased significantly in time, as would be expected under increasing UV irradiance. Apoptosis and melanocyte proliferation mirror this trend, suggesting that whales are capable of quick photoprotective responses. We conclude that the thinning ozone layer may pose a risk to the health of whales and other vulnerable wildlife. PMID- 21068036 TI - Another one bites the dust: faecal silica levels in large herbivores correlate with high-crowned teeth. AB - The circumstances of the evolution of hypsodonty (= high-crowned teeth) are a bone of contention. Hypsodonty is usually linked to diet abrasiveness, either from siliceous phytoliths (monocotyledons) or from grit (dusty environments). However, any empirical quantitative approach testing the relation of ingested silica and hypsodonty is lacking. In this study, faecal silica content was quantified as acid detergent insoluble ash and used as proxy for silica ingested by large African herbivores of different digestive types, feeding strategies and hypsodonty levels. Separate sample sets were used for the dry (n = 15 species) and wet (n = 13 species) season. Average faecal silica contents were 17-46 g kg( 1) dry matter (DM) for browsing and 52-163 g kg(-1) DM for grazing herbivores. No difference was detected between the wet (97.5 +/- 14.4 g kg(-1) DM) and dry season (93.5 +/- 13.7 g kg(-1) DM) faecal silica. In a phylogenetically controlled analysis, a strong positive correlation (dry season r = 0.80, p < 0.0005; wet season r = 0.74, p < 0.005) was found between hypsodonty index and faecal silica levels. While surprisingly our results do not indicate major seasonal changes in silica ingested, the correlation of faecal silica and hypsodonty supports a scenario of a dominant role of abrasive silica in the evolution of high-crowned teeth. PMID- 21068037 TI - Early-life conditions and age at first pregnancy in British women. AB - There is growing evidence that the reproductive schedules of female mammals can be affected by conditions experienced during early development, with low parental investment leading to accelerated life-history strategies in the offspring. In humans, the relationships between early-life conditions and timing of puberty are well studied, but much less attention has been paid to reproductive behaviour. Here, we investigate associations between early-life conditions and age at first pregnancy (AFP) in a large, longitudinally studied cohort of British women (n = 4553). Low birthweight for gestational age, short duration of breastfeeding, separation from mother in childhood, frequent family residential moves and lack of paternal involvement are all independently associated with earlier first pregnancy. Apart from that of birthweight, the effects are robust to adjustment for family socioeconomic position (SEP) and the cohort member's mother's age at her birth. The association between childhood SEP and AFP is partially mediated by early-life conditions, and the association between early-life conditions and AFP is partially mediated by emotional and behavioural problems in childhood. The overall relationship between early-life adversities and AFP appears to be approximately additive. PMID- 21068038 TI - New behavioural trait adopted or rejected by observing heterospecific tutor fitness. AB - Animals can acquire behaviours from others, including heterospecifics, but should be discriminating in when and whom to copy. Successful individuals should be preferred as tutors, while adopting traits of poorly performing individuals should be actively avoided. Thus far it is unknown if such adaptive strategies are involved when individuals copy other species. Furthermore, rejection of traits based on tutor characteristics (negative bias) has not been shown in any non-human animal. Here we test whether a choice between two new, neutral behavioural alternatives-breeding-sites with alternative geometric symbols-is affected by observing the choice and fitness of a heterospecific tutor. A field experiment replicated in four different areas shows that the proportion of pied flycatcher females matching the choice of the tit tutor consistently increased with increasing number of offspring in the tit nest, to the extent of nearly complete prevalence in one of the areas when tit fitness was highest. Notably, all four replicates demonstrate rejection of the behaviour of lowest-fitness tutors. The results demonstrate both acquisition and avoidance of heterospecific behavioural traits, based on the perceived (lack of) tutor fitness. This has potential implications for understanding the origin, diversity and local adaptations of behavioural traits, and niche overlap/partitioning and species co occurrence. PMID- 21068039 TI - The intelligibility of noise-vocoded speech: spectral information available from across-channel comparison of amplitude envelopes. AB - Noise-vocoded (NV) speech is often regarded as conveying phonetic information primarily through temporal-envelope cues rather than spectral cues. However, listeners may infer the formant frequencies in the vocal-tract output-a key source of phonetic detail-from across-band differences in amplitude when speech is processed through a small number of channels. The potential utility of this spectral information was assessed for NV speech created by filtering sentences into six frequency bands, and using the amplitude envelope of each band (<=30 Hz) to modulate a matched noise-band carrier (N). Bands were paired, corresponding to F1 (~N1 + N2), F2 (~N3 + N4) and the higher formants (F3' ~ N5 + N6), such that the frequency contour of each formant was implied by variations in relative amplitude between bands within the corresponding pair. Three-formant analogues (F0 = 150 Hz) of the NV stimuli were synthesized using frame-by-frame reconstruction of the frequency and amplitude of each formant. These analogues were less intelligible than the NV stimuli or analogues created using contours extracted from spectrograms of the original sentences, but more intelligible than when the frequency contours were replaced with constant (mean) values. Across band comparisons of amplitude envelopes in NV speech can provide phonetically important information about the frequency contours of the underlying formants. PMID- 21068040 TI - Conceptualization of above and below relationships by an insect. AB - Relational rules such as 'same' or 'different' are mastered by humans and non human primates and are considered as abstract conceptual thinking as they require relational learning beyond perceptual generalization. Here, we investigated whether an insect, the honeybee (Apis mellifera), can form a conceptual representation of an above/below spatial relationship. In experiment 1, bees were trained with differential conditioning to choose a variable target located above or below a black bar that acted as constant referent throughout the experiment. In experiment 2, two visual stimuli were aligned vertically, one being the referent, which was kept constant throughout the experiment, and the other the target, which was variable. In both experiments, the distance between the target and the referent, and their location within the visual field was systematically varied. In both cases, bees succeeded in transferring the learned concept to novel stimuli, preserving the trained spatial relation, thus showing an ability to manipulate this relational concept independently of the physical nature of the stimuli. Absolute location of the referent into the visual field was not a low level cue used by the bees to solve the task. The honeybee is thus capable of conceptual learning despite having a miniature brain, showing that such elaborated learning form is not a prerogative of vertebrates. PMID- 21068041 TI - Female sticklebacks transfer information via eggs: effects of maternal experience with predators on offspring. AB - There is growing evidence that maternal experience influences offspring via non genetic mechanisms. When female three-spined sticklebacks (Gasterosteus aculeatus) were exposed to the threat of predation, they produced larger eggs with higher cortisol content, which consumed more oxygen shortly after fertilization compared with a control group. As juveniles, the offspring of predator-exposed mothers exhibited tighter shoaling behaviour, an antipredator defence. We did not detect an effect of maternal exposure to predation risk on the somatic growth of fry. Altogether, we found that exposure to an ecologically relevant stressor during egg formation had several long-lasting consequences for offspring, some of which might be mediated by exposure to maternally derived cortisol. These results support the hypothesis that female sticklebacks might influence the development, growth and behaviour of their offspring via eggs to match their future environment. PMID- 21068042 TI - Using human demographic history to infer natural selection reveals contrasting patterns on different families of immune genes. AB - Detecting regions of the human genome that are, or have been, influenced by natural selection remains an important goal for geneticists. Many methods are used to infer selection, but there is a general reliance on an accurate understanding of how mutation and recombination events are distributed, and the well-known link between these processes and their evolutionary transience introduces uncertainty into inferences. Here, we present and apply two new, independent approaches; one based on single nucleotide polymorphisms (SNPs) that exploits geographical patterns in how humans lost variability as we colonized the world, the other based on the relationship between microsatellite repeat number and heterozygosity. We show that the two methods give concordant results. Of these, the SNP-based method is both widely applicable and detects selection over a well-defined time interval, the last 50 000 years. Analysis of all human genes by their Gene Ontology codes reveals how accelerated and decelerated loss of variability are both preferentially associated with immune genes. Applied to 168 immune genes used as the focus of a previous study, we show that members of the same gene family tend to yield similar indices of selection, even when located on different chromosomes. We hope our approach will provide a useful tool with which to infer where selection has acted to shape the human genome. PMID- 21068043 TI - Genetic differentiation among sympatric cuckoo host races: males matter. AB - Generalist parasites regularly evolve host-specific races that each specialize on one particular host species. Many host-specific races originate from geographically structured populations where local adaptations to different host species drive the differentiation of distinct races. However, in sympatric populations where several host races coexist, gene flow could potentially disrupt such host-specific adaptations. Here, we analyse genetic differentiation among three sympatrically breeding host races of the brood-parasitic common cuckoo, Cuculus canorus. In this species, host-specific adaptations are assumed to be controlled by females only, possibly via the female-specific W-chromosome, thereby avoiding that gene flow via males disrupts local adaptations. Although males were more likely to have offspring in two different host species (43% versus 7%), they did not have significantly more descendants being raised outside their putative foster species than females (9% versus 2%). We found significant genetic differentiation for both biparentally inherited microsatellite DNA markers and maternally inherited mitochondrial DNA markers. To our knowledge, this is the first study that finds significant genetic differentiation in biparentally inherited markers among cuckoo host-specific races. Our results imply that males also may contribute to the evolution and maintenance of the different races, and hence that the genes responsible for egg phenotype may be found on autosomal chromosomes rather than the female-specific W-chromosome as previously assumed. PMID- 21068044 TI - Parasitoidism, not sociality, is associated with the evolution of elaborate mushroom bodies in the brains of hymenopteran insects. AB - The social brain hypothesis posits that the cognitive demands of social behaviour have driven evolutionary expansions in brain size in some vertebrate lineages. In insects, higher brain centres called mushroom bodies are enlarged and morphologically elaborate (having doubled, invaginated and subcompartmentalized calyces that receive visual input) in social species such as the ants, bees and wasps of the aculeate Hymenoptera, suggesting that the social brain hypothesis may also apply to invertebrate animals. In a quantitative and qualitative survey of mushroom body morphology across the Hymenoptera, we demonstrate that large, elaborate mushroom bodies arose concurrent with the acquisition of a parasitoid mode of life at the base of the Euhymenopteran (Orussioidea + Apocrita) lineage, approximately 90 Myr before the evolution of sociality in the Aculeata. Thus, sociality could not have driven mushroom body elaboration in the Hymenoptera. Rather, we propose that the cognitive demands of host-finding behaviour in parasitoids, particularly the capacity for associative and spatial learning, drove the acquisition of this evolutionarily novel mushroom body architecture. These neurobehavioural modifications may have served as pre-adaptations for central place foraging, a spatial learning-intensive behaviour that is widespread across the Aculeata and may have contributed to the multiple acquisitions of sociality in this taxon. PMID- 21068045 TI - Epidemic malaria and warmer temperatures in recent decades in an East African highland. AB - Climate change impacts on malaria are typically assessed with scenarios for the long-term future. Here we focus instead on the recent past (1970-2003) to address whether warmer temperatures have already increased the incidence of malaria in a highland region of East Africa. Our analyses rely on a new coupled mosquito-human model of malaria, which we use to compare projected disease levels with and without the observed temperature trend. Predicted malaria cases exhibit a highly nonlinear response to warming, with a significant increase from the 1970s to the 1990s, although typical epidemic sizes are below those observed. These findings suggest that climate change has already played an important role in the exacerbation of malaria in this region. As the observed changes in malaria are even larger than those predicted by our model, other factors previously suggested to explain all of the increase in malaria may be enhancing the impact of climate change. PMID- 21068046 TI - Living with strangers: direct benefits favour non-kin cooperation in a communally nesting bird. AB - The greater ani (Crotophaga major), a Neotropical cuckoo, exhibits an unusual breeding system in which several socially monogamous pairs lay eggs in a single nest and contribute care to the communal clutch. Cooperative nesting is costly females compete for reproduction by ejecting each other's eggs-but the potential direct or indirect fitness benefits that might accrue to group members have not been identified. In this study, I used molecular genotyping to quantify patterns of genetic relatedness and individual reproductive success within social groups in a single colour-banded population. Microsatellite analysis of 122 individuals in 49 groups revealed that group members are not genetic relatives. Group size was strongly correlated with individual reproductive success: solitary pairs were extremely rare and never successful, and nests attended by two pairs were significantly more likely to be depredated than were nests attended by three pairs. Egg loss, a consequence of reproductive competition, was greater in large groups and disproportionately affected females that initiated laying. However, early-laying females compensated for egg losses by laying larger clutches, and female group members switched positions in the laying order across nesting attempts. The greater ani, therefore, appears to be one of the few species in which cooperative breeding among unrelated individuals is favoured by direct, shared benefits that outweigh the substantial costs of reproductive competition. PMID- 21068047 TI - Silk threads function as an 'adhesive cleaner' for nest space in a social spider mite. AB - Individuals of the social spider mite Stigmaeopsis longus live communally in narrow, humid nests made from silk threads and display nest sanitation behaviour through the coordinated deposition of faeces. We used artificial dust to experimentally determine that females of this species use silk threads to perform regular cleaning of the nest space and eggs. We first learned that silk-weaving behaviour is not a by-product of nest building (nest reinforcement); rather, it is actively performed as a function of cleaning the living space and eggs. Furthermore, we determined the effectiveness of the attending females by artificially manipulating their natural habitat, which is clearly connected to the cleaning behaviour by parental females. As such, we have uncovered an extraordinary new role of silk threads as devices for cleaning the nest space and/or eggs. These results strongly indicate that special adaptations for maintaining clean habitats are essential for animals to evolve aggregative social lives. PMID- 21068048 TI - Universal power-law diet partitioning by marine fish and squid with surprising stability-diversity implications. AB - A central question in community ecology is how the number of trophic links relates to community species richness. For simple dynamical food-web models, link density (the ratio of links to species) is bounded from above as the number of species increases; but empirical data suggest that it increases without bounds. We found a new empirical upper bound on link density in large marine communities with emphasis on fish and squid, using novel methods that avoid known sources of bias in traditional approaches. Bounds are expressed in terms of the diet partitioning function (DPF): the average number of resources contributing more than a fraction f to a consumer's diet, as a function of f. All observed DPF follow a functional form closely related to a power law, with power-law exponents independent of species richness at the measurement accuracy. Results imply universal upper bounds on link density across the oceans. However, the inherently scale-free nature of power-law diet partitioning suggests that the DPF itself is a better defined characterization of network structure than link density. PMID- 21068049 TI - Ultrasound-guided spermatic cord block for scrotal surgery. AB - BACKGROUND: Performing spermatic cord block for scrotal surgery avoids the potential risks of neuraxial and general anaesthesia and provides long-lasting postoperative analgesia. A blindly performed block is often inefficient and bears its own potential risks (intravascular injection of local anaesthetics, haematoma formation and perforation of the deferent duct). The use of ultrasound may help to overcome these disadvantages. The aim of this study was to test the feasibility and monitor the success rate of a new ultrasound-guided spermatic cord block. METHODS: Twenty consecutive patients undergoing urologic surgery (subcapsular orchiectomy or vaso-vasostomy) were included in this prospective study. Using a linear ultrasound probe, the spermatic cord was identified by locating the spermatic artery and the deferent duct. A 23 G Microlance needle was advanced close to the deferent duct by avoiding vessel perforation, and local anaesthetic was deposited around the deferent duct under direct visualization. The primary outcome was the success rate of the block which was defined as surgery without any substitution of opioids, additional local anaesthetics, or sedatives. RESULTS: In 20 patients, 40 blocks were performed with a success rate of 95% (n=38). The failure rate was 5% (n=2) and no conversion to general anaesthesia was needed. The mean duration of the block was 14.1 h (sd 6.9). CONCLUSIONS: The use of ultrasound guidance to perform spermatic cord block is feasible and has a high success rate. Our new approach may become a suitable alternative to neuraxial or general anaesthesia especially in the ambulatory surgical setting. PMID- 21068050 TI - Neuromuscular dose-response studies: determining sample size. AB - BACKGROUND: Investigators planning dose-response studies of neuromuscular blockers have rarely used a priori power analysis to determine the minimal sample size their protocols require. Institutional Review Boards and peer-reviewed journals now generally ask for this information. This study outlines a proposed method for meeting these requirements. METHODS: The slopes of the dose-response relationships of eight neuromuscular blocking agents were determined using regression analysis. These values were substituted for gamma in the Hill equation. When this is done, the coefficient of variation (COV) around the mean value of the ED50 for each drug is easily calculated. Using these values, we performed an a priori one-sample two-tailed t-test of the means to determine the required sample size when the allowable error in the ED50 was varied from +/-10 20%. RESULTS: The COV averaged 22% (range 15-27%). We used a COV value of 25% in determining the sample size. If the allowable error in finding the mean ED50 is +/-15%, a sample size of 24 is needed to achieve a power of 80%. Increasing 'accuracy' beyond this point requires increasing greater sample sizes (e.g. an 'n' of 37 for a +/-12% error). CONCLUSIONS: On the basis of the results of this retrospective analysis, a total sample size of not less than 24 subjects should be adequate for determining a neuromuscular blocking drug's clinical potency with a reasonable degree of assurance. PMID- 21068051 TI - Renal function and mortality following cardiac resynchronization therapy. AB - AIMS: Cardiac resynchronization therapy (CRT) improves outcomes in heart failure, yet selection of patients likely to have survival benefit is problematic. Chronic kidney disease (CKD) is an important determinant of mortality in patients with congestive heart failure therefore we sought to determine the impact of CKD on mortality benefit after CRT. METHODS AND RESULTS: All CRT device implantations in patients not on dialysis at Mayo Clinic between January 1999 and December 2005 were included. Of 482 patients, 342 (71%) had CKD (defined as a glomerular filtration rate (GFR) of <=60 mL/min/1.73 m(2)) at the time of device implantation. Patients with CKD were older (71 +/- 10 vs. 63 +/- 14 years, P < 0.01) than patients without CKD, and more often anaemic (12.70 +/- 1.73 vs. 13.24 mg/L, P < 0.01), with similar ejection fraction (22 +/- 8 vs. 23 +/- 8%, P = 0.32). Survival was superior in patients with normal or mild renal dysfunction compared with patients with CKD (72 vs. 57% at 3 years, P < 0.01). After multivariate analysis, CKD remained a significant predictor of poor survival following CRT. CONCLUSION: Chronic kidney disease is common in patients undergoing CRT and associated with a higher mortality and should be considered when evaluating patients for CRT. PMID- 21068052 TI - Global injury surveillance: opportunities and challenges. PMID- 21068053 TI - On causal inference in the presence of interference. AB - Interference is said to be present when the exposure or treatment received by one individual may affect the outcomes of other individuals. Such interference can arise in settings in which the outcomes of the various individuals come about through social interactions. When interference is present, causal inference is rendered considerably more complex, and the literature on causal inference in the presence of interference has just recently begun to develop. In this article we summarise some of the concepts and results from the existing literature and extend that literature in considering new results for finite sample inference, new inverse probability weighting estimators in the presence of interference and new causal estimands of interest. PMID- 21068054 TI - Conditional decomposition diagnostics for regression analysis of zero-inflated and left-censored data. AB - Health and safety studies that entail both incidence and magnitude of effects produce semi-continuous outcomes, in which the response is either zero or a continuous positive value. Zero-inflated left-censored models typically employ latent mixture constructions to allow different covariate processes to impact the incidence versus the magnitude. Assessment of the model, however, requires a focus on the observable characteristics. We employ a conditional decomposition approach, in which the model assessment is partitioned into two observable components: the adequacy of the marginal probability model for the boundary value and the adequacy of the conditional model for values strictly above the boundary. A conditional likelihood decomposition facilitates the statistical assessment. For corresponding residual and graphical analysis, the conditional mean and quantile functions for events above the boundary and the marginal probabilities of boundary events are investigated. Large sample standard errors for these quantities are derived for enhanced graphical assessment, and simulation is conducted to investigate the finite-sample behaviour. The methods are illustrated with data from two health-related safety studies. In each case, the conditional assessments identify the source for lack of fit of the previously considered model and thus lead to an improved model. PMID- 21068055 TI - Environmental compatibility of closed landfills - assessing future pollution hazards. AB - Municipal solid waste landfills need to be managed after closure. This so-called aftercare comprises the treatment and monitoring of residual emissions as well as the maintenance and control of landfill elements. The measures can be terminated when a landfill does not pose a threat to the environment any more. Consequently, the evaluation of landfill environmental compatibility includes an estimation of future pollution hazards as well as an assessment of the vulnerability of the affected environment. An approach to assess future emission rates is presented and discussed in view of long-term environmental compatibility. The suggested method consists (a) of a continuous model to predict emissions under the assumption of constant landfill conditions, and (b) different scenarios to evaluate the effects of changing conditions within and around the landfill. The model takes into account the actual status of the landfill, hence different methods to gain information about landfill characteristics have to be applied. Finally, assumptions, uncertainties, and limitations of the methodology are discussed, and the need for future research is outlined. PMID- 21068057 TI - Pak1 control of E-cadherin endocytosis regulates salivary gland lumen size and shape. AB - Generating and maintaining proper lumen size and shape in tubular organs is essential for organ function. Here, we demonstrate a novel role for p21-activated kinase 1 (Pak1) in defining the size and shape of the Drosophila embryonic salivary gland lumen by regulating the size and elongation of the apical domain of individual cells. Pak1 mediates these effects by decreasing and increasing E cadherin levels at the adherens junctions and basolateral membrane, respectively, through Rab5- and Dynamin-dependent endocytosis. We also demonstrate that Cdc42 and Merlin act together with Pak1 to control lumen size. A role for Pak1 in E cadherin endocytosis is supported by our studies of constitutively active Pak1, which induces the formation of multiple intercellular lumens in the salivary gland in a manner dependent on Rab5, Dynamin and Merlin. These studies demonstrate a novel and crucial role for Pak1 and E-cadherin endocytosis in determining lumen size and shape, and also identify a mechanism for multiple lumen formation, a poorly understood process that occurs in normal embryonic development and pathological conditions. PMID- 21068056 TI - The transcription factors Nkx2.2 and Nkx2.9 play a novel role in floor plate development and commissural axon guidance. AB - The transcription factors Nkx2.2 and Nkx2.9 have been proposed to execute partially overlapping functions in neuronal patterning of the ventral spinal cord in response to graded sonic hedgehog signaling. The present report shows that in mice lacking both Nkx2 proteins, the presumptive progenitor cells in the p3 domain of the neural tube convert to motor neurons (MN) and never acquire the fate of V3 interneurons. This result supports the concept that Nkx2 transcription factors are required to establish V3 progenitor cells by repressing the early MN lineage-specific program, including genes like Olig2. Nkx2.2 and Nkx2.9 proteins also perform an additional, hitherto unknown, function in the development of non neuronal floor plate cells. Here, we demonstrate that loss of both Nkx2 genes results in an anatomically smaller and functionally impaired floor plate causing severe defects in axonal pathfinding of commissural neurons. Defective floor plates were also seen in Nkx2.2(+/-);Nkx2.9(-/-) compound mutants and even in single Nkx2.9(-/-) mutants, suggesting that floor plate development is sensitive to dose and/or timing of Nkx2 expression. Interestingly, adult Nkx2.2(+/ );Nkx2.9(-/-) compound-mutant mice exhibit abnormal locomotion, including a permanent or intermittent hopping gait. Drug-induced locomotor-like activity in spinal cords of mutant neonates is also affected, demonstrating increased variability of left-right and flexor-extensor coordination. Our data argue that the Nkx2.2 and Nkx2.9 transcription factors contribute crucially to the formation of neuronal networks that function as central pattern generators for locomotor activity in the spinal cord. As both factors affect floor plate development, control of commissural axon trajectories might be the underlying mechanism. PMID- 21068058 TI - Ongoing roles of Phox2 homeodomain transcription factors during neuronal differentiation. AB - Transcriptional determinants of neuronal identity often stay expressed after their downstream genetic program is launched. Whether this maintenance of expression plays a role is for the most part unknown. Here, we address this question for the paralogous paired-like homeobox genes Phox2a and Phox2b, which specify several classes of visceral neurons at the progenitor stage in the central and peripheral nervous systems. By temporally controlled inactivation of Phox2b, we find that the gene, which is required in ventral neural progenitors of the hindbrain for the production of branchio-visceral motoneuronal precursors, is also required in these post-mitotic precursors to maintain their molecular signature - including downstream transcription factors - and allow their tangential migration and the histogenesis of the corresponding nuclei. Similarly, maintenance of noradrenergic differentiation during embryogenesis requires ongoing expression of Phox2b in sympathetic ganglia, and of Phox2a in the main noradrenergic center, the locus coeruleus. These data illustrate cases where the neuronal differentiation program does not unfold as a transcriptional 'cascade' whereby downstream events are irreversibly triggered by an upstream regulator, but instead require continuous transcriptional input from it. PMID- 21068059 TI - Non-cell-autonomously coordinated organ size regulation in leaf development. AB - The way in which the number and size of cells in an organ are determined poses a central challenge in our understanding of organ size control. Compensation is an unresolved phenomenon, whereby a decrease in cell proliferation below some threshold level triggers enhanced postmitotic cell expansion in leaf primordia. It suggests an interaction between these cellular processes during organogenesis and provides clues relevant to an understanding of organ size regulation. Although much attention has been given to compensation, it remains unclear how the cellular processes are coordinated. Here, we used a loss-of-function mutation in the transcriptional coactivator gene ANGUSTIFOLIA3 (AN3), which causes typical compensation in Arabidopsis thaliana. We established Cre/lox systems to generate leaves chimeric for AN3 expression and investigated whether compensation occurs in a cell-autonomous or non-cell-autonomous manner. We found that an3-dependent compensation is a non-cell-autonomous process, and that an3 cells seem to generate and transmit an intercellular signal that enhances postmitotic cell expansion. The range of signalling was restricted to within one-half of a leaf partitioned by the midrib. Additionally, we also demonstrated that overexpression of the cyclin-dependent kinase inhibitor gene KIP-RELATED PROTEIN2 resulted in cell-autonomous compensation. Together, our results revealed two previously unknown pathways that coordinate cell proliferation and postmitotic cell expansion for organ size control in plants. PMID- 21068060 TI - Dual roles for macrophages in ovarian cycle-associated development and remodelling of the mammary gland epithelium. AB - Each ovarian cycle, the mammary gland epithelium rotates through a sequence of hormonally regulated cell proliferation, differentiation and apoptosis. These studies investigate the role of macrophages in this cellular turnover. Macrophage populations and their spatial distribution were found to fluctuate across the cycle. The number of macrophages was highest at diestrus, and the greatest number of macrophages in direct contact with epithelial cells occurred at proestrus. The physiological necessity of macrophages in mammary gland morphogenesis during the estrous cycle was demonstrated in Cd11b-Dtr transgenic mice. Ovariectomised mice were treated with estradiol and progesterone to stimulate alveolar development, and with the progesterone receptor antagonist mifepristone to induce regression of the newly formed alveolar buds. Macrophage depletion during alveolar development resulted in a reduction in both ductal epithelial cell proliferation and the number of alveolar buds. Macrophage depletion during alveolar regression resulted in an increased number of branch points and an accumulation of TUNEL positive cells. These studies show that macrophages have two roles in the cellular turnover of epithelial cells in the cycling mammary gland; following ovulation, they promote the development of alveolar buds in preparation for possible pregnancy, and they remodel the tissue back to its basic architecture in preparation for a new estrous cycle. PMID- 21068061 TI - Efficient regeneration by activation of neurogenesis in homeostatically quiescent regions of the adult vertebrate brain. AB - In contrast to mammals, salamanders and teleost fishes can efficiently repair the adult brain. It has been hypothesised that constitutively active neurogenic niches are a prerequisite for extensive neuronal regeneration capacity. Here, we show that the highly regenerative salamander, the red spotted newt, displays an unexpectedly similar distribution of active germinal niches with mammals under normal physiological conditions. Proliferation zones in the adult newt brain are restricted to the forebrain, whereas all other regions are essentially quiescent. However, ablation of midbrain dopamine neurons in newts induced ependymoglia cells in the normally quiescent midbrain to proliferate and to undertake full dopamine neuron regeneration. Using oligonucleotide microarrays, we have catalogued a set of differentially expressed genes in these activated ependymoglia cells. This strategy identified hedgehog signalling as a key component of adult dopamine neuron regeneration. These data show that brain regeneration can occur by activation of neurogenesis in quiescent brain regions. PMID- 21068062 TI - Patent ductus arteriosus in mice with smooth muscle-specific Jag1 deletion. AB - The ductus arteriosus is an arterial vessel that shunts blood flow away from the lungs during fetal life, but normally occludes after birth to establish the adult circulation pattern. Failure of the ductus arteriosus to close after birth is termed patent ductus arteriosus and is one of the most common congenital heart defects. Mice with smooth muscle cell-specific deletion of Jag1, which encodes a Notch ligand, die postnatally from patent ductus arteriosus. These mice exhibit defects in contractile smooth muscle cell differentiation in the vascular wall of the ductus arteriosus and adjacent descending aorta. These defects arise through an inability to propagate the JAG1-Notch signal via lateral induction throughout the width of the vascular wall. Both heterotypic endothelial smooth muscle cell interactions and homotypic vascular smooth muscle cell interactions are required for normal patterning and differentiation of the ductus arteriosus and adjacent descending aorta. This new model for a common congenital heart defect provides novel insights into the genetic programs that underlie ductus arteriosus development and closure. PMID- 21068063 TI - The Hippo pathway regulates intestinal stem cell proliferation during Drosophila adult midgut regeneration. AB - Intestinal stem cells (ISCs) in the adult Drosophila midgut proliferate to self renew and to produce differentiating daughter cells that replace those lost as part of normal gut function. Intestinal stress induces the activation of Upd/Jak/Stat signalling, which promotes intestinal regeneration by inducing rapid stem cell proliferation. We have investigated the role of the Hippo (Hpo) pathway in the Drosophila intestine (midgut). Hpo pathway inactivation in either the ISCs or the differentiated enterocytes induces a phenotype similar to that observed under stress situations, including increased stem cell proliferation and expression of Jak/Stat pathway ligands. Hpo pathway targets are induced by stresses such as bacterial infection, suggesting that the Hpo pathway functions as a sensor of cellular stress in the differentiated cells of the midgut. In addition, Yki, the pro-growth transcription factor target of the Hpo pathway, is required in ISCs to drive the proliferative response to stress. Our results suggest that the Hpo pathway is a mediator of the regenerative response in the Drosophila midgut. PMID- 21068064 TI - dFMRP and Caprin, translational regulators of synaptic plasticity, control the cell cycle at the Drosophila mid-blastula transition. AB - The molecular mechanisms driving the conserved metazoan developmental shift referred to as the mid-blastula transition (MBT) remain mysterious. Typically, cleavage divisions give way to longer asynchronous cell cycles with the acquisition of a gap phase. In Drosophila, rapid synchronous nuclear divisions must pause at the MBT to allow the formation of a cellular blastoderm through a special form of cytokinesis termed cellularization. Drosophila Fragile X mental retardation protein (dFMRP; FMR1), a transcript-specific translational regulator, is required for cellularization. The role of FMRP has been most extensively studied in the nervous system because the loss of FMRP activity in neurons causes the misexpression of specific mRNAs required for synaptic plasticity, resulting in mental retardation and autism in humans. Here, we show that in the early embryo dFMRP associates specifically with Caprin, another transcript-specific translational regulator implicated in synaptic plasticity, and with eIF4G, a key regulator of translational initiation. dFMRP and Caprin collaborate to control the cell cycle at the MBT by directly mediating the normal repression of maternal Cyclin B mRNA and the activation of zygotic fruhstart mRNA. These findings identify two new targets of dFMRP regulation and implicate conserved translational regulatory mechanisms in processes as diverse as learning, memory and early embryonic development. PMID- 21068065 TI - BMP signaling in the development of the mouse esophagus and forestomach. AB - The stratification and differentiation of the epidermis are known to involve the precise control of multiple signaling pathways. By contrast, little is known about the development of the mouse esophagus and forestomach, which are composed of a stratified squamous epithelium. Based on prior work in the skin, we hypothesized that bone morphogenetic protein (BMP) signaling is a central player. To test this hypothesis, we first used a BMP reporter mouse line harboring a BRE lacZ allele, along with in situ hybridization to localize transcripts for BMP signaling components, including various antagonists. We then exploited a Shh-Cre allele that drives recombination in the embryonic foregut epithelium to generate gain- or loss-of-function models for the Bmpr1a (Alk3) receptor. In gain-of function (Shh-Cre;Rosa26(CAG-loxpstoploxp-caBmprIa)) embryos, high levels of ectopic BMP signaling stall the transition from simple columnar to multilayered undifferentiated epithelium in the esophagus and forestomach. In loss-of-function experiments, conditional deletion of the BMP receptor in Shh Cre;Bmpr1a(flox/flox) embryos allows the formation of a multilayered squamous epithelium but this fails to differentiate, as shown by the absence of expression of the suprabasal markers loricrin and involucrin. Together, these findings suggest multiple roles for BMP signaling in the developing esophagus and forestomach. PMID- 21068066 TI - Vascular invasion in triple-negative carcinoma of the breast identified by endothelial lymphatic and blood vessel markers. AB - The aim of this study was to determine lymph vessel invasion (LVI) and blood vessel invasion (BVI) using established biological markers and clinicopathological findings for triple-negative breast carcinoma. We reviewed all 202 cases of primary breast carcinoma that were surgically resected at Saitama Medical Center, Saitama Medical School, between 2006 and 2009. Tumor tissue was immunostained for estrogen receptor, progesterone receptor, Her2/neu, D2-40, and CD34. Among these, 26 cases of triple-negative carcinoma were reported retrospectively. The results were compared with those of 176 cases of non-triple negative carcinomas that were included as controls. The frequency of LVI examined by hematoxylin and eosin and D2-40 (triple negative, 7 of 26; non-triple negative, 61 of 176) was not significantly different, and neither was BVI examined by HE, Elastica van Gieson, CD34 (triple negative, 2 of 26; non-triple negative, 16 of 176), and lymph node metastasis (triple negative 9 of 26, non triple negative, 65 of 176). However, a specific pattern of distant metastasis with a high frequency of visceral metastases was detected in triple-negative carcinoma cases (triple negative, 6 of 26; non-triple negative, 8 of 176). Our findings show that triple-negative carcinoma of the breast may have a distinct biological behavior. PMID- 21068067 TI - Signet ring cell carcinoma of the gallbladder in a 22-year-old man: a case report and review of the literature. AB - Primary carcinoma of the gallbladder is an uncommon malignancy with a variable incidence among different geographic locales and ethnic groups. Women are affected generally 3 times as often as men. Choleliths are a significant risk factor. Other risk factors include abnormal union of the choledochopancreatic ducts, obesity, multiparity, chronic infection with certain bacteria, and genetics. The signet ring cell subtype of adenocarcinoma is a rare entity. The behavior of this subtype is not well described in the literature. The authors present a case of signet ring cell carcinoma of the gallbladder, unusual in their case because of the patient's young age, male gender, African American ethnicity, and lack of choleliths or any other risk factors. They review the literature in English to characterize this rare subtype. PMID- 21068068 TI - Low-grade cribriform cystadenocarcinoma of the parotid gland: a neoplasm with favorable prognosis, distinct from salivary duct carcinoma. AB - Low-grade cribriform cystadenocarcinoma of salivary glands is a recently described rare tumor with favorable prognosis. This study reports the case of 50 year-old woman with swelling lasting for 9 months in the right parotideomasseteric area. Grossly, the tumor was well circumscribed and dominated by cystic space. Microscopically, the neoplasm consisted of well-demarcated islets, some of them cystically dilated. The architecture of islets varied from solid to cribriform and micropapillary without comedo-type necroses. The tumor cells featured no significant cytologic atypia. Immunohistochemically, luminal cells showed expression of cytokeratins (CK), CK7, CK18, and S100 protein. In addition, immunostains for CK5/6, CK14, p63 protein, and smooth muscle actin displayed a continuous rim of myoepithelial cells around all tumor nests. In contrast, detection of CK20, hormonal receptors (androgen, estrogen, and progesterone), epidermal growth factor receptor and Her-2/neu oncoprotein was negative. The patient is free of disease for 2 years. The relationship between low-grade cribriform cystadenocarcinoma and salivary duct carcinoma is discussed. PMID- 21068070 TI - Predicting translational diffusion of evolutionary conserved RNA structures by the nucleotide number. AB - Ribonucleic acids are highly conserved essential parts of cellular life. RNA function is determined to a large extent by its hydrodynamic behaviour. The presented study proposes a strategy to predict the hydrodynamic behaviour of RNA single strands on the basis of the polymer size. By atom-level shell-modelling of high-resolution structures, hydrodynamic radius and diffusion coefficient of evolutionary conserved RNA single strands (ssRNA) were calculated. The diffusion coefficients D of 17-174 nucleotides (nt) containing ssRNA depended on the number of nucleotides N with D = 4.56 * 10(-10) N(-0.39) m(2) s(-1). The hydrodynamic radius R(H) depended on N with R(H) = 5.00 * 10(-10) N(0.38) m. An average ratio of the radius of gyration and the hydrodynamic radius of 0.98 +/- 0.08 was calculated in solution. The empirical law was tested by in solution measured hydrodynamic radii and radii of gyration and was found to be highly consistent with experimental data of evolutionary conserved ssRNA. Furthermore, the hydrodynamic behaviour of several evolutionary unevolved ribonucleic acids could be predicted. Based on atom-level shell-modelling of high-resolution structures and experimental hydrodynamic data, empirical models are proposed, which enable to predict the translational diffusion coefficient and molecular size of short RNA single strands solely on the basis of the polymer size. PMID- 21068071 TI - Estrogen receptor agonists and estrogen attenuate TNF-alpha-induced apoptosis in VSC4.1 motoneurons. AB - Tumor necrosis factor-alpha (TNF-alpha) may cause apoptosis and inflammation in amyotrophic lateral sclerosis (ALS) and spinal cord injury (SCI). Recent studies suggest that estrogen (EST) provides neuroprotection against SCI. We tested whether 1,3,5-tris (4-hydroxyphenyl)-4-propyl-1H-pyrazole (PPT) (EST receptor alpha (ERalpha) agonist), 2,3-bis (4-hydroxyphenyl) propionitrile (DPN) (EST receptor beta (ERbeta) agonist), or EST itself would prevent apoptosis in VSC4.1 motoneurons following exposure to TNF-alpha. Cells were exposed to TNF-alpha and 15 min later treated with PPT, DPN, or EST. Posttreatment with 50 nM PPT, 50 nM DPN, or 150 nM EST prevented cell death in VSC4.1 motoneurons. Treatment of VSC4.1 motoneurons with PPT, DPN, or EST induced overexpression of ERalpha, ERbeta, or both, which contributed to neuroprotection by upregulating expression of anti-apoptotic proteins (p-AKT, p-CREB, Bcl-2, and p-Src). Our analyses also revealed that EST agonists and EST increased phosphorylation of extracellular signal-regulated kinase (ERK). The L-type Ca(2+) channel inhibitor, nifedipine (10 MUM), partially inhibited EST agonist and EST-induced increase in phosphorylated ERK expression. The mitogen-activated protein kinase inhibitor, PD98059 (5 MUM), partially prevented ER agonists and EST from providing neuroprotection to TNF-alpha toxicity. Presence of the nuclear ER antagonist, ICI 182 780 (10 MUM), blocked the neuroprotection provided by all three ER agonists tested. Taken together, our data indicate that both ERalpha and ERbeta contribute to PPT, DPN, or EST-mediated neuroprotection with similar signaling profiles. Our data strongly imply that PPT, DPN, or EST can be used as effective neuroprotective agents to attenuate motoneuron death in ALS and SCI. PMID- 21068072 TI - Superoxide induced by a high-glucose concentration attenuates production of angiogenic growth factors in hypoxic mouse mesenchymal stem cells. AB - Previous reports have shown that the paracrine system may be an important mediator in bone-marrow-derived mesenchymal stem cell (MSC) therapy for ischemic diseases. Hyperglycemia and hypoxia have been associated with increased levels of reactive oxygen species; oxidative stress may therefore influence the paracrine effects of MSCs under hypoxic conditions in diabetic patients, although the mechanism underlying this effect remains unknown. Hypoxia-inducible factor 1alpha (HIF-1alpha) regulates the transcription of hypoxia-inducible genes. We determined the effect of high-glucose concentrations on the production of angiogenic growth factors via HIF-1alpha induction in hypoxic MSCs. MSCs were cultured with different glucose concentration (5.6, 11, 20, or 30 mM) for 24 h. The cells were then incubated in a hypoxic chamber (5% O2) or under normoxia (21% O2) for 6 or 24 h. Protein levels of HIF-1alpha, vascular endothelial growth factor A165 (VEGF-A165), and platelet-derived growth factor B (PDGF-B) were attenuated by glucose in hypoxic MSCs in a dose-dependent manner. Treatment with MG132, a specific inhibitor of proteasome activity, significantly reversed the inhibitory effect of high-glucose concentrations in hypoxic MSCs. 4-Hydroxyl tetramethylpiperidin-oxyl (a cell-permeable superoxide scavenger) or Apocynin (a NADPH oxidase inhibitor) significantly reversed glucose-induced attenuation of VEGF-A165, PDGF-B, and HIF-1alpha protein levels. Stimulation with a high-glucose concentration (30 mM) significantly increased intracellular superoxide levels in hypoxic MSCs. Our results suggest that in hypoxic MSCs the increase in intracellular superoxide levels induced by high-glucose concentrations may attenuate hypoxia-induced HIF-1alpha expression, which in turn attenuates hypoxia induced VEGF-A165 and PDGF-B transcription. PMID- 21068073 TI - Bacterial lipopolysaccharide induces type 2 deiodinase in cultured rat astrocytes. AB - In the brain, 3,5,3'-triiodothyronine, which binds to the thyroid hormone receptor with high affinity, is locally generated from thyroxine by type 2 iodothyronine deiodinase (D2) expressed mainly in astrocytes and tanycytes. We have investigated the effects of bacterial lipopolysaccharide (LPS) on D2 in cultured rat astrocytes. LPS induced D2 activity with a lag-time of 4-8 h and a maximum at 24 h. LPS also promoted D2 mRNA accumulation. Glucocorticoids enhanced both the basal and LPS-stimulated D2 activity and mRNA accumulation. These glucocorticoid effects were blocked by the glucocorticoid receptor antagonist RU486. Our results obtained with different specific signaling pathway inhibitors indicated that D2 induction by LPS required ERK and p38-MAPK signaling pathways. NF-kappaB inhibitor sulfasalazine blocked the effects of LPS on both D2 activity and mRNA accumulation. Hence, D2 induction by LPS appeared to implicate NF-kappaB pathway in astrocytes. NF-kappaB responsiveness of the rat dio2 gene was studied in astrocytes with dio2 5'-flanking region promoter assays. The long form of the dio2 promoter was transactivated by NF-kappaB. CCAAT/enhancer-binding protein beta, which is upregulated by LPS in astrocytes, increased the transcriptional activity of the dio2 promoter in its long or truncated forms containing CCAATs. Our observations, which demonstrate D2 induction by LPS in astrocytes and specify some characteristics of D2 induction mechanism, support the possible implication of brain D2 in adaptative responses to an infectious stress. PMID- 21068074 TI - The prolactin receptor is expressed in macrophages within human carotid atherosclerotic plaques: a role for prolactin in atherogenesis? AB - Atherosclerotic vascular disease is the consequence of a chronic inflammatory process, and prolactin has been shown to be a component of the inflammatory response. Additionally, recent studies indicate that prolactin contributes to an atherogenic phenotype. We hypothesized that this may be the result of a direct effect of prolactin on atherogenesis through activation of the prolactin receptor. Human carotid atherosclerotic plaques were obtained from patients by endarteriectomies. The mRNA of prolactin receptor, but not of prolactin, was detected in these atherosclerotic plaques by quantitative real-time PCR. In situ hybridization confirmed the expression of the prolactin receptor in mononuclear cells. Analysis at the protein level using immunohistochemistry and immunoelectron microscopy revealed that the prolactin receptor was abundantly present in macrophages near the lipid core and shoulder regions of the plaques. Our findings demonstrate that the prolactin receptor is present in macrophages of the atherosclerotic plaque at sites of most prominent inflammation. We therefore propose that prolactin receptor signaling contributes to the local inflammatory response within the atherosclerotic plaque and thus to atherogenesis. PMID- 21068075 TI - Ethical and practical issues relating to the global use of therapeutic hypothermia for perinatal asphyxial encephalopathy. AB - In intensive care settings in the developed world, therapeutic hypothermia is established as a therapy for term infants with moderate to severe neonatal encephalopathy due to perinatal asphyxia. Several preclinical, pilot and clinical trials conducted in such settings over the last decade have demonstrated that this therapy is safe and effective. The greatest burden of birth asphyxia falls, however, in low- and middle-income countries; it is still unclear whether therapeutic hypothermia is safe and effective in this context. In this paper, the issues around treatments that may be proven safe and effective in the developed world and the caution needed in translating these into different settings and populations are explored. It is argued that there are strong scientific and ethical reasons supporting the conduct of rigorous, randomised controlled trials of therapeutic hypothermia in middle-income settings. There also needs to be substantial and sustainable improvements in all facets of antenatal care and in the basic level of newborn resuscitation in low income countries. This will reduce the burden of disease and allow health workers to determine rapidly which infants are most eligible for potential neuroprotection. PMID- 21068076 TI - Warfarin induced coagulopathy in children: assessment of a conservative approach. AB - BACKGROUND: Increasing numbers of children are being administered warfarin therapy as thromboprophylaxis. Warfarin has a narrow therapeutic window with a target international normalised ratio (INR) of 2-3.5, called the therapeutic range. The length of time a patient's INR remains within the therapeutic range is calculated as 'time in the therapeutic range'. Risk for haemorrhage in children receiving warfarin is 0.5%/patient-year and minor bleeding 2.3%/patient-year, which increases exponentially for INRs >5.0. Practice among non-bleeding adults with INRs >=5 and <=9 is to withhold warfarin and allow the INR to return to the therapeutic range. Faster warfarin clearance is correlated with younger age. METHODS AND RESULTS: The study objective was to determine the safety and effectiveness of a conservative approach for management of INRs >5 in children receiving warfarin. Children receiving warfarin with INRs >=5 had warfarin withheld followed by a next day INR without vitamin K administration. Eighty-nine children (1-16 years) participated in the study with 2353 INRs performed. Twenty six children had INRs >=5, 5% of the total performed, with a mean INR of 5.9. The next day repeat mean INR after withholding one dose of warfarin was 3.3 (range 1.2-6.8) with 89% of INRs falling below 5. There were no overt bleeds or symptomatic thrombotic events in the month following the INR >5. Time in the therapeutic range for children with INRs >=5 was 68%. CONCLUSIONS: Withholding warfarin alone for management of non-bleeding INRs >=5 and <=8 appears to be safe and effective. PMID- 21068077 TI - Cerebral palsy rates by birth weight, gestation and severity in North of England, 1991-2000 singleton births. AB - OBJECTIVE: To investigate changes in rates of cerebral palsy (CP) by birth weight, gestational age, severity of disability, clinical subtype and maternal age in the North of England, 1991-2000. METHODS: Data on 908 cases of CP (816 singletons, 92 multiples) were analysed from the prospective population-based North of England Collaborative Cerebral Palsy Survey. Severity of disability, measured as a Lifestyle Assessment Score (LAS), was derived from the lifestyle assessment questionnaire. CP rates by birth weight, gestational age, birth weight standardised for gestational age and sex, severity of disability and maternal age were compared between 1991-1995 and 1996-2000 using rate ratios (RR). RESULTS: The prevalence of CP in singletons was 2.46 (95% CI 2.29 to 2.63) per 1000 neonatal survivors compared to 11.06 per 1000 (95% CI 8.81 to 13.3) in multiples (RR 4.49, 95% CI 3.62 to 5.57), with no significant change between quinquennia. The singleton CP rates were higher for lower birth weight groups than birth weight >=2500 g; and there were no significant changes for any birth weight group between quinquennia. There were also no changes in rates of more severe disability (LAS>=30%) by birth weight, gestation or clinical subtype. For preterm and term births the patterns of Z-score of birth weight-for-gestation are similar, with CP rates increasing as Z-score deviates from the optimal weight-for gestation, which is about 1 SD above the mean. CONCLUSIONS: In contrast to increasing rates in previous years, rates of CP and more severe CP were stable by birth weight, gestational age and clinical subtype for 1991-2000. PMID- 21068078 TI - An outbreak of scarlet fever in a primary school. AB - Scarlet fever, due to infection with an erythrogenic toxin-producing Group A streptococcus, is an uncommon and generally mild illness, although serious sequelae do occur. In March 2009, 57 of the 126 (45%) pupils in a primary school in Lancashire, UK developed scarlet fever over a 4-week period. Infection was transmitted via direct contact between pupils, particularly among the youngest pupils. A significant degree of transmission also occurred between siblings. The median number of days absent from school was 3 (range 1-10 days). No children were hospitalised. Control measures, including hygiene advice to the school and exclusion of pupils for 24h while initiating penicillin treatment, were ineffective. The outbreak occurred against a background of an unusually high incidence of invasive Group A streptococcal infection. While there are national guidelines for the control of invasive disease, none exist for the control of scarlet fever outbreaks. This prolonged outbreak of scarlet fever highlights the need for an evidence based approach to outbreak management. PMID- 21068079 TI - The non-specific effects of vaccines in low income countries. PMID- 21068080 TI - Bulging fontanelle in febrile infants: lumbar puncture is mandatory. PMID- 21068081 TI - Changing trends in asthma in 9-12 year olds between 1964 and 2009. AB - INTRODUCTION: Childhood asthma is a common condition and the prevalence has increased in many countries during the late 20th century. The Aberdeen schools asthma surveys reported rising lifetime prevalence of asthma between 1964 and 2004 in children aged 9-12 years, but a fall in wheeze in the last 3 years between 1999 and 2004. The present study tested the hypothesis that lifetime childhood asthma prevalence has fallen since 2004. METHODS: Children aged 9-12 years who attended the same schools surveyed since 1964 were invited to participate. A lifetime history of asthma or eczema and also wheeze in the past 3 years and 12 months was ascertained from a questionnaire. Trends over 1999, 2004 and 2009 were analysed with adjustment for age, gender and an index of deprivation. RESULTS: There were 2253 eligible children and 1196 (53%) questionnaires were returned. The lifetime prevalence of asthma rose from 24.3% in 1999 to 28.4% in 2004 but fell to 22.1% in 2009 (p<0.001), while wheeze in the last 3 years fell from 27.9% in 1999 to 25.2% in 2004 and fell further to 22.2% in 2009 (p<0.001). The lifetime prevalence of eczema among 9-12 year olds was 21.4% in 1999, 34.1% in 2004 and 30.7% in 2009 (p<0.001). Reductions in symptom prevalences between 2004 and 2009 were significant for girls but not boys. CONCLUSION: The prevalence of lifetime asthma and wheeze appear to have fallen in school children, especially girls, although the low response rate means some caution is required when interpreting the results. Asthma prevalence remains high and the underlying mechanisms remain incompletely understood. PMID- 21068082 TI - When are paediatricians negligent? PMID- 21068083 TI - Cardiovascular morbidity and mortality in patients with rheumatoid arthritis: vascular alterations and possible clinical implications. AB - Mortality in patients with rheumatoid arthritis (RA) is higher than in the general population, which is due mainly to premature cardiovascular disease. Traditional cardiovascular risk factors cannot entirely explain the higher level of cardiovascular complications, and there is growing evidence that chronic inflammation is the main culprit. The aims of this review of the literature are to (i) summarize aspects of vascular alterations found in the cardiovascular system of RA patients and to relate them to the clinically relevant cardiovascular morbidity and mortality and (ii) evaluate what these abnormalities and complications might in the end imply for clinical management. A number of abnormalities in the cardiovascular system of RA patients have been identified, on the molecular level, in endothelial function, arterial stiffness, arterial morphology and, finally, in the clinical presentation of cardiovascular disease. Cardiovascular risk assessment should be part of the care of RA patients. While a great deal of data is published demonstrating abnormalities in the cardiovascular system of these patients, it is much less clear what specific interventions should be performed to reduce the incidence of cardiovascular complications. Cardiovascular care should be delivered in accordance with recommendations for the general population. Whether specific drugs (e.g. statins, aspirin) are of particular benefit in RA patients needs further investigation. Control of inflammation appears to be of benefit. Methotrexate and tumor necrosis factor alpha blocking agents might reduce the number of cardiovascular events. Leflunomide, cyclosporine, non-steroidal anti-inflammatory drugs and cyclo oxygenase-2 inhibitors may worsen cardiovascular outcome. The role of glucocorticoids in active RA remains to be determined. PMID- 21068084 TI - Influence of admission blood pressure on mortality in patients with acute decompensated heart failure. AB - OBJECTIVES: To determine the relationship between admission blood pressure (BP) and prognosis in patients hospitalized for acute decompensated heart failure (HF). BACKGROUND: The relationship between BP admission blood pressure and outcomes in decompensated HF is controversial. It has been suggested that this presentation may be a specific disorder, but their mechanisms and clinical relationships are poorly defined. METHODS: We evaluated the association between initial BP (systolic, diastolic and mean BP) with readmission and mortality, as well as potential interactions with age, clinical characteristics, renal function, left ventricular dysfunction, comorbidities and treatment. By using Cox regression models the association between each outcome and BP was tested. RESULTS: A total of 581 patients (77.5-years-old, range 51-100) were included. At admission, mean BP in quartiles was 77.09 mm Hg (53.3-85.0) (Q1); 91.46 mm Hg (85.0-96.7) (Q2); 103.41 mm Hg (96.7-109.9) (Q3) and 124.79 mm Hg (109.9-209.0) (Q4). Median duration of follow-up was 8 months [95% confidence interval (CI) 5.2 11.1]. Mortality was 15.5% (Q1), 9.2% (Q2), 12.6% (Q3) and 7.3% (Q4). Interquartile hazard ratio (95% CIs) for mortality was 0.40 (0.19-0.85) P=0.017. Body mass index (BMI) was higher in Q4 29.59 k/m2 than in Q1 28.25 k/m2 (P=0.018). There were no differences in age, clinical antecedents, renal function, comorbidities or severity of HF between groups. CONCLUSION: Higher mean BP at admission is associated with significantly lower mortality during follow up, in patients hospitalized for HF. With the exception of BMI, positively correlated with blood pressure, this relationship is independent of other clinical factors and medications. PMID- 21068085 TI - Transgenic mice: beyond the knockout. AB - Transgenic mice have had a tremendous impact on biomedical research. Most researchers are familiar with transgenic mice that carry Cre recombinase (Cre) and how they are used to create conditional knockouts. However, some researchers are less familiar with many of the other types of transgenic mice and their applications. For example, transgenic mice can be used to study biochemical and molecular pathways in primary cultures and cell suspensions derived from transgenic mice, cell-cell interactions using multiple fluorescent proteins in the same mouse, and the cell cycle in real time and in the whole animal, and they can be used to perform deep tissue imaging in the whole animal, follow cell lineage during development and disease, and isolate large quantities of a pure cell type directly from organs. These novel transgenic mice and their applications provide the means for studying of molecular and biochemical events in the whole animal that was previously limited to cell cultures. In conclusion, transgenic mice are not just for generating knockouts. PMID- 21068086 TI - A mathematical model of the urine concentrating mechanism in the rat renal medulla. I. Formulation and base-case results. AB - A new, region-based mathematical model of the urine concentrating mechanism of the rat renal medulla was used to investigate the significance of transport and structural properties revealed in anatomic studies. The model simulates preferential interactions among tubules and vessels by representing concentric regions that are centered on a vascular bundle in the outer medulla (OM) and on a collecting duct cluster in the inner medulla (IM). Particularly noteworthy features of this model include highly urea-permeable and water-impermeable segments of the long descending limbs and highly urea-permeable ascending thin limbs. Indeed, this is the first detailed mathematical model of the rat urine concentrating mechanism that represents high long-loop urea permeabilities and that produces a substantial axial osmolality gradient in the IM. That axial osmolality gradient is attributable to the increasing urea concentration gradient. The model equations, which are based on conservation of solutes and water and on standard expressions for transmural transport, were solved to steady state. Model simulations predict that the interstitial NaCl and urea concentrations in adjoining regions differ substantially in the OM but not in the IM. In the OM, active NaCl transport from thick ascending limbs, at rates inferred from the physiological literature, resulted in a concentrating effect such that the intratubular fluid osmolality of the collecting duct increases ~2.5 times along the OM. As a result of the separation of urea from NaCl and the subsequent mixing of that urea and NaCl in the interstitium and vasculature of the IM, collecting duct fluid osmolality further increases by a factor of ~1.55 along the IM. PMID- 21068087 TI - Enhancement of renin and prorenin receptor in collecting duct of Cyp1a1-Ren2 rats may contribute to development and progression of malignant hypertension. AB - To determine whether in the transgenic rat model [TGR(Cyp1a1Ren2)] with inducible ANG II-dependent malignant hypertension changes in the activation of intrarenal renin-angiotensin system may contribute to the pathogenesis of hypertension, we examined the gene expression of angiotensinogen (AGT) in renal cortical tissues and renin and prorenin receptor [(P)RR] in the collecting duct (CD) of the kidneys from Cyp1a1Ren2 rats (n = 6) fed a normal diet containing 0.3% indole-3 carbinol (I3C) for 10 days and noninduced rats maintained on a normal diet (0.6% NaCl diet; n = 6). Rats induced with I3C developed malignant hypertension and exhibited alterations in the expression of renin and (P)RR expressed by the CD cells. In the renal medullary tissues of the Cyp1a1Ren2 transgenic rats with malignant hypertension, renin protein levels in CD cells were associated with maintained renin content and lack of suppression of the endogenous Ren1c gene expression. Furthermore, these tissues exhibited increased levels of (P)RR transcript, as well as of the protein levels of the soluble form of this receptor, the s(P)RR. Intriguingly, although previous findings demonstrated that urinary AGT excretion is augmented in Cyp1a1Ren2 transgenic rats with malignant hypertension, in the present study we did not find changes in the gene expression of AGT in renal cortical tissues of these rats. The data suggest that upregulation of renin and the s(P)RR in the CD, especially in the renal medullary tissues of Cyp1a1Ren2 transgenic rats with malignant hypertension, along with the previously demonstrated increased availability of AGT in the urine of these rats, may constitute a leading mechanism to explain elevated formation of kidney ANG II levels in this model of ANG II-dependent hypertension. PMID- 21068088 TI - A mathematical model of the urine concentrating mechanism in the rat renal medulla. II. Functional implications of three-dimensional architecture. AB - In a companion study [Layton AT. A mathematical model of the urine concentrating mechanism in the rat renal medulla. I. Formulation and base-case results. Am J Physiol Renal Physiol. (First published November 10, 2010). 10.1152/ajprenal.00203.2010] a region-based mathematical model was formulated for the urine concentrating mechanism in the renal medulla of the rat kidney. In the present study, we investigated model sensitivity to some of the fundamental structural assumptions. An unexpected finding is that the concentrating capability of this region-based model falls short of the capability of models that have radially homogeneous interstitial fluid at each level of only the inner medulla (IM) or of both the outer medulla and IM, but are otherwise analogous to the region-based model. Nonetheless, model results reveal the functional significance of several aspects of tubular segmentation and heterogeneity: 1) the exclusion of ascending thin limbs that reach into the deep IM from the collecting duct clusters in the upper IM promotes urea cycling within the IM; 2) the high urea permeability of the lower IM thin limb segments allows their tubular fluid urea content to equilibrate with the surrounding interstitium; 3) the aquaporin-1 null terminal descending limb segments prevent water entry and maintain the transepithelial NaCl concentration gradient; 4) a higher thick ascending limb Na(+) active transport rate in the inner stripe augments concentrating capability without a corresponding increase in energy expenditure for transport; 5) active Na(+) reabsorption from the collecting duct elevates its tubular fluid urea concentration. Model calculations predict that these aspects of tubular segmentation and heterogeneity promote effective urine concentrating functions. PMID- 21068091 TI - The need for personalised medicine for rheumatoid arthritis. AB - An expanding range of biological therapies is available for patients with rheumatoid arthritis. Clinical trials and real-life experience demonstrate significant interpatient heterogeneity in efficacy as well as important adverse effects of these treatments. In order to maximise their benefit:risk ratios and to minimise later joint damage, we need to define predictors of response and, ideally, of adverse effects for each of these drugs. There is huge interest in this field of 'personalised medicine', which should allow us to optimally match patient with treatment, providing the parallel benefit of reduced treatment costs. In this short article the current state of the art for licensed biological therapies is summarised. There have been some noteworthy discoveries but the challenge is now to design studies to confirm and validate these findings while also devising large, potentially international, collaborations to identify additional, robust biomarkers that predict outcome. PMID- 21068090 TI - The presence or absence of antibodies to infliximab or adalimumab determines the outcome of switching to etanercept. AB - OBJECTIVE: The aim of this study was to test the hypothesis that the reason for non-response (caused by immunogenicity or not) to a first tumour necrosis factor (TNF) inhibitor defines whether a second TNF inhibitor will be effective. METHODS: This cohort study consisted of 292 consecutive patients with rheumatoid arthritis (RA), all treated with etanercept. A total of 89 patients (30%) were treated previously with infliximab or adalimumab ('switchers'), and the remaining 203 (70%) were anti-TNF naive. All switchers were divided into two groups: with and without antibodies against the previous biological. Differences in clinical response to etanercept between switchers with and without antibodies and patients who were anti-TNF naive were assessed after 28 weeks of treatment using changes in Disease Activity Score in 28 joints (DAS28). RESULTS: After 28 weeks of treatment, response to etanercept did not differ between patients who were anti TNF naive and switchers with anti-drug antibodies (DeltaDAS28=2.1 +/- 1.3 vs DeltaDAS28=2.0 +/- 1.3; p = 0.743). In contrast, switchers without anti-drug antibodies had a diminished response to etanercept treatment compared to patients who were TNF naive (DeltaDAS28 =1.2+/-1.3 vs DeltaDAS28 = 2.1 +/- 1.3; p = 0.001) and switchers with antibodies (DeltaDAS28 =1.2+/-1.3 vs DeltaDAS28 = 2.0 +/- 1.3; p = 0.017). CONCLUSION: Patients with RA with an immunogenic response against a first TNF-blocking agent had a better clinical response to a subsequent TNF blocker compared to patients with RA without anti-drug antibodies. Hence, determining immunogenicity can be helpful in deciding in which patient switching could be beneficial and can be part of a personalised treatment regimen. PMID- 21068089 TI - dsRNA activation of endothelin-1 and markers of vascular activation in endothelial cells and fibroblasts. AB - BACKGROUND: In patients with systemic sclerosis (SSc), the relationship between innate immune activation, represented by increased expression of interferon (IFN) regulated genes, and vascular injury/activation, manifest by increased endothelin 1 (ET-1), endothelin converting enzyme-1 (ECE1) and intercellular adhesion molecule-1, is uncertain. OBJECTIVE: To investigate the potential roles of innate immune ligands in both these pathogenic pathways. METHODS: The effect of known Toll-like receptor (TLR) ligands was tested in vitro on dermal microvascular and pulmonary arterial endothelial cells, and on dermal fibroblasts cultured from healthy controls and patients with SSc. To test the effect of double-stranded RNA (dsRNA) on vascular activation/injury in vivo, polyinosinic/polycytidylic acid (poly(I:C)) was administered continuously over 7 days by subcutaneous osmotic pump. RESULTS: dsRNA/poly(I:C), but not other TLR ligands, highly stimulated ET-1 protein and mRNA (EDN1), as well as intercellular adhesion molecule-1 (ICAM-1) and IFN-regulated MX2, by endothelial cells and dermal fibroblasts. Poly(I:C) induced EDN1, ECE1, and ICAM-1 mRNA expression in poly(I:C) treated skin. Poly(I:C)-induced EDN1, ECE1 and MX2 was not blocked in mice with the type I IFN receptor deleted. However, poly(I:C)-induced EDN1 and ECE1, but not poly(I:C) induced ICAM-1 expression was blocked in mice with the TLR3 signalling protein TRIF/TICAM-1 deleted. CONCLUSION: Together these data show that the dsRNA can regulate genes associated with vascular activation, as seen in SSc, that type I IFNs do not mediate these effects, and that EDN1 and ECE1 but not ICAM-1 activation is mediated by TLR3. PMID- 21068093 TI - Prediction of MRI erosive progression: a comparison of modern imaging modalities in early rheumatoid arthritis patients. AB - OBJECTIVES: To examine the associations between modern imaging modalities and joint damage measured as 1-year MRI erosive progression, in early rheumatoid arthritis (RA) patients. METHODS: 84 RA patients with disease duration of less than 1 year were included in this inception cohort. Patients were evaluated at baseline, 3, 6 and 12 months by core measures of disease activity, MRI and ultrasound grey-scale (USGS) of inflammation, conventional radiography and digital x-ray radiogrammetry (DXR) bone mineral density (BMD) of cortical hand bone. RESULTS: 53 of the 79 patients (67%) who completed the follow-up had MRI erosive progression (dependent variable). USGS and MRI bone marrow oedema (BME) were in multivariate analyses independent predictors of 1-year MRI erosive progression. There was a trend towards higher MRI synovitis score and 3-month DXR BMD loss in patients developing MRI erosions. On an individual level, USGS inflammation, MRI synovitis and MRI BME also somewhat better predicted outcome than rheumatoid factor, anticitrullinated protein antibodies and disease activity score 28. CONCLUSIONS: USGS inflammation and MRI BME were independent predictors of MRI erosive progression in early RA patients on a group level. The exact prognosis of the individual patients could not be determined by imaging alone. PMID- 21068092 TI - Endothelial activation and apoptosis mediated by neutrophil-dependent interleukin 6 trans-signalling: a novel target for systemic sclerosis? AB - OBJECTIVES: Systemic sclerosis (SSc) is a connective tissue disease associated with significant morbidity and mortality and generally inadequate treatment. Endothelial cell activation and apoptosis are thought to be pivotal in the pathogenesis of this disease, but the mechanisms that mediate this remain unknown. METHODS: Human dermal microvascular endothelial cells were cultured with healthy control neutrophils in the presence of 25% healthy control or SSc serum for 24 h. Apoptosis was measured by annexin V-FITC binding and endothelial cell activation was measured using an allophycocyanin-conjugated E-selectin antibody. Fluorescence was quantified and localised using confocal microscopy. RESULTS: SSc serum resulted in significantly increased apoptosis (p=0.006) and E-selectin expression (p=0.00004) in endothelial cells compared with control serum, effects that were critically dependent on the presence of neutrophils. Recombinant interleukin 6 (IL-6) reproduced these findings. Immunodepletion of IL-6 and the use of an IL-6 neutralising antibody decreased the effect of SSc serum on E selectin expression. Soluble gp130, which specifically blocks IL-6 trans signalling, negated the effect of SSc serum on both E-selectin expression and apoptosis. CONCLUSIONS: SSc serum induces endothelial cell activation and apoptosis in endothelial cell-neutrophil co-cultures, mediated largely by IL-6 and dependent on the presence of neutrophils. Together with other pathologically relevant effects of IL-6, these data justify further exploration of IL-6 as a therapeutic target in SSc. PMID- 21068094 TI - Anti-citrullinated protein antibodies have a low avidity compared with antibodies against recall antigens. AB - OBJECTIVES: Anti-citrullinated protein antibodies (ACPA) are highly specific for rheumatoid arthritis (RA) and have been implicated in disease pathogenesis. Recent ongoing evidence indicates that the ACPA response broadens before precipitation of full-blown RA, as indicated by a more extensive isotype usage and an increased citrullinated epitope recognition profile. Nonetheless, the evolution of the ACPA response is still poorly understood and might intrinsically differ from the protective responses against pathogens. METHODS: The avidity and the avidity maturation of ACPA in relation to the avidity of antibodies against recall antigens were analysed. RESULTS: The avidity of ACPA was significantly lower than the avidity of antibodies to the recall antigens tetanus toxoid and diptheria toxoid. Moreover, ACPA did not show avidity maturation during longitudinal follow-up and ACPA avidity was also relatively low in patients who displayed extensive isotype switching. CONCLUSIONS: These observations indicate that the natural evolution of ACPA differs from the development of antibodies against recall antigens. These data also indicate that ACPA avidity maturation and isotype switching are disconnected, whereby extensive isotype switching occurs in the setting of restricted avidity maturation. Intrinsic differences between the RA-specific autoantibody system and protective antibody responses against pathogens could be of relevance for designing novel B cell-targeted therapies for RA. PMID- 21068095 TI - Ankylosing Spondylitis Disease Activity Score (ASDAS): defining cut-off values for disease activity states and improvement scores. AB - BACKGROUND: The Ankylosing Spondylitis Disease Activity Score (ASDAS) is a new composite index to assess disease activity in ankylosing spondylitis (AS). It fulfils important aspects of truth, feasibility and discrimination. Criteria for disease activity states and improvement scores are important for use in clinical practice, observational studies and clinical trials and so far have not been developed for the ASDAS. OBJECTIVE: To determine clinically relevant cut-off values for disease activity states and improvement scores using the ASDAS. METHODS: For the selection of cut-offs data from the Norwegian disease modifying antirheumatic drug (NOR-DMARD) registry, a cohort of patients with AS starting conventional or biological DMARDs, were used. Receiver operating characteristic analysis against several external criteria was performed and several approaches to determine the optimal cut-offs used. The final choice was made on clinical and statistical grounds, after debate and voting by Assessment of SpondyloArthritis international Society members. Crossvalidation was performed in NOR-DMARD and in Ankylosing Spondylitis Study for the Evaluation of Recombinant Infliximab Therapy, a database of patients with AS participating in a randomised placebo controlled trial with a tumour necrosis factor blocker. RESULTS: Four disease activity states were chosen by consensus: inactive disease, moderate, high and very high disease activity. The three cut-offs selected to separate these states were: 1.3, 2.1 and 3.5 units. Selected cut-offs for improvement were: change >=1.1 units for clinically important improvement and change >=2.0 units for major improvement. Results of the crossvalidation strongly supported the cut-offs. CONCLUSIONS: Cut-off values for disease activity states and improvement using the ASDAS have been developed. They proved to have external validity and a good performance compared to existing criteria. PMID- 21068096 TI - Efficacy of a single ultrasound-guided injection for the treatment of hip osteoarthritis. AB - BACKGROUND: Intra-articular injection is effective for osteoarthritis, but the best single injection strategy is not known, nor are there established predictors of response. The objectives of this study were to assess and predict response to a single ultrasound-guided injection in moderate to severe hip osteoarthritis. METHODS: 77 hip osteoarthritis patients entered a prospective, randomised controlled trial, randomised to one of four groups: standard care (no injection); normal saline; non-animal stabilised hyaluronic acid (durolane) or methylprednisolone acetate (depomedrone). MAIN OUTCOME MEASURES: Numerical rating scale (NRS 0-10) 'worst pain', Western Ontario and McMaster Universities Arthritis Index (WOMAC) pain/function. Potential predictors of response (including radiographic severity, ultrasound synovitis and baseline symptom severity) were examined using univariate logistic regression analysis and Fisher's exact test. RESULTS: NRS pain, WOMAC pain and function improved significantly for the steroid arm alone. Effect sizes at week 1 were striking: NRS pain 1.5, WOMAC pain 1.9 and WOMAC function 1.3. Outcome Measures in Rheumatoid Arthritis Clinical Trials-Osteoarthritis Research Society responder criteria identified 22 responders (intention-to-treat): steroid 14 (74%; number needed to treat, two); saline, four (21%); durolane, two (11%); and no injection, two (10%; chi(2) test between groups, p<0.001). Corticosteroid arm response was maintained over 8 weeks (summary measures analysis of variance, p<0.002 for NRS pain). Synovitis was a significant predictor of response at weeks 4 and 8 (p<0.05, Fisher's exact test; week 4 OR 16.7, 95% CI 1.4 to 204). CONCLUSIONS: Ultrasound-guided corticosteroid injections are highly efficacious; furthermore synovitis on ultrasound is a biomarker of response to injection. PMID- 21068097 TI - Serum levels of interferon alpha do not correlate with disease activity in patients with dermatomyositis/polymyositis. PMID- 21068098 TI - Study of the common genetic background for rheumatoid arthritis and systemic lupus erythematosus. AB - BACKGROUND: Evidence is beginning to emerge that there may be susceptibility loci for rheumatoid arthritis (RA) and systemic lupus erythematosus (SLE) that are common to both diseases. OBJECTIVE: To investigate single nucleotide polymorphisms that have been reported to be associated with SLE in a UK cohort of patients with RA and controls. METHODS: 3962 patients with RA and 9275 controls were included in the study. Eleven SNPs mapping to confirmed SLE loci were investigated. These mapped to the TNFSF4, BANK1, TNIP1, PTTG1, UHRF1BP1, ATG5, JAZF1, BLK, KIAA1542, ITGAM and UBE2L3 loci. Genotype frequencies were compared between patients with RA and controls using the trend test. RESULTS: The SNPs mapping to the BLK and UBE2L3 loci showed significant evidence for association with RA. Two other SNPs, mapping to ATG5 and KIAA1542, showed nominal evidence for association with RA (p=0.02 and p=0.02, respectively) but these were not significant after applying a Bonferroni correction. Additionally, a significant global enrichment in carriage of SLE alleles in patients with RA compared with controls (p=9.1*10(-7)) was found. Meta-analysis of this and previous studies confirmed the association of the BLK and UBE2L3 gene with RA at genome-wide significance levels (p<5*10(-8)). Together, the authors estimate that the SLE and RA overlapping loci, excluding HLA-DRB1 alleles, identified so far explain ~5.8% of the genetic susceptibility to RA as a whole. CONCLUSION: The findings confirm the association of the BLK and UBE2L3 loci with RA, thus adding to the list of loci showing overlap between RA and SLE. PMID- 21068100 TI - The monoclonal anti-CD25 antibody basiliximab for the treatment of progressive systemic sclerosis: an open-label study. PMID- 21068099 TI - Meta-analysis of genome-wide association studies confirms a susceptibility locus for knee osteoarthritis on chromosome 7q22. AB - OBJECTIVES: Osteoarthritis (OA) is the most prevalent form of arthritis and accounts for substantial morbidity and disability, particularly in older people. It is characterised by changes in joint structure, including degeneration of the articular cartilage, and its aetiology is multifactorial with a strong postulated genetic component. METHODS: A meta-analysis was performed of four genome-wide association (GWA) studies of 2371 cases of knee OA and 35 909 controls in Caucasian populations. Replication of the top hits was attempted with data from 10 additional replication datasets. RESULTS: With a cumulative sample size of 6709 cases and 44 439 controls, one genome-wide significant locus was identified on chromosome 7q22 for knee OA (rs4730250, p=9.2 * 10-9), thereby confirming its role as a susceptibility locus for OA. CONCLUSION: The associated signal is located within a large (500 kb) linkage disequilibrium block that contains six genes: PRKAR2B (protein kinase, cAMP-dependent, regulatory, type II, beta), HPB1 (HMG-box transcription factor 1), COG5 (component of oligomeric golgi complex 5), GPR22 (G protein-coupled receptor 22), DUS4L (dihydrouridine synthase 4-like) and BCAP29 (B cell receptor-associated protein 29). Gene expression analyses of the (six) genes in primary cells derived from different joint tissues confirmed expression of all the genes in the joint environment. PMID- 21068101 TI - Tumour necrosis factor (TNF)-blocking agents in juvenile psoriatic arthritis: are they effective? AB - OBJECTIVES: To evaluate the effectiveness of tumour necrosis factor (TNF) blockers in juvenile psoriatic arthritis (JPsA). METHODS: The study was a prospective ongoing multicentre, observational study of all Dutch juvenile idiopathic arthritis (JIA) patients using biologicals. The response of arthritis was assessed by American College of Rheumatology (ACR) paediatric response and Wallace inactive disease criteria. The response of psoriatic skin lesions was scored by a 5-point scale. RESULTS: Eighteen JPsA patients (72% female, median age onset 11.1 (range 3.3-14.6) years, 50% psoriatic skin lesions, 39% nail pitting, 22% dactylitis) were studied. The median follow-up time since starting anti-TNFalpha was 26 (range 3-62) months. Seventeen patients started on etanercept and one started on adalimumab. After 3 months of treatment 83% of the patients achieved ACR30 response, increasing to 100% after 15 months. Inactive disease reached in 67% after 39 months. There was no discontinuation because of inefficacy. Six patients discontinued treatment after a good clinical response. However, five patients flared and restarted treatment, all with a good response. During treatment four patients (two JPsA and two JIA patients with other subtypes) developed de novo psoriasis. In four of the nine patients the pre existing psoriatic skin lesions improved. CONCLUSION: Anti-TNFalpha therapy in JPsA seems effective in treating arthritis. However, in most patients the arthritis flared up after treatment discontinuation, emphasising the need to investigate optimal therapy duration. The psoriatic skin lesions did not respond well and four patients developed de novo psoriasis. PMID- 21068102 TI - Association of STAT3 and TNFRSF1A with ankylosing spondylitis in Han Chinese. AB - OBJECTIVES: Recent association studies by the Australo-Anglo-American Spondyloarthritis Consortium (TASC) in Caucasian European populations from Australia, North America and the UK have identified a number of genes as being associated with ankylosing spondylitis (AS). A candidate gene study in a Han Chinese population was performed based on these findings to identify associated genes in this population. METHODS: A case-control study was performed in a Han Chinese population of patients with AS (n = 775) and controls (n = 1587) from Shanghai and Nanjing. All patients met the modified New York criteria for AS. The cases and controls were genotyped for 115 single nucleotide polymorphisms (SNPs) tagging IL23R, ERAP1, STAT3, JAK2, TNFRSF1A and TRADD, as well as other confirmation SNPs from the TASC study, using the Sequenom iPlex and the ABI OpenArray platforms. Statistical analysis of genotyped SNPs was performed using the Cochran-Armitage test for trend and meta-analysis was performed using METAL. SNPs in AS-associated genes in this study were then imputed using MaCH, and association with AS tested by logistic regression. RESULTS: SNPs in TNFRSF1A (rs4149577, p = 8.2 * 10-4), STAT3 (rs2293152, p = 0.0015; rs1053005, p = 0.017) and ERAP1 (rs27038, p = 0.0091; rs27037, p = 0.0092) were significantly associated with AS in Han Chinese. Association was also observed between AS and the intergenic region 2p15 (rs10865331, p = 0.023). The lack of association between AS and IL23R in Han Chinese was confirmed (all SNPs p > 0.1). CONCLUSIONS: The study results demonstrate for the first time that genetic polymorphisms in STAT3, TNFRSF1A and 2p15 are associated with AS in Han Chinese, suggesting common pathogenic mechanisms for the disease in Chinese and Caucasian European populations. Furthermore, previous findings demonstrating that ERAP1, but not IL23R, is associated with AS in Chinese patients were confirmed. PMID- 21068103 TI - Statin therapy in lupus-mediated atherogenesis: two birds with one stone? AB - The atherosclerotic process is accelerated in patients with systemic lupus erythematosus (SLE). In addition to a robust lipid-lowering effect, various immunomodulatory functions have been ascribed to statins. By virtue of the latter they may be able to reduce atherosclerotic vascular disease in SLE by inhibiting immune activation within the arterial wall and by attenuating lupus activity. The effects of statins on SLE as well as on lupus-mediated atherogenesis in vivo are discussed in this viewpoint. PMID- 21068104 TI - Discontinuing treatment in patients with rheumatoid arthritis in sustained clinical remission: exploratory analyses from the BeSt study. AB - OBJECTIVES: To determine the relapse rate after discontinuing treatment in patients with rheumatoid arthritis (RA) in sustained clinical remission, to identify predictors of a relapse and to evaluate treatment response after restarting treatment. METHODS: Five-year data from the BeSt study were used, in which 508 patients with recent-onset RA were randomised into four dynamic treatment strategies, aiming at a disease activity score (DAS) <= 2.4. When DAS was < 1.6 for >= 6 months, the last disease-modifying antirheumatic drug (DMARD) was tapered and discontinued. If DAS increased to >= 1.6, the last DMARD was immediately reintroduced. RESULTS: During a 5-year period, 115/508 patients (23%) achieved drug-free remission. Of these, 53 patients (46%) restarted treatment because the DAS was >= 1.6 after a median of 5 months, 59 patients (51%) remained in drug-free remission for a median duration of 23 months and 3 (3%) were lost to follow-up. In those who restarted treatment, mean (SD) DAS increased from 1.13 (0.73) at remission before tapering to 2.18 (0.65) at restart, reflecting an increase in all four components of DAS. Multivariable predictors for restarting treatment were anti-cyclic citrullinated peptide (anti-CCP), last DMARD sulfasalazine, low baseline Health Assessment Questionnaire score and high mean DAS until remission. Of the 53 patients who restarted treatment, 39 (74%) again achieved remission 3-6 months after the restart. The median (IQR) damage progression in those who restarted treatment during the year of DAS increase was 0 (0-1) Sharp-van der Heijde units. CONCLUSION: During 5 years DAS steered treatment, nearly 25% of patients with RA achieved drug-free remission; 46% restarted DMARD monotherapy because of a relapse, the majority of whom again achieved clinical remission within 3-6 months without showing radiological progression during the relapse. PMID- 21068105 TI - Pain after amputation of the lower leg. PMID- 21068107 TI - Elderly patients are dying after surgery because of poor care, review says. PMID- 21068108 TI - Alternative electron flows (water-water cycle and cyclic electron flow around PSI) in photosynthesis: molecular mechanisms and physiological functions. AB - An electron flow in addition to the major electron sinks in C(3) plants [both photosynthetic carbon reduction (PCR) and photorespiratory carbon oxidation (PCO) cycles] is termed an alternative electron flow (AEF) and functions in the chloroplasts of leaves. The water-water cycle (WWC; Mehler-ascorbate peroxidase pathway) and cyclic electron flow around PSI (CEF-PSI) have been studied as the main AEFs in chloroplasts and are proposed to play a physiologically important role in both the regulation of photosynthesis and the alleviation of photoinhibition. In the present review, I discuss the molecular mechanisms of both AEFs and their functions in vivo. To determine their physiological function, accurate measurement of the electron flux of AEFs in vivo are required. Methods to assay electron flux in CEF-PSI have been developed recently and their problematic points are discussed. The common physiological function of both the WWC and CEF-PSI is the supply of ATP to drive net CO(2) assimilation. The requirement for ATP depends on the activities of both PCR and PCO cycles, and changes in both WWC and CEF-PSI were compared with the data obtained in intact leaves. Furthermore, the fact that CEF-PSI cannot function independently has been demonstrated. I propose a model for the regulation of CEF-PSI by WWC, in which WWC is indispensable as an electron sink for the expression of CEF-PSI activity. PMID- 21068109 TI - Why do obstetricians and midwives still rush to clamp the cord? PMID- 21068110 TI - Medicine and the Media . Men and midlife crises. PMID- 21068113 TI - Child patients: WNB not DNA. PMID- 21068115 TI - Work not welfare. PMID- 21068118 TI - Civil rights groups call on US to do more to end discrimination in health care. PMID- 21068119 TI - Trial against epilepsy drug manufacturer collapses after legal aid is withdrawn. PMID- 21068121 TI - US adolescents get a fifth of their calories from fast food, study finds. PMID- 21068123 TI - A third of world's tuberculosis cases remain undetected, says WHO. PMID- 21068125 TI - Homocysteine enhances cell proliferation in vascular smooth muscle cells: role of p38 MAPK and p47phox. AB - Elevation of blood homocysteine levels (hyperhomocysteinemia) is a risk factor for cardiovascular disorders. One of the mechanisms by which homocysteine induces atherosclerosis is to promote the proliferation of vascular smooth muscle cells (VSMCs) in a reactive oxygen species (ROS)-dependent manner. It has been shown that homocysteine induces the production of ROS through the activation of NAD(P)H oxidases in VSMCs. In this study, we investigated the signal transduction pathways involved in the activation of NAD(P)H oxidases. Homocysteine promoted DNA synthesis in VSMCs. Inhibition of ROS by N-acetyl-L-cysteine (an antioxidant) and apocynin (an inhibitor of NAD(P)H oxidases) significantly blocked homocysteine-induced proliferation in VSMCs. Homocysteine induced a rapid increase in the phosphorylation of p38-mitogen-activated protein kinase (p38 MAPK). p38 MAPK in turn activated NAD(P)H oxidases by inducing the phosphorylation of p47phox, resulting in the generation of ROS. ROS induced the phosphorylation of Akt, which was probably responsible for proliferation in VSMCs. These findings demonstrate that homocysteine induces an increase in the activity of NAD(P)H oxidases in VSMCs by activating p38 MAPK and enhancing the phosphorylation of p47phox. PMID- 21068126 TI - Changes in the social class gradient of cirrhosis mortality in England and Wales across the 20th century. AB - AIM: To explore the nature of the social class gradient of cirrhosis mortality in England and Wales across the 20th century. METHODS: Data on male cirrhosis mortality by social class were obtained from the Registrar General's Decennial Supplements for the years 1921-1991. Data for 1941 were not collected because of the second World War. RESULTS: In 1921, cirrhosis mortality was substantially higher among the professional and managerial classes (I and II) than among the other social classes (III-V). This marked social class difference persisted until 1961 when the differences between the social classes were inconsistent. By 1991, the gradient had reversed and the lower social classes (IV and V) had the higher mortality. The excess mortality was greatest for social class V. The change in the mortality gradient is stark: in 1921social classes I and II had a cirrhosis mortality at least twice that of social classes IV and V, but by 1991 this ratio had reversed. CONCLUSIONS: The reversal in the social class gradient of cirrhosis mortality indicates a major change in risk factor distribution across social classes. Differential changes in alcohol consumption are a possible explanation for this change, although the 1991 social class gradient in cirrhosis is inconsistent with alcohol consumption data from national surveys. Further research is required to clarify the explanation for the observed gradient, so that appropriate preventive measures can be put into place. PMID- 21068127 TI - 2q31.1 microdeletion syndrome: redefining the associated clinical phenotype. AB - INTRODUCTION: The clinical phenotype of the chromosome 2q31 deletion syndrome consists of limb anomalies ranging from monodactylous ectrodactyly, brachydactyly and syndactyly to camptodactyly. Additional internal organ anomalies-for example, heart defects, ocular anomalies-may be present. Hemizygosity for HOXD13 and EVX2 genes was thought to cause the observed skeletal defects. Recently, based on the phenotype of patients with overlapping 2q31 interstitial deletions, a new SHFM5 locus was proposed, proximal to the HOXD cluster, between EVX2 and marker D2S294. DLX1 and DLX2 haploinsufficiency was suggested as the most plausible explanation for the observed SHFM-like limb anomalies in these cases. METHODS AND RESULTS: Five unique, interstitial 2q31 deletion patients were selected to further characterise the 2q31 region and to establish a genotype/phenotype correlation map. The size of the deletions was delineated with a chromosome 2 specific tiling path bacterial artificial chromosome (BAC) array. The clinical and molecular data for this group of patients were compared to others in the literature. A common locus for the observed skeletal anomalies, including the HOXD genes and surrounding regulatory sequences, was delineated. These results correlate with recently published studies in animal models. In addition, a critical region for the facial gestalt of the 2q31.1 microdeletion syndrome was delineated. CONCLUSIONS: These results reinforce the hypothesis that the variable skeletal phenotype in 2q31 deletion patients is a result of hemizygosity for the HOXD genes and that the 2q31.1 microdeletion syndrome is a well defined and clinically recognisable phenotype. PMID- 21068129 TI - Transient elastography as a screening tool for liver fibrosis and cirrhosis in a community-based population aged over 45 years. AB - BACKGROUND: Liver stiffness measurement (LSM) has been used to measure fibrosis in patients with various types of chronic liver diseases. However, its usefulness as a screening procedure in apparently healthy people had not been evaluated to date. METHODS: 1358 subjects >45 years old from a general population attending for a medical check-up were consecutively enrolled in the study. All subjects were submitted to medical examination and laboratory tests in addition to LSM, performed on the same day by a single operator. Subjects with LSM values >8 kPa were referred to a liver unit for further investigations. RESULTS: 168 subjects were not considered for analysis due to missing data (n=23), LSM failure (n=51) or unreliable LSM values (n=94). Among the 1190 remaining subjects, 89 (7.5%) had LSM >8 kPa including nine patients with LSM >13 kPa. Despite the fact that normal liver tests were observed in 43% of them (38 out of 89), a specific cause of chronic liver disease was found in all cases. Non-alcoholic fatty liver disease (NAFLD) was the likely cause of chronic liver disease in 52 patients, alcoholic liver disease (ALD) in 20, and both causes were associated in seven additional patients. Hepatitis C virus and hepatitis B virus chronic hepatitis was documented in five and four cases, respectively, and primary biliary cirrhosis in one. Liver biopsy was obtained for 27 patients, including the nine patients with LSM >13 kPa, who were diagnosed with liver cirrhosis due to ALD (n=5), chronic hepatitis C (n=3) or chronic hepatitis B (n=1). The 18 remaining biopsies showed liver fibrosis in all cases except one (isolated steatosis), with ALD and NAFLD being present in six and eight cases, respectively. CONCLUSION: LSM proved to be a useful and specific procedure to screen for cirrhosis in the general population and to detect undiagnosed chronic liver disease in apparently healthy subjects. PMID- 21068128 TI - Mutation analysis of 18 nephronophthisis associated ciliopathy disease genes using a DNA pooling and next generation sequencing strategy. AB - BACKGROUND: Nephronophthisis associated ciliopathies (NPHP-AC) comprise a group of autosomal recessive cystic kidney diseases that includes nephronophthisis (NPHP), Senior-Loken syndrome (SLS), Joubert syndrome (JBTS), and Meckel-Gruber syndrome (MKS). To date, causative mutations in NPHP-AC have been described for 18 different genes, rendering mutation analysis tedious and expensive. To overcome the broad genetic locus heterogeneity, a strategy of DNA pooling with consecutive massively parallel resequencing (MPR) was devised. METHODS: In 120 patients with severe NPHP-AC phenotypes, five pools of genomic DNA with 24 patients each were prepared which were used as templates in order to PCR amplify all 376 exons of 18 NPHP-AC genes (NPHP1, INVS, NPHP3, NPHP4, IQCB1, CEP290, GLIS2, RPGRIP1L, NEK8, TMEM67, INPP5E, TMEM216, AHI1, ARL13B, CC2D2A, TTC21B, MKS1, and XPNPEP3). PCR products were then subjected to MPR on an Illumina Genome Analyser and mutations were subsequently assigned to their respective mutation carrier via CEL I endonuclease based heteroduplex screening and confirmed by Sanger sequencing. RESULTS: For proof of principle, DNA from patients with known mutations was used and detection of 22 out of 24 different alleles (92% sensitivity) was demonstrated. MPR led to the molecular diagnosis in 30/120 patients (25%) and 54 pathogenic mutations (27 novel) were identified in seven different NPHP-AC genes. Additionally, in 24 patients only single heterozygous variants of unknown significance were found. CONCLUSIONS: The combined approach of DNA pooling followed by MPR strongly facilitates mutation analysis in broadly heterogeneous single gene disorders. The lack of mutations in 75% of patients in this cohort indicates further extensive heterogeneity in NPHP-AC. PMID- 21068130 TI - Long-term follow-up of patients on home parenteral nutrition in Europe: implications for intestinal transplantation. AB - BACKGROUND: The indications for intestinal transplantation (ITx) are still debated. Knowing survival rates and causes of death on home parenteral nutrition (HPN) will improve decisions. METHODS: A prospective 5-year study compared 389 non-candidates (no indication, no contraindication) and 156 candidates (indication, no contraindication) for ITx. Indications were: HPN failure (liver failure; multiple episodes of catheter-related venous thrombosis or sepsis; severe dehydration), high-risk underlying disease (intra-abdominal desmoids; congenital mucosal disorders; ultra-short bowel), high morbidity intestinal failure. Causes of death were defined as: HPN-related, underlying disease, or other cause. RESULTS: The survival rate was 87% in non-candidates, 73% in candidates with HPN failure, 84% in those with high-risk underlying disease, 100% in those with high morbidity intestinal failure and 54%, in ITx recipients (one non-candidate and 21 candidates) (p<0.001). The primary cause of death on HPN was underlying disease-related in patients with HPN duration <=2 years, and HPN related in those on HPN duration >2 years (p=0.006). In candidates, the death HRs were increased in those with desmoids (7.1; 95% CI 2.5 to 20.5; p=0.003) or liver failure (3.4; 95% CI 1.6 to 7.3; p=0.002) compared to non-candidates. In deceased candidates, the indications for ITx were the causes of death in 92% of those with desmoids or liver failure, and in 38% of those with other indications (p=0.041). In candidates with catheter-related complications or ultra-short bowel, the survival rate was 83% in those who remained on HPN and 78% after ITx (p=0.767). CONCLUSIONS: HPN is confirmed as the primary treatment for intestinal failure. Desmoids and HPN-related liver failure constitute indications for life-saving ITx. Catheter-related complications and ultra-short bowel might be indications for pre-emptive/rehabilitative ITx. In the early years after commencing HPN a life-saving ITx could be required for some patients at higher risk of death from their underlying disease. PMID- 21068131 TI - Community and personal risk factors for hepatitis C virus infection: a survey of 23,820 residents in Taiwan in 1991-2. AB - AIM: The aim of this study was to explore the community-level risk factors, such as high hepatitis C viruse (HCV)-RNA positive rate and limited medical resources in a township, for HCV infection, one major cause of liver cirrhosis and hepatocellular carcinoma. METHODS: This study enrolled 23,820 residents living in 155 villages of seven townships in Taiwan in 1991-2 to explore both individual and community risk factors for HCV infection. Antibodies against HCV (anti-HCV), HCV-RNA and HCV genotype in serum samples were determined by enzyme immunoassay, PCR and melting curve analysis, respectively. RESULTS: The overall anti-HCV seroprevalence was 5.5%, HCV-RNA was detectable in 68.1% of the seropositives of anti-HCV, and genotype 1 was the most prevalent genotype (54.6%). Personal risk factors for the seropositivity of anti-HCV included older age, female gender, low educational level and history of blood transfusion. Based on the multilevel analysis, persons living in villages with high HCV-RNA-positive rates and limited healthcare resources had an increased seroprevalence of anti-HCV after adjustment for individual risk factors. The multivariate-adjusted prevalence OR (95% CI) was 3.49 (1.80 to 6.76) and 8.48 (5.07 to 14.20) for villages with medium and high HCV-RNA positive rate, respectively. The multivariate-adjusted OR (95% CI) was was 1.75 (0.76 to 4.01) and 3.91 (2.25 to 6.80), respectively, for villages with medium and poor healthcare resources. CONCLUSIONS: This study suggests that community risk factors contribute significantly to the variation in anti-HCV seroprevalence. It implies both the adequacy of healthcare resources and the treatment of patients positive for HCV-RNA may prevent individual residents from the acquisition of HCV infection from the community. PMID- 21068132 TI - Sequential DNA methylation changes are associated with DNMT3B overexpression in colorectal neoplastic progression. AB - BACKGROUND AND AIMS: Although aberrant methylation of key genes in the progression of colorectal neoplasia has been reported, no model-based analysis of the incremental changes through the intermediate adenoma stage has been described. In addition, the biological drivers for these methylation changes have yet to be defined. Linear mixed-effects modelling was used in this study to understand the onset and patterns of the methylation changes of SFRP2, IGF2 DMR0, H19, LINE-1 and a CpG island methylator phenotype (CIMP) marker panel, and they were correlated with DNA methyltransferase 3B (DNMT3B) levels of expression in a sample set representative of colorectal neoplastic progression. METHODS: Methylation of the above CpG islands was measured using quantitative pyrosequencing assays in 261 tissue samples. This included a prospective collection of 44 colectomy specimens with concurrent normal mucosa, adenoma and invasive cancer tissues. Tissue microarrays from a subset of 64 cases were used for immunohistochemical analysis of DNMT3B expression. RESULTS: It is shown that the onset and pattern of methylation changes during colorectal neoplastic progression are locus dependent. The CIMP marker RUNX3 was the earliest CpG island showing significant change, followed by the CIMP markers NEUROG1 and CACNA1G at the hyperplastic polyp stage. SFRP2 and IGF2 DMR0 showed significant methylation changes at the adenomatous polyp stage, followed by the CIMP markers CDKN2A and hMLH1 at the adenocarcinoma stage. DNMT3B levels of immunohistochemical expression increased significantly (p < 0.001) from normal to hyperplastic and from adenomatous polyps to carcinoma samples. DNMT3B expression correlated positively with SFRP2 methylation (r = 0.42, p < 0.001, 95% CI 0.25 to 0.56), but correlated negatively with IGF2 DMR0 methylation (r = 0.26, p = 0.01, 95% CI -0.45 to -0.05). A subset of the CIMP panel (NEUROG1, CACNA1G and CDKN2A) positively correlated with DNMT3B levels of expression (p < 0.05). CONCLUSION: Hierarchical epigenetic alterations occur at transition points during colorectal neoplastic progression. These cumulative changes are closely correlated with a gain of DNMT3B expression, suggesting a causal relationship. PMID- 21068133 TI - Clinical significance of CHD1L in hepatocellular carcinoma and therapeutic potentials of virus-mediated CHD1L depletion. AB - BACKGROUND: Hepatocellular carcinoma (HCC) is among the most lethal of human malignancies. It is difficult to detect early, has a high recurrence rate and is refractory to chemotherapies. Amplification of 1q21 is one of the most frequent genetic alterations in HCC. CHD1L is a newly identified oncogene responsible for 1q21 amplification. This study aims to investigate the role of CHD1L in predicting prognosis and chemotherapy response of patients with HCC, its chemoresistant mechanism and whether virus-mediated CHD1L silencing has therapeutic potentials for HCC treatment. METHODS: The clinical significance of CHD1L in a cohort of 109 HCC cases including 50 cases who received transarterial chemoembolisation treatment was assessed by clinical correlation and Kaplan-Meier analyses. A CHD1L-overexpressing cell model was generated and the mechanism of chemoresistance involving CHD1L was investigated. An adenovirus-mediated silencing method was used to knockdown CHD1L, and its effects on tumorigenicity and chemoresistance were investigated in vivo and in vitro. RESULTS: Overexpression of CHD1L was significantly associated with tumour microsatellite formation (p = 0.045), advanced tumour stage (p = 0.018), overall survival time (p = 0.002), overall survival time of patients who received transarterial chemoembolisation treatment (p = 0.028) and chemoresistance (p = 0.020) in HCC. Interestingly, CHD1L could inhibit apoptosis induced by 5-fluorourail (5-FU) but not doxorubicin. The mechanistic study revealed that the involvement of the Nur77 mediated pathway in chemotherapeutic agent-induced apoptosis can dictate if CHD1L could confer resistance to chemotherapy. Furthermore, an adenoviral vector containing short hairpin RNAs against CHD1L (CHD1L-shRNAs) could suppress cell growth, clonogenicity and chemoresistance to 5-FU. An in vivo study found that CHD1L-shRNAs could inhibit xenograft tumour growth and increase the sensitivity of tumour cells to 5-FU in nude mice. CONCLUSIONS: This study highlighted for the first time the prognostic value of CHD1L in HCC and the potential application of virus-mediated CHD1L silencing in HCC treatment. PMID- 21068134 TI - HCV substitutions and IL28B polymorphisms on outcome of peg-interferon plus ribavirin combination therapy. AB - BACKGROUND AND AIMS: A number of recent studies have shown that human polymorphisms near the IL28B type III interferon (IFNlambda) gene influence the response to peg-interferon plus ribavirin combination therapy for infection with chronic hepatitis C virus (HCV). Viral polymorphisms, including substitutions within the HCV core and NS5A proteins, have also been shown to influence treatment outcome, but it is not known whether these factors act independently of the IL28B polymorphism or if they reflect the same or a different underlying mechanism. Multiple logistic regression was used to determine whether host and viral polymorphisms independently predict sustained virological response (SVR). METHODS: Two single nucleotide polymorphisms were genotyped in the IL28B locus (rs12979860 and rs8099917) from 817 patients with chronic HCV infection, and substitutions at amino acids 70 and 91 of the HCV core protein and within the NS5A interferon sensitivity-determining region (ISDR) were analysed. RESULTS: It was found that independent predictors of an SVR included IL28B rs12979860 CC genotype (OR=4.98; p=4.00E-08), core amino acid 70 substitutions (OR=0.53; p=0.016), age and baseline viral load. For non-virological response, the IL28B rs12979860 CT/TT genotype (OR=0.23; p=1.96E-8) and age were independent predictors. IL28B rs12979860 genotype (p=1.4E-8), core amino acid 70 substitutions (p=0.0013), ISDR substitutions (p=0.0019), baseline viral load, gamma-glutamyltranspeptidase, alanine aminotransferase and platelet count were independent predictors for change in viral load by week 4 of treatment. CONCLUSIONS: IL28B polymorphisms and HCV core amino acid 70 substitutions contribute independently to an SVR to peg-interferon plus ribavirin combination therapy. PMID- 21068135 TI - Predictors for outcome of failure of balloon dilatation in patients with achalasia. AB - BACKGROUND: Pneumatic balloon dilatation (PD) is a regular treatment modality for achalasia. The reported success rates of PD vary. Recurrent symptoms often require repeated PD or surgery. OBJECTIVE: To identify predicting factors for symptom recurrence requiring repeated treatment. METHODS: Between 1974 and 2006, 336 patients were treated with PD and included in this longitudinal cohort study. The median follow-up was 129 months (range 1-378). Recurrence of achalasia was defined as symptom recurrence in combination with increased lower oesophageal sphincter (LOS) pressure on manometry, requiring repeated treatment. Patient characteristics, results of timed barium oesophagram and manometry as well as baseline PD characteristics were evaluated as predictors of disease recurrence with Kaplan-Meier curves and Cox regression analysis. RESULTS: 111 patients had symptom recurrence requiring repeated treatment. Symptoms recurred after a mean follow-up of 51 months (range 1-348). High recurrence percentages were found in patients younger than 21 years in whom the 5 and 10-year risks of recurrence were 64% and 72%, respectively. These risks were respectively 28% and 36% in patients with classic achalasia, respectively 48% and 60% in patients without complete obliteration of the balloon's waist during PD and respectively 25% and 33% in patients with a LOS pressure greater than 10 mm Hg at 3 months post-dilatation. These four predictors remained statistically significant in a multivariable Cox analysis. CONCLUSION: Although PD is an effective primary treatment in patients with primary achalasia, patients are at risk of recurrent disease, with this risk increasing during long-term follow-up. Young age at presentation, classic achalasia, high LOS pressure 3 months after PD and incomplete obliteration of the balloon's waist during PD are the most important predicting factors for the need for repeated treatment during follow-up. Patients who meet one or more of these characteristics may be considered earlier for alternative treatment, such as surgery. PMID- 21068137 TI - A rare gastrointestinal presentation of a common malignancy. PMID- 21068136 TI - Concentration of folate in colorectal tissue biopsies predicts prevalence of adenomatous polyps. AB - BACKGROUND AND AIMS: Folate has been implicated as a potential aetiological factor for colorectal cancer. Previous research has not adequately exploited concentrations of folate in normal colonic mucosal biopsies to examine the issue. METHODS: Logistic regression models were used to estimate ORs and 95% CIs of adenoma according to the tissue concentration of folate using asymptomatic average-risk women (40-70 years) in a colorectal cancer screening study. Of the 1593 eligible women who were offered enrolment, 1483 (93%) participated. Colonoscopy was complete to the caecum in 98.7% (1463/1483) of the subjects, and normal colonic tissue biopsies were obtained from 813 (56%) of these, of whom 170 had at least one adenoma. RESULTS: A marginal reduction in risk for proximal adenomas (OR 0.56, 95% CI 0.29 to 1.09) but not distal adenomas (OR 1.01, 95% CI 0.43 to 2.37) was observed among women in the highest quintile of tissue folate concentration. A significant reduction in risk for advanced adenoma was observed for women in the highest quintile of tissue folate concentration (OR 0.24, 95% CI 0.06 to 0.93). Defining the outcome as proximal adenomatous and/or hyperplastic polyps, statistically significant inverse associations with tissue concentrations of folate were also observed (OR 0.54, 95% CI 0.31 to 0.95 for quintile 5 vs quintile 1). CONCLUSIONS: These findings are consistent with the hypothesis that folate status of colonic mucosa is an exposure that is aetiologically important in determining the risk of particular molecular subtypes of colorectal cancer. PMID- 21068138 TI - An obscure mass in the head of the pancreas of an adolescent. PMID- 21068139 TI - Tip variant of focal segmental glomerulosclerosis: outcome and comparison to 'not otherwise specified' variant. AB - BACKGROUND: The clinical significance of focal segmental glomerulosclerosis (FSGS) tip variant remains unclear. With the aim to determine its clinical and histological features, and natural history, we studied our cases of patients with this glomerular lesion. METHODS: This is a retrospective analysis. All native renal biopsies from patients diagnosed as FSGS, between 1998 and 2006, were revised for cases with tip variant. Glomerulosclerosis (GS), segmental lesions and interstitial fibrosis (IF) were quantified. We analysed clinical and follow up data and compared with cases of FSGS not otherwise specified (NOS). RESULTS: In 248 primary FSGS cases, 37 corresponded to tip variant (14.9%). Median age was 17 years (range 1-65); 13 (35.1%) patients were <15 years old, and 56.8% were males. All patients had nephrotic proteinuria. At diagnosis, there were no significant differences for age, renal function and proteinuria between cases with NOS and tip variant. IF, GS and percentage of glomeruli with segmental lesions were higher in NOS than GTL (P < 0.01). At follow-up (n = 25), 15 patients received steroids alone, and 10 steroids and a cytotoxic agent. At a median follow-up of 48.7 months (24.3-86.7), 7 patients (28.0%) progressed to chronic kidney disease (CKD), 4 (16.0%) developed end-stage renal disease (ESRD) and 9 (36.0%) had complete remission. In NOS patients (n = 93), 48 (51.6%) developed CKD (P = 0.04), 20 (21.5%) developed ESRD (P = 0.54%) and 13 (14.0%) had complete remission (P = 0.02). CONCLUSIONS: Our work does not demonstrate a clearly favourable prognosis in a group of patients with FSGS tip variant. Although in the tip variant there are less chronic renal tissue damage and CKD, and more frequent complete remission of the nephrotic syndrome, there is an important percentage of patients who develop CKD and ESRD. PMID- 21068140 TI - Switching on EMT in the peritoneal membrane: considering the evidence. PMID- 21068141 TI - Reduced glomerular filtration rate due to loss of nephron mass may be an independent risk factor for atherosclerosis. AB - BACKGROUND: Whether living with reduced nephron mass (RNM) poses a risk to humans is the subject of ongoing controversy. The aim of this study was to discover whether or not RNMs are associated with greater atherosclerotic plaque burdens. METHODS: Using the post-operative abdominal CT scans of 739 nephrectomized patients [NP; 315 women and 424 men; mean age 64.5 +/- 15.0 years; observation period 4.9 +/- 5.7 years (3675.9 patient-years)] and of an age- and a gender matched control group, a retrospective observational and case-control study was conducted. The V600 calcium scoring method was used to determine the aortic calcium volume score (ACS) and thus the APB. RESULTS: The ACS was 0.47 +/- 0.77 mm(3) in the NPs compared with 0.41 +/- 0.69 mm(3) in the control group (P <0.0001). The ACS and the glomerular filtration rate (GFR using the CKD-EPI formula) after nephrectomy correlated inversely (P = - 0.3652; P <0.0001), and the ACS and the time since nephrectomy correlated positively (P = 0.2919; P <0.0001). In linear regression models, age, time interval and GFR after nephrectomy proved to be independent factors of influence on ACS (P <0.05 each). Including the control group, age, GFR after nephrectomy and nephrectomy were independent factors of influence on the ACS. The factor GFR after nephrectomy explains ~ 10.7% in NPs, and 28% of the variance of the ACS in all patients. CONCLUSIONS: The factors 'low GFR' and RNM are risk factors for greater atherosclerotic plaque burden. Patients with RNM should undergo regular control examinations to monitor arterial blood pressure and treat hypertension if it occurs. PMID- 21068142 TI - The incidence of primary glomerulonephritis worldwide: a systematic review of the literature. AB - BACKGROUND: Little is known about the worldwide variation in incidence of primary glomerulonephritis (GN). The objective of this review was to critically appraise studies of incidence published in 1980-2010 so that an overall view of trends of these diseases can be found. This would provide important information for determining changes in rates and understanding variations between countries. METHODS: All relevant papers found through searches of Medline, Embase and ScienceDirect were critically appraised and an assessment was made of the reliability of the reported incidence data. RESULTS: This review includes 40 studies of incidence of primary GN from Europe, North and South America, Canada, Australasia and the Middle East. Rates for the individual types of disease were found to be in adults, 0.2/100,000/year for membrano-proliferative GN, 0.2/100,000/year for mesangio-proliferative GN, 0.6/100,000/year for minimal change disease, 0.8/100,000/year for focal segmental glomerulosclerosis, 1.2/100,000/year for membranous nephropathy and 2.5/100,000/year for IgA nephropathy. Rates were lower in children at around 0.1/100,000/year with the exception of minimal change disease where incidence was reported to be 2.0/100,000/year in Caucasian children with higher rates in Arabian children (9.2/100,000/year) and Asian children (6.2-15.6/100,000/year). CONCLUSIONS: This study found that incidence rates of primary GN vary between 0.2/100,000/year and 2.5/100,000/year. The incidence of IgA nephropathy is at least 2.5/100,000/year in adults; this disease can exist subclinically and is therefore only detected by chance in some patients. In addition, referral policies for diagnostic biopsy vary between countries. This will affect the incidence rates found. PMID- 21068143 TI - Donor pre-treatment with everolimus or cyclosporine does not reduce ischaemia reperfusion injury in a rat kidney transplant model. AB - BACKGROUND: Immunosuppressive agents have been investigated in renal ischaemia reperfusion injury (IRI) and have frequently demonstrated a beneficial effect. Most studies focused on treatment of the recipient at the time of transplantation. Pre-treatment of these organs before injury (pharmacological pre conditioning) may particularly protect these organs. This study aimed to investigate the possible protective effects of donor pre-treatment with cyclosporine (CsA) or the mTOR inhibitor everolimus or their combination against IRI during renal transplantation in a rat model. METHODS: Donors received vehicle, CsA (5 mg/kg), everolimus (0.5 mg/kg) or CsA + everolimus. Two oral doses were administered to the donors at 24 h and again at 6 h prior to donor kidney removal. Syngeneic rat kidneys were preserved in UW solution for 24 h prior to transplantation. After 24 h of reperfusion, blood and tissue samples were collected from recipients for further analysis. RESULTS: Renal functions as determined by creatinine and necrosis scores were not different between the experimental groups. Cleaved caspase-3, heat shock protein 70 (HSP70), tumor necrosis factor-alpha (TNF-alpha) and nitrotyrosine protein levels were not statistically different between the four treatment groups at 24 h post transplantation. Blood NMR analysis on metabolic markers for IRI reveals no beneficial effects of donor pre-treatment on the 24-h outcome in transplantation. CONCLUSIONS: When given alone or as a combination to donors before organ recovery, cyclosporine or everolimus does not appear to ameliorate IRI. PMID- 21068144 TI - Polysaccharide krestin is a novel TLR2 agonist that mediates inhibition of tumor growth via stimulation of CD8 T cells and NK cells. AB - PURPOSE: Polysaccharide krestin (PSK) is a mushroom extract that has been long used in Asia and recently in Western countries as a treatment for cancer due to its presumed immune potentiating effects. Although there have been reports of clinical responses after patients have ingested PSK, the mechanism of action of the agent remains undefined. The current study was undertaken to investigate the mechanism of the antitumor actions of PSK. EXPERIMENTAL DESIGN: The immunostimulatory effect of PSK was first evaluated in vitro using splenocytes from neu transgenic mice and Toll-like receptor (TLR) 2 knockout (TLR2(-/-)) mice. Then the immunostimualtory and antitumor effect of PSK was determined using tumor-bearing neu transgenic mice, TLR2(-/-), and wild-type C57BL/6 mice. RESULTS: We demonstrate that PSK is a selective TLR2 agonist, and the activation of dendritic cells (DC) and T cells by PSK is dependent on TLR2. Oral administration of PSK in neu transgenic mice significantly inhibits breast cancer growth. Selective depletion of specific cell populations suggests that the antitumor effect of PSK is dependent on both CD8(+) T cell and NK cells, but not CD4(+) T cells. PSK does not inhibit tumor growth in TLR2(-/-) mice suggesting that the antitumor effect is mediated by TLR2. CONCLUSION: These results demonstrate that PSK, a natural product commonly used for the treatment of cancer, is a specific TLR2 agonist and has potent antitumor effects via stimulation of both innate and adaptive immune pathways. PMID- 21068145 TI - Fructose-rich beverages and risk of gout in women. AB - CONTEXT: Fructose-rich beverages such as sugar-sweetened soda and orange juice can increase serum uric acid levels and, thus, the risk of gout, but prospective data on the relationship are limited. OBJECTIVE: To examine the relationship between intake of fructose-rich beverages and fructose and the risk of incident gout among women. DESIGN, SETTING, AND PARTICIPANTS: In the Nurses' Health Study, a US prospective cohort study spanning 22 years (1984-2006), we analyzed data from 78,906 women with no history of gout at baseline who provided information on intake of beverages and fructose through validated food frequency questionnaires. MAIN OUTCOME MEASURE: Incident cases that met the American College of Rheumatology survey criteria for gout. RESULTS: During 22 years of follow-up, we documented 778 confirmed incident cases of gout. Increasing intake of sugar sweetened soda was independently associated with increasing risk of gout. Compared with consumption of less than 1 serving per month of sugar-sweetened soda, the multivariate relative risk of gout for 1 serving per day was 1.74 (95% confidence interval [CI], 1.19-2.55) and for 2 or more servings per day was 2.39 (95% CI, 1.34-4.26) (P<.001 for trend). The corresponding relative risks for orange juice were 1.41 (95% CI, 1.03-1.93) and 2.42 (95% CI, 1.27-4.63) (P = .02 for trend). The absolute risk differences corresponding to these relative risks were 36 and 68 cases per 100,000 person-years for sugar-sweetened soda and 14 and 47 cases per 100,000 person-years for orange juice, respectively. Diet soft drinks were not associated with the risk of gout (P = .27 for trend). Compared with the lowest quintile of fructose intake, the multivariate relative risk of gout in the top quintile was 1.62 (95% CI, 1.20-2.19; P = .004 for trend) (risk difference of 28 cases per 100,000 person-years). CONCLUSION: Among this cohort of women, consumption of fructose-rich beverages is associated with an increased risk of incident gout, although the contribution of these beverages to the risk of gout in the population is likely modest given the low incidence rate among women. PMID- 21068146 TI - Parathyroid hormone-like hormone (PTHLH) represses decidualization of human uterine fibroblast cells by an autocrine/paracrine mechanism. AB - CONTEXT: Parathyroid hormone-like hormone (PTHLH) is abundantly expressed by human endometrial stromal cells during decidualization. However, the role for PTHLH in the decidualization process is unknown. OBJECTIVE: To examine the effects of PTHLH on the induction and maintenance of decidualization of human uterine fibroblast (HUF) cells in vitro. DESIGN: HUF cells were treated with a PTHLH siRNA or a PTHLH receptor antagonist (bPTH(7-34)) before or after decidualization with medroxyprogesterone acetate (MPA), estradiol (E(2)), and prostaglandin E(2) (PGE(2)). Decidualization was monitored by immunocytochemistry and the induction of decidualization-specific marker genes, including IGFBP-1, prolactin, lefty, and transcription factor FOXO1. RESULTS: HUF cells decidualized after pretreatment with a PTHLH siRNA showed greater morphologic changes of decidualization, greater IGFBP-1 protein, and two- to threefold more IGFBP-1, prolactin, lefty, and FOXO1 mRNAs than cells pretreated with a nonsilencing RNA. The PTHLH siRNA pretreated cells also had 31% less DNA fragmentation (TUNEL assay) and 30-35% less caspase 3 levels during decidualization than cells pretreated treated with nonsilencing RNA. Treatment of HUF cells with PTHLH siRNA or bPTH(7-34) at 9 d after the induction of decidualization also resulted in 2.1- to 3.2-fold greater IGFBP-1, prolactin, lefty, and FOXO1 mRNA levels than that noted in control cells treated with nonsilencing RNA. CONCLUSIONS: These finding strongly suggest that PTHLH represses the induction of human decidualization, stimulates stromal cell apoptosis, and limits the extent of uterine stromal cell differentiation. Because PTHLH and its receptor are expressed by HUF cells and placental cells, the inhibitory effect of PTHLH on decidualization appears to be due, at least in part, to an autocrine/paracrine mechanism. PMID- 21068147 TI - Somatotroph tumor progression during pegvisomant therapy: a clinical and molecular study. AB - CONTEXT: There is concern that pegvisomant could be associated with a higher risk of tumor growth. The rate and possible determinants of this tumor growth are unknown. OBJECTIVE: The objective of the study was to investigate the clinical, immunohistological, and molecular factors conditioning tumor growth in patients taking pegvisomant. DESIGN AND SETTING: This was a cross-sectional study performed from 2004 to 2010 in four university hospitals in Spain. PATIENTS: Seventy-five acromegalic patients with active disease resistant to somatostatin analogs treated with pegvisomant were followed up for a mean of 29 +/- 20 months. MAIN OUTCOME MEASURES: Magnetic resonance images before initiation of pegvisomant, at 6 months, and then yearly were examined in all patients. Immunohistological and molecular studies were performed in tumors that grew. RESULTS: A significant increase in tumor size was observed in five patients (6.7%). Absence of previous irradiation (P = 0.014) and shorter duration of prepegvisomant somatostatin analog therapy (P < 0.001) were associated with an increased risk of tumor growth. A stepwise multivariate linear regression analysis (R(2) = 0.334, P < 0.001) identified the duration of somatostatin analog therapy prior to pegvisomant (beta = -4.509, P = 0.014) as the only significant predictor of tumor growth. In those tumors that grew, GH expression and insulin receptor expression were higher (P = 0.033 in both cases) than in the control group. CONCLUSIONS: No previous radiotherapy, shorter duration of prepegvisomant somatostatin analog therapy, and higher tumor expression of GH and insulin receptor could be risk factors for tumor growth during pegvisomant therapy. PMID- 21068148 TI - The jumping SHOX gene--crossover in the pseudoautosomal region resulting in unusual inheritance of Leri-Weill dyschondrosteosis. AB - CONTEXT: During meiosis I, the recombination frequency in the pseudoautosomal region on Xp and Yp (PAR1) in males is very high. As a result, mutated genes located within the PAR1 region can be transferred from the Y-chromosome to the X chromosome and vice versa. PATIENTS: Here we describe three families with SHOX abnormalities resulting in Leri-Weill dyschondrosteosis or Langer mesomelic dysplasia. RESULTS: In about half of the segregations investigated, a transfer of the SHOX abnormality to the alternate sex chromosome was demonstrated. CONCLUSIONS: Patients with an abnormality of the SHOX gene should receive genetic counseling as to the likelihood that they may transmit the mutation or deletion to a son as well as to a daughter. PMID- 21068149 TI - The roles of RNA in the synthesis of protein. AB - The crystal structures of ribosomes that have been obtained since 2000 have transformed our understanding of protein synthesis. In addition to proving that RNA is responsible for catalyzing peptide bond formation, these structures have provided important insights into the mechanistic details of how the ribosome functions. This review emphasizes what has been learned about the mechanism of peptide bond formation, the antibiotics that inhibit ribosome function, and the fidelity of decoding. PMID- 21068150 TI - Src-family and Syk kinases in activating and inhibitory pathways in innate immune cells: signaling cross talk. AB - The response of innate immune cells to growth factors, immune complexes, extracellular matrix proteins, cytokines, pathogens, cellular damage, and many other stimuli is regulated by a complex net of intracellular signal transduction pathways. The majority of these pathways are either initiated or modulated by Src family or Syk tyrosine kinases present in innate cells. The Src-family kinases modulate the broadest range of signaling responses, including regulating immunoreceptors, C-type lectins, integrins, G-protein-coupled receptors, and many others. Src-family kinases also modulate the activity of other kinases, including the Tec-family members as well as FAK and Pyk2. Syk kinase is required for initiation of signaling involving receptors that utilize immunoreceptor tyrosine activation (ITAM) domains. This article reviews the major activating and inhibitory signaling pathways regulated by these cytoplasmic tyrosine kinases, illuminating the many examples of signaling cross talk between pathways. PMID- 21068151 TI - Integration of clearance mechanisms: the proteasome and autophagy. AB - Cells maintain a healthy proteome through continuous evaluation of the quality of each of their proteins. Quality control requires the coordinated action of chaperones and proteolytic systems. Chaperones identify abnormal or unstable conformations in proteins and often assist them to regain stability. However, if repair is not possible, the aberrant protein is eliminated from the cellular cytosol to prevent undesired interactions with other proteins or its organization into toxic multimeric complexes. Autophagy and the ubiquitin/proteasome system mediate the complete degradation of abnormal protein products. In this article, we describe each of these proteolytic systems and their contribution to cellular quality control. We also comment on the cellular consequences resulting from the dysfunction of these systems in common human protein conformational disorders and provide an overview on current therapeutic interventions based on the modulation of the proteolytic systems. PMID- 21068153 TI - Sociodemographic factors and vestibular schwannoma: a Danish nationwide cohort study. AB - Vestibular schwannoma (VS) (or acoustic neuroma) accounts for about 5%-6% of all intracranial tumors; little is known about the etiology. We investigated the association between various sociodemographic indicators and VS in a cohort of 3.26 million Danish residents, with 1087 cases identified in 35 308 974 person years under risk, with data accrued from 1993 to 2006. Complete ascertainment of cases was ensured by using population-based and clinical cancer registries. Information on sociodemographic indicators was obtained on an annually updated individual level from Statistics Denmark. Log-linear Poisson regression models were used to estimate incidence rate ratios (IRRs). Linear regression models were used to examine the association between sociodemographic indicators and tumor size. We found that IRRs decreased gradually with decreasing level of education, with values of 0.62 (95% CI: 0.49-0.78) for men and 0.62 (95% CI: 0.50-0.77) for women with a basic education compared with a higher education. Similar results were found for disposable income. Marital status was associated with a higher incidence of VS in men but not women; nonmarried men with a basic education had an IRR of 0.34 (95% CI: 0.23-0.50) compared with married men with a higher education. Lower incidence rates were also observed among unemployed or early retirement pensioners, whereas there were no differences in incidence rates across the broad groups of occupations and across the types of districts. Sociodemographic indicators were not associated with the tumor size. The magnitude of the differences in incidence rates across the groups of different socioeconomic indicators suggests a high potential for earlier diagnosis of VS by improving the awareness of early symptoms. PMID- 21068152 TI - Biogenesis of nuclear bodies. AB - The nucleus is unique amongst cellular organelles in that it contains a myriad of discrete suborganelles. These nuclear bodies are morphologically and molecularly distinct entities, and they host specific nuclear processes. Although the mode of biogenesis appears to differ widely between individual nuclear bodies, several common design principles are emerging, particularly, the ability of nuclear bodies to form de novo, a role of RNA as a structural element and self organization as a mode of formation. The controlled biogenesis of nuclear bodies is essential for faithful maintenance of nuclear architecture during the cell cycle and is an important part of cellular responses to intra- and extracellular events. PMID- 21068155 TI - Utilization of a mutagenesis screen to generate mouse models of hyperaldosteronism. AB - Primary aldosteronism is considered to be responsible for almost 10% of all cases of arterial hypertension. The genetic background of this common disease, however, has been elucidated only for the rare familial types, whereas in the large majority of sporadic cases, underlying mechanisms still remain unclear. In an attempt to define novel genetic loci involved in the pathophysiology of primary aldosteronism, a mutagenesis screen after treatment of mice with the alkylating agent N-ethyl-N-nitrosourea was established for the parameter aldosterone. As the detection method we used a time-resolved fluorescence immunoassay that allows the measurement of aldosterone in very small murine sample volumes. Based on this assay, we first determined the normal aldosterone values for wild-type C3HeB/FeJ mice under baseline conditions [92 +/- 6 pg/ml for females (n = 69) and 173 +/- 16 pg/ml for males (n = 55)]. Subsequently, aldosterone measurement was carried out in more than 2800 F(1) offspring of chemically mutagenized C3HeB/FeJ mice, and values were compared with aldosterone levels from untreated animals. Persistent hyperaldosteronism (defined as levels +3 sd above the mean of untreated animals) upon repeated measurements was present in seven female and two male F(1) offspring. Further breeding of these founders gave rise to F(2) pedigrees from which eight lines with different patterns of inheritance of hyperaldosteronism could be established. These animals will serve for detailed phenotypic and genetic characterization in the future. Taken together, our data demonstrate the feasibility of a phenotype-driven mutagenesis screen to detect and establish mutant mouse lines with a phenotype of chronic hyperaldosteronism. PMID- 21068154 TI - Activation of central, but not peripheral, estrogen receptors is necessary for estradiol's anorexigenic effect in ovariectomized rats. AB - Estradiol appears to exert its anorexigenic effect by activating nuclear estrogen receptors (ERs), which are expressed widely in peripheral tissues and in the brain. Here, we used ICI-182,780 (ICI), a pure antiestrogen with limited ability to cross the blood-brain barrier, to assess the relative involvement of peripheral vs. central ERs to estradiol's anorexigenic effect. Food intake was measured after peripheral (sc) administration of ICI or vehicle in ovariectomized rats treated with acute injections of estradiol benzoate and sesame oil over a 2 wk period. Uterine weight was assessed as a biological assay of peripheral ER activation. In a second experiment, food intake was measured after central (lateral ventricular) administration of ICI or vehicle in ovariectomized rats receiving acute injections of estradiol benzoate and oil over a period of 10 d. In order to assess the possible spread of ICI from the brain to the periphery, vaginal cytology samples were examined as a biological assay of peripheral ER activation. Peripherally administered ICI failed to attenuate estradiol's anorexigenic effect at a dose that was sufficient to block estradiol's uterotrophic effect. This suggests that peripheral activation of ERs is not necessary for estradiol's anorexigenic effect. Although central infusion of 4 nm ICI blocked estradiol's anorexigenic effect, it did not attenuate estradiol's ability to increase the presence of cornified cells in vaginal cytology samples, suggesting that ICI did not leak into the periphery. We conclude that activation of central, but not peripheral, ERs is necessary for estradiol's anorexigenic effect. PMID- 21068156 TI - GPR119 regulates murine glucose homeostasis through incretin receptor-dependent and independent mechanisms. AB - G protein-coupled receptor 119 (GPR119) was originally identified as a beta-cell receptor. However, GPR119 activation also promotes incretin secretion and enhances peptide YY action. We examined whether GPR119-dependent control of glucose homeostasis requires preservation of peptidergic pathways in vivo. Insulin secretion was assessed directly in islets, and glucoregulation was examined in wild-type (WT), single incretin receptor (IR) and dual IR knockout (DIRKO) mice. Experimental endpoints included plasma glucose, insulin, glucagon, glucagon-like peptide-1 (GLP-1), glucose-dependent insulinotropic peptide (GIP), and peptide YY. Gastric emptying was assessed in WT, Glp1r-/-, DIRKO, Glp2r-/-, and GPR119-/- mice treated with the GPR119 agonist AR231453. AR231453 stimulated insulin secretion from WT and DIRKO islets in a glucose-dependent manner, improved glucose homeostasis, and augmented plasma levels of GLP-1, GIP, and insulin in WT and Gipr-/- mice. In contrast, although AR231453 increased levels of GLP-1, GIP, and insulin, it failed to lower glucose in Glp1r-/- and DIRKO mice. Furthermore, AR231453 did not improve ip glucose tolerance and had no effect on insulin action in WT and DIRKO mice. Acute GPR119 activation with AR231453 inhibited gastric emptying in Glp1r-/-, DIRKO, Glp2r-/-, and in WT mice independent of the Y2 receptor (Y2R); however, AR231453 did not control gastric emptying in GPR119-/- mice. Our findings demonstrate that GPR119 activation directly stimulates insulin secretion from islets in vitro, yet requires intact IR signaling and enteral glucose exposure for optimal control of glucose tolerance in vivo. In contrast, AR231453 inhibits gastric emptying independent of incretin, Y2R, or Glp2 receptors through GPR119-dependent pathways. Hence, GPR119 engages multiple complementary pathways for control of glucose homeostasis. PMID- 21068158 TI - Exercise induces a marked increase in plasma follistatin: evidence that follistatin is a contraction-induced hepatokine. AB - Follistatin is a member of the TGF-beta super family and inhibits the action of myostatin to regulate skeletal muscle growth. The regulation of follistatin during physical exercise is unclear but may be important because physical activity is a major intervention to prevent age-related sarcopenia. First, healthy subjects performed either bicycle or one-legged knee extensor exercise. Arterial-venous differences were assessed during the one-legged knee extensor experiment. Next, mice performed 1 h of swimming, and the expression of follistatin was examined in various tissues using quantitative PCR. Western blotting assessed follistatin protein content in the liver. IL-6 and epinephrine were investigated as drivers of follistatin secretion. After 3 h of bicycle exercise, plasma follistatin increased 3 h into recovery with a peak of 7-fold. No net release of follistatin could be detected from the exercising limb. In mice performing a bout of swimming exercise, increases in plasma follistatin as well as follistatin mRNA and protein expression in the liver were observed. IL-6 infusion to healthy young men did not affect the follistatin concentration in the circulation. When mice were stimulated with epinephrine, no increase in the hepatic mRNA of follistatin was observed. This is the first study to demonstrate that plasma follistatin is increased during exercise and most likely originates from the liver. These data introduce new perspectives regarding muscle-liver cross talk during exercise and during recovery from exercise. PMID- 21068157 TI - Plasticity of the reproductive axis caused by social status change in an african cichlid fish: I. Pituitary gonadotropins. AB - Social position in a dominance hierarchy is often tightly coupled with fertility. Consequently, an animal that can recognize and rapidly take advantage of an opportunity to rise in rank will have a reproductive advantage. Reproduction in all vertebrates is controlled by the brain-pituitary-gonad axis, and in males of the African cichlid fish Astatotilapia burtoni, GnRH1 neurons at the apex of this axis are under social control. However, little is known about how quickly social information is transformed into functional reproductive change, or about how socially controlled changes in GnRH1 neurons influence downstream actions of the brain-pituitary-gonad axis. We created an opportunity for reproductively suppressed males to ascend in status and then measured how quickly the perception of this opportunity caused changes in mRNA and protein levels of the pituitary gonadotropins. mRNA levels of the beta-subunits of LH and FSH rose rapidly in the pituitary 30 min after suppressed males perceived an opportunity to ascend. In contrast, mRNA levels of GnRH receptor-1 remained unchanged during social transition but were higher in stable dominant compared with subordinate males. In the circulation, levels of both LH and FSH were also quickly elevated. There was a positive correlation between mRNA in the pituitary and circulating protein levels for LH and FSH, and both gonadotropins were positively correlated with plasma 11-ketotestosterone. Our results show that the pituitary is stimulated extremely rapidly after perception of social opportunity, probably to allow suppressed males to quickly achieve reproductive success in a dynamic social environment. PMID- 21068159 TI - A treasure trove of hypothalamic neurocircuitries governing body weight homeostasis. AB - Changes in physical activities and feeding habits have transformed the historically rare disease of obesity into a modern metabolic pandemic. Obesity occurs when energy intake exceeds energy expenditure over time. This energy imbalance significantly increases the risk for cardiovascular disease and type 2 diabetes mellitus and as such represents an enormous socioeconomic burden and health threat. To combat obesity, a better understanding of the molecular mechanisms and neurocircuitries underlying normal body weight homeostasis is required. In the 1940s, pioneering lesion experiments unveiled the importance of medial and lateral hypothalamic structures. In the 1980s and 1990s, several neuropeptides and peripheral hormones critical for appropriate feeding behavior, energy expenditure, and hence body weight homeostasis were identified. In the 2000s, results from metabolic analyses of genetically engineered mice bearing mutations only in selected neuronal groups greatly advanced our knowledge of the peripheral/brain feedback-loop modalities by which central neurons control energy balance. In this review, we will summarize these recent progresses with particular emphasis on the biochemical identities of hypothalamic neurons and molecular components underlying normal appetite, energy expenditure, and body weight homeostasis. We will also parse which of those neurons and molecules are critical components of homeostatic adaptive pathways against obesity induced by hypercaloric feeding. PMID- 21068160 TI - Developmental time course of estradiol, testosterone, and dihydrotestosterone levels in discrete regions of male and female rat brain. AB - The prevailing view of sexual differentiation of mammalian brain is that androgen synthesized in the fetal and neonatal testis and aromatized centrally during a perinatal sensitive period is the sole source of brain estradiol and the primary determinant of sex differences. Subregions of the diencephalon are among the most sexually dimorphic in the brain, and there are well-established sex differences in the amount of testosterone and estradiol measured in the hypothalamus and preoptic area during the perinatal period. We previously reported unexpectedly high estradiol in the hippocampus and cortex of both male and female newborn rat. This prompted a thorough investigation of the developmental profile of steroids in the rat brain using RIA to quantify the level of estradiol, testosterone, and dihydrotestosterone in discrete subregions of the brain from embryonic d 19 to adulthood. Plasma estradiol levels from individual animals were assessed when sufficient sample was available. A significant sex difference in hypothalamic testosterone prior to birth was consistent with previous findings. Postnatally, there was a distinct pattern of changing steroid concentrations in each brain region, and these were unrelated to circulating steroid. Removal of the gonads and adrenals at birth did not significantly reduce steroids in any brain region assayed 3 d later. Aromatase activity was detectable in all brain areas at birth, and the difference in activity level paralleled the observed regional differences in estradiol content. Based on these findings, we propose that steroidogenesis in the brain, independent of peripherally derived precursors, may play a critical role in mammalian brain development of both sexes, beyond the establishment of sex differences. PMID- 21068161 TI - Regulation of placental growth by aldosterone and cortisol. AB - During pregnancy, trophoblasts grow to adapt the feto-maternal unit to fetal requirements. Aldosterone and cortisol levels increase, the latter being inactivated by a healthy placenta. By contrast, preeclamptic placental growth is reduced while aldosterone levels are low and placental cortisol tissue levels are high due to improper deactivation. Aldosterone acts as a growth factor in many tissues, whereas cortisol inhibits growth. We hypothesized that in preeclampsia low aldosterone and enhanced cortisol availability might mutually affect placental growth and function. Proliferation of cultured human trophoblasts was time- and dose-dependently increased with aldosterone (P < 0.04 to P < 0.0001) and inhibited by spironolactone and glucocorticoids (P < 0.01). Mineralo- and glucocorticoid receptor expression and activation upon agonist stimulation was verified by visualization of nuclear translocation of the receptors. Functional aldosterone deficiency simulated in pregnant mice by spironolactone treatment (15 MUg/g body weight/day) led to a reduced fetal umbilical blood flow (P < 0.05). In rat (P < 0.05; R(2) = 0.2055) and human (X(2) = 3.85; P = 0.0249) pregnancy, placental size was positively related to plasma aldosterone. Autocrine production of these steroid hormones was excluded functionally and via the absence of specific enzymatic transcripts for CYP11B2 and CYP11B1. In conclusion, activation of mineralocorticoid receptors by maternal aldosterone appears to be required for trophoblast growth and a normal feto-placental function. Thus, low aldosterone levels and enhanced cortisol availability may be one explanation for the reduced placental size in preeclampsia and related disorders. PMID- 21068162 TI - 17beta-Estradiol regulates rat growth plate chondrocyte apoptosis through a mitochondrial pathway not involving nitric oxide or MAPKs. AB - Estrogens cause growth plate closure in both males and females, by decreasing proliferation and inducing apoptosis of postproliferative growth plate chondrocytes. In vitro studies using 17beta-estradiol (E(2)) conjugated to bovine serum albumin (E(2)-BSA) show that rat costochondral growth plate resting zone chondrocytes also respond to E(2). Moreover, they are regulated by E(2)-BSA via a protein kinase C and ERK MAPK signaling pathway that is functional only in female cells. To better understand how E(2) regulates apoptosis of growth plate chondrocytes, rat resting zone chondrocytes cells were treated with E(2) or E(2) BSA. E(2) caused apoptosis in male and female resting zone and growth zone chondrocytes in a dose-dependent manner, based on elevated DNA fragmentation, terminal deoxynucleotidyl transferase dUTP nick end labeling staining and caspase 3 activation. E(2) also up-regulated p53 and Bax protein (Bcl-2-associated X protein) levels and induced release of cytochrome C from the mitochondria, indicating a mitochondrial apoptotic pathway. The apoptotic effect of E(2) did not involve elevated nitric oxide production or MAPKs. It was reduced by ICI 182780, which is an estrogen receptor (ER) antagonist and blocked by antibodies to Eralpha36, a membrane-associated ER. E(2)-BSA reduced cell viability and increased caspase-3 activity; ICI 182780 had no effect, but anti-ERalpha36 antibodies blocked the effect. The results indicate that estrogen is able to directly affect the cell population kinetics of growth plate chondrocytes by regulating apoptosis, as well as proliferation and differentiation in both resting zone and growth zone cells. They also have provided further information about the physiological functions of estrogen on longitudinal bone growth. PMID- 21068163 TI - A Western diet increases serotonin availability in rat small intestine. AB - Diet-induced obesity is associated with changes in gastrointestinal function and induction of a mild inflammatory state. Serotonin (5-HT) containing enterochromaffin (EC) cells within the intestine respond to nutrients and are altered by inflammation. Thus, our aim was to characterize the uptake and release of 5-HT from EC cells of the rat ileum in a physiologically relevant model of diet-induced obesity. In chow-fed (CF) and Western diet-fed (WD) rats electrochemical methods were used to measure compression evoked (peak) and steady state (SS) 5-HT levels with fluoxetine used to block the serotonin reuptake transporter (SERT). The levels of mRNA for tryptophan hydroxylase 1 (TPH1) and SERT were determined by quantitative PCR, while EC cell numbers were determined immunohistochemically. In WD rats, the levels of 5-HT were significantly increased (SS: 19.2 +/- 3.7 MUm; peak: 73.5 +/- 14.1 MUm) compared with CF rats (SS: 12.3 +/- 1.8 MUm; peak: 32.2 +/- 7.2 MUm), while SERT-dependent uptake of 5 HT was reduced (peak WD: 108% of control versus peak CF: 212% control). In WD rats, there was a significant increase in TPH1 mRNA, a decrease in SERT mRNA and protein, and an increase in EC cells. In conclusion, our data show that foods typical of a Western diet are associated with an increased 5-HT availability in the rat ileum. Increased 5-HT availability is driven by the up-regulation of 5-HT synthesis genes, decreased re-uptake of 5-HT, and increased numbers and/or 5-HT content of EC cells which are likely to cause altered intestinal motility and sensation in vivo. PMID- 21068164 TI - Correlates of hot day air-conditioning use among middle-aged and older adults with chronic heart and lung diseases: the role of health beliefs and cues to action. AB - Extreme ambient heat is a serious public health threat, especially for the elderly and persons with pre-existing health conditions. Although much of the excess mortality and morbidity associated with extreme heat is preventable, the adoption of effective preventive strategies is limited. The study reported here tested the predictive power of selected components of the Health Belief Model for air-conditioning (AC) use among 238 non-institutionalized middle-aged and older adults with chronic heart failure and/or chronic obstructive pulmonary disease living in Montreal, Canada. Respondents were recruited through clinics (response rate 71%) and interviews were conducted in their homes or by telephone. Results showed that 73% of participants reported having a home air conditioner. The average number of hours spent per 24-hour period in air-conditioned spaces during heat waves was 14.5 hours (SD = 9.4). Exploratory structural equation modeling showed that specific beliefs about the benefits of and drawbacks to AC as well as internal cues to action were predictive of its level of use, whereas the perceived severity of the effects of heat on health was not. The findings are discussed in light of the need to adequately support effective response to extreme heat in this vulnerable population. PMID- 21068165 TI - Adnectins: engineered target-binding protein therapeutics. AB - AdnectinsTM are a new family of therapeutic proteins based on the 10th fibronectin type III domain, and designed to bind with high affinity and specificity to therapeutically relevant targets. Adnectins share with antibody variable domains a beta-sheet sandwich fold with diversified loops, but differ from antibodies in primary sequence and have a simpler, single-domain structure without disulfide bonds. As a consequence, Adnectins bind targets with affinity and specificity as high as those of antibodies, but are easier to manipulate genetically and compatible with bacterial expression systems. Adnectins that bind macromolecular targets with nanomolar and picomolar affinity have been selected using in vitro evolution methods, including mRNA display, phage display and yeast display. CT-322, a PEGylated, anti-angiogenic Adnectin that binds vascular endothelial growth factor (VEGF) receptor 2 and blocks its interaction with VEGF A, C and D, is being evaluated in Phase II clinical trials for efficacy in several oncology indications. PMID- 21068166 TI - Establishment of a definitive protocol for the diagnosis and management of body packers (drug mules). AB - BACKGROUND: 'Mules' or body packers are people who transport illegal drugs by packet ingestion into the gastrointestinal tract. These people are otherwise healthy and their management should maintain minimal morbidity. In this study, experience with body packers is presented and an algorithm for conservative and surgical management is provided. METHODS: The clinical patient database for all body packer admissions at Mary Immaculate Hospital of the Caritas Health Care Inc. from 1993 to 2005 was interrogated. 56 patients (4.5%) required admission out of a total of 1250 subjects confirmed to be body packers and apprehended by United State Customs officials at JFK International Airport, New York. The retrieved patient data were analysed retrospectively. RESULTS: 70% of the body packers were men, with a male to female ratio of 2.8 to 1. The mean age was 33 years and 52% were from Columbia. Heroin was the most common illegally transported substance (73%). 25 patients (45%) required surgical intervention, whereas 31 patients (55%) were successfully managed conservatively. Indications for intervention included: bowel obstruction, packet rupture/toxicity, and delayed progression of packet transit on conservative management. Multiple intraoperative manoeuvres were used to remove the foreign bodies: gastrotomy, enterotomy and colotomy. Wound infection was the most common complication and is associated with distal enterotomy and colotomy. CONCLUSIONS: Men were more likely to present as body packers than women. Proximal enterotomies are preferred and multiple enterotomies should be avoided. A confirmatory radiological study is needed to demonstrate complete clearance of packets. A systematic protocol for the management of body packers results in minimal morbidity and no mortality. PMID- 21068167 TI - Simulating the use of re-prioritisation as a wait-reduction strategy in an emergency department. AB - BACKGROUND/AIM: Simulation modelling has proven a useful approach for capturing the dynamic nature of emergency departments (EDs) and informing improvements to clinical and operational processes alike. However, few models have simulated the impact of the UK Department of Health's 4 h operational standard, which arguably has placed pressure to improve standards and performance, promoting the use of wait-reduction strategies to cope with the target in practice. The aim of this study was to determine the impact a re-prioritisation strategy has on the 4 h target by simulating the operation of an ED using a model that represents the flow of patients through the department. METHODS: This study was based on a district general hospital in West London. To ascertain patients' length of stay, the hospital's historical records and staff rotas were used to obtain data on activities, timeframes and resources on three separate representative weeks and included all patients' arrival time, mode of arrival, whether the patient was referred to minors, majors, paediatrics or the resuscitation unit, and whether the patient was admitted or discharged, and at what time. RESULTS: The close correlation (r=0.98) in distributions between actual length of stay and simulated length of stay demonstrates that the model of the ED accurately replicates the 4 h peak caused by the use of re-prioritisation. CONCLUSION: The model accurately reproduced the use of a dominant wait-reduction strategy to identify patients approaching the breach and re-prioritise them to expedite treatment and remove them from the department by the 4 h target. PMID- 21068168 TI - Avulsion fracture of the coracoid process. PMID- 21068169 TI - Where the tube is? PMID- 21068170 TI - Non-surgical pneumoperitoneum. PMID- 21068171 TI - Validation of the Canadian c-spine rule in the UK emergency department setting. AB - AIM: To determine the potential of the Canadian Cervical Spine Rule (CCR) to safely reduce the number of cervical spine (c-spine) radiographs performed in the UK emergency department setting. METHODS: The study was conducted in two UK emergency departments with a combined annual attendance of >150,000 adult patients. Over the 24 month trial period, 148 doctors were provided training in the use of the CCR and instructed to assess eligible patients presenting with potential c-spine injury. Doctors were instructed to manage patients according to existing practice and not according to the decision obtained from the rule. A subsample of patients was reassessed by a second doctor to test interobserver reliability. RESULTS: A total of 1420 patients were enrolled in the study (50.4% male). 987 (69.5%) had c-spine radiography performed, with 8 (0.6%) having a c spine injury. If the decision for radiography had been made according to the outcome of the CCR, only 815 (57.4%) would have had c-spine radiography and all 8 abnormal cases would have undergone imaging. Doctors were comfortable using the rule in 91% of cases. Interobserver reliability was good (kappa=0.75 95% CI 0.44 to 1.06). CONCLUSION: The CCR can be applied successfully in the UK. Had the CCR been in use during the study period, a 17.4% reduction in radiography could have been achieved without compromising patient care. PMID- 21068172 TI - An early warning? Universal risk scoring in emergency medicine. PMID- 21068173 TI - Traumatic abdominal hernia. PMID- 21068174 TI - Normal pedal pulses in a popliteal artery thrombosis after a trampoline associated knee dislocation: a case report. AB - In lower extremity trauma, it is routine to check the neurovascular status of the affected limb. Failure to recognise a vascular injury can have catastrophic consequences for the patient. The frequent observation of the distal pulses at regular intervals after a traumatic knee dislocation is absolutely mandatory for the early recognition and management of popliteal artery thrombosis. A limb threatening complication of popliteal artery thrombosis occurring in association with a palpable dorsalis pedis pulse after a trampoline-related knee dislocation is reported here to emphasise some important teaching points. These lessons are a learning tool to help in the early recognition of the potential complications associated with such injuries and thus minimise their occurrence. PMID- 21068175 TI - Spontaneous renal artery dissection. PMID- 21068176 TI - Supraglottic haematoma in a patient taking warfarin. PMID- 21068177 TI - Importance of magnifying CT images to detect minute free air in patients with blunt bowel injury. PMID- 21068178 TI - Minor head injury in the Republic of Ireland: evaluation of written information given at discharge from emergency departments. AB - Most patients presenting to the emergency department with minor head injuries are discharged with written information. Here the quality of minor head injury discharge leaflets in the Republic of Ireland is evaluated against a nationally accepted template. There was great variability in leaflet content. Most provided minimal information on emergency symptoms but 60% contained no information on post-concussional symptoms. No leaflet was available in audio-format or languages other than English. Information provided in minor head injury leaflets should be improved and standardised across Ireland. PMID- 21068179 TI - Verbal and spatial analogical reasoning in deaf and hearing children: the role of grammar and vocabulary. AB - The extent to which cognitive development and abilities are dependent on language remains controversial. In this study, the analogical reasoning skills of deaf and hard of hearing children are explored. Two groups of children (deaf and hard of hearing children with either cochlear implants or hearing aids and hearing children) completed tests of verbal and spatial analogical reasoning. Their vocabulary and grammar skills were also assessed to provide a measure of language attainment. Results indicated significant differences between the deaf and hard of hearing children (regardless of type of hearing device) and their hearing peers on vocabulary, grammar, and verbal reasoning tests. Regression analyses revealed that in the group of deaf and hard of hearing children, but not in the hearing group, the language measures were significant predictors of verbal analogical reasoning, when age and spatial analogical reasoning ability were controlled for. The implications of these findings are discussed. PMID- 21068180 TI - alpha-Retinol is distributed through serum retinol-binding protein-independent mechanisms in the lactating sow-nursing piglet dyad. AB - alpha-Retinol (alphaR) is a structural isomer of retinol [vitamin A (VA)] that does not bind to serum retinol-binding protein (RBP). In this study, alpha retinyl acetate (alphaRA) was synthesized and given orally (35 MUmol) to VA deficient lactating sows (n = 11) to assess its potential to trace RBP independent retinol transport and tissue uptake. The alphaRA dose primarily appeared in sow serum as 4 alpha-retinyl esters (alphaRE) with peak serum total alphaR concentrations (the sum of the alcohol and ester forms) detected at 2 h (70 +/- 23 nmol/L, mean +/- SEM) postdose. From 0 to 40 h postdose, the percentage of serum total alphaR in the alcohol form did not increase. Rapid alphaR uptake into sow milk was observed with peak concentrations (371 +/- 83 nmol/L) at 7.5 h postdose, consistent with the uptake of alphaRE from chylomicra. A high percentage of the alphaRA dose (62 +/- 15%, mean +/- SD) was present in the livers of sows (n = 6) killed 22-28 d postdose. Approximately 15-26% of the sow alphaRA dose was transferred to the livers of the nursing piglets (n = 17) after 3 d. In piglets and sows, a similar percentage of hepatic total alphaR was detected in the ester form as that of hepatic total retinol. Taken together, these data suggest that an oral dose of alphaRA effectively traces the uptake, esterification, chylomicron transport, and hepatic storage of retinol and may be useful for deciphering the role of RBP-independent delivery of retinol to other tissues. PMID- 21068181 TI - Leptin induces nitric oxide-mediated inhibition of lipolysis and glyceroneogenesis in rat white adipose tissue. AB - Leptin is secreted by white adipose tissue (WAT) and induces lipolysis and nonesterified fatty acid (NEFA) oxidation. During lipolysis, NEFA efflux is the result of triglyceride breakdown, NEFA oxidation, and re-esterification via glyceroneogenesis. Leptin's effects on glyceroneogenesis remain unexplored. We investigated the effect of a long-term treatment with leptin at a physiological concentration (10 MUg/L) on lipolysis and glyceroneogenesis in WAT explants and analyzed the underlying mechanisms. Exposure of rat WAT explants to leptin for 2 h resulted in increased NEFA and glycerol efflux. However, a longer treatment with leptin (18 h) did not affect NEFA release and reduced glycerol output. RT qPCR showed that leptin significantly downregulated the hormone-sensitive lipase (HSL), cytosolic phosphoenolpyruvate carboxykinase (Pck1), and PPARgamma genes. In agreement with its effect on mRNA, leptin also decreased the levels of PEPCK-C and HSL proteins. Glyceroneogenesis, monitored by [1-(14) C] pyruvate incorporation into lipids, was reduced. Because leptin increases nitric oxide (NO) production in adipocytes, we explored the role of NO in the leptin signaling pathway. Pretreatment of explants with the NO synthase inhibitor Nomega-nitro-l arginine methyl ester eliminated the effect of leptin on lipolysis, glyceroneogenesis, and expression of the HSL, Pck1, and PPARgamma genes. The NO donor S-nitroso-N-acetyl-DL penicillamine mimicked leptin effects, thus demonstrating the role of NO in these pathways. The inverse time-dependent action of leptin on WAT is consistent with a process that limits NEFA re-esterification and energy storage while reducing glycerol release, thus preventing hypertriglyceridemia. PMID- 21068182 TI - Kaempferol enhances intestinal barrier function through the cytoskeletal association and expression of tight junction proteins in Caco-2 cells. AB - Kaempferol, a natural flavonoid present in fruits, vegetables, and teas, provides beneficial effects for human health. We investigated the promotive effect of kaempferol on tight junction (TJ) barrier integrity in human intestinal Caco-2 cell monolayers. Transepithelial electrical resistance (TER; a TJ integrity marker) across the monolayers rapidly and markedly increased during the first 6 h after kaempferol administration and remained elevated until 48 h without any changes in the lucifer yellow or dextran fluxes. Immunoblot analysis demonstrated that kaempferol promoted the actin cytoskeletal association of the TJ proteins, zonula occludens (ZO)-1, ZO-2, occludin, claudin-1, claudin-3, and claudin-4, which was associated with the increase in TER. Kaempferol-mediated ZO-2 and claudin-4 expression was relatively smaller or occurred later than the kaempferol promoted cytoskeletal association. Confocal microscopy showed that kaempferol induced assembly of occludin and claudin-3 occurred at the TJ at 6 h postadministration. Extraction of cholesterol with methyl-beta-cyclodextrin suppressed the kaempferol-mediated increase in TER. Sucrose density gradient centrifugation showed that the kaempferol treatment increased the TJ protein distributions in the cholesterol-rich lipid microdomain fraction. Taken together, these results indicate that the membrane lipid microdomain is involved in the kaempferol-mediated promotion of TJ protein assembly and intestinal TJ integrity. PMID- 21068183 TI - Dietary flavonoids increase plasma very long-chain (n-3) fatty acids in rats. AB - Flavonoids probably contribute to the health benefits associated with the consumption of fruit and vegetables. However, the mechanisms by which they exert their effects are not fully elucidated. PUFA of the (n-3) series also have health benefits. Epidemiological and clinical studies have suggested that wine flavonoids may interact with the metabolism of (n-3) PUFA and increase their blood and cell levels. The present studies in rats were designed to assess whether flavonoids actually increase plasma levels of eicosapentaenoic acid (EPA) and docosahexaenoic acid (DHA), the main very long-chain (n-3) PUFA. Rats were fed a corn-derived anthocyanin (ACN)-rich (ACN-rich) or ACN-free diet with constant intakes of plant and marine (n-3) PUFA for 8 wk (Expt. 1). Plasma fatty acids were measured by GC. The ACN-rich diet contained ~0.24 +/- 0.01 mg of ACN/g pellets. There were no significant differences between groups in the main saturated, monounsaturated, and (n-6) fatty acids. In contrast, plasma EPA and DHA were greater in the ACN-rich diet group than in the ACN-free diet group (P < 0.05). We obtained similar results in 2 subsequent experiments in which rats were administered palm oil (80 MUL/d) and consumed the ACN-rich or ACN-free diet (Expt. 2) or were supplemented with fish oil (60 mg/d, providing 35 mg DHA and 12 mg EPA) and consumed the ACN-rich or ACN-free diet (Expt. 3). In both experiments, plasma EPA and DHA were significantly greater in the ACN-rich diet group. These studies demonstrate that the consumption of flavonoids increases plasma very long-chain (n-3) PUFA levels. These data confirm previous clinical and epidemiological studies and provide new insights into the health benefits of flavonoids. PMID- 21068184 TI - Origin of the 1918 pandemic H1N1 influenza A virus as studied by codon usage patterns and phylogenetic analysis. AB - The pandemic of 1918 was caused by an H1N1 influenza A virus, which is a negative strand RNA virus; however, little is known about the nature of its direct ancestral strains. Here we applied a broad genetic and phylogenetic analysis of a wide range of influenza virus genes, in particular the PB1 gene, to gain information about the phylogenetic relatedness of the 1918 H1N1 virus. We compared the RNA genome of the 1918 strain to many other influenza strains of different origin by several means, including relative synonymous codon usage (RSCU), effective number of codons (ENC), and phylogenetic relationship. We found that the PB1 gene of the 1918 pandemic virus had ENC values similar to the H1N1 classical swine and human viruses, but different ENC values from avian as well as H2N2 and H3N2 human viruses. Also, according to the RSCU of the PB1 gene, the 1918 virus grouped with all human isolates and "classical" swine H1N1 viruses. The phylogenetic studies of all eight RNA gene segments of influenza A viruses may indicate that the 1918 pandemic strain originated from a H1N1 swine virus, which itself might be derived from a H1N1 avian precursor, which was separated from the bulk of other avian viruses in toto a long time ago. The high stability of the RSCU pattern of the PB1 gene indicated that the integrity of RNA structure is more important for influenza virus evolution than previously thought. PMID- 21068185 TI - The exozyme model: a continuum of functionally distinct complexes. AB - Exosome complexes are composed of 10 to 11 subunits and are involved in multiple facets of 3' -> 5' RNA processing and turnover. The current paradigm stipulates that a uniform, stoichiometric core exosome, composed of single copies of each subunit, carries out all RNA metabolic functions in vivo. While core composition is well established in vitro, available genetic, cell biological, proteomic, and transcriptomic data raise questions about whether individual subunits contribute to RNA metabolic functions exclusively within the complex. Here, we recount the current understanding of the core exosome model and show predictions of the core model that are not satisfied by the available evidence. To resolve this discrepancy, we propose the exozyme hypothesis, a novel model stipulating that while exosome subunits can and do carry out certain functions within the core, subsets of exosome subunits and cofactors also assemble into a continuum of compositionally distinct complexes--exozymes--with different RNA specificities. The exozyme model is consistent with all published data and provides a new framework for understanding the general mechanisms and regulation of RNA processing and turnover. PMID- 21068187 TI - Endogenous auditory spatial attention modulates obligatory sensory activity in auditory cortex. AB - Endogenous attention is the self-directed focus of attention to a region or feature of the environment. In this study, we assess the effects of endogenous attention on temporally detailed responses to continuous and competing auditory stimuli obtained using the novel auditory evoked spread spectrum analysis (AESPA) method. There is some debate as to whether an enhancement of sensory processing is involved in endogenous attention. It has been suggested that attentional effects are not due to increased sensory activity but are due to engagement of separate temporally overlapping nonsensory attention-related activity. There are also issues with the fact that the influence of exogenous attention grabbing mechanisms may hamper studies of endogenous attention. Due to the nature of the AESPA method, the obtained responses represent activity directly related to the stimulus envelope and thus predominantly correspond to obligatory sensory processing. In addition, the continuous nature of the stimuli minimizes exogenous attentional influence. We found attentional modulations at ~136 ms (during the Nc component of the AESPA response) and localized this to auditory cortex. Although the involvement of separate nonsensory attentional centers cannot be ruled out, these findings clearly demonstrate that endogenous attention does modulate obligatory sensory activity in auditory cortex. PMID- 21068186 TI - Development of inhibitory timescales in auditory cortex. AB - The time course of inhibition plays an important role in cortical sensitivity, tuning, and temporal response properties. We investigated the development of L2/3 inhibitory circuitry between fast-spiking (FS) interneurons and pyramidal cells (PCs) in auditory thalamocortical slices from mice between postnatal day 10 (P10) and P29. We found that the maturation of the intrinsic and synaptic properties of both FS cells and their connected PCs influence the timescales of inhibition. FS cell firing rates increased with age owing to decreased membrane time constants, shorter afterhyperpolarizations, and narrower action potentials. Between FS-PC pairs, excitatory postsynaptic potentials (EPSPs) and inhibitory postsynaptic potentials (IPSPs) changed with age. The latencies, rise, and peak times of the IPSPs, as well as the decay constants of both EPSPs and IPSPs decreased between P10 and P29. In addition, decreases in short-term depression at excitatory PC-FS synapses resulted in more sustained synaptic responses during repetitive stimulation. Finally, we show that during early development, the temporal properties that influence the recruitment of inhibition lag those of excitation. Taken together, our results suggest that the changes in the timescales of inhibitory recruitment coincide with the development of the tuning and temporal response properties of auditory cortical networks. PMID- 21068188 TI - Comparison between ultrafast and standard single-photon emission CT in patients with coronary artery disease: a pilot study. AB - BACKGROUND: A novel technology has been developed for ultrafast (UF) single photon emission CT (SPECT) myocardial perfusion imaging by using a pinhole collimation design and multiple cadmium zinc telluride crystal arrays. The purpose of this study was to compare myocardial perfusion imaging obtained by UF SPECT with standard (S) SPECT in patients with known or suspected coronary artery disease. METHODS AND RESULTS: A total of 34 patients underwent single-day (99m)Tc tetrofosmin stress/rest myocardial perfusion imaging. UF-SPECT was performed 10 minutes before S-SPECT. Images were qualitatively analyzed, and the summed stress score and summed rest score were calculated. The segmental tracer uptake value (percentage of maximum myocardial uptake) also was quantified for both UF- and S SPECT. When only 29 of 34 patients with significant coronary lesions were analyzed, the summed stress score was 10.1+/-4.4 versus 6.4+/-2.9, respectively, for UF- and S-SPECT (P=0.002). Qualitative and quantitative per-patient analysis showed similar results in detection of coronary artery disease for UF- and S SPECT. In contrast, per-vessel analysis demonstrated higher regional sensitivity of UF- versus S-SPECT. UF-SPECT showed higher sensitivity in detecting multivessel disease (P=0.003) versus S-SPECT. CONCLUSIONS: This pilot study confirms that UF-SPECT provides high-quality fast myocardial perfusion imaging and suggests that it may allow a more-accurate evaluation of both extent and severity of myocardial ischemia in patients with coronary artery disease. PMID- 21068190 TI - Craniofacial characteristics and genotypes of amelogenesis imperfecta patients. AB - The aims of the study were to identify craniofacial characteristics in patients with the rough hypoplastic amelogenesis imperfecta (AI) phenotype and to evaluate whether craniofacial variables are related to a mutation in either of the two genes associated with AI, enamelin (ENAM) and amelogenin (AMGX). Eight children (five males and three females) with rough hypoplastic AI phenotype, aged 6.5-15 years, from three families and their parents (three males and three females) were examined clinically, radiographically, and genetically. Seventeen variables were measured on lateral cephalometric radiographs in AI affected (n = 11) and AI unaffected (n = 3) members. Craniofacial measurements were statistically analysed using a Student's t-test. In all 14 individuals, mutation analysis of the ENAM and AMGX genes was performed by direct sequencing of the coding region. All AI affected patients had hypoplastic enamel with a rough surface and malocclusions. In the vertical plane, all AI children presented an anterior and/or posterior open bite (OB). Craniofacial analysis confirmed increased vertical relationships, with increased vertical jaw relationships and higher values for gonial angle. In two AI affected families, A and B, the same heterozygous ENAM g.8344delG mutation was confirmed, while in the third family, neither ENAM nor AMGX mutation was found. All patients with rough hypoplastic AI had a moderate to severe malocclusion with increased vertical dimensions regardless of the presence or absence of the ENAM g.8344delG mutation. As an OB requires appropriate timing of therapy, it is important to diagnose these patients as early as possible. PMID- 21068189 TI - Cardiovascular imaging for assessing cardiovascular risk in asymptomatic men versus women: the multi-ethnic study of atherosclerosis (MESA). AB - BACKGROUND: Coronary artery calcium (CAC), carotid intima-media thickness, and left ventricular (LV) mass and geometry offer the potential to characterize incident cardiovascular disease (CVD) risk in clinically asymptomatic individuals. The objective of the study was to compare these cardiovascular imaging measures for their overall and sex-specific ability to predict CVD. METHODS AND RESULTS: The study sample consisted of 4965 Multi-Ethnic Study of Atherosclerosis participants (48% men; mean age, 62+/-10 years). They were free of CVD at baseline and were followed for a median of 5.8 years. There were 297 CVD events, including 187 coronary heart disease (CHD) events, 65 strokes, and 91 heart failure (HF) events. CAC was most strongly associated with CHD (hazard ratio [HR], 2.3 per 1 SD; 95% CI, 1.9 to 2.8) and all CVD events (HR, 1.7; 95% CI, 1.5 to 1.9). Most strongly associated with stroke were LV mass (HR, 1.3; 95% CI, 1.1 to 1.7) and LV mass/volume ratio (HR, 1.3; 95% CI, 1.1 to 1.6). LV mass showed the strongest association with HF (HR, 1.8; 95% CI, 1.6 to 2.1). There were no significant interactions for imaging measures with sex and ethnicity for any CVD outcome. Compared with traditional risk factors alone, overall risk prediction (C statistic) for future CHD, HF, and all CVD was significantly improved by adding CAC, LV mass, and CAC, respectively (all P<0.05). CONCLUSIONS: There was no evidence that imaging measures differed in association with incident CVD by sex. CAC was most strongly associated with CHD and CVD; LV mass and LV concentric remodeling best predicted stroke; and LV mass best predicted HF. PMID- 21068191 TI - Does self-management of oral anticoagulation therapy improve quality of life and anxiety? AB - BACKGROUND: Research related to service requirements for anticoagulation management has focussed on clinical and health economic outcomes and paid little attention to the impact of treatment and service delivery on patients' quality of life. This was the first large UK study to evaluate the effect of patient self management (PSM) of oral anticoagulation on treatment-related quality of life (TRQoL) and anxiety in comparison with routine care (RC) and to explore the effect of level of therapeutic control on TRQoL and anxiety across and within each model of care. METHODS: A quantitative survey, set in primary care in the West Midlands. The subjects were 517 randomized controlled trial participants, 242 receiving PSM and 275 RC. Postal questionnaires at baseline and 12 months comprised the State Trait Anxiety Inventory and a treatment-specific measure of positive (satisfaction and self-efficacy) and negative aspects (daily hassles, strained social network and psychological distress) of TRQoL. Change in anxiety and TRQoL scores were compared between PSM and RC. Subgroup analysis was based upon level of therapeutic control (high, medium and low). RESULTS: Overall, 83% (n = 202) PSM and 55% (n = 161) RC patients contributed data. Anxiety scores were similar in both groups. PSM demonstrated greater improvement in self-efficacy than RC across the study period. A statistically significant between-group difference (PSM versus RC) in the self-efficacy also existed in subgroups with medium and high levels of therapeutic control. CONCLUSIONS: PSM is not associated with increased anxiety and has a positive effect upon some aspects of TRQoL compared to RC. PMID- 21068192 TI - Demographic and lifestyle factors associated with perceived stress in the primary care setting: a MetroNet study. AB - BACKGROUND: Stress and stress-related disorders are common in primary care. The stress-related needs for patients are often unmet partially due to the time and resource constraints inherent to many primary care settings. We examined the relative significance of key demographic and lifestyle factors related to stress among primary care patients. This information is unknown and needed to strategize these increasingly limited resources. METHODS: We distributed surveys to 100 consecutive adult patients in each of four family medicine centres in metropolitan Detroit between 2006 and 2007. Hierarchical multivariable regression analyses were used to assess the relative significance of the demographic and lifestyle factors related to stress. RESULTS: Of the 400 distributed surveys, 315 (78.7%) answered a minimum of 70% of the questions and were included in the analysis. The lifestyle factors [exercise, body mass index (BMI), sleep, social support, recovery or self-care skills (such as the ability to rest, relax and recuperate)] explained 39% (P < 0.001) of the variance in stress compared to 10% (P < 0.001) by the demographic factors (age, gender, race, employment, education and marital status). Stress was inversely related to sleep (P < 0.001), recovery (P < 0.001) and social support (P = 0.02) and positively to education (P < 0.001). CONCLUSIONS: The modifiable lifestyle factors explained significantly more of perceived stress among primary care patients than the demographic factors. Sleep and recovery had the biggest inverse relationship with stress, which suggests that they should be the primary target for assessment and intervention in patients who report stress or stress-related disorders. PMID- 21068193 TI - Inactivation of cytochrome P450 (P450) 3A4 but not P450 3A5 by OSI-930, a thiophene-containing anticancer drug. AB - An investigational anticancer agent that contains a thiophene moiety, 3 [(quinolin-4-ylmethyl)-amino]-N-[4-trifluoromethox)phenyl] thiophene-2 carboxamide (OSI-930), was tested to investigate its ability to modulate the activities of several cytochrome P450 enzymes. Results showed that OSI-930 inactivated purified, recombinant cytochrome P450 (P450) 3A4 in the reconstituted system in a mechanism-based manner. The inactivation was dependent on cytochrome b(5) and required NADPH. Catalase did not protect against the inactivation. No inactivation was observed in studies with human 2B6, 2D6, or 3A5 either in the presence or in the absence of b(5). The inactivation of 3A4 by OSI-930 was time- and concentration-dependent. The inactivation of the 7-benzyloxy-4 (trifluoromethyl)coumarin catalytic activity of 3A4 was characterized by a K(I) of 24 MUM and a k(inact) of 0.04 min(-1). This K(I) is significantly greater than the clinical OSI-930 C(max) of 1.7 MUM at the maximum tolerated dose, indicating that clinical drug interactions of OSI-930 via this pathway are not likely. Spectral analysis of the inactivated protein indicated that the decrease in the reduced CO spectrum at 450 nm was comparable to the amount of inactivation, thereby suggesting that the inactivation was primarily due to modification of the heme. High-pressure liquid chromatography (HPLC) analysis with detection at 400 nm showed a loss of heme comparable to the activity loss, but a modified heme was not detected. This result suggests either that the heme must have been modified enough so as not to be observed in a HPLC chromatograph or, possibly, that it was destroyed. The partition ratio for the inactivation of P450 3A4 was approximately 23, suggesting that this P450 3A4-mediated pathway occurs with approximately 4% frequency during the metabolism of OSI-930. Modeling studies on the binding of OSI-930 to the active site of the P450 3A4 indicated that OSI-930 would be oriented properly in the active site for oxidation of the thiophene sulfur to give the sulfoxide, which has previously been shown to be a significant metabolite of OSI-930. Because OSI-930 is an inactivator of P450 3A4 but does not exhibit any effect on P450 3A5 activity under the same conditions, it may be an appropriate probe for exploring unique aspects of these two very similar P450s. PMID- 21068194 TI - Identification and validation of novel human pregnane X receptor activators among prescribed drugs via ligand-based virtual screening. AB - Human pregnane X receptor (hPXR) plays a key role in regulating metabolism and clearance of endogenous and exogenous substances. Identification of novel hPXR activators among commercial drugs may aid in avoiding drug-drug interactions during coadministration. We applied ligand-based computational approaches for virtual screening of a commonly prescribed drug database (SCUT). Bayesian classification models were generated with a training set comprising 177 compounds using Fingerprints and 117 structural descriptors. A cell-based luciferase reporter assay was used for evaluation of chemical-mediated hPXR activation in HepG2 cells. All compounds were tested at 10 MUM concentration with rifampicin and dimethyl sulfoxide as positive and negative controls, respectively. The Bayesian models showed specificity and overall prediction accuracy up to 0.92 and 0.69 for test set compounds. Screening the SCUT database with this model retrieved 105 hits and 17 compounds from the top 25 hits were chosen for in vitro testing. The reporter assay confirmed that nine drugs, i.e., fluticasone, nimodipine, nisoldipine, beclomethasone, finasteride, flunisolide, megestrol, secobarbital, and aminoglutethimide, were previously unidentified hPXR activators. Thus, the present study demonstrates that novel hPXR activators can be efficiently identified among U.S. Food and Drug Administration-approved and commonly prescribed drugs, which should lead to detection and prevention of potential drug-drug interactions. PMID- 21068195 TI - Human CYP2S1 metabolizes cyclooxygenase- and lipoxygenase-derived eicosanoids. AB - CYP2S1 is a recently described dioxin-inducible cytochrome P450. We previously demonstrated that human CYP2S1 oxidizes a number of carcinogens but only via the peroxide shunt. In this article, we investigated whether human CYP2S1 can metabolize cyclooxygenase- and lipoxygenase-derived lipid peroxides in a NADPH independent fashion. Human CYP2S1 metabolizes prostaglandin G(2) (PGG(2)) (K(m) = 0.267 +/- 0.072 MUM) into several products including 12S-hydroxy-5Z,8E,10E heptadecatrienoic acid (12-HHT). It also metabolizes prostaglandin H(2) (PGH(2)) (K(m) = 11.7 +/- 2.8 MUM) into malondialdehyde, 12-HHT, and thromboxane A(2) (TXA(2)). The turnover to 12-HHT by human CYP2S1 (1.59 +/- 0.04 min(-1)) is 40 fold higher than that of TXA(2) (0.04 min(-1)). In addition to PGG(2) and PGH(2) metabolism, human CYP2S1 efficiently metabolizes the hydroperoxyeicosatetraenoic acids (5S-, 12S-, and 15S-) and 13S-hydroperoxyoctadecadienoic acid into 5-oxo eicosatetraenoic acid (turnover = 16.7 +/- 0.3 min(-1)), 12-oxo-eicosatetraenoic acid 1 (11.5 +/- 0.9 min(-1)), 15-oxo-eicosatetraenoic acid (16.9 +/- 0.8 min( 1)), and 13-octadecadienoic acid (20.2 +/- 0.9 min(-1)), respectively. Other cytochromes P450 such as CYP1A1, 1A2, 1B1, and 3A4 underwent similar conversions but at slower rates. The fatty acid hydroperoxides were also converted by human CYP2S1 to several epoxyalcohols. Our data indicate that fatty acid endoperoxides and hydroperoxides represent endogenous substrates of CYP2S1 and suggest that the enzyme CYP2S1 may play an important role in the inflammatory process because some of the products that CYP2S1 produces play important roles in inflammation. PMID- 21068196 TI - Mechanisms of reflex bladder activation by pudendal afferents. AB - Activation of pudendal afferents can evoke bladder contraction or relaxation dependent on the frequency of stimulation, but the mechanisms of reflex bladder excitation evoked by pudendal afferent stimulation are unknown. The objective of this study was to determine the contributions of sympathetic and parasympathetic mechanisms to bladder contractions evoked by stimulation of the dorsal nerve of the penis (DNP) in alpha-chloralose anesthetized adult male cats. Bladder contractions were evoked by DNP stimulation only above a bladder volume threshold equal to 73 +/- 12% of the distension-evoked reflex contraction volume threshold. Bilateral hypogastric nerve transection (to eliminate sympathetic innervation of the bladder) or administration of propranolol (a beta-adrenergic antagonist) decreased the stimulation-evoked and distension-evoked volume thresholds by -25% to -39%. Neither hypogastric nerve transection nor propranolol affected contraction magnitude, and robust bladder contractions were still evoked by stimulation at volume thresholds below the distension-evoked volume threshold. As well, inhibition of distention-evoked reflex bladder contractions by 10 Hz stimulation of the DNP was preserved following bilateral hypogastric nerve transection. Administration of phentolamine (an alpha-adrenergic antagonist) increased stimulation-evoked and distension-evoked volume thresholds by 18%, but again, robust contractions were still evoked by stimulation at volumes below the distension-evoked threshold. These results indicate that sympathetic mechanisms contribute to establishing the volume dependence of reflex contractions but are not critical to the excitatory pudendal to bladder reflex. A strong correlation between the magnitude of stimulation-evoked bladder contractions and bladder volume supports that convergence of pelvic afferents and pudendal afferents is responsible for bladder excitation evoked by pudendal afferents. Further, abolition of stimulation-evoked bladder contractions following administration of hexamethonium bromide confirmed that contractions were generated by pelvic efferent activation via the pelvic ganglion. These findings indicate that pudendal afferent stimulation evokes bladder contractions through convergence with pelvic afferents to increase pelvic efferent activity. PMID- 21068198 TI - Ventrolateral medulla mechanisms involved in cardiorespiratory responses to central chemoreceptor activation in rats. AB - A rise in arterial Pco(2) stimulates breathing and sympathetic activity to the heart and blood vessels. In the present study, we investigated the involvement of the retrotrapezoid nucleus (RTN) and glutamatergic mechanisms in the Botzinger/C1 region (Botz/C1) in these responses. Splanchnic sympathetic nerve discharge (sSND) and phrenic nerve discharge (PND) were recorded in urethane-anesthetized, sino-aortic-denervated, vagotomized, and artificially ventilated rats subjected to hypercapnia (end-expiratory CO(2) from 5% to 10%). Phrenic activity was absent at end-expiratory CO(2) of 4%, and strongly increased when end-expiratory CO(2) reached 10%. Hypercapnia also increased sSND by 103 +/- 7%. Bilateral injections of the GABA-A agonist muscimol (2 mM) into the RTN eliminated the PND and blunted the sSND activation (Delta = +56 +/- 8%) elicited by hypercapnia. Injections of NMDA receptor antagonist AP-5 (100 mM), non-NMDA receptor antagonist 6,7-dinitro quinoxaline-2,3-dione (DNQX; 100 mM) or metabotropic glutamate receptor antagonist (+/-)-alpha-methyl-4-carboxyphenylglycine (MCPG; 100 mM) bilaterally into the Botz/C1 reduced PND (Delta = +43 +/- 7%, +52 +/- 6% or +56 +/- 11%, respectively). MCPG also reduced sSND (Delta = +41 +/- 7%), whereas AP-5 and DNQX had no effect. In conclusion, the increase in sSND caused by hypercapnia depends on increased activity of the RTN and on metabotropic receptors in the Botz/C1, whereas PND depends on increased RTN activity and both ionotropic and metabotropic receptors in the Botz/C1. PMID- 21068197 TI - Pathogen-induced heart rate changes associated with cholinergic nervous system activation. AB - The autonomic nervous system plays a central role in regulation of host defense and in physiological responses to sepsis, including changes in heart rate and heart rate variability. The cholinergic anti-inflammatory response, whereby infection triggers vagal efferent signals that dampen production of proinflammatory cytokines, would be predicted to result in increased vagal signaling to the heart and increased heart rate variability. In fact, decreased heart rate variability is widely described in humans with sepsis. Our studies elucidate this apparent paradox by showing that mice injected with pathogens demonstrate transient bradyarrhythmias of vagal origin in a background of decreased heart rate variability (HRV). Intraperitoneal injection of a large inoculum of Gram-positive or Gram-negative bacteria or Candida albicans rapidly induced bradyarrhythmias of sinus and AV nodal block, characteristic of cardiac vagal firing and dramatically increased short-term HRV. These pathogen-induced bradycardias were immediately terminated by atropine, an antagonist of muscarinic cholinergic receptors, demonstrating the role of vagal efferent signaling in this response. Vagal afferent signaling following pathogen injection was demonstrated by intense nuclear c-Fos activity in neurons of the vagal sensory ganglia and brain stem. Surprisingly, pathogen-induced bradycardia demonstrated rapid and prolonged desensitization and did not recur on repeat injection of the same organism 3 h or 3 days after the initial exposure. After recovery from the initial bradycardia, depressed heart rate variability developed in some mice and was correlated with elevated plasma cytokine levels and mortality. Our findings of decreased HRV and transient heart rate decelerations in infected mice are similar to heart rate changes described by our group in preterm neonates with sepsis. Pathogen sensing and signaling via the vagus nerve, and the desensitization of this response, may account for periods of both increased and decreased heart rate variability in sepsis. PMID- 21068199 TI - UPR induces transient burst of apoptosis in islets of early lactating rats through reduced AKT phosphorylation via ATF4/CHOP stimulation of TRB3 expression. AB - Endocrine pancreas from pregnant rats undergoes several adaptations that comprise increase in beta-cell number, mass and insulin secretion, and reduction of apoptosis. Lactogens are the main hormones that account for these changes. Maternal pancreas, however, returns to a nonpregnant state just after the delivery. The precise mechanism by which this reversal occurs is not settled but, in spite of high lactogen levels, a transient increase in apoptosis was already reported as early as the 3rd day of lactation (L3). Our results revealed that maternal islets displayed a transient increase in DNA fragmentation at L3, in parallel with decreased RAC-alpha serine/threonine-protein kinase (AKT) phosphorylation (pAKT), a known prosurvival kinase. Wortmannin completely abolished the prosurvival action of prolactin (PRL) in cultured islets. Decreased pAKT in L3-islets correlated with increased Tribble 3 (TRB3) expression, a pseudokinase inhibitor of AKT. PERK and eIF2alpha phosphorylation transiently increased in islets from rats at the first day after delivery, followed by an increase in immunoglobulin heavy chain-binding protein (BiP), activating transcription factor 4 (ATF4), and C/EBP homologous protein (CHOP) in islets from L3 rats. Chromatin immunoprecipitation (ChIP) and Re-ChIP experiments further confirmed increased binding of the heterodimer ATF4/CHOP to the TRB3 promoter in L3 islets. Treatment with PBA, a chemical chaperone that inhibits UPR, restored pAKT levels and inhibited the increase in apoptosis found in L3. Moreover, PBA reduced CHOP and TRB3 levels in beta-cell from L3 rats. Altogether, our study collects compelling evidence that UPR underlies the physiological and transient increase in beta-cell apoptosis after delivery. The UPR is likely to counteract prosurvival actions of PRL by reducing pAKT through ATF4/CHOP-induced TRB3 expression. PMID- 21068201 TI - Unraveling the molecular machinery that promotes pancreatic beta-cell dysfunction during oxidative stress: focus on "Phagocyte-like NADPH oxidase promotes cytokine induced mitochondrial dysfunction in pancreatic beta-cells: evidence for regulation by Rac1". PMID- 21068202 TI - Glucose homeostasis in rainbow trout fed a high-carbohydrate diet: metformin and insulin interact in a tissue-dependent manner. AB - Carnivorous fish species such as the rainbow trout (Oncorhynchus mykiss) are considered to be "glucose intolerant" because of the prolonged hyperglycemia experienced after intake of a carbohydrate-enriched meal. In the present study, we use this species to study glucose homeostasis in fish chronically infused with the hypoglycemic agents, insulin, and metformin, and fed with a high proportion of carbohydrates (30%). We analyzed liver, skeletal muscle, and white adipose tissue (WAT), which are insulin- and metformin-specific targets at both the biochemical and molecular levels. Trout infused with the combination of insulin and metformin can effectively utilize dietary glucose at the liver, resulting in lowered glycemia, increased insulin sensitivity, and glucose storage capacity, combined with reduced glucose output. However, in both WAT and skeletal muscle, we observed decreased insulin sensitivity with the combined insulin + metformin treatment, resulting in the absence of changes at the metabolic level in the skeletal muscle and an increased potential for glucose uptake and storage in the WAT. Thus, the poor utilization by rainbow trout of a diet with a high proportion of carbohydrate can at least be partially improved by a combined treatment with insulin and metformin, and the glucose intolerance observed in this species could be, in part, due to some of the downstream components of the insulin and metformin signaling pathways. However, the predominant effects of metformin treatment on the action of insulin in these three tissues thought to be involved in glucose homeostasis remain exclusive in this species. PMID- 21068200 TI - O-GlcNAcylation: a novel pathway contributing to the effects of endothelin in the vasculature. AB - Glycosylation with O-linked beta-N-acetylglucosamine (O-GlcNAc) or O GlcNAcylation on serine and threonine residues of nuclear and cytoplasmic proteins is a posttranslational modification that alters the function of numerous proteins important in vascular function, including kinases, phosphatases, transcription factors, and cytoskeletal proteins. O-GlcNAcylation is an innovative way to think about vascular signaling events both in physiological conditions and in disease states. This posttranslational modification interferes with vascular processes, mainly vascular reactivity, in conditions where endothelin-1 (ET-1) levels are augmented (e.g. salt-sensitive hypertension, ischemia/reperfusion, and stroke). ET-1 plays a crucial role in the vascular function of most organ systems, both in physiological and pathophysiological conditions. Recognition of ET-1 by the ET(A) and ET(B) receptors activates intracellular signaling pathways and cascades that result in rapid and long-term alterations in vascular activity and function. Components of these ET-1-activated signaling pathways (e.g., mitogen-activated protein kinases, protein kinase C, RhoA/Rho kinase) are also targets for O-GlcNAcylation. Recent experimental evidence suggests that ET-1 directly activates O-GlcNAcylation, and this posttranslational modification mediates important vascular effects of the peptide. This review focuses on ET-1-activated signaling pathways that can be modified by O-GlcNAcylation. A brief description of the O-GlcNAcylation biology is presented, and its role on vascular function is addressed. ET-1-induced O GlcNAcylation and its implications for vascular function are then discussed. Finally, the interplay between O-GlcNAcylation and O-phosphorylation is addressed. PMID- 21068203 TI - Genetic variation in the TGF-beta signaling pathway and colon and rectal cancer risk. AB - BACKGROUND: The TGF-beta signaling pathway is an essential regulator of many cellular process involved in carcinogenesis. Smad proteins are central to the function of TGF-beta signaling. In this study, we evaluated genetic variation in TGFbeta1, TGFbetaR1, Smad1, Smad2, Smad3, and Smad4 and risk of colon and rectal cancer. METHODS: Data are from a large case-control study of colon (n = 1,444 cases, 1,841 controls) and rectal (n = 754 cases, 856 controls) cancer participants with DNA. RESULTS: Both TGFbeta1 rs1800469 and rs4803455 were associated with colon cancer [odds ratio (OR) = 0.65 and 1.43, 95% CI = 0.51-0.84 and 1.18-1.73, respectively) but not rectal cancer. Likewise, 1 of 3 tagSNPs for TGFbetaR1, 2 of the 4 tagSNPs for Smad2, and 4 of 37 Smad3 tagSNPs were associated with colon cancer. Fewer significant associations were observed for rectal cancer, with only 1 tagSNP in Smad2 and 3 tagSNP in Smad3 having 95% CIs excluding 1.0. Several Smad3 tagSNPs were only associated with CpG island methylator phenotype. We observed several statistically significant interactions between genetic variation in the TGF-beta signaling pathway and NFkappaB1, further illustrating its involvement in proposed mechanisms. In addition, we observed statistically significant interaction between TGFbeta1, TGFbetaR1, and Smad3 and cigarette smoking, aspirin use, and estrogen status for both colon and rectal cancers. Variation in TGFbeta1, TGFbetaR1, and Smad3 seemed to influence survival after diagnosis of colon and rectal cancer. CONCLUSIONS: These findings provide further support for genetic variation in the TGF-beta signaling pathway and risk of developing both colon and rectal cancers. IMPACT: Insight into biological pathways is provided. PMID- 21068204 TI - Effect of oral snus and medicinal nicotine in smokers on toxicant exposure and withdrawal symptoms: a feasibility study. AB - BACKGROUND: Smokeless, spitless tobacco products are being introduced and marketed as cigarette substitutes. Data are needed regarding how smokers interested in cessation would use these products, the levels of resultant toxicant exposure, and the feasibility of using these products as aids for tobacco cessation. METHODS: Smokers were randomized to receive Camel Snus (n = 51), Taboka (n = 52), or medicinal nicotine (n = 27) and required to quit smoking for 4 weeks. Measures of toxicant exposure and symptoms of craving and withdrawal were assessed prior to and during product use. RESULTS: Concentrations of exhaled carbon monoxide, urinary cotinine, urinary 4-(methylnitrosamino)-1-(3-pyridyl)-1 butanol and its glucuronides (total NNAL), and urinary N'-nitrosonornicotine and its glucuronide (total NNN) were significantly (P values <0.05) lower at the end of treatment in each group except for total NNN in those receiving Camel Snus (P = 0.066). A significant group * time effect was observed for total NNAL concentrations (P = 0.002) with the decrease greatest in the medicinal nicotine group and smallest decrease in the Camel Snus group. No significant differences between groups were found in craving and withdrawal symptoms. CONCLUSIONS: Enrolling smokers into a cessation study utilizing newer smokeless tobacco products is feasible. Camel Snus and Taboka use was not found to be superior to medicinal nicotine in reducing withdrawal symptoms but decreases in NNAL were smaller in users of Camel Snus. IMPACT: This study demonstrates the feasibility of conducting a smoking cessation study utilizing these newer tobacco products. An appropriately powered study is needed to assess smoking cessation rates using these newer products compared with established, safer products such as medicinal nicotine. PMID- 21068205 TI - Functional study on a novel missense mutation of the transcription factor FOXL2 causes blepharophimosis-ptosis-epicanthus inversus syndrome (BPES). AB - Blepharophimosis-ptosis-epicanthus inversus syndrome (BPES) is a rare autosomal dominant disease caused by FOXL2 gene mutations. However, only one missense mutation has been found in family with BPES type I. Here, we report a novel missense mutation in the forkhead domain of the FOXL2 gene (c.340A > G, NM_023067) resulted in the replacement of lysine by glutamic acid at amino acid position 114 of the FOXL2 protein (p.K114E, NP_075555) that was identified in a Chinese family with BPES type I, members of which displayed clinical symptoms such as shortened palpebral fissures, drooping eyelids, a vertical skin fold arising from the lower eyelid, and premature ovarian failure (POF) in affected females. Based on the patients' clinical features and computational analysis of this missense mutation in a three-dimensional structural model, we hypothesised that the mutation might disturb the intermolecular contacts between FOXL2 and the StAR gene. The disturbance of this interaction might contribute to the POF observed in BPES type I patients. We performed subcellular localisation and functional studies and as expected, observed significant nuclear aggregation and cytoplasmic mislocalization of the mutant type protein and loss-of-function was confirmed by electrophoretic mobility shift assays, transcriptional activity assays and quantitative real-time polymerase chain reaction. This functional study on a novel missense mutation has important implications for the molecular analysis of this gene. PMID- 21068206 TI - An overview of the visualisation and quantitation of low and high MW DNA adducts using the trapped in agarose DNA immunostaining (TARDIS) assay. AB - The ability to detect and quantify specific DNA adducts benefits genome stability research, drug development and the evaluation of environmental mutagens. The trapped in agarose DNA immunostaining (TARDIS) assay was developed as a means of detecting and quantifying melphalan and cisplatin DNA adducts at the single-cell level and has since been adapted to quantify topoisomerase-DNA complexes. The method relies on salt-detergent extraction of agarose-embedded cells. Genomic DNA and any covalently attached molecules remain in place in the agarose, while other cellular constituents are removed. Drug-DNA or topoisomerase-DNA complexes are then detected and quantified by sensitive immunofluorescence using adduct specific antibodies. Here, we give a perspective of the TARDIS assay including a comparison with other methods for quantifying topoisomerase-DNA covalent complexes and provide technical details required to set up and perform the assay. PMID- 21068207 TI - Three-dimensional quantitative structure-activity relationship studies on UGT1A9 mediated 3-O-glucuronidation of natural flavonols using a pharmacophore-based comparative molecular field analysis model. AB - Glucuronidation is often recognized as one of the rate-determining factors that limit the bioavailability of flavonols. Hence, design and synthesis of more bioavailable flavonols would benefit from the establishment of predictive models of glucuronidation using kinetic parameters [e.g., K(m), V(max), intrinsic clearance (CL(int)) = V(max)/K(m)] derived for flavonols. This article aims to construct position (3-OH)-specific comparative molecular field analysis (CoMFA) models to describe UDP-glucuronosyltransferase (UGT) 1A9-mediated glucuronidation of flavonols, which can be used to design poor UGT1A9 substrates. The kinetics of recombinant UGT1A9-mediated 3-O-glucuronidation of 30 flavonols was characterized, and kinetic parameters (K(m), V(max), CL(int)) were obtained. The observed K(m), V(max), and CL(int) values of 3-O-glucuronidation ranged from 0.04 to 0.68 MUM, 0.04 to 12.95 nmol/mg/min, and 0.06 to 109.60 ml/mg/min, respectively. To model UGT1A9-mediated glucuronidation, 30 flavonols were split into the training (23 compounds) and test (7 compounds) sets. These flavonols were then aligned by mapping the flavonols to specific common feature pharmacophores, which were used to construct CoMFA models of V(max) and CL(int), respectively. The derived CoMFA models possessed good internal and external consistency and showed statistical significance and substantive predictive abilities (V(max) model: q(2) = 0.738, r(2) = 0.976, r(pred)(2) = 0.735; CL(int) model: q(2) = 0.561, r(2) = 0.938, r(pred)(2) = 0.630). The contour maps derived from CoMFA modeling clearly indicate structural characteristics associated with rapid or slow 3-O-glucuronidation. In conclusion, the approach of coupling CoMFA analysis with a pharmacophore-based structural alignment is viable for constructing a predictive model for regiospecific glucuronidation rates of flavonols by UGT1A9. PMID- 21068208 TI - PhMYB4 fine-tunes the floral volatile signature of Petunia x hybrida through PhC4H. AB - In Petunia * hybrida cv 'Mitchell Diploid' (MD), floral volatile benzenoid/phenylpropanoid (FVBP) biosynthesis is controlled spatially, developmentally, and daily at molecular, metabolic, and biochemical levels. Multiple genes have been shown to encode proteins that either directly catalyse a biochemical reaction yielding FVBP compounds or are involved in metabolite flux prior to the formation of FVBP compounds. It was hypothesized that multiple transcription factors are involved in the precise regulation of all necessary genes, resulting in the specific volatile signature of MD flowers. After acquiring all available petunia transcript sequences with homology to Arabidopsis thaliana R2R3-MYB transcription factors, PhMYB4 (named for its close identity to AtMYB4) was identified, cloned, and characterized. PhMYB4 transcripts accumulate to relatively high levels in floral tissues at anthesis and throughout open flower stages, which coincides with the spatial and developmental distribution of FVBP production and emission. Upon RNAi suppression of PhMYB4 (ir-PhMYB4) both petunia cinnamate-4-hydroxylase (PhC4H1 and PhC4H2) gene transcript levels were significantly increased. In addition, ir-PhMYB4 plants emit higher levels of FVBP compounds derived from p-coumaric acid (isoeugenol and eugenol) compared with MD. Together, these results indicate that PhMYB4 functions in the repression of C4H transcription, indirectly controlling the balance of FVBP production in petunia floral tissue (i.e. fine-tunes). PMID- 21068210 TI - Flow cytometry sorting of recombinant mycobacterial species yields bacterial clones with enhanced insert expression. AB - Recombinant mycobacteria hold promise as vectors for delivery of HIV-1 and other pathogen antigen inserts for inducing systemic and mucosal immune responses. In general, the immunogenicity of the recombinant mycobacterial insert is proportional to the level of insert expression. In this study, a novel flow cytometry-based assay has been developed to sort live recombinant mycobacterial mutants with high expression of foreign inserts and to enrich those sorted bacterial populations. Sorted recombinant mycobacterial clones expressed higher levels of the ovalbumin SIINFEKL epitope, and select sorted clones showed better immunogenicity than unsorted recombinant mycobacteria. Thus, flow cytometry-based sorting can isolate recombinant mycobacteria enriched for higher insert expression. PMID- 21068209 TI - Arabidopsis plants deficient in plastidial glyceraldehyde-3-phosphate dehydrogenase show alterations in abscisic acid (ABA) signal transduction: interaction between ABA and primary metabolism. AB - Abscisic acid (ABA) controls plant development and regulates plant responses to environmental stresses. A role for ABA in sugar regulation of plant development has also been well documented although the molecular mechanisms connecting the hormone with sugar signal transduction pathways are not well understood. In this work it is shown that Arabidopsis thaliana mutants deficient in plastidial glycolytic glyceraldehyde-3-phosphate dehydrogenase (gapcp1gapcp2) are ABA insensitive in growth, stomatal closure, and germination assays. The ABA levels of gapcp1gapcp2 were normal, suggesting that the ABA signal transduction pathway is impaired in the mutants. ABA modified gapcp1gapcp2 gene expression, but the mutant response to the hormone differed from that observed in wild-type plants. The gene expression of the transcription factor ABI4, involved in both sugar and ABA signalling, was altered in gapcp1gapcp2, suggesting that their ABA insensitivity is mediated, at least partially, through this transcriptional regulator. Serine supplementation was able partly to restore the ABA sensitivity of gapcp1gapcp2, indicating that amino acid homeostasis and/or serine metabolism may also be important determinants in the connections of ABA with primary metabolism. Overall, these studies provide new insights into the links between plant primary metabolism and ABA signalling, and demonstrate the importance of plastidial glycolytic glyceraldehyde-3-phosphate dehydrogenase in these interactions. PMID- 21068211 TI - Characterization of gene use and efficacy of mouse monoclonal antibodies to Streptococcus pneumoniae serotype 8. AB - Streptococcus pneumoniae is the most common cause of community-acquired pneumonia in the United States and globally. Despite the availability of pneumococcal capsular polysaccharide (PPS) and protein conjugate-based vaccines, the prevalence of antibiotic-resistant pneumococcal strains, serotype (ST) replacement in nonconjugate vaccine strains, and uncertainty as to whether the PPS vaccine that is used in adults protects against pneumonia emphasize the need for continued efforts to understand the nature of protective PPS antibody responses. In this study, we generated mouse monoclonal antibodies (MAbs) to a conjugate consisting of the PPS of serotype 8 (PPS8) S. pneumoniae and tetanus toxoid. Thirteen MAbs, including four IgMs that bound to PPS8 and phosphorylcholine (PC) and five IgMs and four IgG1s that bound to PPS8 but not PC, were produced, and their nucleotide sequences, epitope and fine specificity, and efficacy against lethal challenge with ST8 S. pneumoniae were determined. MAbs that bound to PPS8 exhibited gene use that was distinct from that exhibited by MAbs that bound to PC. Only PPS8-binding MAbs that did not bind PC were protective in mice. All 13 MAbs used germ line variable-region heavy (V(H)) and light (V(L)) chain genes, with no evidence of somatic hypermutation. Our data reveal a relationship between PPS specificity and V(H) gene use and MAb efficacy in mice. These findings provide insight into the relationship between antibody molecular structure and function and hold promise for the development of novel surrogates for pneumococcal vaccine efficacy. PMID- 21068212 TI - Is transcription factor binding site turnover a sufficient explanation for cis regulatory sequence divergence? AB - The molecular evolution of cis-regulatory sequences is not well understood. Comparisons of closely related species show that cis-regulatory sequences contain a large number of sites constrained by purifying selection. In contrast, there are a number of examples from distantly related species where cis-regulatory sequences retain little to no sequence similarity but drive similar patterns of gene expression. Binding site turnover, whereby the gain of a redundant binding site enables loss of a previously functional site, is one model by which cis regulatory sequences can diverge without a concurrent change in function. To determine whether cis-regulatory sequence divergence is consistent with binding site turnover, we examined binding site evolution within orthologous intergenic sequences from 14 yeast species defined by their syntenic relationships with adjacent coding sequences. Both local and global alignments show that nearly all distantly related orthologous cis-regulatory sequences have no significant level of sequence similarity but are enriched for experimentally identified binding sites. Yet, a significant proportion of experimentally identified binding sites that are conserved in closely related species are absent in distantly related species and so cannot be explained by binding site turnover. Depletion of binding sites depends on the transcription factor but is detectable for a quarter of all transcription factors examined. Our results imply that binding site turnover is not a sufficient explanation for cis-regulatory sequence evolution. PMID- 21068213 TI - Synthetic toxicology: where engineering meets biology and toxicology. AB - This article examines the implications of synthetic biology (SB) for toxicological sciences. Starting with a working definition of SB, we describe its current subfields, namely, DNA synthesis, the engineering of DNA-based biological circuits, minimal genome research, attempts to construct protocells and synthetic cells, and efforts to diversify the biochemistry of life through xenobiology. Based on the most important techniques, tools, and expected applications in SB, we describe the ramifications of SB for toxicology under the label of synthetic toxicology. We differentiate between cases where SB offers opportunities for toxicology and where SB poses challenges for toxicology. Among the opportunities, we identified the assistance of SB to construct novel toxicity testing platforms, define new toxicity-pathway assays, explore the potential of SB to improve in vivo biotransformation of toxins, present novel biosensors developed by SB for environmental toxicology, discuss cell-free protein synthesis of toxins, reflect on the contribution to toxic use reduction, and the democratization of toxicology through do-it-yourself biology. Among the identified challenges for toxicology, we identify synthetic toxins and novel xenobiotics, biosecurity and dual-use considerations, the potential bridging of toxic substances and infectious agents, and do-it-yourself toxin production. PMID- 21068214 TI - Localization of epitopes recognized by monoclonal antibodies that neutralized the H3N2 influenza viruses in man. AB - Through extensive isolation of neutralizing mAbs against H3N2 influenza viruses representing the in vivo repertoire in a human donor, we examined the relationships between antigenic drift of influenza virus and protective antibodies generated in an infected individual. The majority of mAbs isolated from a donor born in 1960 were divided into three major groups with distinct strain specificity: 1968-1973, 1977-1993 and 1997-2003. In the present study, we developed a new method that allowed us to comprehensively determine the location of epitopes recognized by many mAbs. Original haemagglutinins (HAs) of several strains and chimaeric variants, in which one of the seven sites (A, B1, B2, C1, C2, D or E) was replaced by some other strain-derived sequence, were artificially expressed on the cell surface. The binding activity of mAbs to the HAs was examined by flow cytometry. By using this method, we determined the location of epitopes recognized by 98 different mAbs. Clones that neutralize the 1968-1973 strains bind to site B2/D, A or A/B1. While sites C, E and B were recognized by clones that neutralized the 1977-1993 strains, the majority of these clones bind to site C. Clones that neutralize the 1997-2003 strains bind to site B, A/B1, A/B2 or E/C2. PMID- 21068215 TI - Influence of insertion site of the avian influenza virus haemagglutinin (HA) gene within the Newcastle disease virus genome on HA expression. AB - Members of the order Mononegavirales express their genes in a transcription gradient from 3' to 5'. To assess how this impacts on expression of a foreign transgene, the haemagglutinin (HA) of highly pathogenic avian influenza virus (HPAIV) A/chicken/Vietnam/P41/05 (subtype H5N1) was inserted between the phosphoprotein (P) and matrix protein (M), M and fusion protein (F), or F and haemagglutinin-neuraminidase protein (HN) genes of attenuated Newcastle disease virus (NDV) Clone 30. In addition, the gene encoding the neuraminidase of HPAIV A/duck/Vietnam/TG24-01/05 (subtype H5N1) was inserted into the NDV genome either alone or in combination with the HA gene. All recombinants replicated well in embryonated chicken eggs. The expression levels of HA-specific mRNA and protein were quantified by Northern blot analysis and mass spectrometry, with good correlation. HA expression levels differed only moderately and were highest in the recombinant carrying the HA insertion between the F and HN genes of NDV. PMID- 21068216 TI - Use of randomly mutagenized genomic cDNA banks of potato spindle tuber viroid to screen for viable versions of the viroid genome. AB - In an effort to study sequence space allowing the recovery of viable potato spindle tuber viroid (PSTVd) variants we have developed an in vivo selection (Selex) method to produce and bulk-inoculate by agroinfiltration large PSTVd cDNA banks in which a short stretch of the genome is mutagenized to saturation. This technique was applied to two highly conserved 6 nt-long regions of the PSTVd genome, the left terminal loop (TL bank) and part of the polypurine stretch in the upper strand of pre-melting loop 1 (PM1 bank). In each case, PSTVd accumulation was observed in a large fraction of bank-inoculated tomato plants. Characterization of the progeny molecules showed the recovery of the parental PSTVd sequence in 89 % (TL bank) and 18 % (PM1 bank) of the analysed plants. In addition, viable and genetically stable PSTVd variants with mutations outside of the known natural variability of PSTVd were recovered in both cases, although at different rates. In the case of the TL region, mutations were recovered at five of the six mutagenized positions (357, 358, 359, 1 and 3 of the genome) while for the PM1 region mutations were recovered at all six targeted positions (50-55), providing significant new insight on the plasticity of the PSTVd genome. PMID- 21068217 TI - Protein-RNA linkage and post-translational modifications of two sobemovirus VPgs. AB - Sobemoviruses possess a viral genome-linked protein (VPg) attached to the 5' end of viral RNA. VPg is processed from the viral polyprotein. In the current study, Cocksfoot mottle virus (CfMV) and Rice yellow mottle virus (RYMV) VPgs were purified from virions and analysed by mass spectrometry. The cleavage sites in the polyprotein and thereof the termini of VPg were experimentally proven. The lengths of the mature VPgs were determined to be 78 and 79 aa residues, respectively. The amino acid residues covalently linked to RNA in the two VPgs were, surprisingly, not conserved; it is a tyrosine at position 5 of CfMV VPg and serine at position 1 of RYMV VPg. Phosphorylations were identified in CfMV and RYMV VPgs with two positionally similar locations T20/S14 and S71/S72, respectively. RYMV VPg contains an additional phosphorylation site at S41. PMID- 21068218 TI - Recombination in hepatitis C virus genotype 1 evaluated by phylogenetic and population-genetic methods. AB - Although hepatitis C virus (HCV) is a major cause of viral hepatitis and hepatocellular carcinoma, many aspects of its evolution remain poorly understood. Relevant to its evolution and the development of antiviral drug resistance is the role of recombination in HCV, which has not been resolved using phylogenetic tests. In line with previous studies, we found no strong support for a role of recombination in the dominant subtypes 1A and 1B using phylogenetic approaches. In contrast, signatures of gene conversion were abundant if a population recombination model, which takes into account diversity within and between groups, was used (9676 gene conversion signatures between the genomes of subtypes 1A and 1B and 170 between the NS5A regions of subtypes 1A and 1B and the minor subtypes 1c-1g). The gene conversion signatures coincided with a striking lack of diagnostically informative sites between subtypes and a large number of shared mutations between complete subtype 1A and 1B genomes (0.76 and 62.2 % of nucleotide sites, respectively). Maximum-likelihood trees revealed significant topological incongruence among conserved PFAM domains and genome regions targeted by diagnostic assays, which underpins a major role for recombination. The same results were obtained with smaller numbers of genomes and with only synonymous sites. Topological concordance increased only marginally if larger genome regions were compared. The level of recombination in HCV subtype 1, which is probably significantly higher than can currently be measured, also illustrates the complexity of designing diagnostic assays based on the unusual patterns of genomic diversity of HCV. PMID- 21068219 TI - A PSAP motif in the ORF3 protein of hepatitis E virus is necessary for virion release from infected cells. AB - We have previously demonstrated that the release of hepatitis E virus (HEV) from infected cells depended on ORF3 protein, which harbours one or two PSAP motifs. To elucidate the PSAP motif(s) in the ORF3 protein during virion egress, five PSAP mutants derived from an infectious genotype 3 cDNA clone of pJE03-1760F/wt that can grow efficiently in PLC/PRF/5 cells were analysed. Four mutants, including mutLSAP, mutPSAL, mutLSAL (the substituted amino acids in the authentic PSAP motif are underlined) and mutPLAP/PSAP (the changed amino acid in the additional PSAP motif is underlined) generated progenies as efficiently as the wild-type virus. Conversely, the HEV RNA level in the culture supernatant of mutPLAP/LSAL RNA-transfected cells was significantly lower than in cells transfected with the wild-type RNA, similar to an ORF3-null mutant. Consistent with the ORF3-deficient mutant, the mutPLAP/LSAL mutant with no intact PSAP motifs banded at 1.26-1.27 g ml(-1) in sucrose, and was captured by anti-ORF2, but not by anti-ORF3, with or without prior treatment with detergent (0.1 % sodium deoxycholate). The absence of the ORF3 protein on the mutant particles in the culture supernatant was confirmed by Western blotting, despite the expression of ORF3 protein in the RNA-transfected cells, as detected by immunofluorescence and Western blotting. Therefore, at least one of the two intact PSAP motifs in the ORF3 protein is required for the formation of membrane-associated HEV particles possessing ORF3 proteins on their surface, thus suggesting that the PSAP motif plays a role as a functional domain for HEV budding. PMID- 21068220 TI - New COMLEX-USA-to-USMLE conversion formula needed. PMID- 21068221 TI - New insights regarding possible association between prenatal ultrasound and autism. PMID- 21068222 TI - Maintenance and improvement of interobserver reliability of osteopathic palpatory tests over a 4-month period. AB - CONTEXT: Few studies have shown that diagnostic palpation is reliable. No studies have shown that the reliability of diagnostic palpatory skills can be maintained and improved over time. OBJECTIVE: To investigate whether the reliability of selected palpatory tests used to identify lumbar somatic dysfunction was maintained during a 4-month period as part of a clinical observational study. METHODS: Participants with low back pain and participants without low back pain, recruited from a rural Midwestern community, were examined during 6 separate sessions over a 4-month period. During each data collection session, two blinded examiners, who had previously completed comprehensive consensus training, evaluated the lumbar region with four tests: static segmental positional asymmetry of the transverse processes in the horizontal plane, tissue texture abnormalities, resistance to anterior springing on the spinous processes, and tenderness induced by pressure on the spinous processes. Detailed protocols for each test were defined during a previous comprehensive consensus training period and were not revised during the current study. To verify that established interobserver reliability was maintained throughout the clinical study, quality control sampling was performed on all data. When findings were inconsistent between the two examiners, focused consensus training was performed as a means of recalibration to understand why assessments were inconsistent. Interobserver reliability for determining the presence or absence of somatic dysfunction was assessed using kappa coefficients. RESULTS: The study enrolled 64 participants, and 14 to 33 participants were examined per session. All four tests had acceptable interobserver reliability by the final data collection session. The test for static segmental positional asymmetry of the transverse processes in the horizontal plane had moderate to substantial reliability in all 6 sessions. The test for tissue texture abnormalities had moderate reliability in 5 of the 6 sessions. The test for resistance to anterior springing on the spinous processes had moderate reliability for 3 of the 6 sessions. The test for tenderness had substantial to almost perfect reliability for all 6 sessions. In general, interobserver reliability improved over time. CONCLUSIONS: Examiners were able to maintain and improve interobserver reliability of four lumbar diagnostic palpatory tests over a 4-month period. PMID- 21068223 TI - Treatment of chronically digoxin-poisoned patients with a newer digoxin immune fab--a retrospective study. AB - CONTEXT: Digoxin is used in the treatment of patients with cardiac dysfunction, though toxicity sometimes results from the use of this medication. In 1986, the US Food and Drug Administration (FDA) approved a digoxin immune Fab for the treatment of such patients. In 2001, the FDA approved a newer digoxin immune Fab, a digoxin-specific antibody (DSAb) known as DigiFab (Protherics Inc, Brentwood, Tennessee), though minimal literature exists on the clinical effects of this DSAb. OBJECTIVES: To characterize a cohort of patients presenting with chronic digoxin toxicity and to describe the clinical course of these patients with the use of DSAb. METHODS: A retrospective study included patients with life threatening cardiotoxicity and serum digoxin level greater than 2 ng/mL who were treated at two US hospitals from 2003 to 2006. Trained investigators abstracted data from patients' medical records and assessed changes in clinical and laboratory parameters at regular intervals (0-4, >4-12, >12-24, and >24-72 hours) after treatment with DSAb. An expert panel reviewed electrocardiogram results to identify life-threatening manifestations of digoxin toxicity before and after DSAb treatment. Efficacy of treatment was assessed as rates of improvement in clinical parameters and cardiotoxic effects. Rates of adverse drug reactions were used to characterize safety. All data were analyzed with descriptive statistics. RESULTS: Fourteen patients (mean [SD] age, 71.3 [10.4] years) were treated for chronic digoxin toxicity. At presentation, 12 patients had a heart rate of less than 45 beats per minute, 1 had third-degree heart block, and 1 had asystole. Mean serum digoxin level was 3.6 ng/mL. Eleven patients had abnormal renal function. After administration of DSAb, clinical parameters improved in all patients. Within 24 hours, cardiotoxicity resolved in 7 of 9 evaluable patients. Two adverse drug reactions possibly related to DigiFab occurred, both of which resolved with conventional measures. Two patients died from conditions unrelated to treatment. CONCLUSION: The newer DSAb appears to be a safe and effective treatment for resolving digoxin toxicity in adults, as indicated by electrocardiogram and clinical assessments. Because patients with multiple comorbidities may be at greater risk for digoxin toxicity, they should be closely monitored during treatment with digoxin. PMID- 21068224 TI - Development, implementation, and outcomes of an initiative to integrate evidence based medicine into an osteopathic curriculum. AB - CONTEXT: In response to the American Osteopathic Association's Commission on Osteopathic College Accreditation (COCA) standards set forth in 2008, osteopathic medical schools are restructuring curricula to demonstrate they are teaching the seven core competencies and integrating evidence-based medicine (EBM) throughout all 4 years of training. OBJECTIVE: To describe and evaluate the efforts of a college of osteopathic medicine to integrate EBM concepts into its curriculum while maintaining existing course content and faculty contact hours. DESIGN: One group pre- and posttest study. SETTING: Kirksville College of Osteopathic Medicine-A.T. Still University (KCOM) in Missouri. PARTICIPANTS: KCOM course directors in workshop series I (n=20) and KCOM faculty workshop series II (n=14). INTERVENTION: A faculty development workshop series based on the diffusion of innovations model was instituted to facilitate cultural change, gain faculty support, and accelerate the implementation of EBM throughout KCOM's curriculum. OUTCOME MEASURES: Faculty attitudes, confidence levels, and the number of courses that included instruction of EBM concepts were measured in August 2007 and May 2008. RESULTS: Faculty attitudes about integrating EBM into the curriculum and confidence levels measured pre- and postworkshop series found that 21 of 26 participants believed they improved their ability to locate primary EBM resources using the Internet; 21 of 28 improved their ability to teach EBM concepts to students. Fifteen of 16 faculty course directors agreed to find ways to incorporate EBM into their classes. Review of KCOM's course syllabi in April 2009 demonstrated a statistically significant difference (P<.001) in the number of faculty teaching EBM concepts after the faculty development workshop series concluded in March 2008 compared to before the series commenced in March 2006. An unexpected outcome was the implementation of a faculty-conceived, standalone EBM course in fall 2007. CONCLUSIONS: A workshop series based on the diffusion of innovations model is effective in garnering faculty support for the implementation of a change in curriculum that emphasizes EBM content without increasing faculty contact hours or eliminating existing curricular content. A faculty development model emphasizing a "bottom-to-top" approach is effective in achieving workplace culture changes and seamless curricular transitions. Results have shown that a consensus building model is conducive to engaging faculty and garnering its support to effect curricular change, which, ultimately, ensures success. PMID- 21068225 TI - Management of benign paroxysmal positional vertigo with the canalith repositioning maneuver in the emergency department setting. AB - Vertigo is a common clinical manifestation in the emergency department (ED). It is important for physicians to determine if the peripheral cause of vertigo is benign paroxysmal positional vertigo (BPPV), a disorder accounting for 20% of all vertigo cases. However, the Dix-Hallpike test--the standard for BPPV diagnosis- is not common in the ED setting. If no central origin of the vertigo is determined, patients in the ED are typically treated with benzodiazepine, antihistamine, or anticholinergic agents. Studies have shown that these pharmaceutical treatment options may not be the best for patients with BPPV. The authors describe a case of a 38-year-old woman who presented to the ED with complaints of severe, sudden-onset vertigo. The patient's BPPV was diagnosed by means of a Dix-Hallpike test and the patient was acutely treated in the ED with physical therapy using the canalith repositioning maneuver. PMID- 21068226 TI - Potential for pregabalin abuse or diversion after past drug-seeking behavior. AB - Pregabalin, primarily used to manage neuropathic pain and fibromyalgia, is categorized as a Schedule V drug (ie, lowest potential for abuse) in the US Drug Enforcement Administration's Controlled Substances Act. Because pregabalin is not recognized as a drug with high-abuse potential, data on pregabalin abuse and addiction are lacking. The authors report a case of a 35-year-old woman with a history of opioid-seeking behavior who was prescribed pregabalin for pain control. The patient requested an increase in her medication 2 months after beginning treatment and, after her physician denied her request, subsequently obtained pregabalin from other sources. Over a 28-day period, the patient received a total of 88,500 mg of pregabalin. After learning of the other prescriptions, the patient's physician became suspicious of pregabalin abuse or diversion. In accordance with state medical board guidelines, the patient was discharged from the practice and referred to a local detoxification center. PMID- 21068227 TI - Effects of rib raising on the autonomic nervous system: a pilot study using noninvasive biomarkers. PMID- 21068228 TI - Soul sickness: a frequently missed diagnosis. PMID- 21068229 TI - The perfect electronic medical record system. PMID- 21068230 TI - Atypical presentation of herpes simplex encephalitis in an infant. PMID- 21068231 TI - Parosmia after laparoscopic gastric bypass and gastric banding. PMID- 21068232 TI - Coordinated leading and lagging strand DNA synthesis by using the herpes simplex virus 1 replication complex and minicircle DNA templates. AB - The origin-specific replication of the herpes simplex virus 1 genome requires seven proteins: the helicase-primase (UL5-UL8-UL52), the DNA polymerase (UL30 UL42), the single-strand DNA binding protein (ICP8), and the origin-binding protein (UL9). We reconstituted these proteins, excluding UL9, on synthetic minicircular DNA templates and monitored leading and lagging strand DNA synthesis using the strand-specific incorporation of dTMP and dAMP. Critical features of the assays that led to efficient leading and lagging stand synthesis included high helicase-primase concentrations and a lagging strand template whose sequence resembled that of the viral DNA. Depending on the nature of the minicircle template, the replication complex synthesized leading and lagging strand products at molar ratios varying between 1:1 and 3:1. Lagging strand products (~0.2 to 0.6 kb) were significantly shorter than leading strand products (~2 to 10 kb), and conditions that stimulated primer synthesis led to shorter lagging strand products. ICP8 was not essential; however, its presence stimulated DNA synthesis and increased the length of both leading and lagging strand products. Curiously, human DNA polymerase alpha (p70-p180 or p49-p58-p70-p180), which improves the utilization of RNA primers synthesized by herpesvirus primase on linear DNA templates, had no effect on the replication of the minicircles. The lack of stimulation by polymerase alpha suggests the existence of a macromolecular assembly that enhances the utilization of RNA primers and may functionally couple leading and lagging strand synthesis. Evidence for functional coupling is further provided by our observations that (i) leading and lagging strand synthesis produce equal amounts of DNA, (ii) leading strand synthesis proceeds faster under conditions that disable primer synthesis on the lagging strand, and (iii) conditions that accelerate helicase-catalyzed DNA unwinding stimulate decoupled leading strand synthesis but not coordinated leading and lagging strand synthesis. PMID- 21068233 TI - Spatial configuration of hepatitis E virus antigenic domain. AB - Hepatitis E virus (HEV) is a human pathogen that causes acute hepatitis. When an HEV capsid protein containing a 52-amino-acid deletion at the C terminus and a 111-amino-acid deletion at the N terminus is expressed in insect cells, the recombinant HEV capsid protein can self-assemble into a T=1 virus-like particle (VLP) that retains the antigenicity of the native HEV virion. In this study, we used cryoelectron microscopy and image reconstruction to show that anti-HEV monoclonal antibodies bind to the protruding domain of the capsid protein at the lateral side of the spikes. Molecular docking of the HEV VLP crystal structure revealed that Fab224 covered three surface loops of the recombinant truncated second open reading frame (ORF2) protein (PORF2) at the top part of the spike. We also determined the structure of a chimeric HEV VLP and located the inserted B cell tag, an epitope of 11 amino acids coupled to the C-terminal end of the recombinant ORF2 protein. The binding site of Fab224 appeared to be distinct from the location of the inserted B-cell tag, suggesting that the chimeric VLP could elicit immunity against both HEV and an inserted foreign epitope. Therefore, the T=1 HEV VLP is a novel delivery system for displaying foreign epitopes at the VLP surface in order to induce antibodies against both HEV and the inserted epitope. PMID- 21068234 TI - The E4orf6/E1B55K E3 ubiquitin ligase complexes of human adenoviruses exhibit heterogeneity in composition and substrate specificity. AB - Although human adenovirus type 5 (Ad5) has been widely studied, relatively little work has been done with other human adenovirus serotypes. The Ad5 E4orf6 and E1B55K proteins form Cul5-based E3 ubiquitin ligase complexes to degrade p53, Mre11, DNA ligase IV, integrin alpha3, and almost certainly other targets, presumably to optimize the cellular environment for viral replication and perhaps to facilitate persistence or latency. As this complex is essential for the efficient replication of Ad5, we undertook a systematic analysis of the structure and function of corresponding E4orf6/E1B55K complexes from other serotypes to determine the importance of this E3 ligase throughout adenovirus evolution. E4orf6 and E1B55K coding sequences from serotypes representing all subgroups were cloned, and each pair was expressed and analyzed for their capacity to assemble the Cullin-based ligase complex and to degrade substrates following plasmid DNA transfection. The results indicated that all formed Cullin-based E3 ligase complexes but that heterogeneity in both structure and function existed. Whereas Cul5 was present in the complexes of some serotypes, others recruited primarily Cul2, and the Ad16 complex clearly bound both Cul2 and Cul5. There was also heterogeneity in substrate specificity. Whereas all serotypes tested appeared to degrade DNA ligase IV, complexes from some serotypes failed to degrade Mre11, p53, or integrin alpha3. Thus, a major evolutionary pressure for formation of the adenovirus ligase complex may lie in the degradation of DNA ligase IV; however, it seems possible that the degradation of as-yet-unidentified critical targets or, perhaps even more likely, appropriate combinations of substrates plays a central role for these adenoviruses. PMID- 21068235 TI - Norovirus P particle, a novel platform for vaccine development and antibody production. AB - The norovirus P particle is an octahedral nanoparticle formed by 24 copies of the protrusion (P) domain of the norovirus capsid protein. This P particle is easily produced in Escherichia coli, extremely stable, and highly immunogenic. There are three surface loops per P domain, making a total of 72 loops per particle, and these are potential sites for foreign antigen presentation for immune enhancement. To prove this concept, a small peptide (His tag, 7 amino acids [aa]) and a large antigen (rotavirus VP8, 159 aa) were inserted into one of the loops. Neither insertion affects P particle formation, while both antigens were presented well on the P particle surface. The immune-enhancement effect of the P particle was demonstrated by significantly increased antibody titers induced by the P particle-presented antigens compared to the titers induced by free antigens. In addition, the measured neutralization antibody titers and levels of protection against rotavirus shedding in mice immunized with the VP8 chimeric P particles were significantly higher than those of mice immunized with the free VP8 antigen. Sera from P particle-VP8 chimera-vaccinated animals also blocked norovirus virus-like particle (VLP) binding to the histo-blood group antigen (HBGA) receptors. From these data, the P particle appears to be an excellent vaccine platform for antigen presentation. The readily available three surface loops and the great capacity for foreign antigen insertion make this platform attractive for wide application in vaccine development and antibody production. The P particle-VP8 chimeras may serve as a dual vaccine against both rotavirus and norovirus. PMID- 21068236 TI - Phosphorylation of RIG-I by casein kinase II inhibits its antiviral response. AB - RIG-I is an intracellular RNA virus sensor that mediates a signaling pathway that triggers the alpha/beta interferon (IFN-alpha/beta) immune defenses. However, the mechanism for regulation of RIG-I activity remains largely unknown. Here we show that RIG-I activity is regulated by phosphorylation and dephosphorylation in its repressor domain (RD). Threonine at amino acid (aa) 770 and serine at aa 854 to 855 of RIG-I are phosphorylated by casein kinase II (CK2) in the resting state of the cell and dephosphorylated when cells are infected by RNA virus. Mutation at aa position 770 or 854 to 855 of RIG-I renders it constitutively active. Pharmacological inhibition of CK2 enhances virus-induced expression of IFN-beta and suppresses virus proliferation, while inhibition of phosphatase reduces virus induced expression of IFN-beta. Overexpression of CK2 suppresses RIG-I-mediated signaling, while silencing of CK2 results in the increased suppression of virus proliferation. Our results reveal a novel mechanism of the regulation of RIG-I activity during RNA virus infection. PMID- 21068237 TI - A transmembrane serine protease is linked to the severe acute respiratory syndrome coronavirus receptor and activates virus entry. AB - Spike (S) proteins, the defining projections of the enveloped coronaviruses (CoVs), mediate cell entry by connecting viruses to plasma membrane receptors and by catalyzing subsequent virus-cell membrane fusions. The latter membrane fusion requires an S protein conformational flexibility that is facilitated by proteolytic cleavages. We hypothesized that the most relevant cellular proteases in this process are those closely linked to host cell receptors. The primary receptor for the human severe acute respiratory syndrome CoV (SARS) CoV is angiotensin-converting enzyme 2 (ACE2). ACE2 immunoprecipitation captured transmembrane protease/serine subfamily member 2 (TMPRSS2), a known human airway and alveolar protease. ACE2 and TMPRSS2 colocalized on cell surfaces and enhanced the cell entry of both SARS S-pseudotyped HIV and authentic SARS-CoV. Enhanced entry correlated with TMPRSS2-mediated proteolysis of both S and ACE2. These findings indicate that a cell surface complex comprising a primary receptor and a separate endoprotease operates as a portal for activation of SARS-CoV cell entry. PMID- 21068238 TI - Identification of amino acids in the human tetherin transmembrane domain responsible for HIV-1 Vpu interaction and susceptibility. AB - Tetherin, also known as BST-2/CD317/HM1.24, is an antiviral cellular protein that inhibits the release of HIV-1 particles from infected cells. HIV-1 viral protein U (Vpu) is a specific antagonist of human tetherin that might contribute to the high virulence of HIV-1. In this study, we show that three amino acid residues (I34, L37, and L41) in the transmembrane (TM) domain of human tetherin are critical for the interaction with Vpu by using a live cell-based assay. We also found that the conservation of an additional amino acid at position 45 and two residues downstream of position 22, which are absent from monkey tetherins, are required for the antagonism by Vpu. Moreover, computer-assisted structural modeling and mutagenesis studies suggest that an alignment of these four amino acid residues (I34, L37, L41, and T45) on the same helical face in the TM domain is crucial for the Vpu-mediated antagonism of human tetherin. These results contribute to the molecular understanding of human tetherin-specific antagonism by HIV-1 Vpu. PMID- 21068239 TI - The receptor-binding domain of influenza virus hemagglutinin produced in Escherichia coli folds into its native, immunogenic structure. AB - The hemagglutinin (HA) surface glycoprotein promotes influenza virus entry and is the key protective antigen in natural immunity and vaccines. The HA protein is a trimeric envelope glycoprotein consisting of a globular receptor-binding domain (HA-RBD) that is inserted into a membrane fusion-mediating stalk domain. Similar to other class I viral fusion proteins, the fusogenic stalk domain spontaneously refolds into its postfusion conformation when expressed in isolation, consistent with this domain being trapped in a metastable conformation. Using X-ray crystallography, we show that the influenza virus HA-RBD refolds spontaneously into its native, immunogenic structure even when expressed in an unglycosylated form in Escherichia coli. In the 2.10-A structure of the HA-RBD, the receptor binding pocket is intact and its conformational epitopes are preserved. Recombinant HA-RBD is immunogenic and protective in ferrets, and the protein also binds with specificity to sera from influenza virus-infected humans. Overall, the data provide a structural basis for the rapid production of influenza vaccines in E. coli. From an evolutionary standpoint, the ability of the HA-RBD to refold spontaneously into its native conformation suggests that influenza virus acquired this domain as an insertion into an ancestral membrane-fusion domain. The insertion of independently folding domains into fusogenic stalk domains may be a common feature of class I viral fusion proteins. PMID- 21068240 TI - Oxidative stress induces reactivation of Kaposi's sarcoma-associated herpesvirus and death of primary effusion lymphoma cells. AB - Kaposi's sarcoma (KS) and primary effusion lymphoma (PEL) cells are predominantly infected with latent Kaposi's sarcoma-associated herpesvirus (KSHV), presenting a barrier to the destruction of tumor cells. Latent KSHV can be reactivated to undergo lytic replication. Here we report that in PEL cells, oxidative stress induced by upregulated reactive oxygen species (ROS) can lead to KSHV reactivation or cell death. ROS are upregulated by NF-kappaB inhibition and are required for subsequent KSHV reactivation. Disruption of the intracellular redox balance through depletion of the antioxidant glutathione or inhibition of the antioxidant enzyme catalase also induces KSHV reactivation, suggesting that hydrogen peroxide induces reactivation. In addition, p38 signaling is required for KSHV reactivation induced by ROS. Furthermore, treatment of PEL cells with a higher concentration of the NF-kappaB inhibitor than that used for inducing KSHV reactivation further upregulates ROS and induces massive cell death. ROS, but not p38 signaling, are required for PEL cell death induced by NF-kappaB inhibition as well as by glutathione depletion. Importantly, anticancer drugs, such as cisplatin and arsenic trioxide, also induce KSHV reactivation and PEL cell death in a ROS-dependent manner. Our study thus establishes a critical role for ROS and oxidative stress in the regulation of KSHV reactivation and PEL cell death. Disrupting the cellular redox balance may be a potential strategy for treating KSHV-associated lymphoma. PMID- 21068241 TI - Noncytotoxic inhibition of viral infection through eIF4F-independent suppression of translation by 4EGi-1. AB - The eukaryotic initiation factor eIF4F recruits ribosomes to capped mRNAs while eIF2 mediates start codon recognition to initiate protein synthesis. Increasing interest in targeting translation to suppress tumor growth has led to the development of new classes of inhibitors, including 4EGi-1, which disrupts eIF4F complexes. However, the full effects of this inhibitor and its potential uses in the treatment of other disease states remain unclear. Here, we show that overall rates of protein synthesis in primary human cells were affected only modestly by eIF4F disruption using the mTOR inhibitor Torin1, yet were highly sensitive to 4EGi-1. Translational suppression occurred even at concentrations of 4EGi-1 that were below those required to significantly alter eIF4F levels but were instead found to increase the association of ribosomal complexes containing inactive eIF2alpha. Although highly stable in culture, the effects of 4EGi-1 on both cellular protein synthesis and ribosome association were readily reversible upon inhibitor removal. In addition, despite potently inhibiting translation, prolonged exposure to 4EGi-1 had only modest effects on cell morphology and protein abundance without affecting viability or stress tolerance to any significant degree, although differential effects on heat shock protein (hsp) expression highlighted distinct 4EGi-1-sensitive modes of hsp induction. In contrast, 4EGi-1 potently suppressed poxvirus replication as well as both reactivation and lytic phases of herpesvirus infection. These findings identify a novel way in which 4EGi-1 affects the host cell's protein synthesis machinery and demonstrate its potential as a noncytotoxic inhibitor of diverse forms of viral infection. PMID- 21068242 TI - The bovine herpesvirus 4 Bo10 gene encodes a nonessential viral envelope protein that regulates viral tropism through both positive and negative effects. AB - All gammaherpesviruses encode a glycoprotein positionally homologous to the Epstein-Barr virus gp350 and the Kaposi's sarcoma-associated herpesvirus (KSHV) K8.1. In this study, we characterized the positional homologous glycoprotein of bovine herpesvirus 4 (BoHV-4), encoded by the Bo10 gene. We identified a 180-kDa gene product, gp180, that was incorporated into the virion envelope. A Bo10 deletion virus was viable but showed a growth deficit associated with reduced binding to epithelial cells. This seemed to reflect an interaction of gp180 with glycosaminoglycans (GAGs), since compared to the wild-type virus, the Bo10 mutant virus was both less infectious for GAG-positive (GAG(+)) cells and more infectious for GAG-negative (GAG(-)) cells. However, we could not identify a direct interaction between gp180 and GAGs, implying that any direct interaction must be of low affinity. This function of gp180 was very similar to that previously identified for the murid herpesvirus 4 gp150 and also to that of the Epstein-Barr virus gp350 that promotes CD21(+) cell infection and inhibits CD21( ) cell infection. We propose that such proteins generally regulate virion attachment both by binding to cells and by covering another receptor-binding protein until they are displaced. Thus, they regulate viral tropism both positively and negatively depending upon the presence or absence of their receptor. PMID- 21068243 TI - Structural studies of Hantaan virus. AB - Hantaan virus is the prototypic member of the Hantavirus genus within the family Bunyaviridae and is a causative agent of the potentially fatal hemorrhagic fever with renal syndrome. The Bunyaviridae are a family of negative-sense RNA viruses with three-part segmented genomes. Virions are enveloped and decorated with spikes derived from a pair of glycoproteins (Gn and Gc). Here, we present cryo electron tomography and single-particle cryo-electron microscopy studies of Hantaan virus virions. We have determined the structure of the tetrameric Gn-Gc spike complex to a resolution of 2.5 nm and show that spikes are ordered in lattices on the virion surface. Large cytoplasmic extensions associated with each Gn-Gc spike also form a lattice on the inner surface of the viral membrane. Rod shaped ribonucleoprotein complexes are arranged into nearly parallel pairs and triplets within virions. Our results differ from the T=12 icosahedral organization found for some bunyaviruses. However, a comparison of our results with the previous tomographic studies of the nonpathogenic Tula hantavirus indicates a common structural organization for hantaviruses. PMID- 21068244 TI - A dimeric Rep protein initiates replication of a linear archaeal virus genome: implications for the Rep mechanism and viral replication. AB - The Rudiviridae are a family of rod-shaped archaeal viruses with covalently closed, linear double-stranded DNA (dsDNA) genomes. Their replication mechanisms remain obscure, although parallels have been drawn to the Poxviridae and other large cytoplasmic eukaryotic viruses. Here we report that a protein encoded in the 34-kbp genome of the rudivirus SIRV1 is a member of the replication initiator (Rep) superfamily of proteins, which initiate rolling-circle replication (RCR) of diverse viruses and plasmids. We show that SIRV Rep nicks the viral hairpin terminus, forming a covalent adduct between an active-site tyrosine and the 5' end of the DNA, releasing a 3' DNA end as a primer for DNA synthesis. The enzyme can also catalyze the joining reaction that is necessary to reseal the DNA hairpin and terminate replication. The dimeric structure points to a simple mechanism through which two closely positioned active sites, each with a single tyrosine residue, work in tandem to catalyze DNA nicking and joining. We propose a novel mechanism for rudivirus DNA replication, incorporating the first known example of a Rep protein that is not linked to RCR. The implications for Rep protein function and viral replication are discussed. PMID- 21068245 TI - Human parainfluenza virus type 2 L protein regions required for interaction with other viral proteins and mRNA capping. AB - The large RNA polymerase (L) protein of human parainfluenza virus type 2 (hPIV2) binds the nucleocapsid, phosphoprotein, and V protein, as well as itself, and these interactions are essential for transcription and replication of the viral RNA genome. Although all of these interactions were found to be mediated through the domains within the N terminus of L, the C terminus of the L protein was also required for minigenome reporter gene expression. We have identified a highly conserved rubulavirus domain near the C terminus of the L protein that is required for mRNA synthesis but not for genome replication. Remarkably, this region of L shares homology with a conserved region of cellular capping enzymes that binds GTP and forms a lysyl-GMP enzyme intermediate, the first step in the cellular capping reaction. We propose that this conserved region of L also binds GTP (or GDP) to carry out the second step of the unconventional nonsegmented negative-strand virus capping reaction. PMID- 21068246 TI - Herpes simplex virus type 1 helicase-primase: DNA binding and consequent protein oligomerization and primase activation. AB - The heterotrimeric helicase-primase complex of herpes simplex virus type I (HSV 1), consisting of UL5, UL8, and UL52, possesses 5' to 3' helicase, single stranded DNA (ssDNA)-dependent ATPase, primase, and DNA binding activities. In this study we confirm that the UL5-UL8-UL52 complex has higher affinity for forked DNA than for ssDNA and fails to bind to fully annealed double-stranded DNA substrates. In addition, we show that a single-stranded overhang of greater than 6 nucleotides is required for efficient enzyme loading and unwinding. Electrophoretic mobility shift assays and surface plasmon resonance analysis provide additional quantitative information about how the UL5-UL8-UL52 complex associates with the replication fork. Although it has previously been reported that in the absence of DNA and nucleoside triphosphates the UL5-UL8-UL52 complex exists as a monomer in solution, we now present evidence that in the presence of forked DNA and AMP-PNP, higher-order complexes can form. Electrophoretic mobility shift assays reveal two discrete complexes with different mobilities only when helicase-primase is bound to DNA containing a single-stranded region, and surface plasmon resonance analysis confirms larger amounts of the complex bound to forked substrates than to single-overhang substrates. Furthermore, we show that primase activity exhibits a cooperative dependence on protein concentration while ATPase and helicase activities do not. Taken together, these data suggest that the primase activity of the helicase-primase requires formation of a dimer or higher order structure while ATPase activity does not. Importantly, this provides a simple mechanism for generating a two-polymerase replisome at the replication fork. PMID- 21068247 TI - Higher level of replication efficiency of 2009 (H1N1) pandemic influenza virus than those of seasonal and avian strains: kinetics from epithelial cell culture and computational modeling. AB - The pathogenicity and transmission of influenza A viruses are likely determined in part by replication efficiency in human cells, which is the net effect of complex virus-host interactions. H5N1 avian, H1N1 seasonal, and H1N1 2009 pandemic influenza virus strains were compared by infecting human differentiated bronchial epithelial cells in air-liquid interface cultures at relatively low virus particle/cell ratios. Differential equation and computational models were used to characterize the in vitro kinetic behaviors of the three strains. The models were calibrated by fitting experimental data in order to estimate difficult-to-measure parameters. Both models found marked differences in the relative values of p, the virion production rate per cell, and R(0), an index of the spread of infection through the monolayer, with the values for the strains in the following rank order (from greatest to least): pandemic strain, followed by seasonal strain, followed by avian strain, as expected. In the differential equation model, which treats virus and cell populations as well mixed, R(0) and p varied proportionately for all 3 strains, consistent with a primary role for productivity. In the spatially explicit computational model, R(0) and p also varied proportionately except that R(0) derived for the pandemic strain was reduced, consistent with constrained viral spread imposed by multiple host defenses, including mucus and paracrine antiviral effects. This synergistic experimental-computational strategy provides relevant parameters for identifying and phenotyping potential pandemic strains. PMID- 21068248 TI - Quantitative studies of Epstein-Barr virus-encoded microRNAs provide novel insights into their regulation. AB - Epstein-Barr virus (EBV) has been shown to encode at least 40 microRNAs (miRNAs), an important class of molecules that negatively regulate the expression of many genes through posttranscriptional mechanisms. Here, we have used real-time PCR assays to quantify the levels of EBV-encoded BHRF1 and BART miRNAs in latently infected cells and in cells induced into the lytic cycle. During latency, BHRF1 miRNAs were seen only in cells with detectable Cp- and/or Wp-initiated EBNA transcripts, while the BART miRNAs were expressed in all forms of latent infection. Surprisingly, levels of different BART miRNAs were found to vary up to 50-fold within a cell line. However, this variation could not be explained by differential miRNA turnover, as all EBV miRNAs appeared to be remarkably stable. Following entry into the virus lytic cycle, miR-BHRF1-2 and -1-3 were rapidly induced, coincident with the onset of lytic BHRF1 transcripts, while miR-BHRF1-1 expression was delayed until 48 h and correlated with the appearance of Cp/Wp initiated EBNA transcripts. In contrast, levels of BART miRNAs were relatively unchanged during virus replication, despite dramatic increases in BART transcription. Finally, we show that BHRF1 and BART miRNAs were delayed relative to the induction of BHRF1 and BART transcripts in freshly infected primary B cell cultures. In summary, our data show that changes in BHRF1 and BART transcription are not necessarily reflected in altered miRNA levels, suggesting that miRNA maturation is a key step in regulating steady-state levels of EBV miRNAs. PMID- 21068250 TI - Phylogeography of the spring and fall waves of the H1N1/09 pandemic influenza virus in the United States. AB - Spatial variation in the epidemiological patterns of successive waves of pandemic influenza virus in humans has been documented throughout the 20th century but never understood at a molecular level. However, the unprecedented intensity of sampling and whole-genome sequencing of the H1N1/09 pandemic virus now makes such an approach possible. To determine whether the spring and fall waves of the H1N1/09 influenza pandemic were associated with different epidemiological patterns, we undertook a large-scale phylogeographic analysis of viruses sampled from three localities in the United States. Analysis of genomic and epidemiological data reveals distinct spatial heterogeneities associated with the first pandemic wave, March to July 2009, in Houston, TX, Milwaukee, WI, and New York State. In Houston, no specific H1N1/09 viral lineage dominated during the spring of 2009, a period when little epidemiological activity was observed in Texas. In contrast, major pandemic outbreaks occurred at this time in Milwaukee and New York State, each dominated by a different viral lineage and resulting from strong founder effects. During the second pandemic wave, beginning in August 2009, all three U.S. localities were dominated by a single viral lineage, that which had been dominant in New York during wave 1. Hence, during this second phase of the pandemic, extensive viral migration and mixing diffused the spatially defined population structure that had characterized wave 1, amplifying the one viral lineage that had dominated early on in one of the world's largest international travel centers. PMID- 21068249 TI - Genomic analysis reveals pre- and postchallenge differences in a rhesus macaque AIDS vaccine trial: insights into mechanisms of vaccine efficacy. AB - We have employed global transcriptional profiling of whole blood to identify biologically relevant changes in cellular gene expression in response to alternative AIDS vaccine strategies with subsequent viral challenge in a rhesus macaque vaccine model. Samples were taken at day 0 (prechallenge), day 14 (peak viremia), and week 12 (set point) from animals immunized with replicating adenovirus type 5 host range (Ad5hr) recombinant viruses expressing human immunodeficiency virus HIV(env)(89.6P), simian immunodeficiency virus SIV(gag)(239), or SIV(nef)(239) alone or in combination with two intramuscular boosts with HIV(89.6P)gp140DeltaCFI protein (L. J. Patterson et al., Virology 374:322-337, 2008), and each treatment resulted in significant control of viremia following simian-human immunodeficiency virus SHIV(89.6P) challenge (six animals per group plus six controls). At day 0, 8 weeks after the last treatment, the microarray profiles revealed significant prechallenge differences between treatment groups; data from the best-protected animals led to identification of a network of genes related to B cell development and lymphocyte survival. At peak viremia, expression profiles of the immunized groups were extremely similar, and comparisons to control animals reflected immunological differences other than effector T cell functions. Suggested protective mechanisms for vaccinated animals included upregulation of interleukin-27, a cytokine known to inhibit lentivirus replication, and increased expression of complement components, which may synergize with vaccine-induced antibodies. Divergent expression profiles at set point for the immunized groups implied distinct immunological responses despite phenotypic similarities in viral load and CD4(+) T cell levels. Data for the gp140-boosted group provided evidence for antibody-dependent, cell-mediated viral control, whereas animals immunized with only the replicating Ad5hr recombinants exhibited a different evolution of the B cell compartment even at 3 months postchallenge. This study demonstrates the sensitivity and discrimination of gene expression profiling of whole blood as an analytical tool in AIDS vaccine trials, providing unique insights into in vivo mechanisms and potential correlates of protection. PMID- 21068251 TI - Antiviral activity of a small-molecule inhibitor of arenavirus glycoprotein processing by the cellular site 1 protease. AB - Arenaviruses merit interest as clinically important human pathogens and include several causative agents, chiefly Lassa virus (LASV), of hemorrhagic fever disease in humans. There are no licensed LASV vaccines, and current antiarenavirus therapy is limited to the use of ribavirin, which is only partially effective and is associated with significant side effects. The arenavirus glycoprotein (GP) precursor GPC is processed by the cellular site 1 protease (S1P) to generate the peripheral virion attachment protein GP1 and the fusion-active transmembrane protein GP2, which is critical for production of infectious progeny and virus propagation. Therefore, S1P-mediated processing of arenavirus GPC is a promising target for therapeutic intervention. To this end, we have evaluated the antiarenaviral activity of PF-429242, a recently described small-molecule inhibitor of S1P. PF-429242 efficiently prevented the processing of GPC from the prototypic arenavirus lymphocytic choriomeningitis virus (LCMV) and LASV, which correlated with the compound's potent antiviral activity against LCMV and LASV in cultured cells. In contrast, a recombinant LCMV expressing a GPC whose processing into GP1 and GP2 was mediated by furin, instead of S1P, was highly resistant to PF-429242 treatment. PF-429242 did not affect virus RNA replication or budding but had a modest effect on virus cell entry, indicating that the antiarenaviral activity of PF-429242 was mostly related to its ability to inhibit S1P-mediated processing of arenavirus GPC. Our findings support the feasibility of using small-molecule inhibitors of S1P-mediated processing of arenavirus GPC as a novel antiviral strategy. PMID- 21068252 TI - Rabies virus (RV) glycoprotein expression levels are not critical for pathogenicity of RV. AB - Previous comparisons of different rabies virus (RV) strains suggested an inverse relationship between pathogenicity and the amount of glycoprotein produced in infected cells. In order to provide more insight into this relationship, we pursued an experimental approach that allowed us to alter the glycoprotein expression level without altering the glycoprotein sequence, thereby eliminating the contribution of amino acid changes to differences in viral virulence. To this end, we constructed an infectious clone of the highly pathogenic rabies virus strain CVS-N2c and replaced its cognate glycoprotein gene with synthetic versions in which silent mutations were introduced to replace wild-type codons with the most or least frequently used synonymous codons. A recombinant N2c variant containing the fully codon-optimized G gene and three variants carrying a partially codon-deoptimized G gene were recovered on mouse neuroblastoma cells and shown to express 2- to 3-fold more and less glycoprotein, respectively, than wild-type N2c. Pathogenicity studies in mice revealed the WT-N2c virus to be the most pathogenic strain. Variants containing partially codon-deoptimized glycoprotein genes or the codon-optimized gene were less pathogenic than WT-N2c but still caused significant mortality. We conclude that the expression level of the glycoprotein gene does have an impact on pathogenicity but is not a dominant factor that determines pathogenicity. Thus, strategies such as changes in codon usage that aim solely at altering the expression level of the glycoprotein gene do not suffice to render a pathogenic rabies virus apathogenic and are not a viable and safe approach for attenuation of a pathogenic strain. PMID- 21068253 TI - Hepatitis B virus regulatory HBx protein binds to adaptor protein IPS-1 and inhibits the activation of beta interferon. AB - Hepatitis B virus (HBV) encodes the regulatory HBx protein, which is required for virus replication, although its specific role(s) in the replication cycle remains under investigation. An immunoprecipitation/mass spectrometry approach was used to identify four novel HBx binding proteins from the cytoplasmic fraction of HBx transgenic mouse livers. One of these HBx binding partners is beta interferon promoter stimulator 1 (IPS-1), an adaptor protein that plays a critical role in mediating retinoic acid-inducible gene I (RIG-I) signaling, which leads to the activation of beta interferon (IFN-beta). The HBx-IPS-1 protein interaction was confirmed in plasmid-transfected HepG2 cells by reciprocal coimmunoprecipitation and Western blotting. We hypothesized that HBx might alter IPS-1 function since proteins of hepatitis C virus and hepatitis A virus similarly bind IPS-1 and target it for inactivation. The effect of HBx on IPS-1-mediated IFN-beta signaling was tested in transfected 293T and HepG2 cells, and we show that HBx inhibits double-stranded DNA (dsDNA)-mediated IFN-beta activation in a dose dependent manner when expressed either alone or within the context of HBV replication. However, HBx does not inhibit poly(I:C)-activated IFN-beta signaling. These results demonstrate that HBx interferes with the RIG-I pathway of innate immunity. Hepatitis B virus now joins hepatitis C virus and hepatitis A virus in targeting the same innate immune response pathway, presumably as a shared strategy to benefit replication of these viruses in the liver. PMID- 21068254 TI - Characterization of Bafinivirus main protease autoprocessing activities. AB - The production of functional nidovirus replication-transcription complexes involves extensive proteolytic processing by virus-encoded proteases. In this study, we characterized the viral main protease (M(pro)) of the type species, White bream virus (WBV), of the newly established genus Bafinivirus (order Nidovirales, family Coronaviridae, subfamily Torovirinae). Comparative sequence analysis and mutagenesis data confirmed that the WBV M(pro) is a picornavirus 3C like serine protease that uses a Ser-His-Asp catalytic triad embedded in a predicted two-beta-barrel fold, which is extended by a third domain at its C terminus. Bacterially expressed WBV M(pro) autocatalytically released itself from flanking sequences and was able to mediate proteolytic processing in trans. Using N-terminal sequencing of autoproteolytic processing products we tentatively identified Gln?(Ala, Thr) as a substrate consensus sequence. Mutagenesis data provided evidence to suggest that two conserved His and Thr residues are part of the S1 subsite of the enzyme's substrate-binding pocket. Interestingly, we observed two N-proximal and two C-proximal autoprocessing sites in the bacterial expression system. The detection of two major forms of M(pro), resulting from processing at two different N-proximal and one C-proximal site, in WBV-infected epithelioma papulosum cyprini cells confirmed the biological relevance of the biochemical data obtained in heterologous expression systems. To our knowledge, the use of alternative M(pro) autoprocessing sites has not been described previously for other nidovirus M(pro) domains. The data presented in this study lend further support to our previous conclusion that bafiniviruses represent a distinct group of viruses that significantly diverged from other phylogenetic clusters of the order Nidovirales. PMID- 21068255 TI - Role for ADP ribosylation factor 1 in the regulation of hepatitis C virus replication. AB - We hypothesized that ADP-ribosylation factor 1 (Arf1) plays an important role in the biogenesis and maintenance of infectious hepatitis C virus (HCV). Huh7.5 cells, in which HCV replicates and produces infectious viral particles, were exposed to brefeldin A or golgicide A, pharmacological inhibitors of Arf1 activation. Treatment with these agents caused a reduction in viral RNA levels, the accumulation of infectious particles within the cells, and a reduction in the levels of these particles in the extracellular medium. Fluorescence analyses showed that the viral nonstructural (NS) proteins NS5A and NS3, but not the viral structural protein core, shifted their localization from speckle-like structures in untreated cells to the rims of lipid droplets (LDs) in treated cells. Using pulldown assays, we showed that ectopic overexpression of NS5A in Huh7 cells reduces the levels of GTP-Arf1. Downregulation of Arf1 expression by small interfering RNA (siRNA) decreased both the levels of HCV RNA and the production of infectious viral particles and altered the localization of NS5A to the peripheries of LDs. Together, our data provide novel insights into the role of Arf1 in the regulation of viral RNA replication and the production of infectious HCV. PMID- 21068256 TI - Dengue virus infection of mast cells triggers endothelial cell activation. AB - Vascular perturbation is a hallmark of severe forms of dengue disease. We show here that antibody-enhanced dengue virus infection of primary human cord blood derived mast cells (CBMCs) and the human mast cell-like line HMC-1 results in the release of factor(s) which activate human endothelial cells, as evidenced by increased expression of the adhesion molecules ICAM-1 and VCAM-1. Endothelial cell activation was prevented by pretreatment of mast cell-derived supernatants with a tumor necrosis factor (TNF)-specific blocking antibody, thus identifying TNF as the endothelial cell-activating factor. Our findings suggest that mast cells may represent an important source of TNF, promoting vascular endothelial perturbation following antibody-enhanced dengue virus infection. PMID- 21068257 TI - Unstimulated primary CD4+ T cells from HIV-1-positive elite suppressors are fully susceptible to HIV-1 entry and productive infection. AB - Elite controllers or suppressors (ES) are a group of HIV-1-infected individuals who maintain viral loads below the limit of detection of commercial assays for many years. The mechanisms responsible for this remarkable control are under intense study, with the hope of developing therapeutic vaccines effective against HIV-1. In this study, we addressed the question of the intrinsic susceptibility of ES CD4(+) T cells to infection. While we and others have previously shown that CD4(+) T cells from ES can be infected by HIV-1 isolates in vitro, these studies were confounded by exogenous activation and in vitro culture of CD4(+) T cells prior to infection. In order to avoid the changes in chemokine receptor expression that have been associated with such exogenous activation, we infected purified CD4(+) T cells directly after isolation from the peripheral blood of ES, viremic patients, and uninfected donors. We utilized a green fluorescent protein (GFP)-expressing proviral construct pseudotyped with CCR5-tropic or CXCR4-tropic envelope to compare viral entry using a fluorescence resonance energy transfer based, single-round virus-cell fusion assay. The frequency of productive infection was also compared by assessing GFP expression. CD4(+) T cells from ES were as susceptible as or more susceptible than cells from viremic patients and uninfected donors to HIV-1 entry and productive infection. The results of this physiological study strongly suggest that differences in HIV-1 entry and infection of CD4(+) T cells alone cannot explain the elite control of viral replication. PMID- 21068258 TI - The presence of a vpu gene and the lack of Nef-mediated downmodulation of T cell receptor-CD3 are not always linked in primate lentiviruses. AB - Nef is an accessory protein critical for the ability of human and simian immunodeficiency viruses (HIV and SIV) to replicate efficiently in their respective hosts. Previous analyses of members of 15 different primate lentivirus lineages revealed a link between Nef function and the presence of a vpu gene. In particular, Nef proteins of all vpu-containing viruses had lost their ability to downmodulate the T cell (TCR-CD3) receptor. Here we examined Nef proteins from eight additional SIV lineages, including SIVgor, SIVwrc, SIVolc, SIVgri, SIVdrl, SIVlho, SIVden, and SIVasc, from western lowland gorillas, western red colobus monkeys, olive colobus monkeys, grivet monkeys, drills, L'Hoest's monkeys, Dent's mona monkeys, and red-tailed monkeys, respectively. We found that except for the nef gene of SIVdrl, all of them were efficiently expressed and modulated CD4, major histocompatibility complex class I (MHC-I), CD28, CXCR4, and Ii cell surface expression and/or enhanced viral infectivity and replication. Furthermore, the Nef proteins of SIVgri, SIVlho, SIVwrc, SIVolc, and SIVgor antagonized tetherin. As expected, the Nef protein of SIVgor, which carries vpu, failed to downmodulate CD3, whereas those of SIVwrc, SIVgri, SIVlho, and SIVasc, which lack vpu, were capable of performing this function. Surprisingly, however, the Nef protein of the vpu-containing SIVden strain retained the ability to downmodulate TCR-CD3, whereas that of SIVolc, which does not contain vpu, was unable to perform this function. Although the SIVden Vpu is about 20 amino acids shorter than other Vpu proteins, it degrades CD4 and antagonizes tetherin. Our data show that there are exceptions to the link between the presence of a vpu gene and nef alleles deficient in CD3 modulation, indicating that host properties also affect the selective pressure for Nef-mediated disruption of TCR-CD3 signaling. Our results are also further evidence that tetherin antagonism is a common function of primate lentivirus Nef proteins and that the resistance of human tetherin to Nef represents a relevant barrier to cross-species transmission of SIVs to humans. PMID- 21068259 TI - Alternative splicing of the human cytomegalovirus major immediate-early genes affects infectious-virus replication and control of cellular cyclin-dependent kinase. AB - The major immediate-early (MIE) gene locus of human cytomegalovirus (HCMV) is the master switch that determines the outcomes of both lytic and latent infections. Here, we provide evidence that alteration in the splicing of HCMV (Towne strain) MIE genes affects infectious-virus replication, movement through the cell cycle, and cyclin-dependent kinase activity. Mutation of a conserved 24-nucleotide region in MIE exon 4 increased the abundance of IE1-p38 mRNA and decreased the abundance of IE1-p72 and IE2-p86 mRNAs. An increase in IE1-p38 protein was accompanied by a slight decrease in IE1-p72 protein and a significant decrease in IE2-p86 protein. The mutant virus had growth defects, which could not be complemented by wild-type IE1-p72 protein in trans. The phenotype of the mutant virus could not be explained by an increase in IE1-p38 protein, but prevention of the alternate splice returned the recombinant virus to the wild-type phenotype. The lower levels of IE1-p72 and IE2-p86 proteins correlated with a delay in early and late viral gene expression and movement into the S phase of the cell cycle. Mutant virus-infected cells had significantly higher levels of cdk-1 expression and enzymatic activity than cells infected with wild-type virus. The mutant virus induced a round-cell phenotype that accumulated in the G(2)/M compartment of the cell cycle with condensation and fragmentation of the chromatin. An inhibitor of viral DNA synthesis increased the round-cell phenotype. The round cells were characteristic of an abortive viral infection. PMID- 21068260 TI - Sequential activation of motor cortical neurons contributes to intralimb coordination during reaching in the cat by modulating muscle synergies. AB - We examined the contribution of the motor cortex to the control of intralimb coordination during reaching in the standing cat. We recorded the activity of 151 pyramidal tract neurons (PTNs) in the forelimb representation of three cats during a task in which the cat reached forward from a standing position to press a lever. We simultaneously recorded the activity of muscles in the contralateral forelimb acting around each of the major joints. Cell activity was recorded with and without the presence of an obstacle requiring a modification of limb trajectory. The majority of the PTNs (134/151, 89%) modulated their discharge activity at some period of the reach while 84/151 (56%) exhibited a significant peak or trough of activity as the limb was transported from its initial position to the lever. These phasic changes of activity were distributed sequentially throughout the transport phase. A cluster analysis of muscle activity in two of the cats showed the presence of five muscle synergies during this transport period. One of the synergies was related to the lift of the paw from the support surface, two to flexion of the limb and dorsiflexion of the paw, one to preparation for contact with the lever, and one to the transport of the entire limb forward; a sixth synergy was activated during the lever press. An analysis of the phase of cell activity with respect to the phase of activity of muscles selected to represent each of these synergies showed that different populations of PTNs were activated sequentially and coincidentally with each synergy. We suggest that this sequential activation of populations of PTNs is compatible with a contribution to the initiation and modulation of functionally distinct groups of synergistic muscles and ultimately serves to ensure the appropriate multiarticular, intralimb coordination of the limb during reaching. PMID- 21068262 TI - A comparison of neuronal and behavioral detection and discrimination performances in rat whisker system. AB - We used the rat whisker touch as a model system to investigate the correlation between the response function of cortical neurons and the behavior of rats in a sensory detection versus discrimination task. The rat whisker-barrel system is structurally well characterized and represents one of the main channels through which rodents collect information about the environment. In experiment 1, we recorded neuronal activity (n = 235) in the whisker area of the rat somatosensory cortex in anesthetized rats while applying vibrotactile stimuli of varying amplitudes to the whiskers. Neurons showed a characteristic sigmoidal input output function, with an accelerating nonlinearity at low stimulus amplitudes and a compressive nonlinearity at high stimulus amplitudes. We further quantified the performance of individual neurons for stimulus detection and for discrimination across different stimulus pairs with identical amplitude differences. For near threshold stimuli, the neuronal discrimination performance surpassed the detection performance despite the fact that detection and discrimination represented identical amplitude differences. This is consistent with the accelerating nonlinearity observed at low stimulus intensities. In the second stage of the experiment, four rats were trained to select the higher-amplitude stimulus between two vibrations applied to their whiskers. Similar to neuronal results, the rats' performance was better for the discrimination task compared with the detection task. The behavioral performance followed the same trend as that of the population of individual neurons. Both behavioral and neuronal data are consistent with the "pedestal effect" previously reported in human psychophysics. PMID- 21068261 TI - Developmental nicotine exposure alters neurotransmission and excitability in hypoglossal motoneurons. AB - Hypoglossal motoneurons (XII MNs) control muscles of the mammalian tongue and are rhythmically active during breathing. Acetylcholine (ACh) modulates XII MN activity by promoting the release of glutamate from neurons that express nicotinic ACh receptors (nAChRs). Chronic nicotine exposure alters nAChRs on neurons throughout the brain, including brain stem respiratory neurons. Here we test the hypothesis that developmental nicotine exposure (DNE) reduces excitatory synaptic input to XII MNs. Voltage-clamp experiments in rhythmically active medullary slices showed that the frequency of excitatory postsynaptic currents (EPSCs) onto XII MNs from DNE animals is reduced by 61% (DNE = 1.7 +/- 0.4 events/s; control = 4.4 +/- 0.6 events/s; P < 0.002). We also examine the intrinsic excitability of XII MNs to test whether cells from DNE animals have altered membrane properties. Current-clamp experiments showed XII MNs from DNE animals had higher intrinsic excitability, as evaluated by measuring their response to injected current. DNE cells had high-input resistances (DNE = 131.9 +/- 13.7 MOmega, control = 78.6 +/- 9.7 MOmega, P < 0.008), began firing at lower current levels (DNE = 144 +/- 22 pA, control = 351 +/- 45 pA, P < 0.003), and exhibited higher frequency-current gain values (DNE = 0.087 +/- 0.012 Hz/pA, control = 0.050 +/- 0.004 Hz/pA, P < 0.02). Taken together, our data show previously unreported effects of DNE on XII MN function and may also help to explain the association between DNE and the incidence of central and obstructive apneas. PMID- 21068263 TI - In vivo mapping of microstructural somatotopies in the human corticospinal pathways. AB - The human corticospinal pathway is organized in a body-centric (i.e., somatotopic) manner that begins in cortical cell bodies and is maintained in the axons as they project through the midbrain on their way to spinal motor neurons. The subcortical segment of this somatotopy has been described using histological methods on non-human primates but only coarsely validated from lesion studies in human patient populations. Using high definition fiber tracking (HDFT) techniques, we set out to provide the first in vivo quantitative description of the midbrain somatotopy of corticospinal fibers in humans. Multi-shell diffusion imaging and deterministic fiber tracking were used to map white matter bundles that originate in the neocortex, navigate complex fiber crossings, and project through the midbrain. These fiber bundles were segmented into premotor (dorsal premotor, ventral premotor, and supplementary motor area) and primary motor sections based on the cortical origin of each fiber streamline. With HDFT, we were able to reveal several unique corticospinal patterns, including the cortical origins of ventral premotor fibers and small (~ 1-2 mm) shifts in the midbrain location of premotor versus primary motor cortex fibers. More importantly, within the relatively small diameter of the pyramidal tracts (~ 5 mm), we were able to map and quantify the direction of the corticospinal somatotopy. These results show how an HDFT approach to white matter mapping provides the first in vivo, quantitative mapping of subcortical corticospinal topographies at resolutions previously only available with postmortem histological techniques. PMID- 21068264 TI - Differential effects of axon initial segment and somatodendritic GABAA receptors on excitability measures in rat dentate granule neurons. AB - GABA(A) receptors are found on the somatodendritic compartment and on the axon initial segment of many principal neurons. The function of axonal receptors remains obscure, although it is widely assumed that axonal receptors must have a strong effect on excitability. We found that activation of GABA(A) receptors on the dentate granule neuron axon initial segment altered excitability by depolarizing the voltage threshold for action potential initiation under conditions that minimally affected overall cell input resistance. In contrast, activation of somatic GABA(A) receptors strongly depressed the input resistance of granule neurons without affecting the voltage threshold of action potential initiation. Although these effects were observed over a range of intracellular chloride concentrations, average voltage threshold was unaffected when E(Cl) rendered GABA(A) axon initial segment responses explicitly excitatory. A compartment model of a granule neuron confirmed these experimental observations. Low ambient agonist concentrations designed to activate granule neuron tonic currents did not stimulate axonal receptors sufficiently to raise voltage threshold. Using excitatory postsynaptic current (EPSC)-like depolarizations, we show physiological consequences of axonal versus somatic GABA(A) receptor activation. With axonal inhibition, individual excitatory postsynaptic potentials (EPSPs) largely retained their amplitude and time course, but EPSPs that were suprathreshold under basal conditions failed to reach threshold with GABA(A) activation. By contrast, somatic inhibition depressed individual EPSPs because of strong shunting. Our results suggest that axonal GABA(A) receptors have a privileged effect on voltage threshold and that two major measures of neuronal excitability, voltage threshold and rheobase, are differentially affected by axonal and somatic GABA(A) receptor activation. PMID- 21068265 TI - Characteristics of calcium currents in rat geniculate ganglion neurons. AB - Geniculate ganglion (GG) cell bodies of chorda tympani (CT), greater superficial petrosal (GSP), and posterior auricular (PA) nerves transmit orofacial sensory information to the rostral nucleus of the solitary tract (rNST). We used whole cell recording to study the characteristics of the Ca(2+) channels in isolated Fluorogold-labeled GG neurons that innervate different peripheral receptive fields. PA neurons were significantly larger than CT and GSP neurons, and CT neurons could be further subdivided based on soma diameter. Although all GG neurons possess both low voltage-activated (LVA) "T-type" and high voltage activated (HVA) Ca(2+) currents, CT, GSP, and PA neurons have distinctly different Ca(2+) current expression patterns. Of GG neurons that express T-type currents, the CT and GSP neurons had moderate and PA neurons had larger amplitude T-type currents. HVA Ca(2+) currents in the GG neurons were separated into several groups using specific Ca(2+) channel blockers. Sequential applications of L, N, and P/Q-type channel antagonists inhibited portions of Ca(2+) current in all CT, GSP, and PA neurons to a different extent in each neuron group. No difference was observed in the percentage of L- and N-type Ca(2+) currents reduced by the antagonists in CT, GSP, and PA neurons. Action potentials in GG neurons are followed by a Ca(2+) current initiated after depolarization (ADP) that may influence intrinsic firing patterns. These results show that based on Ca(2+) channel expression the GG contains a heterogeneous population of sensory neurons possibly related to the type of sensory information they relay to the rNST. PMID- 21068266 TI - Velocity storage contribution to vestibular self-motion perception in healthy human subjects. AB - Self-motion perception after a sudden stop from a sustained rotation in darkness lasts approximately as long as reflexive eye movements. We hypothesized that, after an angular velocity step, self-motion perception and reflexive eye movements are driven by the same vestibular pathways. In 16 healthy subjects (25 71 years of age), perceived rotational velocity (PRV) and the vestibulo-ocular reflex (rVOR) after sudden decelerations (90 degrees /s(2)) from constant velocity (90 degrees /s) earth-vertical axis rotations were simultaneously measured (PRV reported by hand-lever turning; rVOR recorded by search coils). Subjects were upright (yaw) or 90 degrees left-ear-down (pitch). After both yaw and pitch decelerations, PRV rose rapidly and showed a plateau before decaying. In contrast, slow-phase eye velocity (SPV) decayed immediately after the initial increase. SPV and PRV were fitted with the sum of two exponentials: one time constant accounting for the semicircular canal (SCC) dynamics and one time constant accounting for a central process, known as velocity storage mechanism (VSM). Parameters were constrained by requiring equal SCC time constant and VSM time constant for SPV and PRV. The gains weighting the two exponential functions were free to change. SPV were accurately fitted (variance-accounted-for: 0.85 +/- 0.10) and PRV (variance-accounted-for: 0.86 +/- 0.07), showing that SPV and PRV curve differences can be explained by a greater relative weight of VSM in PRV compared with SPV (twofold for yaw, threefold for pitch). These results support our hypothesis that self-motion perception after angular velocity steps is be driven by the same central vestibular processes as reflexive eye movements and that no additional mechanisms are required to explain the perceptual dynamics. PMID- 21068267 TI - Asymmetric temporal integration of layer 4 and layer 2/3 inputs in visual cortex. AB - Neocortical neurons in vivo receive concurrent synaptic inputs from multiple sources, including feedforward, horizontal, and feedback pathways. Layer 2/3 of the visual cortex receives feedforward input from layer 4 and horizontal input from layer 2/3. Firing of the pyramidal neurons, which carries the output to higher cortical areas, depends critically on the interaction of these pathways. Here we examined synaptic integration of inputs from layer 4 and layer 2/3 in rat visual cortical slices. We found that the integration is sublinear and temporally asymmetric, with larger responses if layer 2/3 input preceded layer 4 input. The sublinearity depended on inhibition, and the asymmetry was largely attributable to the difference between the two inhibitory inputs. Interestingly, the asymmetric integration was specific to pyramidal neurons, and it strongly affected their spiking output. Thus via cortical inhibition, the temporal order of activation of layer 2/3 and layer 4 pathways can exert powerful control of cortical output during visual processing. PMID- 21068268 TI - Population anisotropy in area MT explains a perceptual difference between near and far disparity motion segmentation. AB - Segmentation of the visual scene into relevant object components is a fundamental process for successfully interacting with our surroundings. Many visual cues, including motion and binocular disparity, support segmentation, yet the mechanisms using these cues are unclear. We used a psychophysical motion discrimination task in which noise dots were displaced in depth to investigate the role of segmentation through disparity cues in visual motion stimuli (experiment 1). We found a subtle, but significant, bias indicating that near disparity noise disrupted the segmentation of motion more than equidistant far disparity noise. A control experiment showed that the near-far difference could not be attributed to attention (experiment 2). To account for the near-far bias, we constructed a biologically constrained model using recordings from neurons in the middle temporal area (MT) to simulate human observers' performance on experiment 1. Performance of the model of MT neurons showed a near-disparity skew similar to that shown by human observers. To isolate the cause of the skew, we simulated performance of a model containing units derived from properties of MT neurons, using phase-modulated Gabor disparity tuning. Using a skewed-normal population distribution of preferred disparities, the model reproduced the elevated motion discrimination thresholds for near-disparity noise, whereas a skewed-normal population of phases (creating individually asymmetric units) did not lead to any performance skew. Results from the model suggest that the properties of neurons in area MT are computationally sufficient to perform disparity segmentation during motion processing and produce similar disparity biases as those produced by human observers. PMID- 21068269 TI - Organization of the macaque extrastriate visual cortex re-examined using the principle of spatial continuity of function. AB - How is the macaque monkey extrastriate cortex organized? Is vision divisible into separate tasks, such as object recognition and spatial processing, each emphasized in a different anatomical stream? If so, how many streams exist? What are the hierarchical relationships among areas? The present study approached the organization of the extrastriate cortex in a novel manner. A principled relationship exists between cortical function and cortical topography. Similar functions tend to be located near each other, within the constraints of mapping a highly dimensional space of functions onto the two-dimensional space of the cortex. We used this principle to re-examine the functional organization of the extrastriate cortex given current knowledge about its topographic organization. The goal of the study was to obtain a model of the functional relationships among the visual areas, including the number of functional streams into which they are grouped, the pattern of informational overlap among the streams, and the hierarchical relationships among areas. To test each functional description, we mapped it to a model cortex according to the principle of optimal continuity and assessed whether it accurately reconstructed a version of the extrastriate topography. Of the models tested, the one that best reconstructed the topography included four functional streams rather than two, six levels of hierarchy per stream, and a specific pattern of informational overlap among streams and areas. A specific mixture of functions was predicted for each visual area. This description matched findings in the physiological literature, and provided predictions of functional relationships that have yet to be tested physiologically. PMID- 21068270 TI - Neural correlates of auditory streaming of harmonic complex sounds with different phase relations in the songbird forebrain. AB - It has been suggested that successively presented sounds that are perceived as separate auditory streams are represented by separate populations of neurons. Mostly, spectral separation in different peripheral filters has been identified as the cue for segregation. However, stream segregation based on temporal cues is also possible without spectral separation. Here we present sequences of ABA- triplet stimuli providing only temporal cues to neurons in the European starling auditory forebrain. A and B sounds (125 ms duration) were harmonic complexes (fundamentals 100, 200, or 400 Hz; center frequency and bandwidth chosen to fit the neurons' tuning characteristic) with identical amplitude spectra but different phase relations between components (cosine, alternating, or random phase) and presented at different rates. Differences in both rate responses and temporal response patterns of the neurons when stimulated with harmonic complexes with different phase relations provide first evidence for a mechanism allowing a separate neural representation of such stimuli. Recording sites responding >1 kHz showed enhanced rate and temporal differences compared with those responding at lower frequencies. These results demonstrate a neural correlate of streaming by temporal cues due to the variation of phase that shows striking parallels to observations in previous psychophysical studies. PMID- 21068271 TI - Removal of spurious correlations between spikes and local field potentials. AB - Single neurons carry out important sensory and motor functions related to the larger networks in which they are embedded. Understanding the relationships between single-neuron spiking and network activity is therefore of great importance and the latter can be readily estimated from low-frequency brain signals known as local field potentials (LFPs). In this work we examine a number of issues related to the estimation of spike and LFP signals. We show that spike trains and individual spikes contain power at the frequencies that are typically thought to be exclusively related to LFPs, such that simple frequency-domain filtering cannot be effectively used to separate the two signals. Ground-truth simulations indicate that the commonly used method of estimating the LFP signal by low-pass filtering the raw voltage signal leads to artifactual correlations between spikes and LFPs and that these correlations exert a powerful influence on popular metrics of spike-LFP synchronization. Similar artifactual results were seen in data obtained from electrophysiological recordings in macaque visual cortex, when low-pass filtering was used to estimate LFP signals. In contrast LFP tuning curves in response to sensory stimuli do not appear to be affected by spike contamination, either in simulations or in real data. To address the issue of spike contamination, we devised a novel Bayesian spike removal algorithm and confirmed its effectiveness in simulations and by applying it to the electrophysiological data. The algorithm, based on a rigorous mathematical framework, outperforms other methods of spike removal on most metrics of spike LFP correlations. Following application of this spike removal algorithm, many of our electrophysiological recordings continued to exhibit spike-LFP correlations, confirming previous reports that such relationships are a genuine aspect of neuronal activity. Overall, these results show that careful preprocessing is necessary to remove spikes from LFP signals, but that when effective spike removal is used, spike-LFP correlations can potentially yield novel insights about brain function. PMID- 21068272 TI - A twist on Lyme: the challenge of diagnosing European Lyme neuroborreliosis. AB - Lyme neuroborreliosis is a tick-borne illness with central and peripheral nervous system manifestations. Clinical features and methods for accurate diagnosis differ across world regions owing to different causative Borrelia species. The importance of these distinctions is highlighted by a 12-year-old Canadian girl who acquired Lyme neuroborreliosis in Europe. PMID- 21068273 TI - Clinical features of group B Streptococcus prosthetic joint infections and molecular characterization of isolates. AB - Twelve group B Streptococcus (GBS) prosthetic joint infection (PJI) cases are reported. The mean patient age was 55 years. Eleven infections were caused by GBS alone. The associated isolates belonged to phylogenetic lineages different from those that cause neonatal meningitis. The clinical outcome was favorable for the eight patients for whom follow-up data were available. PMID- 21068274 TI - Spectrum of clinically relevant Acremonium species in the United States. AB - Some species in the polyphyletic fungal genus Acremonium are important opportunist pathogens. Determining the actual spectrum of species and their incidence in the clinical setting, however, has long been hampered because of the difficulties encountered in phenotypic species-level identification. The goal of this study was to re-identify a large number of clinical isolates morphologically and to confirm the identifications by comparing sequences of the internal transcribed spacer region of the rRNA gene of these isolates to those of type or reference strains of well-known Acremonium species. Of the 119 isolates referred to a United States reference laboratory under the name Acremonium, only 75 were identified morphologically as belonging to that genus. The remainder (44 isolates) were identified as belonging to other morphologically similar genera. The Acremonium clinical isolates were related to species of Hypocreales, Sordariales, and of an incertae sedis family of ascomycetes, Plectosphaerellaceae. A total of 50 of the 75 Acremonium isolates (67%) could be identified by molecular means, the prevalent species being Acremonium kiliense (15 isolates), A. sclerotigenum-A. egyptiacum (11 isolates), A. implicatum (7 isolates), A. persicinum (7 isolates), and A. atrogriseum (4 isolates). One of the most interesting findings of our study was that we identified several species among this large collection of clinical isolates that had not previously been reported from human infections, and we failed to confirm other Acremonium species, such as A. potronii, A. recifei, and A. strictum, that had been considered significant. The most common anatomic sites for Acremonium isolates were the respiratory tract (41.3%), nails (10.7%), and the eye (9.3%). Antifungal susceptibility testing demonstrated high MICs for all agents tested, except for terbinafine. Since numerous isolates could not be identified, we concluded that the list of opportunistic Acremonium species is far from be complete and that a considerable number of additional species will be discovered. PMID- 21068275 TI - Call for a quality standard for sequence-based assays in clinical microbiology: necessity for quality assessment of sequences used in microbial identification and typing. PMID- 21068276 TI - HIV-1 load comparison using four commercial real-time assays. AB - The HIV-1 RNA viral load is commonly used for the monitoring of disease progression and antiretroviral treatment of HIV-1-infected patients. Since the misestimating of values could lead to inappropriate therapeutical management, the comparative performances, especially the ability to span the genetic diversity of HIV-1, of available automated real-time assays need to be evaluated. We conducted a prospective study with 74 consenting patients enrolled between March 2007 and November 2008. A blood sample was obtained at the time of diagnosis of HIV seropositivity and blindly tested for HIV-1 RNA by at least 4 commercial tests: the Abbott m2000 RealTime HIV-1, bioMerieux NucliSens EasyQ HIV-1, version 1.2 (v1.2), and Cobas AmpliPrep/Cobas TaqMan (CAP/CTM) v1.0 and v2.0 assays. The means of difference were null between CAP/CTM v2.0 and Abbott for CRF02_AG subtypes but positive in favor of CAP/CTM v2.0 for genotype B and negative in favor of NucliSens for all genotypes. The standard deviation (SD) of difference ranged from 0.3 to 0.59, depending on the considered couples of assays. Reliabilities of these four tests, appreciated by the standard deviation of difference between the measurement and the estimated "true" viral load and by the coefficient of reliability, were significantly different (P < 10(-4)) among each other. Significant differences were also observed within each group of HIV-1 genotype. The global disparity was higher for CRF02_AG than for B subtypes. This study indicates a risk of viral load misestimating or discrepancies between techniques, depending on the HIV-1 subtype, and speaks in favor of using the same assay for the monitoring of HIV-1-infected patients. PMID- 21068278 TI - Comparison of real-time multiplex human papillomavirus (HPV) PCR assays with INNO LiPA HPV genotyping extra assay. AB - Real-time type-specific multiplex human papillomavirus (HPV) PCR assays were developed to detect HPV DNA in samples collected for the efficacy determination of the quadrivalent HPV (type 6, 11, 16, and 18) L1 virus-like particle (VLP) vaccine (Gardasil). Additional multiplex (L1, E6, and E7 open reading frame [ORF]) or duplex (E6 and E7 ORF) HPV PCR assays were developed to detect high risk HPV types, including HPV type 31 (HPV31), HPV33, HPV35, HPV39, HPV45, HPV51, HPV52, HPV56, HPV58, and HPV59. Here, we evaluated clinical specimen concordance and compared the limits of detection (LODs) between multiplex HPV PCR assays and the INNO-LiPA HPV Genotyping Extra assay, which detects 28 types, for the 14 HPV types common to both of these methods. Overall HPV detection agreement rates were >90% for swabs and >95% for thin sections. Statistically significant differences in detection were observed for HPV6, HPV16, HPV18, HPV35, HPV39, HPV45, HPV56, HPV58, and HPV59 in swabs and for HPV45, HPV58, and HPV59 in thin sections. Where P was <0.05, discordance was due to detection of more HPV-positive samples by the multiplex HPV PCR assays. LODs were similar for eight HPV types, significantly lower in multiplex assays for five HPV types, and lower in INNO-LiPA for HPV6 only. LODs were under 50 copies for all HPV types, with the exception of HPV39, HPV58, and HPV59 in the INNO-LiPA assay. The overall percent agreement for detection of 14 HPV types between the type-specific multiplex HPV PCR and INNO LiPA genotyping assays was good. The differences in positive sample detection favored multiplex HPV PCR, suggesting increased sensitivity of HPV DNA detection by type-specific multiplex HPV PCR assays. PMID- 21068280 TI - Invasive meningococcal disease caused by Neisseria meningitidis strains expressing both serogroup Y and W-135 antigenic specificities. PMID- 21068279 TI - Phylogenetic patterns of human respiratory picornavirus species, including the newly identified group C rhinoviruses, during a 1-year surveillance of a hospitalized patient population in Italy. AB - Human rhinovirus species C (HRV-C) was the second most common HRV species detected in hospitalized patients in Italy with acute respiratory disease during a 1-year surveillance period. Sequencing of the picornavirus VP4/VP2 region allowed molecular typing of HRV-A and HRV-B and provisional typing of HRV-C. PMID- 21068281 TI - Non-Beijing strains of Mycobacterium tuberculosis in China. AB - In a 2-year prospective study of tuberculosis (TB) patients in China, the prevalences of non-Beijing strains of Mycobacterium tuberculosis varied between Shandong Province (20.6%), Shanghai (27.6%), and Sichuan Province (45.9%) (P < 0.005). These differences may be due to factors such as human migration, transmission, or diversification and adaptation of the mycobacteria to different hosts. PMID- 21068277 TI - Analysis of the bacterial communities present in lungs of patients with cystic fibrosis from American and British centers. AB - The aim of this study was to determine whether geographical differences impact the composition of bacterial communities present in the airways of cystic fibrosis (CF) patients attending CF centers in the United States or United Kingdom. Thirty-eight patients were matched on the basis of clinical parameters into 19 pairs comprised of one U.S. and one United Kingdom patient. Analysis was performed to determine what, if any, bacterial correlates could be identified. Two culture-independent strategies were used: terminal restriction fragment length polymorphism (T-RFLP) profiling and 16S rRNA clone sequencing. Overall, 73 different terminal restriction fragment lengths were detected, ranging from 2 to 10 for U.S. and 2 to 15 for United Kingdom patients. The statistical analysis of T-RFLP data indicated that patient pairing was successful and revealed substantial transatlantic similarities in the bacterial communities. A small number of bands was present in the vast majority of patients in both locations, indicating that these are species common to the CF lung. Clone sequence analysis also revealed that a number of species not traditionally associated with the CF lung were present in both sample groups. The species number per sample was similar, but differences in species presence were observed between sample groups. Cluster analysis revealed geographical differences in bacterial presence and relative species abundance. Overall, the U.S. samples showed tighter clustering with each other compared to that of United Kingdom samples, which may reflect the lower diversity detected in the U.S. sample group. The impact of cross-infection and biogeography is considered, and the implications for treating CF lung infections also are discussed. PMID- 21068282 TI - Geographic variations in species distribution and echinocandin and azole antifungal resistance rates among Candida bloodstream infection isolates: report from the SENTRY Antimicrobial Surveillance Program (2008 to 2009). AB - Antifungal testing results from the SENTRY Antimicrobial Surveillance Program (2008 to 2009) were analyzed for regional variations of invasive Candida species infections. Among 2,085 cases from the Asian-Pacific (APAC) (51 cases), Latin American (LAM) (348 cases), European (EU) (750 cases), and North American (NAM) (936 cases) regions, Candida albicans predominated (48.4%), followed by C. glabrata (18.0%), C. parapsilosis (17.2%), C. tropicalis (10.5%), and C. krusei (1.9%). Resistance to echinocandins (anidulafungin [2.4%] and micafungin [1.9%]) and azoles (3.5 to 5.6%) was most prevalent among C. glabrata isolates, as determined using recently established CLSI breakpoint criteria. C. glabrata isolates were more common in NAM (23.5%), and C. albicans isolates were more common in APAC (56.9%), with C. parapsilosis (25.6%) and C. tropicalis (17.0%) being more prominent in LAM. Emerging resistance patterns among C. glabrata cases in NAM require focused surveillance. PMID- 21068283 TI - Human neurocysticercosis: comparison of different diagnostic tests using cerebrospinal fluid. AB - Neurocysticercosis (NC), caused by the larval stage of Taenia solium, is one of the most common parasitic diseases of the central nervous system. The diagnosis of NC is mostly based on costly brain neuroimaging (computed tomography and/or nuclear magnetic resonance), which is rarely accessible in most affected areas. The most sensitive and specific tools for NC diagnosis are imagery techniques. The identification of specific antibodies and antigens is currently used only to support NC diagnosis due to their limited specificity and sensitivity. This study was performed to compare immunodiagnostic assays (antibody detection by enzyme linked immunosorbent assay [ELISA] and enzyme-linked immunoelectrotransfer blotting [EITB] and HP10 antigen detection by ELISA) with the detection of parasite DNA by PCR amplification of a repetitive element of the parasite genome in the cerebrospinal fluid (CSF) of 121 radiologically and clinically characterized NC patients. Patients were divided into six groups according to the stage of the parasites and their localization. The CSF cellularity of each patient was also recorded. When all patients were considered, PCR exhibited the highest sensitivity (95.9%) and variable specificity (80% or 100%) depending on the controls used. The sensitivities of antibody detection by ELISA and EITB were not significantly different, and ELISA identified HP10 antigen mostly when vesicular cysticerci were located in the subarachnoideal basal cisterns. These results can help in the selection of different individual assays or combinations of assays to be used in NC diagnosis according to different requirements. PMID- 21068284 TI - Emergence of erythromycin- and clindamycin-resistant Streptococcus pyogenes emm 90 strains in Hawaii. AB - We identified 12 erythromycin- and clindamycin-resistant emm 90 group A streptococcus (GAS) isolates during a retrospective invasive disease survey in Hawaii. A comparison with 20 type-matched isolates showed all resistant isolates to be emm 90.4b with the constitutive or inducible macrolide-lincosamide streptogramin B resistance phenotype (cMLS(B) or iMLS(B)). All isolates had the same pulsed-field gel electrophoresis (PFGE) pattern, suggesting clonal spread. PMID- 21068285 TI - Clinical impact of preincubation of blood cultures at 37 degrees C. AB - The effect of immediate incubation of blood cultures at 37 degrees C on the turnaround time and the impact of Gram stain results on antimicrobial management were investigated. During a 6-month period, blood cultures collected at the emergency department outside laboratory operating hours were preincubated at 37 degrees C until transportation to the laboratory. Upon the arrival of blood cultures at the laboratory, Gram stains and subcultures were made from all bottles prior to further incubation in the automated system (Bactec 9240). Data from 1 year earlier, when all blood cultures were stored at room temperature, were used for comparison. In the study period, 79 episodes of bacteremia were detected for 75 patients, compared to 70 episodes for 67 patients in the control period. Preincubation of blood cultures at 37 degrees C resulted in a 15-h reduction in the median time to reporting of Gram stain results, from 34 to 19 h (P, <0.001). With preincubation, 3 episodes (4%) of bacteremia were not detected by the Bactec 9240 system. Based on the reporting of the Gram stain results, appropriate antimicrobial therapy was initiated for 12% of all patients with positive blood cultures, while for 24% the therapy was streamlined. Thus, immediate incubation of blood cultures reduced the time to reporting of Gram stain results. However, not all episodes of bacteremia were detected by the Bactec 9240 system after preincubation at 37 degrees C. Blood culture results contributed importantly to appropriate antimicrobial management. PMID- 21068286 TI - Pelvic actinomycosis-like disease due to Propionibacterium propionicum after hysteroscopic removal of an intrauterine device. AB - A female patient presented with episodes of fever and pain in the lower right abdomen after hysteroscopic removal of an intrauterine device 2 months earlier. Pelvic actinomycosis originating from a tubo-ovarian abscess was diagnosed with Propionibacterium propionicum, formerly known as Arachnia propionica, as causative agent. PMID- 21068287 TI - Comparison of conventional, nested, and real-time quantitative PCR for diagnosis of scrub typhus. AB - Orientia tsutsugamushi is the causative agent of scrub typhus. For the diagnosis of scrub typhus, we investigated the performances of conventional PCR (C-PCR), nested PCR (N-PCR), and real-time quantitative PCR (Q-PCR) targeting the O. tsutsugamushi-specific 47-kDa gene. To compare the detection sensitivities of the three techniques, we used two template systems that used plasmid DNA (plasmid detection sensitivity), including a partial region of the 47-kDa gene, and genomic DNA (genomic detection sensitivity) from a buffy coat sample of a single patient. The plasmid detection sensitivities of C-PCR, N-PCR, and Q-PCR were 5 * 10(4) copies/MUl, 5 copies/MUl, and 50 copies/MUl, respectively. The results of C PCR, N-PCR, and Q-PCR performed with undiluted genomic DNA were negative, positive, and positive, respectively. The genomic detection sensitivities of N PCR and Q-PCR were 64-fold and 16-fold (crossing point [Cp], 37.7; 426 copies/MUl), respectively. For relative quantification of O. tsutsugamushi bacteria per volume of whole blood, we performed real-time DNA PCR analysis of the human GAPDH gene, along with the O. tsutsugamushi 47-kDa gene. At a 16-fold dilution, the copy number and genomic equivalent (GE) of GAPDH were 1.1 * 10(5) copies/MUl (Cp, 22.64) and 5.5 * 10(4) GEs/MUl, respectively. Therefore, the relative concentration of O. tsutsugamushi at a 16-fold dilution was 0.0078 organism/one white blood cell (WBC) and 117 organisms/MUl of whole blood, because the WBC count of the patient was 1.5 * 10(4) cells/MUl of whole blood. The sensitivities of C-PCR, N-PCR, and Q-PCR performed with blood samples taken from patients within 4 weeks of onset of fever were 7.3% (95% confidence interval [CI], 1.6 to 19.9), 85.4% (95% CI, 70.8 to 94.4), and 82.9% (95% CI, 67.9 to 92.8), respectively. All evaluated assays were 100% specific for O. tsutsugamushi. In conclusion, given its combined sensitivity, specificity, and speed, Q-PCR is the preferred assay for the diagnosis of scrub typhus. PMID- 21068288 TI - Molecular epidemiology of Panton-Valentine leukocidin-positive Staphylococcus aureus in Spain: emergence of the USA300 clone in an autochthonous population. AB - We characterized all of the Panton-Valentine leukocidin (PVL)-positive Staphylococcus aureus isolates collected between 2005 and 2008 in the Bilbao, Spain, area. For the first time, the USA300 clone is reported as predominant among PVL-positive clones in a European autochthonous population, requiring active monitoring of the incidence of USA300 in Spain and throughout Europe. PMID- 21068289 TI - Vibrio vulnificus DNA load and mortality. AB - We determined the association between DNA load and mortality in patients with Vibrio vulnificus infection. Real-time PCR performed on sera of 27 culture positive patients showed a significantly higher median DNA load in nonsurvivors than in survivors. Hence, real-time PCR can be used as an early prognostic factor in V. vulnificus septicemia. PMID- 21068290 TI - Breakthrough Hormographiella aspergillata infections arising in neutropenic patients treated empirically with caspofungin. AB - Hormographiella aspergillata, a filamentous basidiomycete, has rarely been involved in human infections. We describe 2 febrile neutropenic patients who developed a severe pulmonary infection due to H. aspergillata while receiving empirical caspofungin therapy for presumed fungal pneumonia. After introduction of liposomal amphotericin B, one patient, who had neutrophil recovery, presented a favorable outcome, while the other, who remained neutropenic throughout the course of infection, died. Resistant fungi, including basidiomycetes, may emerge during empirical treatment with caspofungin in febrile neutropenic patients. A rapid switch to any other potent antifungal should be rapidly considered in case of failure of caspofungin in this setting. PMID- 21068291 TI - Prevalence and characterization of human Shigella infections in Henan Province, China, in 2006. AB - In 2006, 3,531 fecal samples were collected from patients with diarrhea in Henan Province, China. A total of 467 (13.2%) Shigella strains were isolated and serotyped. Seventy-one Shigella flexneri strains were characterized by MIC determination, pulsed-field gel electrophoresis (PFGE), and detection of genes encoding cephalosporin resistance. Most infections were caused by S. flexneri variant X [IV:(7),8] (27.6%), S. sonnei (24.2%), and S. flexneri 2a (20.8%). However, large regional differences were observed. Significantly higher odds (2.0) of females compared to males were infected with S. flexneri 2a. Untypeable S. flexneri (-:6) isolates were absent among males, as were untypeable S. flexneri [I:(7),8] isolates among females. Patient ages ranged from 2 months to 82 years, with 231 subjects (49.7%) <5 years of age. Most of the patients were male (62.1% [n = 290]). Infections peaked in July; week 27 with 38 cases (8.1%). All of the 71 S. flexneri conferred resistance to nalidixic acid; in addition, 21% (n = 15) and 79% (n = 56) were high- and low-level resistant to ciprofloxacin, respectively. Six S. flexneri isolates {serotype 2b [II:7,(8)] and 2b [II:(3),4;7,(8)]} harbored the bla(CTX-M-14) or bla(CTX-M-15) gene. A total of 52 unique XbaI PFGE patterns were observed among the 71 S. flexneri isolates with 11 distinct PFGE clusters. This study revealed a high prevalence of shigellosis with geographical differences in the distribution of serotypes in the distribution of serotypes and also differences in comparisons by gender. A high frequency of resistance, including 100% resistance to ciprofloxacin and resistance to extended-spectrum cephalosporins, was observed. We detected several isolates exhibiting the same PFGE type and MIC profile, indicating multiple undetected outbreaks. PMID- 21068292 TI - Staphylococcal IgM enzyme-linked immunosorbent assay for diagnosis of periprosthetic joint infections. AB - Delayed orthopedic joint prosthesis infections (DOJP-Is) due to staphylococci frequently result in prosthetic revision. Specific and noninvasive diagnostic tests are unavailable, and DOJP-Is are commonly diagnosed at advanced stages of disease. An enzyme-linked immunosorbent assay (ELISA) was developed to detect serum antibodies against staphylococcal slime polysaccharide antigens. Using a cutoff of 0.35 ELISA units, the test showed a specificity of 95.1% (95% confidence interval [CI], 85.4 to 98.7%) and a sensitivity of 89.7% (71.5 to 97.3%) on a sample of 90 individuals. PMID- 21068293 TI - Molecular pathways controlling development of thalamus and hypothalamus: from neural specification to circuit formation. AB - The embryonic diencephalon gives rise to the vertebrate thalamus and hypothalamus, which play essential roles in sensory information processing and control of physiological homeostasis and behavior, respectively. In this review, we present new steps toward characterizing the molecular pathways that control development of these structures, based on findings in a variety of model organisms. We highlight advances in understanding how early regional patterning is orchestrated through the action of secreted signaling molecules such as Sonic hedgehog and fibroblast growth factors. We address the role of individual transcription factors in control of the regional identity and neural differentiation within the developing diencephalon, emphasizing the contribution of recent large-scale gene expression studies in providing an extensive catalog of candidate regulators of hypothalamic neural cell fate specification. Finally, we evaluate the molecular mechanisms involved in the experience-dependent development of both thalamo-cortical and hypothalamic neural circuitry. PMID- 21068294 TI - MicroRNA regulation of neural stem cells and neurogenesis. AB - MicroRNAs are a class of small RNA regulators that are involved in numerous cellular processes, including development, proliferation, differentiation, and plasticity. The emerging concept is that microRNAs play a central role in controlling the balance between stem cell self-renewal and fate determination by regulating the expression of stem cell regulators. This review will highlight recent advances in the regulation of neural stem cell self-renewal and neurogenesis by microRNAs. It will cover microRNA functions during the entire process of neurogenesis, from neural stem cell self-renewal and fate determination to neuronal maturation, synaptic formation, and plasticity. The interplay between microRNAs and both cell-intrinsic and -extrinsic stem cell players, including transcription factors, epigenetic regulators, and extrinsic signaling molecules will be discussed. This is a summary of the topics covered in the mini-symposium on microRNA regulation of neural stem cells and neurogenesis in SFN 2010 and is not meant to be a comprehensive review of the subject. PMID- 21068295 TI - Regulation of the postsynaptic cytoskeleton: roles in development, plasticity, and disorders. AB - The small size of dendritic spines belies the elaborate role they play in excitatory synaptic transmission and ultimately complex behaviors. The cytoskeletal architecture of the spine is predominately composed of actin filaments. These filaments, which at first glance might appear simple, are also surprisingly complex. They dynamically assemble into different structures and serve as a platform for orchestrating the elaborate responses of the spine during experience-dependent plasticity. This mini-symposium review will feature ongoing research into how spines are regulated by actin-signaling pathways during development and plasticity. It will also highlight evolving studies into how disruptions to these pathways might be functionally coupled to congenital disorders such as mental retardation. PMID- 21068296 TI - Down syndrome: from understanding the neurobiology to therapy. AB - Down syndrome (DS) is the most common example of a neurogenetic aneuploid disorder leading to mental retardation. In most cases, DS results from an extra copy of human chromosome 21 producing deregulated gene expression in brain that gives raise to subnormal intellectual functioning. Understanding the consequences of dosage imbalance attributable to trisomy 21 (T21) has accelerated because of recent advances in genome sequencing, comparative genome analysis, functional genome exploration, and the use of model organisms. This has led to new evidence based therapeutic approaches to prevention or amelioration of T21 effects on brain structure and function (cognition) and has important implications for other areas of research on the neurogenomics of cognition and behavior. PMID- 21068297 TI - Amyloid-independent mechanisms in Alzheimer's disease pathogenesis. AB - Despite the progress of the past two decades, the cause of Alzheimer's disease (AD) and effective treatments against it remain elusive. The hypothesis that amyloid-beta (Abeta) peptides are the primary causative agents of AD retains significant support among researchers. Nonetheless, a growing body of evidence shows that Abeta peptides are unlikely to be the sole factor in AD etiology. Evidence that Abeta/amyloid-independent factors, including the actions of AD related genes, also contribute significantly to AD pathogenesis was presented in a symposium at the 2010 Annual Meeting of the Society for Neuroscience. Here we summarize the studies showing how amyloid-independent mechanisms cause defective endo-lysosomal trafficking, altered intracellular signaling cascades, or impaired neurotransmitter release and contribute to synaptic dysfunction and/or neurodegeneration, leading to dementia in AD. A view of AD pathogenesis that encompasses both the amyloid-dependent and -independent mechanisms will help fill the gaps in our knowledge and reconcile the findings that cannot be explained solely by the amyloid hypothesis. PMID- 21068298 TI - Advances in color science: from retina to behavior. AB - Color has become a premier model system for understanding how information is processed by neural circuits, and for investigating the relationships among genes, neural circuits, and perception. Both the physical stimulus for color and the perceptual output experienced as color are quite well characterized, but the neural mechanisms that underlie the transformation from stimulus to perception are incompletely understood. The past several years have seen important scientific and technical advances that are changing our understanding of these mechanisms. Here, and in the accompanying minisymposium, we review the latest findings and hypotheses regarding color computations in the retina, primary visual cortex, and higher-order visual areas, focusing on non-human primates, a model of human color vision. PMID- 21068299 TI - Removing brakes on adult brain plasticity: from molecular to behavioral interventions. AB - Adult brain plasticity, although possible, remains more restricted in scope than during development. Here, we address conditions under which circuit rewiring may be facilitated in the mature brain. At a cellular and molecular level, adult plasticity is actively limited. Some of these "brakes" are structural, such as perineuronal nets or myelin, which inhibit neurite outgrowth. Others are functional, acting directly upon excitatory-inhibitory balance within local circuits. Plasticity in adulthood can be induced either by lifting these brakes through invasive interventions or by exploiting endogenous permissive factors, such as neuromodulators. Using the amblyopic visual system as a model, we discuss genetic, pharmacological, and environmental removal of brakes to enable recovery of vision in adult rodents. Although these mechanisms remain largely uncharted in the human, we consider how they may provide a biological foundation for the remarkable increase in plasticity after action video game play by amblyopic subjects. PMID- 21068300 TI - Ringing ears: the neuroscience of tinnitus. AB - Tinnitus is a phantom sound (ringing of the ears) that affects quality of life for millions around the world and is associated in most cases with hearing impairment. This symposium will consider evidence that deafferentation of tonotopically organized central auditory structures leads to increased neuron spontaneous firing rates and neural synchrony in the hearing loss region. This region covers the frequency spectrum of tinnitus sounds, which are optimally suppressed following exposure to band-limited noise covering the same frequencies. Cross-modal compensations in subcortical structures may contribute to tinnitus and its modulation by jaw-clenching and eye movements. Yet many older individuals with impaired hearing do not have tinnitus, possibly because age related changes in inhibitory circuits are better preserved. A brain network involving limbic and other nonauditory regions is active in tinnitus and may be driven when spectrotemporal information conveyed by the damaged ear does not match that predicted by central auditory processing. PMID- 21068301 TI - Functional interactions between stress and the endocannabinoid system: from synaptic signaling to behavioral output. AB - Endocannabinoid signaling is distributed throughout the brain, regulating synaptic release of both excitatory and inhibitory neurotransmitters. The presence of endocannabinoid signaling within stress-sensitive nuclei of the hypothalamus, as well as upstream limbic structures such as the amygdala, suggests it may play an important role in regulating the neuroendocrine and behavioral effects of stress. The evidence reviewed here demonstrates that endocannabinoid signaling is involved in both activating and terminating the hypothalamic-pituitary-adrenal axis response to both acute and repeated stress. In addition to neuroendocrine function, however, endocannabinoid signaling is also recruited by stress and glucocorticoid hormones to modulate cognitive and emotional processes such as memory consolidation and extinction. Collectively, these data demonstrate the importance of endocannabinoid signaling at multiple levels as both a regulator and an effector of the stress response. PMID- 21068302 TI - Cognition enhancement strategies. AB - Many mental disorders and neurodegenerative and neurodevelopmental diseases involve cognitive deficits. Remarkable advances and new technologies are providing a clearer picture of the molecular basis of cognition. In conjunction with an SFN2010 symposium, we provided here a brief overview of the molecular mechanisms of cognition, with emphasis on the development of treatments for cognitive disorders. Activity-dependent changes in gene expression and protein synthesis integrate with synapse selection to form memory circuits. A neuronal activity-dependent molecular tagging system that uses the gene expression program to record memory circuit formation represents one new tool to study cognition. Regulation of protein translation, protein degradation, cytoskeletal dynamics, extracellular matrix interactions, second messenger signaling, and neurotransmitter receptor trafficking and function are all components of synaptic remodeling essential for cognition. Selective targeting of specific effectors in these processes, such as NMDA receptors, may serve as an effective strategy to treat cognitive deficits. PMID- 21068303 TI - Erasing fear memories with extinction training. AB - Decades of behavioral studies have confirmed that extinction does not erase classically conditioned fear memories. For this reason, research efforts have focused on the mechanisms underlying the development of extinction-induced inhibition within fear circuits. However, recent studies in rodents have uncovered mechanisms that stabilize and destabilize fear memories, opening the possibility that extinction might be used to erase fear memories. This symposium focuses on several of these new developments, which involve the timing of extinction training. Extinction-induced erasure of fear occurs in very young rats, but is lost with the development of perineuronal nets in the amygdala that render fear memories impervious to extinction. Moreover, extinction administered during the reconsolidation phase, when fear memory is destabilized, updates the fear association as safe, thereby preventing the return of fear, in both rats and humans. The use of modified extinction protocols to eliminate fear memories complements existing pharmacological strategies for strengthening extinction. PMID- 21068305 TI - Nrg1 reverse signaling in cortical pyramidal neurons. PMID- 21068304 TI - Toward the second generation of optogenetic tools. AB - This mini-symposium aims to provide an integrated perspective on recent developments in optogenetics. Research in this emerging field combines optical methods with targeted expression of genetically encoded, protein-based probes to achieve experimental manipulation and measurement of neural systems with superior temporal and spatial resolution. The essential components of the optogenetic toolbox consist of two kinds of molecular devices: actuators and reporters, which respectively enable light-mediated control or monitoring of molecular processes. The first generation of genetically encoded calcium reporters, fluorescent proteins, and neural activators has already had a great impact on neuroscience. Now, a second generation of voltage reporters, neural silencers, and functionally extended fluorescent proteins hold great promise for continuing this revolution. In this review, we will evaluate and highlight the limitations of presently available optogenic tools and discuss where these technologies and their applications are headed in the future. PMID- 21068306 TI - Early life stress enhances behavioral vulnerability to stress through the activation of REST4-mediated gene transcription in the medial prefrontal cortex of rodents. AB - There is growing evidence suggesting that early life events have long-term effects on the neuroendocrine and behavioral developments of rodents. However, little is known about the involvement of early life events in the susceptibility to subsequent stress exposure during adulthood. The present study characterized the effect of maternal separation, an animal model of early life adversity, on the behavioral response to repeated restraint stress in adult rats and investigated the molecular mechanism underlying behavioral vulnerability to chronic stress induced by the maternal separation. Rat pups were separated from the dams for 180 min per day from postnatal day 2 through 14 (HMS180 rats). We found that, as young adults, HMS180 rats showed a greater hypothalamic-pituitary adrenal axis response to acute restraint stress than nonseparated control rats. In addition, repeatedly restrained HMS180 rats showed increased depression-like behavior and an anhedonic response compared with nonrestrained HMS180 rats. Furthermore, HMS180 rats showed increased expression of REST4, a neuron-specific splicing variant of the transcriptional repressor REST (repressor element-1 silencing transcription factor), and a variety of REST target gene mRNAs and microRNAs in the medial prefrontal cortex (mPFC). Finally, REST4 overexpression in the mPFC of neonatal mice via polyethyleneimine-mediated gene transfer enhanced the expression of its target genes as well as behavioral vulnerability to repeated restraint stress. In contrast, REST4 overexpression in the mPFC of adult mice did not affect depression-like behaviors after repeated stress exposure. These results suggest that the activation of REST4-mediated gene regulation in the mPFC during postnatal development is involved in stress vulnerability. PMID- 21068307 TI - Cleavage at the 586 amino acid caspase-6 site in mutant huntingtin influences caspase-6 activation in vivo. AB - Caspase cleavage of huntingtin (htt) and nuclear htt accumulation represent early neuropathological changes in brains of patients with Huntington's disease (HD). However, the relationship between caspase cleavage of htt and caspase activation patterns in the pathogenesis of HD remains poorly understood. The lack of a phenotype in YAC mice expressing caspase-6-resistant (C6R) mutant htt (mhtt) highlights proteolysis of htt at the 586 aa caspase-6 (casp6) site as a key mechanism in the pathology of HD. The goal of this study was to investigate how proteolysis of htt at residue 586 plays a role in the pathogenesis of HD and determine whether inhibiting casp6 cleavage of mhtt alters cell-death pathways in vivo. Here we demonstrate that activation of casp6, and not caspase-3, is observed before onset of motor abnormalities in human and murine HD brain. Active casp6 levels correlate directly with CAG size and inversely with age of onset. In contrast, in vivo expression of C6R mhtt attenuates caspase activation. Increased casp6 activity and apoptotic cell death is evident in primary striatal neurons expressing caspase-cleavable, but not C6R, mhtt after NMDA application. Pretreatment with a casp6 inhibitor rescues the apoptotic cell death observed in this paradigm. These data demonstrate that activation of casp6 is an early marker of disease in HD. Furthermore, these data provide a clear link between excitotoxic pathways and proteolysis and suggest that C6R mhtt protects against neurodegeneration by influencing the activation of neuronal cell-death and excitotoxic pathways operative in HD. PMID- 21068308 TI - Assessing neuronal metabolism in vivo by modeling imaging measures. AB - Mitochondrial dysfunction contributes to the pathogenesis of many neurological diseases, including multiple sclerosis (MS), but is not directly measurable in vivo. We modeled N-acetyl-aspartate (NAA), which reflects axonal structural integrity and mitochondrial metabolism, with imaging measures of axonal structural integrity (axial diffusivity and cord cross-sectional area) to extract its mitochondrial metabolic contribution. Lower residual variance in NAA, reflecting reduced mitochondrial metabolism, was associated with greater clinical disability in MS, independent of structural damage. PMID- 21068310 TI - BMP signaling is necessary for patterning the sensory and nonsensory regions of the developing mammalian cochlea. AB - The mammalian inner ear detects sound with the organ of Corti, an intricately patterned region of the cochlea in which one row of inner hair cells and three rows of outer hair cells are surrounded by specialized supporting cells. The organ of Corti derives from a prosensory domain that runs the length of the cochlear duct and is bounded by two nonsensory domains, Kolliker's organ on the neural side and the outer sulcus on the abneural side. Although much progress has been made in identifying the signals regulating organ of Corti induction and differentiation, less is known about the mechanisms that establish sensory and nonsensory territories in the cochlear duct. Here, we show that a gradient of bone morphogenetic protein (BMP) signaling is established in the abneural-neural axis of the cochlea. Analysis of compound mutants of Alk3/6 type I BMP receptors shows that BMP signaling is necessary for specification of the prosensory domain destined to form the organ of Corti. Reduction of BMP signaling in Alk3/6 compound mutants eliminates both the future outer sulcus and the prosensory domain, with all cells expressing markers of Kolliker's organ. BMP4 upregulates markers of the future outer sulcus and downregulates marker genes of Kolliker's organ in cochlear organ cultures in a dose-dependent manner. Our results suggest BMP signaling is required for patterning sensory and nonsensory tissue in the mammalian cochlea. PMID- 21068309 TI - Growing together and growing apart: regional and sex differences in the lifespan developmental trajectories of functional homotopy. AB - Functional homotopy, the high degree of synchrony in spontaneous activity between geometrically corresponding interhemispheric (i.e., homotopic) regions, is a fundamental characteristic of the intrinsic functional architecture of the brain. However, despite its prominence, the lifespan development of the homotopic resting-state functional connectivity (RSFC) of the human brain is rarely directly examined in functional magnetic resonance imaging studies. Here, we systematically investigated age-related changes in homotopic RSFC in 214 healthy individuals ranging in age from 7 to 85 years. We observed marked age-related changes in homotopic RSFC with regionally specific developmental trajectories of varying levels of complexity. Sensorimotor regions tended to show increasing homotopic RSFC, whereas higher-order processing regions showed decreasing connectivity (i.e., increasing segregation) with age. More complex maturational curves were also detected, with regions such as the insula and lingual gyrus exhibiting quadratic trajectories and the superior frontal gyrus and putamen exhibiting cubic trajectories. Sex-related differences in the developmental trajectory of functional homotopy were detected within dorsolateral prefrontal cortex (Brodmann areas 9 and 46) and amygdala. Evidence of robust developmental effects in homotopic RSFC across the lifespan should serve to motivate studies of the physiological mechanisms underlying functional homotopy in neurodegenerative and psychiatric disorders. PMID- 21068311 TI - Matrix-binding vascular endothelial growth factor (VEGF) isoforms guide granule cell migration in the cerebellum via VEGF receptor Flk1. AB - Vascular endothelial growth factor (VEGF) regulates angiogenesis, but also has important, yet poorly characterized roles in neuronal wiring. Using several genetic and in vitro approaches, we discovered a novel role for VEGF in the control of cerebellar granule cell (GC) migration from the external granule cell layer (EGL) toward the Purkinje cell layer (PCL). GCs express the VEGF receptor Flk1, and are chemoattracted by VEGF, whose levels are higher in the PCL than EGL. Lowering VEGF levels in mice in vivo or ectopic VEGF expression in the EGL ex vivo perturbs GC migration. Using GC-specific Flk1 knock-out mice, we provide for the first time in vivo evidence for a direct chemoattractive effect of VEGF on neurons via Flk1 signaling. Finally, using knock-in mice expressing single VEGF isoforms, we show that pericellular deposition of matrix-bound VEGF isoforms around PC dendrites is necessary for proper GC migration in vivo. These findings identify a previously unknown role for VEGF in neuronal migration. PMID- 21068312 TI - Low-intensity electrical stimulation affects network dynamics by modulating population rate and spike timing. AB - Clinical effects of transcranial electrical stimulation with weak currents are remarkable considering the low amplitude of the electric fields acting on the brain. Elucidating the processes by which small currents affect ongoing brain activity is of paramount importance for the rational design of noninvasive electrotherapeutic strategies and to determine the relevance of endogenous fields. We propose that in active neuronal networks, weak electrical fields induce small but coherent changes in the firing rate and timing of neuronal populations that can be magnified by dynamic network activity. Specifically, we show that carbachol-induced gamma oscillations (25-35 Hz) in rat hippocampal slices have an inherent rate-limiting dynamic and timing precision that govern susceptibility to low-frequency weak electric fields (<50 Hz; <10 V/m). This leads to a range of nonlinear responses, including the following: (1) asymmetric power modulation by DC fields resulting from balanced excitation and inhibition; (2) symmetric power modulation by lower frequency AC fields with a net-zero change in firing rate; and (3) half-harmonic oscillations for higher frequency AC fields resulting from increased spike timing precision. These underlying mechanisms were elucidated by slice experiments and a parsimonious computational network model of single-compartment spiking neurons responding to electric field stimulation with small incremental polarization. Intracellular recordings confirmed model predictions on neuronal timing and rate changes, as well as spike phase-entrainment resonance at 0.2 V/m. Finally, our data and mechanistic framework provide a functional role for endogenous electric fields, specifically illustrating that modulation of gamma oscillations during theta-modulated gamma activity can result from field effects alone. PMID- 21068313 TI - Perceptual learning increases the strength of the earliest signals in visual cortex. AB - Training improves performance on most visual tasks. Such perceptual learning can modify how information is read out from, and represented in, later visual areas, but effects on early visual cortex are controversial. In particular, it remains unknown whether learning can reshape neural response properties in early visual areas independent from feedback arising in later cortical areas. Here, we tested whether learning can modify feedforward signals in early visual cortex as measured by the human electroencephalogram. Fourteen subjects were trained for >24 d to detect a diagonal grating pattern in one quadrant of the visual field. Training improved performance, reducing the contrast needed for reliable detection, and also reliably increased the amplitude of the earliest component of the visual evoked potential, the C1. Control orientations and locations showed smaller effects of training. Because the C1 arises rapidly and has a source in early visual cortex, our results suggest that learning can increase early visual area response through local receptive field changes without feedback from later areas. PMID- 21068314 TI - Neural correlates of associative face memory in the anterior inferior temporal cortex of monkeys. AB - To investigate the neural basis of the associative aspects of facial identification, we recorded neuronal activity from the ventral, anterior inferior temporal cortex (AITv) of macaque monkeys during the performance of an asymmetrical paired-association (APA) task that required associative pairing between an abstract pattern and five different facial views of a single person. In the APA task, after one element of a pair (either an abstract pattern or a face) was presented as a sample cue, the reward-seeking monkey correctly identified the other element of the pair among various repeatedly presented test stimuli (faces or patterns) that were temporally separated by interstimulus delays. The results revealed that a substantial number of AITv neurons responded both to faces and abstract patterns, and the majority of these neurons responded selectively to a particular associative pair. It was demonstrated that in addition to the view-invariant identity of faces used in the APA task, the population of AITv neurons was also able to represent the associative pairing between faces and abstract patterns, which was acquired by training in the APA task. It also appeared that the effect of associative pairing was not so strong that the abstract pattern could be treated in a manner similar to a series of faces belonging to a unique identity. Together, these findings indicate that the AITv plays a crucial role in both facial identification and semantic associations with facial identities. PMID- 21068315 TI - BDNF signaling during learning is regionally differentiated within hippocampus. AB - Learning-induced neurotrophic signaling at synapses is widely held to be critical for neuronal viability in adult brain. A previous study provided evidence that unsupervised learning of a novel environment is accompanied by activation of the TrkB receptor for brain-derived neurotrophic factor (BDNF) in hippocampal field CA1b of adult rats. Here we report that this effect is regionally differentiated, in accord with "engram" type memory encoding. A 30 min exposure to a novel, complex environment caused a marked, NMDA receptor-dependent increase in postsynaptic densities associated with activated (phosphorylated) Trk receptors in rostral hippocampus. Increases were pronounced in field CA3a, moderate in the dentate gyrus, and absent in field CA1a. Synapses with Trk activation were significantly larger than their neighbors. Surprisingly, unsupervised learning had no effect on Trk phosphorylation in more temporal sections of hippocampus. It thus appears that commonplace forms of learning interact with regional predispositions to produce spatially differentiated effects on BDNF signaling. PMID- 21068316 TI - Regulation of dendritic spines, spatial memory, and embryonic development by the TANC family of PSD-95-interacting proteins. AB - PSD-95 (postsynaptic density-95) is thought to play important roles in the regulation of dendritic spines and excitatory synapses, but the underlying mechanisms have not been fully elucidated. TANC1 is a PSD-95-interacting synaptic protein that contains multiple domains for protein-protein interactions but whose function is not well understood. In the present study, we provide evidence that TANC1 and its close relative TANC2 regulate dendritic spines and excitatory synapses. Overexpression of TANC1 and TANC2 in cultured neurons increases the density of dendritic spines and excitatory synapses in a manner that requires the PDZ (PSD-95/Dlg/ZO-1)-binding C termini of TANC proteins. TANC1-deficient mice exhibit reduced spine density in the CA3 region of the hippocampus, but not in the CA1 or dentate gyrus regions, and show impaired spatial memory. TANC2 deficiency, however, causes embryonic lethality. These results suggest that TANC1 is important for dendritic spine maintenance and spatial memory, and implicate TANC2 in embryonic development. PMID- 21068317 TI - IL-6- and NGF-induced rapid control of protein synthesis and nociceptive plasticity via convergent signaling to the eIF4F complex. AB - Despite the emergence of translational control pathways as mediators of nociceptive sensitization, effector molecules and mechanisms responsible for modulating activity in these pathways in pain conditions are largely unknown. We demonstrate that two major algogens, the cytokine interleukin 6 (IL-6) and the neurotrophin nerve growth factor (NGF), which are intimately linked to nociceptive plasticity across preclinical models and human pain conditions, signal primarily through two distinct pathways to enhance translation in sensory neurons by converging onto the eukaryotic initiation factor (eIF) eIF4F complex. We directly demonstrate that the net result of IL-6 and NGF signaling is an enhancement of eIF4F complex formation and an induction of nascent protein synthesis in primary afferent neurons and their axons. Moreover, IL-6- and NGF induced mechanical nociceptive plasticity is blocked by inhibitors of general and cap-dependent protein synthesis. These results establish IL-6- and NGF-mediated cap-dependent translation of local proteins as a new model for nociceptive plasticity. PMID- 21068318 TI - A link between visual disambiguation and visual memory. AB - Sensory information in the retinal image is typically too ambiguous to support visual object recognition by itself. Theories of visual disambiguation posit that to disambiguate, and thus interpret, the incoming images, the visual system must integrate the sensory information with previous knowledge of the visual world. However, the underlying neural mechanisms remain unclear. Using functional magnetic resonance imaging (fMRI) of human subjects, we have found evidence for functional specialization for storing disambiguating information in memory versus interpreting incoming ambiguous images. Subjects viewed two-tone, "Mooney" images, which are typically ambiguous when seen for the first time but are quickly disambiguated after viewing the corresponding unambiguous color images. Activity in one set of regions, including a region in the medial parietal cortex previously reported to play a key role in Mooney image disambiguation, closely reflected memory for previously seen color images but not the subsequent disambiguation of Mooney images. A second set of regions, including the superior temporal sulcus, showed the opposite pattern, in that their responses closely reflected the subjects' percepts of the disambiguated Mooney images on a stimulus to-stimulus basis but not the memory of the corresponding color images. Functional connectivity between the two sets of regions was stronger during those trials in which the disambiguated percept was stronger. This functional interaction between brain regions that specialize in storing disambiguating information in memory versus interpreting incoming ambiguous images may represent a general mechanism by which previous knowledge disambiguates visual sensory information. PMID- 21068319 TI - Parvalbumin-containing fast-spiking basket cells generate the field potential oscillations induced by cholinergic receptor activation in the hippocampus. AB - Gamma frequency oscillations in cortical regions can be recorded during cognitive processes, including attention or memory tasks. These oscillations are generated locally as a result of reciprocal interactions between excitatory pyramidal cells and perisomatic inhibitory interneurons. Here, we examined the contribution of the three perisomatic interneuron types--the parvalbumin-containing fast-spiking basket cells (FSBCs) and axo-axonic cells (AACs), as well as the cholecystokinin containing regular-spiking basket cells (RSBCs) to cholinergically induced oscillations in hippocampal slices, a rhythmic activity that captures several features of the gamma oscillations recorded in vivo. By analyzing the spiking activities of single neurons recorded in parallel with local field potentials, we found that all three cell types fired phase locked to the carbachol-induced oscillations, although with different frequencies and precision. During these oscillations, FSBCs fired the most with the highest accuracy compared with the discharge of AACs and RSBCs. In further experiments, we showed that activation of MU-opioid receptors by DAMGO ([D-Ala(2),N-Me-Phe(4),Gly(5)-ol]enkephalin acetate), which significantly reduced the inhibitory, but not excitatory, transmission, suppressed or even blocked network oscillations both in vitro and in vivo, leading to the desynchronization of pyramidal cell firing. Using paired recordings, we demonstrated that carbachol application blocked GABA release from RSBCs and reduced it from FSBCs and AACs, whereas DAMGO further suppressed the GABA release only from FSBCs, but not from AACs. These results collectively suggest that the rhythmic perisomatic inhibition, generating oscillatory fluctuation in local field potentials after carbachol treatment of hippocampal slices, is the result of periodic GABA release from FSBCs. PMID- 21068321 TI - Modulation of brain activity after learning predicts long-term memory for words. AB - The acquisition and maintenance of new language information, such as picking up new words, is a critical human ability that is needed throughout the life span. Most likely you learned the word "blog" quite recently as an adult, whereas the word "kipe," which in the 1970s denoted stealing, now seems unfamiliar. Brain mechanisms underlying the long-term maintenance of new words have remained unknown, albeit they could provide important clues to the considerable individual differences in the ability to remember words. After successful training of a set of novel object names we tracked, over a period of 10 months, the maintenance of this new vocabulary in 10 human participants by repeated behavioral tests and magnetoencephalography measurements of overt picture naming. When naming-related activation in the left frontal and temporal cortex was enhanced 1 week after training, compared with the level at the end of training, the individual retained a good command of the new vocabulary at 10 months; vice versa, individuals with reduced activation at 1 week posttraining were less successful in recalling the names at 10 months. This finding suggests an individual neural marker for memory, in the context of language. Learning is not over when the acquisition phase has been successfully completed: neural events during the access to recently established word representations appear to be important for the long-term outcome of learning. PMID- 21068320 TI - Dendritic sodium channels regulate network integration in globus pallidus neurons: a modeling study. AB - The globus pallidus (GP) predominantly contains GABAergic projection neurons that occupy a central position in the indirect pathway of the basal ganglia. They have long dendrites that can extend through one-half the diameter of the GP in rats, potentially enabling convergence and interaction between segregated basal ganglia circuits. Because of the length and fine diameter of GP dendrites, however, it is unclear how much influence distal synapses have on spiking activity. Dendritic expression of fast voltage-dependent Na(+) channels (NaF channels) can enhance the importance of distal excitatory synapses by allowing for dendritic spike initiation and by subthreshold boosting of EPSPs. Antibody labeling has demonstrated the presence of NaF channel proteins in GP dendrites, but the quantitative expression density of the channels remains unknown. We built a series of nine GP neuron models that differed only in their dendritic NaF channel expression level to assess the functional impact of this parameter. The models were all similar in their basic electrophysiological features; however, higher expression levels of dendritic NaF channels increased the relative effectiveness of distal inputs for both excitatory and inhibitory synapses, broadening the effective extent of the dendritic tree. Higher dendritic NaF channel expression also made the neurons more resistant to tonic inhibition and highly sensitive to clustered synchronous excitation. The dendritic NaF channel expression pattern may therefore be a critical determinant of convergence for both the striatopallidal and subthalamopallidal projections, while also dictating which spatiotemporal input patterns are most effective at driving GP neuron output. PMID- 21068322 TI - TRPA1 contributes to cold hypersensitivity. AB - TRPA1 is a nonselective cation channel expressed by nociceptors. Although it is widely accepted that TRPA1 serves as a broad irritancy receptor for a variety of reactive chemicals, its role in cold sensation remains controversial. Here, we demonstrate that mild cooling markedly increases agonist-evoked rat TRPA1 currents. In the absence of an agonist, even noxious cold only increases current amplitude slightly. These results suggest that TRPA1 is a key mediator of cold hypersensitivity in pathological conditions in which reactive oxygen species and proinflammatory activators of the channel are present, but likely plays a comparatively minor role in acute cold sensation. Supporting this, cold hypersensitivity can be induced in wild-type but not Trpa1(-/-) mice by subcutaneous administration of a TRPA1 agonist. Furthermore, the selective TRPA1 antagonist HC-030031 [2-(1,3-dimethyl-2,6-dioxo-1,2,3,6-tetrahydro-7H-purin-7-yl) N-(4-isopropylphenyl)acetamide] reduces cold hypersensitivity in rodent models of inflammatory and neuropathic pain. PMID- 21068323 TI - Context-specific grasp movement representation in macaque ventral premotor cortex. AB - Hand grasping requires the transformation of sensory signals to hand movements. Neurons in area F5 (ventral premotor cortex) represent specific grasp movements (e.g., precision grip) as well as object features like orientation, and are involved in movement preparation and execution. Here, we examined how F5 neurons represent context-dependent grasping actions in macaques. We used a delayed grasping task in which animals grasped a handle either with a power or a precision grip depending on context information. Additionally, object orientation was varied to investigate how visual object features are integrated with context information. In 420 neurons from two animals, object orientation and grip type were equally encoded during the instruction epoch (27% and 26% of all cells, respectively). While orientation representation dropped during movement execution, grip type representation increased (20% vs 43%). According to tuning onset and offset, we classified neurons as sensory, sensorimotor, or motor. Grip type tuning was predominantly sensorimotor (28%) or motor (25%), whereas orientation-tuned cells were mainly sensory (11%) or sensorimotor (15%) and often also represented grip type (86%). Conversely, only 44% of grip-type tuned cells were also orientation-tuned. Furthermore, we found marked differences in the incidence of preferred conditions (power vs precision grips and middle vs extreme orientations) and in the anatomical distribution of the various cell classes. These results reveal important differences in how grip type and object orientation is processed in F5 and suggest that anatomically and functionally separable cell classes collaborate to generate hand grasping commands. PMID- 21068325 TI - Ablation of glutamate receptor GluRdelta2 in adult Purkinje cells causes multiple innervation of climbing fibers by inducing aberrant invasion to parallel fiber innervation territory. AB - Glutamate receptor GluRdelta2 is exclusively expressed in Purkinje cells (PCs) from early development and plays key roles in parallel fiber (PF) synapse formation, elimination of surplus climbing fibers (CFs), long-term depression, motor coordination, and motor learning. To address its role in adulthood, we previously developed a mouse model of drug-induced GluRdelta2 ablation in adult PCs (Takeuchi et al., 2005). In that study, we demonstrated an essential role to maintain the connectivity of PF-PC synapses, based on the observation that both mismatching of presynaptic and postsynaptic specializations and disconnection of PF-PC synapses are progressively increased after GluRdelta2 ablation. Here, we pursued its role for CF wiring in adult cerebellum. In parallel with the disconnection of PF-PC synapses, ascending CF branches exhibited distal extension to innervate distal dendrites of the target and neighboring PCs. Furthermore, transverse CF branches, a short motile collateral rarely forming synapses in wild type animals, displayed aberrant mediolateral extension to innervate distal dendrites of neighboring and remote PCs. Consequently, many PCs were wired by single main CF and other surplus CFs innervating a small part of distal dendrites. Electrophysiological recording further revealed that surplus CF-EPSCs characterized with slow rise time and small amplitude emerged after GluRdelta2 ablation, and increased progressively both in number and amplitude. Therefore, GluRdelta2 is essential for maintaining CF monoinnervation in adult cerebellum by suppressing aberrant invasion of CF branches to the territory of PF innervation. Thus, GluRdelta2 fuels heterosynaptic competition and gives PFs the competitive advantages over CFs throughout the animal's life. PMID- 21068324 TI - Repeated swim impairs serotonin clearance via a corticosterone-sensitive mechanism: organic cation transporter 3, the smoking gun. AB - Activation of the hypothalamic-pituitary-adrenal (HPA) axis is associated with increased extracellular serotonin (5-HT) in limbic brain regions. The mechanism through which this occurs remains unclear. One way could be via HPA axis dependent impairment of serotonin transporter (SERT) function, the high-affinity uptake mechanism for 5-HT. Consistent with this idea, we found that 5-HT clearance rate in hippocampus was dramatically reduced in mice exposed to repeated swim, a stimulus known to activate the HPA axis. However, this phenomenon also occurred in mice lacking SERT, ruling out SERT as a mechanism. The organic cation transporter 3 (OCT3) is emerging as an important regulator of brain 5-HT. Moreover, corticosterone, which is released upon HPA axis activation, blocks 5-HT uptake by OCT3. Repeated swim produced a persistent elevation in plasma corticosterone, and, consistent with prolonged blockade by corticosterone, we found that OCT3 expression and function were reduced in these mice. Importantly, this effect of repeated swim to reduce 5-HT clearance rate was corticosterone dependent, as evidenced by its absence in adrenalectomized mice, in which plasma corticosterone levels were essentially undetectable. Behaviorally, mice subjected to repeated swim spent less time immobile in the tail suspension test than control mice, but responded similarly to SERT- and norepinephrine transporter-selective antidepressants. Together, these results show that reduced 5-HT clearance following HPA axis activation is likely mediated, at least in part, by the corticosterone-sensitive OCT3, and that drugs developed to selectively target OCT3 (unlike corticosterone) may be candidates for the development of novel antidepressant medications. PMID- 21068327 TI - Cortical GABAergic interneurons transiently assume a sea urchin-like nonpolarized shape before axon initiation. AB - Mature neurons polarize by extending an axon and dendrites. In vitro studies of dissociated neurons have demonstrated that axons are initiated from a nonpolarized stage. Dissociated hippocampal neurons form four to five minor neurites shortly after plating but then one of them starts to elongate rapidly to become the future axon, whereas the rest constitutes the dendrites at later stages. However, neuroepithelial cells as well as migrating neurons in vivo are already polarized, raising the possibility that mature neurons inherit the polarities of immature neurons of neuroepithelial or migrating neurons. Here we show that the axon of interneurons in mouse cortical explant emerges from a morphologically nonpolarized shape. The morphological maturation of cortical interneurons labeled by electroporation at an embryonic stage was analyzed by time-lapse imaging during the perinatal stage. In contrast to earlier stages, most interneurons at this stage show sea urchin-like nonpolarized shapes with alternately extending and retracting short processes. Abruptly, one of these processes extends to give rise to an outstandingly long axon-like process. Given that the interneurons exhibit typical polarized shapes during embryonic development, the present results suggest that axon-dendrite polarity develops from a nonpolarized intermediate stage. PMID- 21068326 TI - Activation of microglia depends on Na+/H+ exchange-mediated H+ homeostasis. AB - H(+) extrusion is important for sustained NADPH oxidase activation after "respiratory" burst in macrophage/microglia activation. In this study, we investigated the role of Na(+)/H(+) exchanger isoform 1 (NHE-1) in activation of microglia after lipopolysaccharide (LPS) or oxygen and glucose deprivation and reoxygenation (OGD/REOX) exposure. NHE-1 functioned in maintaining basal pH(i) of immortalized M4T.4 microglia or mouse primary microglia. Pharmacological inhibition of NHE-1 activity with the potent inhibitor cariporide [HOE 642 (4 isopropyl-3-methylsulfonyl-benzoyl-guanidine-methanesulfonate)] abolished pH(i) regulation in microglia under basal conditions. Activation of microglia either by LPS, phorbol myristate acetate, or OGD/REOX accelerated pH(i) regulation and caused pH(i) elevation, which was accompanied with an increase in [Na(+)](i) and [Ca(2+)](i) as well as production of superoxide anion and cytokines. Interestingly, inhibition of NHE-1 not only abolished pH(i) regulation but also reduced production of superoxide anion as well as expression of cytokines and inducible nitric oxide synthase. Together, these results reveal that there was a concurrent activation of NHE-1 in microglia in response to proinflammatory stimuli. The study suggests that NHE-1 functions to maintain microglial pH(i) homeostasis allowing for sustained NADPH oxidase function and "respiratory" burst. PMID- 21068328 TI - Lgi4 promotes the proliferation and differentiation of glial lineage cells throughout the developing peripheral nervous system. AB - The mechanisms that regulate peripheral nervous system (PNS) gliogenesis are incompletely understood. For example, gut neural crest stem cells (NCSCs) do not respond to known gliogenic factors, suggesting that yet-unidentified factors regulate gut gliogenesis. To identify new mechanisms, we performed gene expression profiling to identify factors secreted by gut NCSCs during the gliogenic phase of development. These cells highly expressed leucine-rich glioma inactivated 4 (Lgi4) despite the fact that Lgi4 has never been implicated in stem cell function or enteric nervous system development. Lgi4 is known to regulate peripheral nerve myelination (having been identified as the mutated gene in spontaneously arising claw paw mutant mice), but Lgi4 is not known to play any role in PNS development outside of peripheral nerves. To systematically analyze Lgi4 function, we generated gene-targeted mice. Lgi4-deficient mice exhibited a more severe phenotype than claw paw mice and had gliogenic defects in sensory, sympathetic, and enteric ganglia. We found that Lgi4 is required for the proliferation and differentiation of glial-restricted progenitors throughout the PNS. Analysis of compound-mutant mice revealed that the mechanism by which Lgi4 promotes enteric gliogenesis involves binding the ADAM22 receptor. Our results identify a new mechanism regulating enteric gliogenesis as well as novel functions for Lgi4 regulating the proliferation and maturation of glial lineage cells throughout the PNS. PMID- 21068329 TI - A neuronal population measure of attention predicts behavioral performance on individual trials. AB - Visual attention improves perception for an attended location or feature and also modulates the responses of sensory neurons. In laboratory studies, the sensory stimuli and task instructions are held constant within an attentional condition, but despite experimenters' best efforts, attention likely varies from moment to moment. Because most previous studies have focused on single neurons, it has been impossible to use neuronal responses to identify attentional fluctuations and determine whether these are associated with changes in behavior. We show that an instantaneous measure of attention based on the responses of a modest number of neurons in area V4 of the rhesus monkey (Macaca mulatta) can reliably predict large changes in an animal's ability to perform a difficult psychophysical task. Unexpectedly, this measure shows that the amount of attention allocated at any moment to locations in opposite hemifields is uncorrelated, suggesting that animals allocate attention to each stimulus independently rather than moving their attentional focus from one location to another. PMID- 21068330 TI - The influence of language on perception: listening to sentences about faces affects the perception of faces. AB - We examined the effect of linguistic comprehension on early perceptual encoding in a series of electrophysiological and behavioral studies on humans. Using the fact that pictures of faces elicit a robust and reliable evoked response that peaks at ~170 ms after stimulus onset (N170), we measured the N170 to faces that were preceded by primes that referred to either faces or scenes. When the primes were auditory sentences, the magnitude of the N170 was larger when the face stimuli were preceded by sentences describing faces compared to sentences describing scenes. In contrast, when the primes were visual, the N170 was smaller after visual primes of faces compared to visual primes of scenes. Similar opposing effects of linguistic and visual primes were also observed in a reaction time experiment in which participants judged the gender of faces. These results provide novel evidence of the influence of language on early perceptual processes and suggest a surprising mechanistic description of this interaction: linguistic primes produce content-specific interference on subsequent visual processing. This interference may be a consequence of the natural statistics of language and vision given that linguistic content is generally uncorrelated with the contents of perception. PMID- 21068331 TI - Astrocytes as gatekeepers of GABAB receptor function. AB - The long-lasting actions of the inhibitory neurotransmitter GABA result from the activation of metabotropic GABA(B) receptors. Enhanced GABA(B)-mediated IPSCs are critical for the generation of generalized thalamocortical seizures. Here, we demonstrate that GABA(B)-mediated IPSCs recorded in the thalamus are primarily defined by GABA diffusion and activation of distal extrasynaptic receptors potentially up to tens of micrometers from synapses. We also show that this diffusion is differentially regulated by two astrocytic GABA transporters, GAT1 and GAT3, which are localized near and far from synapses, respectively. A biologically constrained model of GABA diffusion and uptake shows how the two GATs differentially modulate amplitude and duration of GABA(B) IPSCs. Specifically, the perisynaptic expression of GAT1 enables it to regulate GABA levels near synapses and selectively modulate peak IPSC amplitude, which is primarily dependent on perisynaptic receptor occupancy. GAT3 expression, however, is broader and includes distal extrasynaptic regions. As such, GAT3 acts as a gatekeeper to prevent diffusion of GABA away from synapses toward extrasynaptic regions that contain a potentially enormous pool of GABA(B) receptors. Targeting this gatekeeper function may provide new pharmacotherapeutic opportunities to prevent the excessive GABA(B) receptor activation that appears necessary for thalamic seizure generation. PMID- 21068332 TI - Gap junction expression is required for normal chemical synapse formation. AB - Electrical and chemical synapses provide two distinct modes of direct communication between neurons, and the embryonic development of the two is typically not simultaneous. Instead, in both vertebrates and invertebrates, gap junction-based electrical synapses arise before chemical synaptogenesis, and the early circuits composed of gap junction-based electrical synapses resemble those produced later by chemical synapses. This developmental sequence from electrical to chemical synapses has led to the hypothesis that, in developing neuronal circuits, electrical junctions are necessary forerunners of chemical synapses. Up to now, it has been difficult to test this hypothesis directly, but we can identify individual neurons in the leech nervous system from before the time when synapses are first forming, so we could test the hypothesis. Using RNA interference, we transiently reduced gap junction expression in individual identified neurons during the 2-4 d when chemical synapses normally form. We found that the expected chemical synapses failed to form on schedule, and they were still missing months later when the nervous system was fully mature. We conclude that the formation of gap junctions between leech neurons is a necessary step in the formation of chemical synaptic junctions, confirming the predicted relation between electrical synapses and chemical synaptogenesis. PMID- 21068333 TI - Agrin downregulation induced by nerve injury contributes to neuropathic pain. AB - The elusiveness of neuropathic pain mechanisms is a major impediment in developing effective clinical treatments. Here we show that peripheral nerve injury decreased agrin expression in the ipsilateral spinal dorsal horn of rats displaying tactile allodynia. SCP1, an acetaminophen analog, suppressed allodynia and promoted agrin upregulation. Preemptive treatment with SCP1 also upregulated agrin, thereby preventing neuropathic pain development. Expression of 50 kDa agrin delivered by adeno-associated virus into the dorsal horn also suppressed allodynia and hyperalgesia. Allodynia suppression was a consequence of serine residue 896/897 phosphorylation of NMDA receptor NR1 subunits in the GABA interneurons of the dorsal horn. Agrin silencing by small interference RNA, administered with either AAV-Ag50 vector or SCP1, blocked allodynia suppression, agrin upregulation, and NR1 phosphorylation. In conclusion, 50 kDa agrin modulates neuropathic pain through NR1 phosphorylation in GABA neurons. This mechanism may open new approaches for treating not only neuropathic pain, but also epilepsy, tremors, and spasticity. PMID- 21068334 TI - Predominant enhancement of glucose uptake in astrocytes versus neurons during activation of the somatosensory cortex. AB - Glucose is the primary energetic substrate of the brain, and measurements of its metabolism are the basis of major functional cerebral imaging methods. Contrary to the general view that neurons are fueled solely by glucose in proportion to their energetic needs, recent in vitro and ex vivo analyses suggest that glucose preferentially feeds astrocytes. However, the cellular fate of glucose in the intact brain has not yet been directly observed. We have used a real-time method for measuring glucose uptake in astrocytes and neurons in vivo in male rats by imaging the trafficking of the nonmetabolizable glucose analog 6-deoxy-N-(7 nitrobenz-2-oxa-1,3-diazol-4-yl)-aminoglucose (6-NBDG) using two-photon microscopy. During resting conditions we found that astrocytes and neurons both take up 6-NBDG at the same rate in the barrel cortex of the rat. However, during intense neuronal activity triggered by whisker stimulation, astrocytes rapidly accelerated their uptake, whereas neuronal uptake remained almost unchanged. After the stimulation period, astrocytes returned to their preactivation rates of uptake paralleling the neuronal rate of uptake. These observations suggest that glucose is taken up primarily by astrocytes, supporting the view that functional imaging experiments based on glucose analogs extraction may predominantly reflect the metabolic activity of the astrocytic network. PMID- 21068335 TI - Morphine induces AMPA receptor internalization in primary hippocampal neurons via calcineurin-dependent dephosphorylation of GluR1 subunits. AB - Chronic morphine treatment resulting in the alteration of postsynaptic levels of AMPA receptors, thereby modulating synaptic strength, has been reported. However, the mechanism underlying such drug-induced synaptic modification has not been resolved. By monitoring the GluR1 trafficking in primary hippocampal neurons using the pHluorin-GluR1 imaging and biotinylation studies, we observed that prolonged morphine exposure significantly induced loss of synaptic and extrasynaptic GluR1 by internalization. The morphine-induced GluR1 endocytosis was independent of neural network activities or NMDA receptor activities, as neither blocking the sodium channels with tetrodotoxin nor NMDA receptors with dl APV altered the effects of morphine. Instead, morphine-induced GluR1 endocytosis is attributed to a change in the phosphorylation state of the GluR1 at Ser(845) as morphine significantly decreased the dephosphorylation of GluR1 at this site. Such changes in Ser(845) phosphorylation required morphine-induced activation of calcineurin, based on the observations that a calcineurin inhibitor, FK506, completely abrogated the dephosphorylation, and morphine treatment led to an increase in calcineurin enzymatic activity, even in the presence of dl-APV. Importantly, pretreatment with FK506 and overexpression of the GluR1 mutants, S845D (phospho-mimic) or S845A (phospho-blocking) attenuated the morphine-induced GluR1 endocytosis. Therefore, the calcineurin-mediated GluR1-S845 dephosphorylation is critical for the morphine-induced changes in the postsynaptic AMPA receptor level. Together, these findings reveal a novel molecular mechanism for opioid-induced neuronal adaptation and/or synaptic impairment. PMID- 21068338 TI - Giving credit: citations and references. PMID- 21068337 TI - Purkinje cell NMDA receptors assume a key role in synaptic gain control in the mature cerebellum. AB - A classic view in cerebellar physiology holds that Purkinje cells do not express functional NMDA receptors and that, therefore, postsynaptic NMDA receptors are not involved in the induction of long-term depression (LTD) at parallel fiber (PF) to Purkinje cell synapses. Recently, it has been demonstrated that functional NMDA receptors are postsynaptically expressed at climbing fiber (CF) to Purkinje cell synapses in mice, reaching full expression levels at ~2 months after birth. Here, we show that in the mature mouse cerebellum LTD (induced by paired PF and CF activation), but not long-term potentiation (LTP; PF stimulation alone) at PF to Purkinje cell synapses is blocked by bath application of the NMDA receptor antagonist D-2-amino-5-phosphonovaleric acid (D-APV). A blockade of LTD, but not LTP, was also observed when the noncompetitive NMDA channel blocker MK 801 was added to the patch-pipette saline, suggesting that postsynaptically expressed NMDA receptors are required for LTD induction. Using confocal calcium imaging, we show that CF-evoked calcium transients in dendritic spines are reduced in the presence of D-APV. This observation confirms that NMDA receptor signaling occurs at CF synapses and suggests that NMDA receptor-mediated calcium transients at the CF input site might contribute to LTD induction. Finally, we performed dendritic patch-clamp recordings from rat Purkinje cells. Dendritically recorded CF responses were reduced when D-APV was bath applied. Together, these data suggest that the late developmental expression of postsynaptic NMDA receptors at CF synapses onto Purkinje cells is associated with a switch toward an NMDA receptor-dependent LTD induction mechanism. PMID- 21068339 TI - Sequence capture and next-generation resequencing of multiple tagged nucleic acid samples for mutation screening of urea cycle disorders. AB - BACKGROUND: Molecular genetic testing is commonly used to confirm clinical diagnoses of inherited urea cycle disorders (UCDs); however, conventional mutation screenings encompassing only the coding regions of genes may not detect disease-causing mutations occurring in regulatory elements and introns. Microarray-based target enrichment and next-generation sequencing now allow more comprehensive genetic screening. We applied this approach to UCDs and combined it with the use of DNA bar codes for more cost-effective, parallel analyses of multiple samples. METHODS: We used sectored 2240-feature medium-density oligonucleotide arrays to capture and enrich a 199-kb genomic target encompassing the complete genomic regions of 3 urea cycle genes, OTC (ornithine carbamoyltransferase), CPS1 (carbamoyl-phosphate synthetase 1, mitochondrial), and NAGS (N-acetylglutamate synthase). We used the Genome Sequencer FLX System (454 Life Sciences) to jointly analyze 4 samples individually tagged with a 6-bp DNA bar code and compared the results with those for an individually sequenced sample. RESULTS: Using a low tiling density of only 1 probe per 91 bp, we obtained strong enrichment of the targeted loci to achieve >=90% coverage with up to 64% of the sequences covered at a sequencing depth >=10-fold. We observed a very homogeneous sequence representation of the bar-coded samples, which yielded a >30% increase in the sequence data generated per sample, compared with an individually processed sample. Heterozygous and homozygous disease-associated mutations were correctly detected in all samples. CONCLUSIONS: The use of DNA bar codes and the use of sectored oligonucleotide arrays for target enrichment enable parallel, large-scale analysis of complete genomic regions for multiple genes of a disease pathway and for multiple samples simultaneously. This approach thus may provide an efficient tool for comprehensive diagnostic screening of mutations. PMID- 21068336 TI - Flotillin-mediated endocytic events dictate cell type-specific responses to semaphorin 3A. AB - Cortical efferents growing in the same environment diverge early in development. The expression of particular transcription factors dictates the trajectories taken, presumably by regulating responsiveness to guidance cues via cellular mechanisms that are not yet known. Here, we show that cortical neurons that are dissociated and grown in culture maintain their cell type-specific identities defined by the expression of transcription factors. Using this model system, we sought to identify and characterize mechanisms that are recruited to produce cell type-specific responses to Semaphorin 3A (Sema3A), a guidance cue that would be presented similarly to cortical axons in vivo. Axons from presumptive corticofugal neurons lacking the transcription factor Satb2 and expressing Ctip2 or Tbr1 respond far more robustly to Sema3A than those from presumptive callosal neurons expressing Satb2. Both populations of axons express similar levels of Sema3A receptors (neuropilin-1, cell adhesion molecule L1, and plexinA4), but significantly, axons from neurons lacking Satb2 internalize more Sema3A, and they do so via a raft-mediated endocytic pathway. We used an in silico approach to identify the endocytosis effector flotillin-1 as a Sema3A signaling candidate. We tested the contributions of flotillin-1 to Sema3A endocytosis and signaling, and show that raft-mediated Sema3A endocytosis is defined by and depends on the recruitment of flotillin-1, which mediates LIM domain kinase activation and regulates axon responsiveness to Sema3A in presumptive corticofugal axons. PMID- 21068340 TI - Is diabetes mellitus a continuous spectrum? AB - BACKGROUND: Diabetes mellitus has been historically divided into type 1 and type 2 diabetes, with type 1 being an autoimmune disease and type 2 being primarily a metabolic disease. CONTENT: The current diabetes classification scheme needs to be reevaluated because of the accumulating evidence of immune system involvement in the pathophysiology of type 2 diabetes. SUMMARY: There are similarities and differences between type 1 and type 2 diabetes with regard to pathogenesis, pathophysiology, and genetics. We propose a resolution to the dilemma of the current classification scheme. PMID- 21068341 TI - Factors associated with outcome in heart failure with preserved ejection fraction: findings from the Irbesartan in Heart Failure with Preserved Ejection Fraction Study (I-PRESERVE). AB - BACKGROUND: The determinants of prognosis in patients with heart failure and preserved ejection fraction (HF-PEF) are poorly documented. METHODS AND RESULTS: We evaluated data from 4128 patients in the I-PRESERVE trial (Irbesartan in Heart Failure with Preserved Ejection Fraction Study). Multivariable Cox regression models were developed using 58 baseline demographic, clinical, and biological variables to model the primary outcome of all-cause mortality or cardiovascular hospitalization (1505 events), all-cause mortality (881 events), and HF death or hospitalization (716 events). Log N-terminal pro-B-type natriuretic peptide, age, diabetes mellitus, and previous hospitalization for HF were the most powerful factors associated with the primary outcome and with the HF composite. For all cause mortality, log N-terminal pro-B-type natriuretic peptide, age, diabetes mellitus, and left ventricular EF were the strongest independent factors. Other independent factors associated with poor outcome included quality of life, a history of chronic obstructive lung disease, log neutrophil count, heart rate, and estimated glomerular filtration rate. The models accurately stratified the actual 3-year rate of outcomes from 8.1% to 59.9% (primary outcome) 2.7% to 36.5% (all-cause mortality), and 2.1% to 38.9% (HF composite) for the lowest to highest septiles of predicted risks. CONCLUSIONS: In a large sample of elderly patients with HF and preserved EF enrolled in I-Preserve, simple clinical, demographic, and biological variables were associated with outcome and identified subgroups at very high and very low risk of events. PMID- 21068342 TI - Object-based warping: an illusory distortion of space within objects. AB - Visual objects are high-level primitives that are fundamental to numerous perceptual functions, such as guidance of attention. We report that objects warp visual perception of space in such a way that spatial distances within objects appear to be larger than spatial distances in ground regions. When two dots were placed inside a rectangular object, they appeared farther apart from one another than two dots with identical spacing outside of the object. To investigate whether this effect was object based, we measured the distortion while manipulating the structure surrounding the dots. Object displays were constructed with a single object, multiple objects, a partially occluded object, and an illusory object. Nonobject displays were constructed to be comparable to object displays in low-level visual attributes. In all cases, the object displays resulted in a more powerful distortion of spatial perception than comparable non object-based displays. These results suggest that perception of space within objects is warped. PMID- 21068344 TI - Low blood thiamine concentrations in children upon admission to the intensive care unit: risk factors and prognostic significance. AB - BACKGROUND: Thiamine deficiency has been associated with poorer clinical outcomes. Early recognition of thiamine deficiency is difficult in critically ill patients because clinical signs are nonspecific. OBJECTIVE: We determined the prevalence of and identified risk factors associated with low blood thiamine concentrations upon admission of children to a pediatric intensive care unit and evaluated this condition as a predictor of clinical outcomes. DESIGN: A prospective cohort study was conducted in 202 children who had whole-blood thiamin concentrations assessed by HPLC upon admission to the intensive care unit. The following independent variables for thiamine deficiency were analyzed: age, sex, nutritional status, clinical severity scores upon admission (ie, the revised Pediatric Index of Mortality and Pediatric Logistic Organ Dysfunction score), systemic inflammatory response measured by C-reactive protein serum concentrations, severe sepsis or septic shock, heart failure, and cardiac surgery. The dependent variables in the outcome analyses were mortality, length of stay, and time on mechanical ventilation. RESULTS: Low blood thiamine concentrations upon admission were detected in 57 patients (28.2%) and were shown to be independently associated with C-reactive protein concentrations >20 mg/dL (odds ratio: 2.17; 95% CI: 1.13, 4.17; P = 0.02) but not with malnutrition. No significant association was shown between low blood thiamine concentrations upon admission and outcome variables. CONCLUSIONS: The incidence of low blood thiamine concentrations upon admission was high. Of the risk factors examined, only the magnitude of the systemic inflammatory response showed an independent association with this event. The association between thiamine deficiency upon admission and prognosis requires further investigation. PMID- 21068343 TI - Weight of nations: a socioeconomic analysis of women in low- to middle-income countries. AB - BACKGROUND: The increasing trend in body mass index (BMI) and overweight in rapidly developing economies is well recognized. OBJECTIVE: We assessed the association between socioeconomic status and BMI and overweight in low- to middle income countries. DESIGN: We conducted a cross-sectional analysis of nationally representative samples of 538,140 women aged 15-49 y drawn from 54 Demographic and Health Surveys conducted between 1994 and 2008. BMI, calculated as weight in kilograms divided by height squared in meters, was specified as the outcome, and a BMI (in kg/m(2)) of >=25 was additionally specified to model the likelihood of being overweight. Household wealth and education were included as markers of individual socioeconomic status, and per capita Gross Domestic Product (pcGDP) was included as a marker of country-level economic development. RESULTS: Globally, a one-quartile increase in wealth was associated with a 0.54 increase in BMI (95% CI: 0.50, 0.64) and a 33% increase in overweight (95% CI: 26%, 41%) in adjusted models. Although the strength of this association varied across countries, the association between wealth and BMI and overweight was positive in 96% (52 of 54) of the countries. Similar patterns were observed in urban and rural areas, although SES gradients tended to be greater in urban areas. There was a positive association between pcGDP and BMI or overweight, with only weak evidence of an interaction between pcGDP and wealth. CONCLUSION: Higher BMI and overweight remain concentrated in higher socioeconomic groups, even though increasing BMI and overweight prevalence are important global public concerns. PMID- 21068345 TI - Milk and dairy consumption and incidence of cardiovascular diseases and all-cause mortality: dose-response meta-analysis of prospective cohort studies. AB - BACKGROUND: The consumption of dairy products may influence the risk of cardiovascular disease (CVD) and total mortality, but conflicting findings have been reported. OBJECTIVE: The objective was to examine the associations of milk, total dairy products, and high- and low-fat dairy intakes with the risk of CVD [including coronary heart disease (CHD) and stroke] and total mortality. DESIGN: PubMed, EMBASE, and SCOPUS were searched for articles published up to February 2010. Of > 5000 titles evaluated, 17 met the inclusion criteria, all of which were original prospective cohort studies. Random-effects meta-analyses were performed with summarized dose-response data. Milk as the main dairy product was pooled in these analyses. RESULTS: In 17 prospective studies, there were 2283 CVD, 4391 CHD, 15,554 stroke, and 23,949 mortality cases. A modest inverse association was found between milk intake and risk of overall CVD [4 studies; relative risk (RR): 0.94 per 200 mL/d; 95% CI: 0.89, 0.99]. Milk intake was not associated with risk of CHD (6 studies; RR: 1.00; 95% CI: 0.96, 1.04), stroke (6 studies; RR: 0.87; 95% CI: 0.72, 1.05), or total mortality (8 studies; RR per 200 mL/d: 0.99; 95% CI: 0.95, 1.03). Limited studies of the association of total dairy products and of total high-fat and total low-fat dairy products (per 200 g/d) with CHD showed no significant associations. CONCLUSION: This dose-response meta-analysis of prospective studies indicates that milk intake is not associated with total mortality but may be inversely associated with overall CVD risk; however, these findings are based on limited numbers. PMID- 21068346 TI - Hesperidin contributes to the vascular protective effects of orange juice: a randomized crossover study in healthy volunteers. AB - BACKGROUND: Although numerous human studies have shown consistent effects of some polyphenol-rich foods on several intermediate markers for cardiovascular diseases, it is still unknown whether their action could be specifically related to polyphenols. OBJECTIVE: We investigated the effect of orange juice and its major flavonoid, hesperidin, on microvascular reactivity, blood pressure, and cardiovascular risk biomarkers through both postprandial and chronic intervention studies. DESIGN: Twenty-four healthy, overweight men (age 50-65 y) were included in a randomized, controlled, crossover study. Throughout the three 4-wk periods, volunteers daily consumed 500 mL orange juice, 500 mL control drink plus hesperidin (CDH), or 500 mL control drink plus placebo (CDP). All measurements and blood collections were performed in overnight-fasted subjects before and after the 4-wk treatment periods. The postprandial study was conducted at the beginning of each experimental period. RESULTS: Diastolic blood pressure (DBP) was significantly lower after 4 wk consumption of orange juice or CDH than after consumption of CDP (P = 0.02), whereas microvascular endothelium-related reactivity was not significantly affected when measured after an overnight fast. However, both orange juice and CDH ingestion significantly improved postprandial microvascular endothelial reactivity compared with CDP (P < 0.05) when measured at the peak of plasma hesperetin concentration. CONCLUSIONS: In healthy, middle aged, moderately overweight men, orange juice decreases DBP when regularly consumed and postprandially increases endothelium-dependent microvascular reactivity. Our study suggests that hesperidin could be causally linked to the beneficial effect of orange juice. This trial is registered at clinicaltrials.gov as NCT00983086. PMID- 21068347 TI - Consumption of dietary fat and meat and risk of ovarian cancer in the Netherlands Cohort Study. AB - BACKGROUND: Evidence that links dietary factors to ovarian cancer is conflicting, but several epidemiologic studies suggested that consumption of dietary fat and meat may increase risk of ovarian cancer. OBJECTIVE: We studied associations of intakes of total fat and sources and subtypes of fat, fresh meat, processed meat, and fish with ovarian cancer risk within the Netherlands Cohort Study (NLCS). DESIGN: The NLCS includes 62,573 postmenopausal women, aged 55-69 y at baseline, who completed a baseline questionnaire on dietary habits and other risk factors for cancer in 1986. After 16.3 y of follow-up, 340 ovarian cancer cases and 2161 subcohort members were available for a case-cohort analysis. Multivariable rate ratios (RRs) were adjusted for age at baseline, total energy intake, oral contraceptive use, and parity. RESULTS: There were no clear associations between intakes of total fat, saturated fat, mono- and polyunsaturated fats, animal fat, plant-based fat, dairy fat, other fat sources, fresh meat, processed meat, and fish and ovarian cancer risk. There was a positive association between consumption of trans unsaturated fatty acids and ovarian cancer risk. The multivariable RR for women in the highest compared with the lowest quintiles of intake was 1.51 (95% CI: 1.04, 2.20; P for trend = 0.01). Although no significant interactions by oral contraceptive use or parity were shown, effect sizes were generally more pronounced and significant in women who never used oral contraceptives and in parous women. CONCLUSION: This prospective study suggests that trans unsaturated fatty acids, but no other types of fat or meat, are associated with increased ovarian cancer risk. PMID- 21068348 TI - Re: Effects of glycemic load on metabolic risk markers in subjects at increased risk of developing metabolic syndrome. PMID- 21068349 TI - Asymptomatic malaria in the etiology of iron deficiency anemia: a nutritionist's viewpoint. PMID- 21068351 TI - Prebiotic evaluation of cocoa-derived flavanols in healthy humans by using a randomized, controlled, double-blind, crossover intervention study. AB - BACKGROUND: The absorption of cocoa flavanols in the small intestine is limited, and the majority of the flavanols reach the large intestine where they may be metabolized by resident microbiota. OBJECTIVE: We assessed the prebiotic potential of cocoa flavanols in a randomized, double-blind, crossover, controlled intervention study. DESIGN: Twenty-two healthy human volunteers were randomly assigned to either a high-cocoa flavanol (HCF) group (494 mg cocoa flavanols/d) or a low-cocoa flavanol (LCF) group (23 mg cocoa flavanols/d) for 4 wk. This was followed by a 4-wk washout period before volunteers crossed to the alternant arm. Fecal samples were recovered before and after each intervention, and bacterial numbers were measured by fluorescence in situ hybridization. A number of other biochemical and physiologic markers were measured. RESULTS: Compared with the consumption of the LCF drink, the daily consumption of the HCF drink for 4 wk significantly increased the bifidobacterial (P < 0.01) and lactobacilli (P < 0.001) populations but significantly decreased clostridia counts (P < 0.001). These microbial changes were paralleled by significant reductions in plasma triacylglycerol (P < 0.05) and C-reactive protein (P < 0.05) concentrations. Furthermore, changes in C-reactive protein concentrations were linked to changes in lactobacilli counts (P < 0.05, R(2) = -0.33 for the model). These in vivo changes were closely paralleled by cocoa flavanol-induced bacterial changes in mixed-batch culture experiments. CONCLUSION: This study shows, for the first time to our knowledge, that consumption of cocoa flavanols can significantly affect the growth of select gut microflora in humans, which suggests the potential prebiotic benefits associated with the dietary inclusion of flavanol-rich foods. This trial was registered at clinicaltrials.gov as NCT01091922. PMID- 21068350 TI - Dietary patterns and incident low-trauma fractures in postmenopausal women and men aged >= 50 y: a population-based cohort study. AB - BACKGROUND: Previous research has shown that dietary patterns are related to the risk of several adverse health outcomes, but the relation of these patterns to skeletal fragility is not well understood. OBJECTIVE: Our objective was to determine the relation between dietary patterns and incident fracture and possible mediation of this relation by body mass index, bone mineral density, or falls. DESIGN: We performed a retrospective cohort study based on the Canadian Multicentre Osteoporosis Study-a randomly selected population-based cohort. We assessed dietary patterns by using self-administered food-frequency questionnaires in year 2 of the study (1997-1999). Our primary outcome was low trauma fracture occurring before the 10th annual follow-up (2005-2007). RESULTS: We identified 2 dietary patterns by using factor analysis. The first factor (nutrient dense) was strongly associated with intake of fruit, vegetables, and whole grains. The second factor (energy dense) was strongly associated with intake of soft drinks, potato chips, French fries, meats, and desserts. The nutrient-dense factor was associated with a reduced risk of fracture per 1 SD in men overall [hazard ratio (HR): 0.83; 95% CI: 0.64, 1.08] and in women overall (HR: 0.86; 95% CI: 0.76, 0.98). An age trend (P = 0.03) was observed, which yielded an HR of 0.97 in younger women (age < 70 y) compared with an HR of 0.82 in older women (age >= 70 y). The associations were independent of body mass index, bone mineral density, falls, and demographic variables. The energy-dense pattern was not related to fracture. CONCLUSION: A diet high in vegetables, fruit, and whole grains may reduce the risk of low-trauma fracture, particularly in older women. PMID- 21068352 TI - Asymptomatic malaria in the etiology of iron deficiency anemia: a malariologist's viewpoint. PMID- 21068353 TI - The effect of vitamin A and zinc supplementation on treatment outcomes in pulmonary tuberculosis: a randomized controlled trial. AB - BACKGROUND: Low serum concentrations of vitamin A and zinc are common in tuberculosis and may have an adverse effect on host cell-mediated responses. The role of adjunctive micronutrient supplementation on treatment outcomes is uncertain. OBJECTIVE: The objective was to assess the efficacy of vitamin A and zinc supplementation on sputum smear and culture conversion and time to culture detection in adults with sputum smear-positive pulmonary tuberculosis. DESIGN: Participants attending a primary care tuberculosis clinic in Cape Town, South Africa, were randomly assigned to receive micronutrients (single dose of 200,000 IU retinyl palmitate plus 15 mg Zn/d for 8 wk) or matching placebo. Sputum was collected weekly for 8 wk for auramine staining and culture on liquid media (BACTEC MGIT 960; Becton Dickinson, Sparks, MD). Performance status, chest radiographs, and anthropometric measures were assessed at baseline and again at 8 wk. RESULTS: The participants (n = 154) were randomly assigned to the micronutrient (n = 77) or placebo (n = 77) group. Twenty participants were HIV infected (13%), and 12 participants had an unknown HIV status (8%). No differences in time to smear or culture conversion were observed between the treatment groups by Kaplan-Meier analysis (P = 0.15 and P = 0.38, respectively; log-rank test). Log-logistic regression analysis found no significant group interaction effect in time to culture detection over the 8-wk period (P = 0.32). No significant differences in weight gain (2.3 +/- 3.5 compared with 2.2 +/- 2.4 kg, P = 0.68) or radiologic resolution were observed between the treatment groups. CONCLUSION: Supplementation with vitamin A and zinc did not affect treatment outcomes in participants with pulmonary tuberculosis at 8 wk. This trial was registered at controlled-trials.com as ISRCTN80852505. PMID- 21068355 TI - The association between salt intake and adult systolic blood pressure is modified by birth weight. AB - BACKGROUND: Epidemiologic evidence suggests that prenatal growth influences adult blood pressure. Nutritional factors, including salt intake, also influence blood pressure. However, it is unknown whether prenatal growth modifies the association between salt intake and blood pressure in later life. OBJECTIVE: Our aim was to examine whether the relation between salt intake and adult blood pressure is modified by birth weight. DESIGN: We studied 1512 participants of the Helsinki Birth Cohort Study who were born between 1934 and 1944. Information on birth weight was abstracted from birth records, and preterm births were excluded. During a clinical study, at the mean age of 62 y, blood pressure, weight, and height were measured. Diet was assessed with a validated food-frequency questionnaire. The relation between salt intake and blood pressure was tested by a piecewise multivariate regression analysis with the best fitting breakpoints to birth weight and salt intake. RESULTS: An inverse association was observed between birth weight and systolic blood pressure (SBP) (P = 0.02). No significant association between salt intake and SBP was observed in the whole study population. Of those whose birth weight was <=3050 g, a 1-g higher daily salt intake was associated with a 2.48-mm Hg (95% CI: 0.40, 4.52 mm Hg) higher SBP (P = 0.017) until the saturation point of 10 g. Of those whose birth weight exceeded 3050 g, SBP was not significantly associated with salt intake. For diastolic blood pressure, no significant relations were observed. CONCLUSION: Adult individuals with low birth weight may be particularly sensitive to the blood pressure-raising effect of salt. PMID- 21068354 TI - Greater variety in fruit and vegetable intake is associated with lower inflammation in Puerto Rican adults. AB - BACKGROUND: Puerto Rican adults have prevalent metabolic abnormalities, but few studies have explored fruit and vegetable (FV) intake and coronary heart disease (CHD) risk in this population. OBJECTIVE: We tested the hypothesis that greater FV intake and variety are associated with a lower 10-y risk of CHD and C-reactive protein (CRP) concentrations. DESIGN: In a cross-sectional study of ~1200 Puerto Rican adults aged 45-75 y, we assessed FV intake with a food-frequency questionnaire. The 10-y risk of CHD was assessed with the Framingham risk score (FRS) in participants free of cardiovascular disease. CRP was measured in fasting serum. RESULTS: Variety, but not quantity, of FV intake was inversely associated with FRS after adjustment for the following: sex; waist circumference; perceived stress; alcohol use; intakes of energy, trans fatty acids, and saturated fatty acids; and use of supplements, cardiovascular medications, and diabetes medications (P = 0.02). However, the association was attenuated after adjustment for income (P = 0.11). Variety, but not quantity, was associated with a lower serum CRP concentration after adjustment for age, sex, smoking status, alcohol use, servings of FV, white blood cell count, diastolic blood pressure, diabetes, nonsteroidal antiinflammatory medication use, intakes of energy and vitamin B-6, waist circumference, perceived stress, and income. The adjusted odds of a high CRP concentration for those in the highest compared with the lowest tertile of FV variety was 0.68 (95% CI: 0.49, 0.94). CONCLUSIONS: FV variety, but not quantity, appears to be important in reducing inflammation. Although the results are suggestive, larger studies are needed to confirm a possible association with CHD risk score. PMID- 21068356 TI - 25-Hydroxyvitamin D and calcium absorption. PMID- 21068357 TI - Hypersensitivity of mtDNA-depleted cells to staurosporine-induced apoptosis: roles of Bcl-2 downregulation and cathepsin B. AB - We show that mitochondrial DNA (mtDNA)-depleted 143B cells are hypersensitive to staurosporine-induced cell death as evidenced by a more pronounced DNA fragmentation, a stronger activation of caspase-3, an enhanced poly(ADP-ribose) polymerase-1 (PARP-1) cleavage, and a more dramatic cytosolic release of cytochrome c. We also show that B-cell CLL/lymphoma-2 (Bcl-2), B-cell lymphoma extra large (Bcl-X(L)), and myeloid cell leukemia-1 (Mcl-1) are constitutively less abundant in mtDNA-depleted cells, that the inhibition of Bcl-2 and Bcl-X(L) can sensitize the parental cell line to staurosporine-induced apoptosis, and that overexpression of Bcl-2 or Bcl-X(L) can prevent the activation of caspase-3 in rho(0)143B cells treated with staurosporine. Moreover, the inactivation of cathepsin B with CA074-Me significantly reduced cytochrome c release, caspase-3 activation, PARP-1 cleavage, and DNA fragmentation in mtDNA-depleted cells, whereas the pan-caspase inhibitor failed to completely prevent PARP-1 cleavage and DNA fragmentation in these cells, suggesting that caspase-independent mechanisms are responsible for cell death even if caspases are activated. Finally, we show that cathepsin B is released in the cytosol of rho(0) cells in response to staurosporine, suggesting that the absence of mitochondrial activity leads to a facilitated permeabilization of lysosomal membranes in response to staurosporine. PMID- 21068358 TI - Native and recombinant Slc26a3 (downregulated in adenoma, Dra) do not exhibit properties of 2Cl-/1HCO3- exchange. AB - The recent proposal that Dra/Slc26a3 mediates electrogenic 2Cl(-)/1HCO(3)(-) exchange suggests a required revision of classical concepts of electroneutral Cl( ) transport across epithelia such as the intestine. We investigated 1) the effect of endogenous Dra Cl(-)/HCO(3)(-) activity on apical membrane potential (V(a)) of the cecal surface epithelium using wild-type (WT) and knockout (KO) mice; and 2) the electrical properties of Cl(-)/(OH(-))HCO(3)(-) exchange by mouse and human orthologs of Dra expressed in Xenopus oocytes. Ex vivo (36)Cl(-) fluxes and microfluorometry revealed that cecal Cl(-)/HCO(3)(-) exchange was abolished in the Dra KO without concordant changes in short-circuit current. In microelectrode studies, baseline V(a) of Dra KO surface epithelium was slightly hyperpolarized relative to WT but depolarized to the same extent as WT during luminal Cl(-) substitution. Subsequent studies indicated that Cl(-)-dependent V(a) depolarization requires the anion channel Cftr. Oocyte studies demonstrated that Dra-mediated exchange of intracellular Cl(-) for extracellular HCO(3)(-) is accompanied by slow hyperpolarization and a modest outward current, but that the steady-state current-voltage relationship is unaffected by Cl(-) removal or pharmacological blockade. Further, Dra-dependent (36)Cl(-) efflux was voltage insensitive in oocytes coexpressing the cation channels ENaC or ROMK. We conclude that 1) endogenous Dra and recombinant human/mouse Dra orthologs do not exhibit electrogenic 2Cl(-)/1HCO(3)(-) exchange; and 2) acute induction of Dra Cl( )/HCO(3)(-) exchange is associated with secondary membrane potential changes representing homeostatic responses. Thus, participation of Dra in coupled NaCl absorption and in uncoupled HCO(3)(-) secretion remains compatible with electroneutrality of these processes, and with the utility of electroneutral transport models for predicting epithelial responses in health and disease. PMID- 21068359 TI - Strangers on a train: atypical glutamate receptors in the kidney glomerulus. Focus on "Functional NMDA receptors with atypical properties are expressed in podocytes". PMID- 21068361 TI - Charge of the mito brigade. Focus on "Changes in mitochondrial surface charge mediate recruitment of signaling molecules during apoptosis". PMID- 21068360 TI - The phosphorylation state of MRLC is polyamine dependent in intestinal epithelial cells. AB - Cell migration is important to the integrity of the gastrointestinal tract for the normal movement of cells from crypt to villi and the healing of wounds. Polyamines are essential to cell migration, mucosal restitution, and, hence, healing. Polyamine depletion by alpha-difluoromethyl ornithine (DFMO) inhibited migration by decreasing lamellipodia and stress fiber formation and preventing the activation of Rho-GTPases. Polyamine depletion increased the association of the thick F-actin cortex with phosphorylated myosin regulatory light chain (pMRLC). In this study, we determined why MRLC is constitutively phosphorylated as part of the actin cortex. Inhibition of myosin light chain kinase (MLCK) decreased RhoA and Rac1 activities and significantly inhibited migration. Polyamine depletion increased phosphorylation of MRLC (Thr18/Ser19) and stabilized the actin cortex and focal adhesions. The Rho-kinase inhibitor Y27632 increased spreading and migration by decreasing the phosphorylation of MRLC, remodeling focal adhesions, and by activating Rho-GTPases. Thus phosphorylation of MRLC appears to be the rate-limiting step during the migration of IEC-6 cells. In addition, increased localization of RhoA with the actin cortex in polyamine depleted cells appears to activate Rho-kinase. In the absence of polyamines, activated Rho-kinase phosphorylates myosin phosphatase targeting subunit 1 (MYPT1) at serine-668 leading to its inactivation and preventing the recruitment of phosphatase (protein phosphastase, PP1cdelta) to the actomyosin cortex. In this condition, MRLC is constitutively phosphorylated and cycling does not occur. Thus activated myosin binds F-actin stress fibers and prevents focal adhesion turnover, Rho-GTPase activation, and the remodeling of the cytoskeleton required for migration. PMID- 21068362 TI - Apical and basolateral pools of proteinase-activated receptor-2 direct distinct signaling events in the intestinal epithelium. AB - Studies suggest that there are two distinct pools of proteinase-activated receptor-2 (PAR2) present in intestinal epithelial cells: an apical pool accessible from the lumen, and a basolateral pool accessible from the interstitial space and blood. Although introduction of PAR2 agonists such as 2 furoyl-LIGRL-O-NH2 (2fAP) to the intestinal lumen can activate PAR2, the presence of accessible apical PAR2 has not been definitively shown. Furthermore, some studies have suggested that basolateral PAR2 responses in the intestinal epithelium are mediated indirectly by neuropeptides released from enteric nerve fibers, rather than by intestinal PAR2 itself. Here we identified accessible pools of both apical and basolateral PAR2 in cultured Caco2-BBe monolayers and in mouse ileum. Activation of basolateral PAR2 transiently increased short-circuit current by activating electrogenic Cl- secretion, promoted dephosphorylation of the actin filament-severing protein, cofilin, and activated the transcription factor, AP-1, whereas apical PAR2 did not. In contrast, both pools of PAR2 activated extracellular signal-regulated kinase 1/2 (ERK1/2) via temporally and mechanistically distinct pathways. Apical PAR2 promoted a rapid, biphasic PLCbeta/Ca2(+)/PKC-dependent ERK1/2 activation, resulting in nuclear localization, whereas basolateral PAR2 promoted delayed ERK1/2 activation which was predominantly restricted to the cytosol, involving both PLCbeta/Ca2(+) and beta-arrestin-dependent pathways. These results suggest that the outcome of PAR2 activation is dependent on the specific receptor pool that is activated, allowing for fine-tuning of the physiological responses to different agonists. PMID- 21068363 TI - Cell physiology at the Mount Desert Island Biological Laboratory: a brief look back and forward. AB - The Mount Desert Island Biological Laboratory (MDIBL) has played important roles in the development of modern physiological concepts and tools, particularly in the fields of kidney and epithelial cell physiology. Over the last decade, MDIBL has undergone remarkable growth and evolution. This article will briefly review MDIBL's past and outline its future directions. It is hoped that this overview will renew and stimulate interest in MDIBL and, in particular, will encourage an even wider community of physiologists to participate in its ongoing growth and development. PMID- 21068364 TI - Maturation stress generation in poplar tension wood studied by synchrotron radiation microdiffraction. AB - Tension wood is widespread in the organs of woody plants. During its formation, it generates a large tensile mechanical stress called maturation stress. Maturation stress performs essential biomechanical functions such as optimizing the mechanical resistance of the stem, performing adaptive movements, and ensuring the long-term stability of growing plants. Although various hypotheses have recently been proposed, the mechanism generating maturation stress is not yet fully understood. In order to discriminate between these hypotheses, we investigated structural changes in cellulose microfibrils along sequences of xylem cell differentiation in tension and normal wood of poplar (Populus deltoides * Populus trichocarpa 'I45-51'). Synchrotron radiation microdiffraction was used to measure the evolution of the angle and lattice spacing of crystalline cellulose associated with the deposition of successive cell wall layers. Profiles of normal and tension wood were very similar in early development stages corresponding to the formation of the S1 layer and the outer part of the S2 layer. Subsequent layers were found with a lower microfibril angle (MFA), corresponding to the inner part of the S2 layer of normal wood (MFA approximately 10 degrees ) and the G layer of tension wood (MFA approximately 0 degrees ). In tension wood only, this steep decrease in MFA occurred together with an increase in cellulose lattice spacing. The relative increase in lattice spacing was found close to the usual value of maturation strains. Analysis showed that this increase in lattice spacing is at least partly due to mechanical stress induced in cellulose microfibrils soon after their deposition, suggesting that the G layer directly generates and supports the tensile maturation stress in poplar tension wood. PMID- 21068366 TI - Current trends in remediating adolescent self-injury: an integrative review. AB - The increasing prevalence of self-injurious behavior (SIB) among mainstream adolescents has led to a growing body of research. This maladaptive behavior is used as a means of regulating negative emotions. Best practices regarding therapy are unclear, with many types of intervention being tried. Analysis of 36 qualitative and quantitative studies, reviews, and theory articles addressing adolescent SIB was conducted looking at aspects such as history, demographics, motivators, risk factors, techniques, and treatment options. An explosion of research is surfacing to determine effective care through creative approaches. Dialectical Behavior Therapy (DBT) shows great promise. The school setting is a natural environment for the identification and intervention of SIB which requires knowledge of indicators and risk factors. Education of school staff will increase awareness and enhance communication among disciplines. A circle of care can then surround the student to provide support and guidance while the proper interventions for emotional regulation and individual health promotion are developed. PMID- 21068365 TI - Release of hormones from conjugates: chloroplast expression of beta-glucosidase results in elevated phytohormone levels associated with significant increase in biomass and protection from aphids or whiteflies conferred by sucrose esters. AB - Transplastomic tobacco (Nicotiana tabacum) plants expressing beta-glucosidase (Bgl-1) show modified development. They flower 1 month earlier with an increase in biomass (1.9-fold), height (1.5-fold), and leaf area (1.6-fold) than untransformed plants. Trichome density on the upper and lower leaf surfaces of BGL-1 plants increase by 10- and 7-fold, respectively, harboring 5-fold more glandular trichomes (as determined by rhodamine B staining), suggesting that BGL 1 lines produce more sugar esters than control plants. Gibberellin (GA) levels were investigated because it is a known regulator of flowering time, plant height, and trichome development. Both GA(1) and GA(4) levels are 2-fold higher in BGL-1 leaves than in untransformed plants but do not increase in other organs. In addition, elevated levels of other plant hormones, including zeatin and indole 3-acetic acid, are observed in BGL-1 lines. Protoplasts from BGL-1 lines divide and form calli without exogenous hormones. Cell division in protoplasts is enhanced 7-fold in the presence of exogenously applied zeatin-O-glucoside conjugate, indicating the release of active hormones from their conjugates. Whitefly (Bemisia tabaci) and aphid (Myzus persicae) populations in control plants are 18 and 15 times higher than in transplastomic lines, respectively. Lethal dose to kill 50% of the test population values of 26.3 and 39.2 MUg per whitefly and 23.1 and 35.2 MUg per aphid for BGL-1 and untransformed control exudates, respectively, confirm the enhanced toxicity of transplastomic exudates. These data indicate that increase in sugar ester levels in BGL-1 lines might function as an effective biopesticide. This study provides a novel strategy for designing plants for enhanced biomass production and insect control by releasing plant hormones or sugar esters from their conjugates stored within their chloroplasts. PMID- 21068367 TI - Substitutes for school nurses in Illinois. AB - The purpose of this descriptive study was to explore utilization of nurse substitutes in the school setting in Illinois. The literature described personnel who staff the school health office in the absence of the school nurse and the barriers to obtaining nurse substitutes. There were no empirical studies conducted on school nurse substitutes in the literature. Thus, a sample of 148 Illinois school nurses was recruited to complete a 20-item online survey. In the absence of a school nurse, 10.1% of health offices were not staffed, 37.8% were covered by a nurse who was simultaneously responsible for their usual assignment, and 25.7% were staffed by non-licensed personnel. Therefore, 73.6% of the respondents' assignments had no registered nurse immediately available the most recent day the nurse was absent. PMID- 21068368 TI - RNA editing changes the lesion specificity for the DNA repair enzyme NEIL1. AB - Editing of the pre-mRNA for the DNA repair enzyme NEIL1 causes a lysine to arginine change in the lesion recognition loop of the protein. The two forms of NEIL1 are shown here to have distinct enzymatic properties. The edited form removes thymine glycol from duplex DNA 30 times more slowly than the form encoded in the genome, whereas editing enhances repair of the guanidinohydantoin lesion by NEIL1. In addition, we show that the NEIL1 recoding site is a preferred editing site for the RNA editing adenosine deaminase ADAR1. The edited adenosine resides in an A-C mismatch in a hairpin stem formed by pairing of exon 6 to the immediate upstream intron 5 sequence. As expected for an ADAR1 site, editing at this position is increased in human cells treated with interferon alpha. These results suggest a unique regulatory mechanism for DNA repair and extend our understanding of the impact of RNA editing. PMID- 21068369 TI - Crystal structures of proline-derived enamines. AB - The isolation and structural characterization of both aldehyde- and ketone derived proline enaminones are reported and discussed. Crystal structures of 10 proline enamines provide information on stereochemical aspects, i.e., double bond configuration and syn- vs. anti-positioning of the carboxylate relative to the enamine double bond. Furthermore, the obtained crystal structures are compared with the density functional theory-calculated structures of the ground and transition state and the postulated Seebach-Eschenmoser transition state. PMID- 21068370 TI - Tuning the reactivity of semiconductor surfaces by functionalization with amines of different basicity. AB - Surface functionalization of semiconductors has been the backbone of the newest developments in microelectronics, energy conversion, sensing device design, and many other fields of science and technology. Over a decade ago, the notion of viewing the surface itself as a chemical reagent in surface reactions was introduced, and adding a variety of new functionalities to the semiconductor surface has become a target of research for many groups. The electronic effects on the substrate have been considered as an important consequence of chemical modification. In this work, we shift the focus to the electronic properties of the functional groups attached to the surface and their role on subsequent reactivity. We investigate surface functionalization of clean Si(100)-2 * 1 and Ge(100)-2 * 1 surfaces with amines as a way to modify their reactivity and to fine tune this reactivity by considering the basicity of the attached functionality. The reactivity of silicon and germanium surfaces modified with ethylamine (CH(3)CH(2)NH(2)) and aniline (C(6)H(5)NH(2)) is predicted using density functional theory calculations of proton attachment to the nitrogen of the adsorbed amine to differ with respect to a nucleophilic attack of the surface species. These predictions are then tested using a model metalorganic reagent, tetrakis(dimethylamido)titanium (((CH(3))(2)N)(4)Ti, TDMAT), which undergoes a transamination reaction with sufficiently nucleophilic amines, and the reactivity tests confirm trends consistent with predicted basicities. The identity of the underlying semiconductor surface has a profound effect on the outcome of this reaction, and results comparing silicon and germanium are discussed. PMID- 21068371 TI - Structure and folding of a designed knotted protein. AB - A very small number of natural proteins have folded configurations in which the polypeptide backbone is knotted. Relatively little is known about the folding energy landscapes of such proteins, or how they have evolved. We explore those questions here by designing a unique knotted protein structure. Biophysical characterization and X-ray crystal structure determination show that the designed protein folds to the intended configuration, tying itself in a knot in the process, and that it folds reversibly. The protein folds to its native, knotted configuration approximately 20 times more slowly than a control protein, which was designed to have a similar tertiary structure but to be unknotted. Preliminary kinetic experiments suggest a complicated folding mechanism, providing opportunities for further characterization. The findings illustrate a situation where a protein is able to successfully traverse a complex folding energy landscape, though the amino acid sequence of the protein has not been subjected to evolutionary pressure for that ability. The success of the design strategy--connecting two monomers of an intertwined homodimer into a single protein chain--supports a model for evolution of knotted structures via gene duplication. PMID- 21068372 TI - Measurement of adherent cell mass and growth. AB - The characterization of physical properties of cells such as their mass and stiffness has been of great interest and can have profound implications in cell biology, tissue engineering, cancer, and disease research. For example, the direct dependence of cell growth rate on cell mass for individual adherent human cells can elucidate the mechanisms underlying cell cycle progression. Here we develop an array of micro-electro-mechanical systems (MEMS) resonant mass sensors that can be used to directly measure the biophysical properties, mass, and growth rate of single adherent cells. Unlike conventional cantilever mass sensors, our sensors retain a uniform mass sensitivity over the cell attachment surface. By measuring the frequency shift of the mass sensors with growing (soft) cells and fixed (stiff) cells, and through analytical modeling, we derive the Young's modulus of the unfixed cell and unravel the dependence of the cell mass measurement on cell stiffness. Finally, we grew individual cells on the mass sensors and measured their mass for 50+ hours. Our results demonstrate that adherent human colon epithelial cells have increased growth rates with a larger cell mass, and the average growth rate increases linearly with the cell mass, at 3.25%/hr. Our sensitive mass sensors with a position-independent mass sensitivity can be coupled with microscopy for simultaneous monitoring of cell growth and status, and provide an ideal method to study cell growth, cell cycle progression, differentiation, and apoptosis. PMID- 21068373 TI - The major alpha-tubulin K40 acetyltransferase alphaTAT1 promotes rapid ciliogenesis and efficient mechanosensation. AB - Long-lived microtubules found in ciliary axonemes, neuronal processes, and migrating cells are marked by alpha-tubulin acetylation on lysine 40, a modification that takes place inside the microtubule lumen. The physiological importance of microtubule acetylation remains elusive. Here, we identify a BBSome associated protein that we name alphaTAT1, with a highly specific alpha-tubulin K40 acetyltransferase activity and a catalytic preference for microtubules over free tubulin. In mammalian cells, the catalytic activity of alphaTAT1 is necessary and sufficient for alpha-tubulin K40 acetylation. Remarkably, alphaTAT1 is universally and exclusively conserved in ciliated organisms, and is required for the acetylation of axonemal microtubules and for the normal kinetics of primary cilium assembly. In Caenorhabditis elegans, microtubule acetylation is most prominent in touch receptor neurons (TRNs) and MEC-17, a homolog of alphaTAT1, and its paralog alphaTAT-2 are required for alpha-tubulin acetylation and for two distinct types of touch sensation. Furthermore, in animals lacking MEC-17, alphaTAT-2, and the sole C. elegans K40alpha-tubulin MEC-12, touch sensation can be restored by expression of an acetyl-mimic MEC-12[K40Q]. We conclude that alphaTAT1 is the major and possibly the sole alpha-tubulin K40 acetyltransferase in mammals and nematodes, and that tubulin acetylation plays a conserved role in several microtubule-based processes. PMID- 21068374 TI - Toxic diatoms and domoic acid in natural and iron enriched waters of the oceanic Pacific. AB - Near-surface waters ranging from the Pacific subarctic (58 degrees N) to the Southern Ocean (66 degrees S) contain the neurotoxin domoic acid (DA), associated with the diatom Pseudo-nitzschia. Of the 35 stations sampled, including ones from historic iron fertilization experiments (SOFeX, IronEx II), we found Pseudo nitzschia at 34 stations and DA measurable at 14 of the 26 stations analyzed for DA. Toxin ranged from 0.3 fg.cell(-1) to 2 pg.cell(-1), comparable with levels found in similar-sized cells from coastal waters. In the western subarctic, descent of intact Pseudo-nitzschia likely delivered significant amounts of toxin (up to 4 MUg of DA.m(-2).d(-1)) to underlying mesopelagic waters (150-500 m). By reexamining phytoplankton samples from SOFeX and IronEx II, we found substantial amounts of DA associated with Pseudo-nitzschia. Indeed, at SOFeX in the Antarctic Pacific, DA reached 220 ng.L(-1), levels at which animal mortalities have occurred on continental shelves. Iron ocean fertilization also occurs naturally and may have promoted blooms of these ubiquitous algae over previous glacial cycles during deposition of iron-rich aerosols. Thus, the neurotoxin DA occurs both in coastal and oceanic waters, and its concentration, associated with changes in Pseudo-nitzschia abundance, likely varies naturally with climate cycles, as well as with artificial iron fertilization. Given that iron fertilization in iron-depleted regions of the sea has been proposed to enhance phytoplankton growth and, thereby, both reduce atmospheric CO(2) and moderate ocean acidification in surface waters, consideration of the potentially serious ecosystem impacts associated with DA is prudent. PMID- 21068375 TI - An endogenous aryl hydrocarbon receptor ligand acts on dendritic cells and T cells to suppress experimental autoimmune encephalomyelitis. AB - The ligand-activated transcription factor aryl hydrocarbon receptor (AHR) participates in the differentiation of FoxP3(+) T(reg), Tr1 cells, and IL-17 producing T cells (Th17). Most of our understanding on the role of AHR on the FoxP3(+) T(reg) compartment results from studies using the toxic synthetic chemical 2,3,7,8-tetrachlorodibenzo-p-dioxin. Thus, the physiological relevance of AHR signaling on FoxP3(+) T(reg) in vivo is unclear. We studied mice that carry a GFP reporter in the endogenous foxp3 locus and a mutated AHR protein with reduced affinity for its ligands, and found that AHR signaling participates in the differentiation of FoxP3(+) T(reg) in vivo. Moreover, we found that treatment with the endogenous AHR ligand 2-(1'H-indole-3'-carbonyl)-thiazole-4-carboxylic acid methyl ester (ITE) given parenterally or orally induces FoxP3(+) T(reg) that suppress experimental autoimmune encephalomyelitis. ITE acts not only on T cells, but also directly on dendritic cells to induce tolerogenic dendritic cells that support FoxP3(+) T(reg) differentiation in a retinoic acid-dependent manner. Thus, our work demonstrates that the endogenous AHR ligand ITE promotes the induction of active immunologic tolerance by direct effects on dendritic and T cells, and identifies nontoxic endogenous AHR ligands as potential unique compounds for the treatment of autoimmune disorders. PMID- 21068376 TI - Suppression of Rev3, the catalytic subunit of Pol{zeta}, sensitizes drug resistant lung tumors to chemotherapy. AB - Platinum-based chemotherapeutic drugs are front-line therapies for the treatment of non-small cell lung cancer. However, intrinsic drug resistance limits the clinical efficacy of these agents. Recent evidence suggests that loss of the translesion polymerase, Polzeta, can sensitize tumor cell lines to cisplatin, although the relevance of these findings to the treatment of chemoresistant tumors in vivo has remained unclear. Here, we describe a tumor transplantation approach that enables the rapid introduction of defined genetic lesions into a preclinical model of lung adenocarcinoma. Using this approach, we examined the effect of impaired translesion DNA synthesis on cisplatin response in aggressive late-stage lung cancers. In the presence of reduced levels of Rev3, an essential component of Polzeta, tumors exhibited pronounced sensitivity to cisplatin, leading to a significant extension in overall survival of treated recipient mice. Additionally, treated Rev3-deficient cells exhibited reduced cisplatin-induced mutation, a process that has been implicated in the induction of secondary malignancies following chemotherapy. Taken together, our data illustrate the potential of Rev3 inhibition as an adjuvant therapy for the treatment of chemoresistant malignancies, and highlight the utility of rapid transplantation methodologies for evaluating mechanisms of chemotherapeutic resistance in preclinical settings. PMID- 21068377 TI - Most harmful algal bloom species are vitamin B1 and B12 auxotrophs. AB - Eutrophication can play a central role in promoting harmful algal blooms (HABs), and therefore many HAB studies to date have focused on macronutrients (N, P, Si). Although a majority of algal species require exogenous B vitamins (i.e., auxotrophic for B vitamins), the possible importance of organic micronutrients such as B vitamins (B(1), B(7), B(12)) in regulating HABs has rarely been considered. Prior investigations of vitamins and algae have examined a relatively small number of dinoflagellates (n = 26) and a paucity of HAB species (n = 4). In the present study, the vitamin B(1), B(7), and B(12) requirements of 41 strains of 27 HAB species (19 dinoflagellates) were investigated. All but one species (two strains) of harmful algae surveyed required vitamin B(12), 20 of 27 species required B(1), and 10 of 27 species required B(7), all proportions higher than the previously reported for non-HAB species. Half-saturation (K(s)) constants of several HAB species for B(1) and B(12) were higher than those previously reported for other phytoplankton and similar to vitamin concentrations reported in estuaries. Cellular quotas for vitamins suggest that, in some cases, HAB demands for vitamins may exhaust standing stocks of vitamins in hours to days. The sum of these findings demonstrates the potentially significant ecological role of B vitamins in regulating the dynamics of HABs. PMID- 21068378 TI - Error-prone translesion synthesis mediates acquired chemoresistance. AB - The development of cancer drug resistance is a persistent clinical problem limiting the successful treatment of disseminated malignancies. However, the molecular mechanisms by which initially chemoresponsive tumors develop therapeutic resistance remain poorly understood. Error-prone translesional DNA synthesis (TLS) is known to underlie the mutagenic effects of numerous anticancer agents, but little is known as to whether mutation induced by this process is ultimately relevant to tumor drug resistance. Here, we use a tractable mouse model of B-cell lymphoma to interrogate the role of error-prone translesional DNA synthesis in chemotherapy-induced mutation and resistance to front-line chemotherapy. We find that suppression of Rev1, an essential TLS scaffold protein and dCMP transferase, inhibits both cisplatin- and cyclophosphamide-induced mutagenesis. Additionally, by performing repeated cycles of tumor engraftment and treatment, we show that Rev1 plays a critical role in the development of acquired cyclophosphamide resistance. Thus, chemotherapy not only selects for drug resistant tumor population but also directly promotes the TLS-mediated acquisition of resistance-causing mutations. These data provide an example of an alteration that prevents the acquisition of drug resistance in tumors in vivo. Because TLS also represents a critical mechanism of DNA synthesis in tumor cells following chemotherapy, these data suggest that TLS inhibition may have dual anticancer effects, sensitizing tumors to therapy as well as preventing the emergence of tumor chemoresistance. PMID- 21068379 TI - Crystal structure of heterodimeric hexaprenyl diphosphate synthase from Micrococcus luteus B-P 26 reveals that the small subunit is directly involved in the product chain length regulation. AB - Hexaprenyl diphosphate synthase from Micrococcus luteus B-P 26 (Ml-HexPPs) is a heterooligomeric type trans-prenyltransferase catalyzing consecutive head-to-tail condensations of three molecules of isopentenyl diphosphates (C(5)) on a farnesyl diphosphate (FPP; C(15)) to form an (all-E) hexaprenyl diphosphate (HexPP; C(30)). Ml-HexPPs is known to function as a heterodimer of two different subunits, small and large subunits called HexA and HexB, respectively. Compared with homooligomeric trans-prenyltransferases, the molecular mechanism of heterooligomeric trans-prenyltransferases is not yet clearly understood, particularly with respect to the role of the small subunits lacking the catalytic motifs conserved in most known trans-prenyltransferases. We have determined the crystal structure of Ml-HexPPs both in the substrate-free form and in complex with 7,11-dimethyl-2,6,10-dodecatrien-1-yl diphosphate ammonium salt (3-DesMe FPP), an analog of FPP. The structure of HexB is composed of mostly antiparallel alpha-helices joined by connecting loops. Two aspartate-rich motifs (designated the first and second aspartate-rich motifs) and the other characteristic motifs in HexB are located around the diphosphate part of 3-DesMe-FPP. Despite the very low amino acid sequence identity and the distinct polypeptide chain lengths between HexA and HexB, the structure of HexA is quite similar to that of HexB. The aliphatic tail of 3-DesMe-FPP is accommodated in a large hydrophobic cleft starting from HexB and penetrating to the inside of HexA. These structural features suggest that HexB catalyzes the condensation reactions and that HexA is directly involved in the product chain length control in cooperation with HexB. PMID- 21068380 TI - Cardiac and skeletal muscle defects in a mouse model of human Barth syndrome. AB - Barth syndrome is an X-linked genetic disorder caused by mutations in the tafazzin (taz) gene and characterized by dilated cardiomyopathy, exercise intolerance, chronic fatigue, delayed growth, and neutropenia. Tafazzin is a mitochondrial transacylase required for cardiolipin remodeling. Although tafazzin function has been studied in non-mammalian model organisms, mammalian genetic loss of function approaches have not been used. We examined the consequences of tafazzin knockdown on sarcomeric mitochondria and cardiac function in mice. Tafazzin knockdown resulted in a dramatic decrease of tetralinoleoyl cardiolipin in cardiac and skeletal muscles and accumulation of monolysocardiolipins and cardiolipin molecular species with aberrant acyl groups. Electron microscopy revealed pathological changes in mitochondria, myofibrils, and mitochondrion associated membranes in skeletal and cardiac muscles. Echocardiography and magnetic resonance imaging revealed severe cardiac abnormalities, including left ventricular dilation, left ventricular mass reduction, and depression of fractional shortening and ejection fraction in tafazzin-deficient mice. Tafazzin knockdown mice provide the first mammalian model system for Barth syndrome in which the pathophysiological relationships between altered content of mitochondrial phospholipids, ultrastructural abnormalities, myocardial and mitochondrial dysfunction, and clinical outcome can be completely investigated. PMID- 21068381 TI - The orphan nuclear receptor TR4 is a vitamin A-activated nuclear receptor. AB - Testicular receptors 2 and 4 (TR2/4) constitute a subgroup of orphan nuclear receptors that play important roles in spermatogenesis, lipid and lipoprotein regulation, and the development of the central nervous system. Currently, little is known about the structural features and the ligand regulation of these receptors. Here we report the crystal structure of the ligand-free TR4 ligand binding domain, which reveals an autorepressed conformation. The ligand binding pocket of TR4 is filled by the C-terminal half of helix 10, and the cofactor binding site is occupied by the AF-2 helix, thus preventing ligand-independent activation of the receptor. However, TR4 exhibits constitutive transcriptional activity on multiple promoters, which can be further potentiated by nuclear receptor coactivators. Mutations designed to disrupt cofactor binding, dimerization, or ligand binding substantially reduce the transcriptional activity of this receptor. Importantly, both retinol and retinoic acid are able to promote TR4 to recruit coactivators and to activate a TR4-regulated reporter. These findings demonstrate that TR4 is a ligand-regulated nuclear receptor and suggest that retinoids might have a much wider regulatory role via activation of orphan receptors such as TR4. PMID- 21068382 TI - Structure of the Escherichia coli antitoxin MqsA (YgiT/b3021) bound to its gene promoter reveals extensive domain rearrangements and the specificity of transcriptional regulation. AB - Bacterial cultures, especially biofilms, produce a small number of persister cells, a genetically identical subpopulation of wild type cells that are metabolically dormant, exhibit multidrug tolerance, and are highly enriched in bacterial toxins. The gene most highly up-regulated in Escherichia coli persisters is mqsR, a ribonuclease toxin that, along with mqsA, forms a novel toxin.antitoxin (TA) system. Like all known TA systems, both the MqsR.MqsA complex and MqsA alone regulate their own transcription. Despite the importance of TA systems in persistence and biofilms, very little is known about how TA modules, and antitoxins in particular, bind and recognize DNA at a molecular level. Here, we report the crystal structure of MqsA bound to a 26-bp fragment from the mqsRA promoter. We show that MqsA binds DNA predominantly via its C terminal helix-turn-helix domain, with direct binding of recognition helix residues Asn(97) and Arg(101) to the DNA major groove. Unexpectedly, the structure also revealed that the MqsA N-terminal domain interacts with the DNA phosphate backbone. This results in a more than 105 degrees rotation of the N terminal domains between the free and complexed states, an unprecedented rearrangement for an antitoxin. The structure also shows that MqsA bends the DNA by more than 55 degrees in order to achieve symmetrical binding. Finally, using a combination of biochemical and NMR studies, we show that the DNA sequence specificity of MqsA is mediated by direct readout. PMID- 21068383 TI - A bifunctional role for group IIA secreted phospholipase A2 in human rheumatoid fibroblast-like synoviocyte arachidonic acid metabolism. AB - Human group IIA-secreted phospholipase A(2) (sPLA(2)-IIA) is an important regulator of cytokine-mediated inflammatory responses in both in vitro and in vivo models of rheumatoid arthritis (RA). However, treatment of RA patients with sPLA(2)-IIA inhibitors shows only transient benefit. Using an activity-impaired sPLA(2)-IIA mutant protein (H48Q), we show that up-regulation of TNF-dependent PGE(2) production and cyclooxygenase-2 (COX-2) induction by exogenous sPLA(2)-IIA in RA fibroblast-like synoviocytes (FLSs) is independent of its enzyme function. Selective cytosolic phospholipase A(2)-alpha (cPLA(2)-alpha) inhibitors abrogate TNF/sPLA(2)-IIA-mediated PGE(2) production without affecting COX-2 levels, indicating arachidonic acid (AA) flux to COX-2 occurs exclusively through TNF mediated activation of cPLA(2)-alpha. Nonetheless, exogenous sPLA(2)-IIA, but not H48Q, stimulates both AA mobilization from FLSs and microparticle-derived AA release that is not used for COX-2-dependent PGE(2) production. sPLA(2)-IIA mediated AA production is inhibited by pharmacological blockade of sPLA(2)-IIA but not cPLA(2)-alpha. Exogenous H48Q alone, like sPLA(2)-IIA, increases COX-2 protein levels without inducing PGE(2) production. Unlike TNF, sPLA(2)-IIA alone does not rapidly mobilize NF-kappaB or activate phosphorylation of p38 MAPK, two key regulators of COX-2 protein expression, but does activate the ERK1/2 pathway. Thus, sPLA(2)-IIA regulates AA flux through the cPLA(2)-alpha/COX-2 pathway in RA FLSs by up-regulating steady state levels of these biosynthetic enzymes through an indirect mechanism, rather than direct provision of substrate to the pathway. Inhibitors that have been optimized for their potency in enzyme activity inhibition alone may not adequately block the activity-independent function of sPLA(2)-IIA. PMID- 21068385 TI - Aspartate 141 is the fourth ligand of the oxygen-sensing [4Fe-4S]2+ cluster of Bacillus subtilis transcriptional regulator Fnr. AB - The Bacillus subtilis redox regulator Fnr controls genes of the anaerobic metabolism in response to low oxygen tension. An unusual structure for the oxygen sensing [4Fe-4S](2+) cluster was detected by a combination of genetic experiments with UV-visible and Mossbauer spectroscopy. Asp-141 was identified as the fourth iron-sulfur cluster ligand besides three Cys residues. Exchange of Asp-141 with Ala abolished functional in vivo complementation of an fnr knock-out strain by the mutagenized fnr gene and in vitro DNA binding of the recombinant regulator FnrD141A. In contrast, substitution of Asp-141 with Cys preserved [4Fe-4S](2+) structure and regulator function. PMID- 21068384 TI - Cox25 teams up with Mss51, Ssc1, and Cox14 to regulate mitochondrial cytochrome c oxidase subunit 1 expression and assembly in Saccharomyces cerevisiae. AB - In the yeast Saccharomyces cerevisiae, mitochondrial cytochrome c oxidase (COX) biogenesis is translationally regulated. Mss51, a specific COX1 mRNA translational activator and Cox1 chaperone, drives the regulatory mechanism. During translation and post-translationally, newly synthesized Cox1 physically interacts with a complex of proteins involving Ssc1, Mss51, and Cox14, which eventually hand over Cox1 to the assembly pathway. This step is probably catalyzed by assembly chaperones such as Shy1 in a process coupled to the release of Ssc1-Mss51 from the complex. Impaired COX assembly results in the trapping of Mss51 in the complex, thus limiting its availability for COX1 mRNA translation. An exception is a null mutation in COX14 that does not affect Cox1 synthesis because the Mss51 trapping complexes become unstable, and Mss51 is readily available for translation. Here we present evidence showing that Cox25 is a new essential COX assembly factor that plays some roles similar to Cox14. A null mutation in COX25 by itself or in combination with other COX mutations does not affect Cox1 synthesis. Cox25 is an inner mitochondrial membrane intrinsic protein with a hydrophilic C terminus protruding into the matrix. Cox25 is an essential component of the complexes containing newly synthesized Cox1, Ssc1, Mss51, and Cox14. In addition, Cox25 is also found to interact with Shy1 and Cox5 in a complex that does not contain Mss51. These results suggest that once Ssc1-Mss51 are released from the Cox1 stabilization complex, Cox25 continues to interact with Cox14 and Cox1 to facilitate the formation of multisubunit COX assembly intermediates. PMID- 21068386 TI - Antibacterial activity of the contact and complement systems is blocked by SIC, a protein secreted by Streptococcus pyogenes. AB - Recent studies have shown that activation of complement and contact systems results in the generation of antibacterial peptides. Streptococcus pyogenes, a major bacterial pathogen in humans, exists in >100 different serotypes due to sequence variation in the surface-associated M protein. Cases of invasive and life-threatening S. pyogenes infections are commonly associated with isolates of the M1 serotype, and in contrast to the large majority of M serotypes, M1 isolates all secrete the SIC protein. Here, we show that SIC interferes with the activation of the contact system and blocks the activity of antibacterial peptides generated through complement and contact activation. This effect promotes the growth of S. pyogenes in human plasma, and in a mouse model of S. pyogenes sepsis, SIC enhances bacterial dissemination, results which help explain the high frequency of severe S. pyogenes infections caused by isolates of the M1 serotype. PMID- 21068387 TI - Structure of a zinc-binding domain in the Junin virus envelope glycoprotein. AB - Arenaviruses cause acute hemorrhagic fevers with high mortality. Entry of the virus into the host cell is mediated by the viral envelope glycoprotein, GPC. In contrast to other class I viral envelope glycoproteins, the mature GPC complex contains a cleaved stable signal peptide (SSP) in addition to the canonical receptor-binding (G1) and transmembrane fusion (G2) subunits. SSP is critical for intracellular transport of the GPC complex to the cell surface and for its membrane-fusion activity. Previous studies have suggested that SSP is retained in GPC through interaction with a zinc-binding domain (ZBD) in the cytoplasmic tail of G2. Here we used NMR spectroscopy to determine the structure of Junin virus (JUNV) ZBD (G2 residues 445-485) and investigate its interaction with a conserved Cys residue (Cys-57) in SSP. We show that JUNV ZBD displays a novel fold containing two zinc ions. One zinc ion is coordinated by His-447, His-449, Cys 455, and His-485. The second zinc ion is coordinated by His-459, Cys-467, and Cys 469 and readily accepts Cys-57 from SSP as the fourth ligand. Our studies describe the structural basis for retention of the unique SSP subunit and suggest a mechanism whereby SSP is positioned in the GPC complex to modulate pH-dependent membrane fusion. PMID- 21068388 TI - Copper and zinc metallation status of copper-zinc superoxide dismutase from amyotrophic lateral sclerosis transgenic mice. AB - Mutations in the metalloenzyme copper-zinc superoxide dismutase (SOD1) cause one form of familial amyotrophic lateral sclerosis (ALS), and metals are suspected to play a pivotal role in ALS pathology. To learn more about metals in ALS, we determined the metallation states of human wild-type or mutant (G37R, G93A, and H46R/H48Q) SOD1 proteins from SOD1-ALS transgenic mice spinal cords. SOD1 was gently extracted from spinal cord and separated into insoluble (aggregated) and soluble (supernatant) fractions, and then metallation states were determined by HPLC inductively coupled plasma MS. Insoluble SOD1-rich fractions were not enriched in copper and zinc. However, the soluble mutant and WT SOD1s were highly metallated except for the metal-binding-region mutant H46R/H48Q, which did not bind any copper. Due to the stability conferred by high metallation of G37R and G93A, it is unlikely that these soluble SOD1s are prone to aggregation in vivo, supporting the hypothesis that immature nascent SOD1 is the substrate for aggregation. We also investigated the effect of SOD1 overexpression and disease on metal homeostasis in spinal cord cross-sections of SOD1-ALS mice using synchrotron-based x-ray fluorescence microscopy. In each mouse genotype, except for the H46R/H48Q mouse, we found a redistribution of copper between gray and white matters correlated to areas of high SOD1. Interestingly, a disease-specific increase of zinc was observed in the white matter for all mutant SOD1 mice. Together these data provide a picture of copper and zinc in the cell as well as highlight the importance of these metals in understanding SOD1-ALS pathology. PMID- 21068389 TI - Heterogeneous nuclear ribonucleoprotein H1/H2-dependent unsplicing of thymidine phosphorylase results in anticancer drug resistance. AB - Thymidine phosphorylase (TP) catalyzes the conversion of thymidine to thymine and 2-deoxyribose-1-phosphate. The latter plays an important role in induction of angiogenesis. As such, many human malignancies exhibit TP overexpression that correlates with increased microvessel density, formation of aggressive tumors, and dismal prognosis. Because TP is frequently overexpressed in cancer, pro-drugs were developed that utilize TP activity for their bioactivation to cytotoxic drugs. In this respect, TP is indispensable for the pharmacologic activity of the chemotherapeutic drug capecitabine, as it converts its intermediary metabolite 5' deoxyfluorouridine to 5-fluorouracil. Thus, loss of TP function confers resistance to the prodrug capecitabine, currently used for the treatment of metastatic colorectal cancer and breast cancer. However, drug resistance phenomena may frequently emerge that compromise the pharmacologic activity of capecitabine. Deciphering the molecular mechanisms underlying resistance to TP activated prodrugs is an important goal toward the overcoming of such drug resistance phenomena. Here, we discovered that lack of TP protein in drug resistant tumor cells is due to unsplicing of its pre-mRNA. Advanced bioinformatics identified the family of heterogeneous nuclear ribonucleoproteins (hnRNP) H/F as candidate splicing factors potentially responsible for impaired TP splicing. Indeed, whereas parental cells lacked nuclear localization of hnRNPs H1/H2 and F, drug-resistant cells harbored marked levels of these splicing factors. Nuclear RNA immunoprecipitation experiments established a strong binding of hnRNP H1/H2 to TP pre-mRNA, hence implicating them in TP splicing. Moreover, introduction of hnRNP H2 into drug-sensitive parental cells recapitulated aberrant TP splicing and 5'-deoxyfluorouridine resistance. Thus, this is the first study identifying altered function of hnRNP H1/H2 in tumor cells as a novel determinant of aberrant TP splicing thereby resulting in acquired chemoresistance to TP-activated fluoropyrimidine anticancer drugs. PMID- 21068390 TI - Bifunctional apoptosis regulator (BAR), an endoplasmic reticulum (ER)-associated E3 ubiquitin ligase, modulates BI-1 protein stability and function in ER Stress. AB - Accumulation of misfolded proteins in the endoplasmic reticulum (ER) causes ER stress and activates inositol-requiring protein-1 (IRE1), among other ER associated signaling proteins of the unfolded protein response (UPR) in mammalian cells. IRE1 signaling becomes attenuated under prolonged ER stress. The mechanisms by which this occurs are not well understood. An ER resident protein, Bax inhibitor-1 (BI-1), interacts with IRE1 and directly inhibits IRE1 activity. However, little is known about regulation of the BI-1 protein. We show here that bifunctional apoptosis regulator (BAR) functions as an ER-associated RING-type E3 ligase, interacts with BI-1, and promotes proteasomal degradation of BI-1. Overexpression of BAR reduced BI-1 protein levels in a RING-dependent manner. Conversely, knockdown of endogenous BAR increased BI-1 protein levels and enhanced inhibition of IRE1 signaling during ER stress. We also found that the levels of endogenous BAR were reduced under prolonged ER stress. Our findings suggest that post-translational regulation of the BI-1 protein by E3 ligase BAR contributes to the dynamic control of IRE1 signaling during ER stress. PMID- 21068391 TI - Effect of tetracyclines on the dynamics of formation and destructuration of beta2 microglobulin amyloid fibrils. AB - The discovery of methods suitable for the conversion in vitro of native proteins into amyloid fibrils has shed light on the molecular basis of amyloidosis and has provided fundamental tools for drug discovery. We have studied the capacity of a small library of tetracycline analogues to modulate the formation or destructuration of beta2-microglobulin fibrils. The inhibition of fibrillogenesis of the wild type protein was first established in the presence of 20% trifluoroethanol and confirmed under a more physiologic environment including heparin and collagen. The latter conditions were also used to study the highly amyloidogenic variant, P32G. The NMR analysis showed that doxycycline inhibits beta2-microglobulin self-association and stabilizes the native-like species through fast exchange interactions involving specific regions of the protein. Cell viability assays demonstrated that the drug abolishes the natural cytotoxic activity of soluble beta2-microglobulin, further strengthening a possible in vivo therapeutic exploitation of this drug. Doxycycline can disassemble preformed fibrils, but the IC(50) is 5-fold higher than that necessary for the inhibition of fibrillogenesis. Fibril destructuration is a dynamic and time-dependent process characterized by the early formation of cytotoxic protein aggregates that, in a few hours, convert into non-toxic insoluble material. The efficacy of doxycycline as a drug against dialysis-related amyloidosis would benefit from the ability of the drug to accumulate just in the skeletal system where amyloid is formed. In these tissues, the doxycycline concentration reaches values several folds higher than those resulting in inhibition of amyloidogenesis and amyloid destructuration in vitro. PMID- 21068392 TI - Intracellular acidification is associated with changes in free cytosolic calcium and inhibition of action potentials in rat trigeminal ganglion. AB - The effect of intracellular acidification and subsequent pH recovery in sensory neurons has not been well characterized. We have studied the mechanisms underlying Ca(2+)-induced acidification and subsequent recovery of intracellular pH (pH(i)) in rat trigeminal ganglion neurons and report their effects on neuronal excitability. Glutamate (500 MUM) and capsaicin (1 MUM) increased intracellular Ca(2+) concentration ([Ca(2+)](i)) with a following decrease in pH(i). The recovery of [Ca(2+)](i) to the prestimulus level was inhibited by LaCl(3) (1 mM) and o-vanadate (10 mM), a plasma membrane Ca(2+)/ATPase (PMCA) inhibitor. Removal of extracellular Ca(2+) also completely inhibited the acidification induced by capsaicin. TRPV1 was expressed only in small and medium sized trigeminal ganglion neurons. mRNAs for Na(+)/H(+) exchanger type 1 (NHE1), pancreatic Na(+)-HCO(3)(-) cotransporter type 1 (pNBC1), NBC3, NBC4, and PMCA types 1-3 were detected by RT-PCR. pH(i) recovery was significantly inhibited by pretreatment with NHE1 or pNBC1 siRNA. We found that the frequency of action potentials (APs) was dependent on pH(i). Application of the NHE1 inhibitor 5'-(N ethyl-N-isopropyl) amiloride (5 MUM) or the pNBC1 inhibitor 4',4'-di isothiocyanostilbene-2',2'-sulfonic acid (500 MUM) delayed pH(i) recovery and decreased AP frequency. Simultaneous application of 5'-(N-ethyl-N-isopropyl) amiloride and 4',4'-di-isothiocyanostilbene-2',2'-sulfonic acid almost completely inhibited APs. In summary, our results demonstrate that the rise in [Ca(2+)](i) in sensory neurons by glutamate and capsaicin causes intracellular acidification by activation of PMCA type 3, that the pH(i) recovery from acidification is mediated by membrane transporters NHE1 and pNBC1 specifically, and that the activity of these transporters has direct consequences for neuronal excitability. PMID- 21068393 TI - N-Myc and SP regulate phosphatidylserine synthase-1 expression in brain and glial cells. AB - Phosphatidylserine (PS) is an essential constituent of biological membranes and plays critical roles in apoptosis and cell signaling. Because no information was available on transcriptional mechanisms that regulate PS biosynthesis in mammalian cells, we investigated the regulation of expression of the mouse PS synthase-1 (Pss1) gene. The Pss1 core promoter was characterized in vitro and in vivo through gel shift and chromatin immunoprecipitation assays. Transcription factor-binding sites, such as a GC-box cluster that binds Sp1/Sp3/Sp4 and N-Myc, and a degenerate E-box motif that interacts with Tal1 and E47, were identified. Pss1 transactivation was higher in brain of neonatal mice than in other tissues, consistent with brain being a major site of expression of Pss1 mRNA and PSS1 activity. Enzymatic assays revealed that PSS1 activity is enriched in primary cortical astrocytes compared with primary cortical neurons. Site-directed mutagenesis of binding sites within the Pss1 promoter demonstrated that Sp and N Myc synergistically activate Pss1 expression in astrocytes. Chromatin immunoprecipitation indicated that Sp1, Sp3, and Sp4 interact with a common DNA binding site on the promoter. Reduction in levels of Sp1, Sp3, or N-Myc proteins by RNA interference decreased promoter activity. In addition, disruption of Sp/DNA binding with mithramycin significantly reduced Pss1 expression and PSS1 enzymatic activity, underscoring the essential contribution of Sp factors in regulating PSS1 activity. These studies provide the first analysis of mechanisms that regulate expression of a mammalian Pss gene in brain. PMID- 21068395 TI - Gender differences in physical disability among older adults in underprivileged communities in Lebanon. AB - OBJECTIVE: To examine the role of health conditions, socioeconomic, and socioenvironmental factors in explaining gender differences in physical disability among older adults. METHOD: We compared 412 women and 328 men residing in underprivileged communities in Lebanon on their activities of daily living (ADL), instrumental activities of daily living (IADL), and physical tasks (PT). Binary logistic regression analyses adjusting for possible explanatory covariates were conducted sequentially. RESULTS: Women showed higher prevalence rates of ADL, IADL, and PT compared to men. Gender disparities in ADL disability were explained by chronic-disease risk factors and health conditions (OR = 1.46; 95% CI = 0.94-2.25). The odds of disability in IADL and PT remained significantly higher for women compared to men after accounting for all available covariates. DISCUSSION: These results suggest underlying differences in functional status between women and men, yet, may have been influenced by the sensitivity of the measures to the social context and gendered environment surrounding daily activities. PMID- 21068394 TI - ThnY is a ferredoxin reductase-like iron-sulfur flavoprotein that has evolved to function as a regulator of tetralin biodegradation gene expression. AB - Previous genetic studies in Sphingomonas macrogolitabida strain TFA have established that expression of genes involved in tetralin biodegradation (thn genes) requires the function of the LysR type activator ThnR and also ThnY. Sequence comparison indicated that ThnY is homologous to bacterial oxygenase coupled NAD(P)H-dependent ferredoxin reductases. However, ThnY showed substitutions in highly conserved positions of the pyridine nucleotide binding domain of these ferredoxin reductases. ThnY expression is co-regulated with all other genes required for tetralin biodegradation, and presumably thnY is part of the thnCA3A4RY operon. ThnY has been purified, and its biochemical and functional properties were characterized. ThnY was found to be a monomeric orange-brown iron sulfur flavoprotein (estimated mass of 37,000 Da) containing one non-covalently attached flavin adenine dinucleotide and one plant type ferredoxin 2Fe-2S cluster. It can be efficiently reduced by dithionite, but reduction by pyridine nucleotides was very poor. Consistently, ThnY-dependent reduction of cytochrome c, ferricyanide, or 2,6-dichlorophenolindophenol using NAD(P)H as the electron donor was undetectable or very weak. The addition of ThnY to electrophoretic mobility shift assays containing ThnR and a probe bearing two thn divergent promoters resulted in a 3-fold increase in protein-DNA complex formation affinity, which indicates that ThnY directly promotes thn transcription activation by ThnR. PMID- 21068397 TI - Nuclear damage in peripheral lymphocytes of obese and overweight Italian children as evaluated by the gamma-H2AX focus assay and micronucleus test. AB - Childhood obesity, often characterized by a chronic low-grade inflammation, has been associated with an increased risk of developing some types of cancer later in life. Nuclear gamma-H2AX foci represent the first detectable response of cells to DNA tumorigenesis lesions, such as the double-strand breaks (DSBs). An excess of micronucleated peripheral lymphocytes was found in subjects with cancer or inflammation-based diseases. We set out to investigate the expression of genome damage, from DNA lesions to chromosome mutations (micronuclei), in overweight and obese children. Using the gamma-H2AX focus assay and micronucleus (MN) test, we analyzed peripheral lymphocytes from 119 Italian children classified as normal weight (n=38), overweight (n=20), or obese (n=61). Cultures treated with bleomycin (BLM) were also set up for each child in both assays to check functioning of the apparatus that ensures DNA integrity. We measured serum TNF alpha, IL-6, and C-reactive protein (CRP) as markers of inflammation. Overweight and obese children had significantly higher levels of H2AX phosphorylation (0.0191+/-0.0039 and 0.0274+/-0.0029 gamma-H2AXF/n) and increased MN frequencies (2.30+/-0.25 and 2.45+/-0.220/00) than normal-weight children (0.0034+/-0.0006 gamma-H2AXF/n, and 0.92+/-0.120/00 MN), while all subjects responded to BLM induction, irrespective of their weight status. The fold increase of spontaneous MN frequencies in overweight and obese subjects was 2.5 and 2.7, respectively, well below the corresponding increase in the gamma-H2AX foci (5.6- and 8.0-fold, respectively). IL-6 and CRP mean values were significantly higher in obese and overweight children than in controls. Here, we demonstrated that peripheral cells of overweight and obese children showed increased levels of DSBs, which were not completely repaired as part of them has been converted into micronuclei. Characterization of childhood obesity inflammation could be implemented using molecular markers of genome damage. PMID- 21068396 TI - Social stratification of body weight trajectory in middle-age and older americans: results from a 14-year longitudinal study. AB - OBJECTIVE: To depict the trajectory of BMI from middle to late adulthood and to examine social variations in BMI trajectories. METHOD: Eight waves (1992-2006) of the Health and Retirement Study involving a nationally representative sample of Americans aged 51 to 61 years at baseline were used. Changes in BMI were analyzed using hierarchical linear modeling with time-constant and time-varying covariates. RESULTS: BMI increased linearly over time. Compared with Caucasians, African-Americans had higher BMI levels, while Hispanics had similar BMI levels, but lower rates of increase over time. Higher education predicted lower BMI levels and was not associated with the rate of change. Younger age-at-baseline predicted lower BMI level and lower rate of increase. No gender differences were found. DISCUSSION: Observed racial/ethnic and educational differences in BMI trajectory from middle to old age inform policies and interventions aimed at modifying health risks and reducing health disparities in old age. PMID- 21068398 TI - An in vivo screen reveals protein-lipid interactions crucial for gating a mechanosensitive channel. AB - The bacterial mechanosensitive channel MscL is the best-studied mechanosensor, thus serving as a paradigm of how a protein senses and responds to mechanical force. Models for the transition of Escherichia coli MscL from closed to open states propose a tilting of the transmembrane domains in the plane of the membrane, suggesting dynamic protein-lipid interactions. Here, we used a rapid in vivo assay to assess the function of channels that were post-translationally modified at several different sites in a region just distal to the cytoplasmic end of the second transmembrane helix. We utilized multiple probes with various affinities for the membrane environment. The in vivo functional data, combined with site-directed mutagenesis, single-channel analyses, and tryptophan fluorescence measurements, confirmed that lipid interactions within this region are critical for MscL gating. The data suggest a model in which this region acts as an anchor for the transmembrane domain tilting during gating. Furthermore, the conservation of analogous motifs among many other channels suggests a conserved protein-lipid dynamic mechanism. PMID- 21068399 TI - Basophils support the survival of plasma cells in mice. AB - We have previously shown that basophils support humoral memory immune responses by increasing B cell proliferation and Ig production as well as inducing a Th2 and B helper phenotype in T cells. Based on the high frequency of basophils in spleen and bone marrow, in this study we investigated whether basophils also support plasma cell survival and Ig production. In the absence of basophils, plasma cells of naive or immunized mice rapidly undergo apoptosis in vitro and produce only low amounts of Igs. In contrast, in the presence of basophils and even more in the presence of activated basophils, the survival of plasma cells is markedly increased and continuous production of Igs enabled. This effect is partially dependent on IL-4 and IL-6 released from basophils. Similar results were obtained when total bone marrow cells or bone marrow cells depleted of basophils were cultured in the presence or absence of substances activating basophils. When basophils were depleted in vivo 6 mo after immunization with an Ag, specific Ig production in subsequent bone marrow cultures was significantly reduced. In addition, depletion of basophils for 18 d in naive mice significantly reduced the number of plasma cells in the spleen. These data indicate that basophils are important for survival of plasma cells in vitro and in vivo. PMID- 21068400 TI - Cutting edge: Intrinsic programming of thymic gammadeltaT cells for specific peripheral tissue localization. AB - Various innate-like T cell subsets preferentially reside in specific epithelial tissues as the first line of defense. However, mechanisms regulating their tissue specific development are poorly understood. Using the prototypical skin intraepithelial gammadeltaT cells (sIELs) as a model, we show in this study that a TCR-mediated selection plays an important role in promoting acquisition of a specific skin-homing property by fetal thymic sIEL precursors for their epidermal location, and the skin-homing potential is intrinsically programmed even before the selection. In addition, once localized in the skin, the sIEL precursors develop into sIELs without the requirement of further TCR-ligand interaction. These studies reveal that development of the tissue-specific lymphocytes is a hard-wired process that targets them to specific tissues for proper functions. PMID- 21068401 TI - TLR5 as an anti-inflammatory target and modifier gene in cystic fibrosis. AB - New treatments are needed to improve the health of people with cystic fibrosis (CF). Reducing lung-damaging inflammation is likely to be beneficial, but specific anti-inflammatory targets have not been identified. By combining cellular immunology with a population-based genetic modifier study, we examined TLR5 as an anti-inflammatory target and modifier gene in CF. Using two pairs of human CF and control airway epithelial cells, we demonstrated that the TLR5 flagellin interaction is a major mediator of inflammation following exposure to Pseudomonas aeruginosa. To validate TLR5 as an anti-inflammatory target, we analyzed the disease modifying effects of the TLR5 c.1174C>T single nucleotide polymorphism (rs5744168) in a large cohort of CF patients (n = 2219). rs5744168 encodes a premature stop codon and the T allele is associated with a 45.5-76.3% reduction in flagellin responsiveness (p < 0.0001). To test the hypothesis that reduced TLR5 responsiveness would be associated with improved health in CF patients, we examined the relationship between rs5744168 and two clinical phenotypes: lung function and body weight. Adults with CF carrying the TLR5 premature stop codon (CT or TT genotype) had a higher body mass index than did CF patients homozygous for the fully functional allele (CC genotype) (p = 0.044); however, similar improvements in lung function associated with the T allele were not statistically significant. Although follow-up studies are needed to confirm the impact of TLR5 on nutritional status, this translational research provides evidence that genetic variation in TLR5 resulting in reduced flagellin responsiveness is associated with improved health indicators in adults with CF. PMID- 21068402 TI - MicroRNA-148/152 impair innate response and antigen presentation of TLR-triggered dendritic cells by targeting CaMKIIalpha. AB - MicroRNAs (miRNAs) are involved in the regulation of immunity, including the lymphocyte development and differentiation, and inflammatory cytokine production. Dendritic cells (DCs) play important roles in linking innate and adaptive immune responses. However, few miRNAs have been found to regulate the innate response and APC function of DCs to date. Calcium/calmodulin-dependent protein kinase II (CaMKII), a major downstream effector of calcium (Ca(2+)), has been shown to be an important regulator of the maturation and function of DCs. Our previous study showed that CaMKIIalpha could promote TLR-triggered production of proinflammatory cytokines and type I IFN. Inspired by the observations that dicer mutant Drosophila display defect in endogenous miRNA generation and higher CaMKII expression, we wondered whether miRNAs can regulate the innate response and APC function of DCs by targeting CaMKIIalpha. By predicting with software and confirming with functional experiments, we demonstrate that three members of the miRNA (miR)-148 family, miR-148a, miR-148b, and miR-152, are negative regulators of the innate response and Ag-presenting capacity of DCs. miR-148/152 expression was upregulated, whereas CaMKIIalpha expression was downregulated in DCs on maturation and activation induced by TLR3, TLR4, and TLR9 agonists. We showed that miR-148/152 in turn inhibited the production of cytokines including IL-12, IL-6, TNF-alpha, and IFN-beta upregulation of MHC class II expression and DC initiated Ag-specific T cell proliferation by targeting CaMKIIalpha. Therefore, miRNA-148/152 can act as fine-tuner in regulating the innate response and Ag presenting capacity of DCs, which may contribute to the immune homeostasis and immune regulation. PMID- 21068403 TI - Human eosinophils exert TNF-alpha and granzyme A-mediated tumoricidal activity toward colon carcinoma cells. AB - Peripheral blood and tissue eosinophilia is a prominent feature in allergic diseases and helminth infections. In cancer patients, tumor-associated tissue eosinophilia is frequently observed. Tumor-associated tissue eosinophilia can be associated with a favorable prognosis, notably in colorectal carcinoma. However, underlying mechanisms of eosinophil contribution to antitumor responses are poorly understood. We have in this study investigated the direct interactions of human eosinophils with Colo-205, a colorectal carcinoma cell line, and show that eosinophils induce apoptosis and directly kill tumor cells. Using blocking Abs, we found that CD11a/CD18 complex is involved in the tumoricidal activity. Coculture of eosinophils with Colo-205 led to the release of eosinophil cationic protein and eosinophil-derived neurotoxin as well as TNF-alpha secretion. Moreover, eosinophils expressed granzyme A, which was released upon interaction with Colo-205, whereas cytotoxicity was partially inhibited by FUT-175, an inhibitor of trypsin-like enzymatic activity. Our data present the first demonstration, to our knowledge, that granzyme A is a cytotoxic mediator of the eosinophil protein arsenal, exerting eosinophil tumoricidal activity toward Colo 205, and provide mechanistic evidence for innate responses of eosinophil against tumor cells. PMID- 21068404 TI - Depletion of regulatory T cells facilitates growth of established tumors: a mechanism involving the regulation of myeloid-derived suppressor cells by lipoxin A4. AB - Regulatory T cells (Tregs) are thought to facilitate tumor development by suppressing protective antitumor immune responses. However, recent clinical and laboratory studies show that Tregs are a favorable element against cancer. In this study, we provide evidence that Tregs have both promoting and inhibiting effects on tumors, depending on the stage of tumor development. By using 0.5 mg cyclophosphamide, we constructed a murine liver cancer model in which Tregs were continuously and selectively depleted. Under such conditions, we found that tumor growth was inhibited at early stages but accelerated later on. Analysis of the tumor microenvironment disclosed that long-term Treg depletion by 0.5 mg cyclophosphamide treatment induced Gr-1(+)CD11b(+) myeloid-derived suppressor cells (MDSCs). Ablation of MDSCs by anti-Gr-1 Ab blocked Treg depletion-induced promotion of tumor growth. Furthermore, lipoxygenases 5 and 12, two enzymes participating in the biosynthesis of the lipid anti-inflammatory mediator lipoxin A(4), were upregulated or downregulated by Treg depletion or adoptive transfer. Correspondingly, the levels of lipoxin A(4) were increased or decreased. Lipoxin A(4) thus regulated the induction of MDSCs in response to Treg depletion. These findings suggest that Tregs may play different roles at different stages of tumor growth: promoting early and inhibiting late tumor growth. Our study also suggests that the interplay among Tregs, MDSCs, and lipoxin A(4) tunes the regulation of tumor-associated inflammation. PMID- 21068406 TI - Oxidized phospholipids are more potent antagonists of lipopolysaccharide than inducers of inflammation. AB - Polyunsaturated fatty acids are precursors of multiple pro- and anti-inflammatory molecules generated by enzymatic stereospecific and positionally specific insertion of oxygen, which is a prerequisite for recognition of these mediators by cellular receptors. However, nonenzymatically oxidized free and esterified polyunsaturated fatty acids also demonstrate activities relevant to inflammation. In particular, phospholipids containing oxidized fatty acid residues (oxidized phospholipids; OxPLs) were shown to induce proinflammatory changes in endothelial cells but paradoxically also to inhibit inflammation induced via TLR4. In this study, we show that half-maximal inhibition of LPS-induced elevation of E selectin mRNA in endothelial cells developed at concentrations of oxidized 1 palmitoyl-2-arachidonoyl-sn-glycero-3-phosphocholine (OxPAPC) 10-fold lower than those required to induce proinflammatory response. Similar concentration difference was observed for other classes and molecular species of OxPLs. Upon injection into mice, OxPAPC did not elevate plasma levels of IL-6 and keratinocyte chemoattractant but strongly inhibited LPS-induced upregulation of these inflammatory cytokines. Thus, both in vitro and in vivo, anti-LPS effects of OxPLs are observed at lower concentrations than those required for their proinflammatory action. Quantification of the most abundant oxidized phosphatidylcholines by HPLC/tandem mass spectrometry showed that circulating concentrations of total oxidized phosphatidylcholine species are close to the range where they demonstrate anti-LPS activity but significantly lower than that required for induction of inflammation. We hypothesize that low levels of OxPLs in circulation serve mostly anti-LPS function and protect from excessive systemic response to TLR4 ligands, whereas proinflammatory effects of OxPLs are more likely to develop locally at sites of tissue deposition of OxPLs (e.g., in atherosclerotic vessels). PMID- 21068405 TI - FcR-like 2 Inhibition of B cell receptor-mediated activation of B cells. AB - FcR-like (FCRL) 2 is a transmembrane protein with immunomodulatory potential that is preferentially expressed by memory B cells in humans. It has two consensus ITIMs in addition to a putative ITAM sequence in its cytoplasmic domain. We have confirmed the cellular distribution of FCRL2 and analyzed its functional potential to show that coligation with the BCR leads to tyrosine phosphorylation of its ITIM motifs and subsequent Src homology region 2 domain-containing phosphatase-1 recruitment to facilitate inhibition of BCR signaling. Mutational analysis indicates that the tyrosine residues in both inhibitory motifs of FCRL2 are required for complete inhibition of BCR signaling, whereas tyrosines in the putative activation motif are dispensable for signal modulation. These findings suggest a negative immunomodulatory function for FCRL2 in the regulation of memory B cells. PMID- 21068407 TI - Pim-1 regulates RANKL-induced osteoclastogenesis via NF-kappaB activation and NFATc1 induction. AB - Pim kinases are emerging as important mediators of cytokine signaling pathways in hematopoietic cells. In this study, we demonstrate that Pim-1 positively regulates RANKL-induced osteoclastogenesis and that Pim-1 expression can be upregulated by RANKL signaling during osteoclast differentiation. The silencing of Pim-1 by RNA interference or overexpression of a dominant negative form of Pim 1 (Pim-1 DN) in bone marrow-derived macrophage cells attenuates RANKL-induced osteoclast formation. Overexpression of Pim-1 DN blocks RANKL-induced activation of TGF-beta-activated kinase 1 (TAK1) and NF-kappaB as well as expression of NFATc1 during osteoclastogenesis. However, we found that overexpression of TAK1 in the presence of Pim-1 DN rescues NF-kappaB activation. Additionally, Pim-1 interacts with RANK as well as TAK1, indicating that Pim-1 is involved in RANKL induced NF-kappaB activation via TAK1. Furthermore, we demonstrate that Pim-1 also regulates NFATc1 transcription activity and subsequently induces osteoclast associated receptor expression, an osteoclast-specific gene. Taken together, our results reveal that Pim-1 positively regulates RANKL-induced osteoclastogenesis. PMID- 21068408 TI - M2b monocytes predominated in peripheral blood of severely burned patients. AB - Severely burned patients were shown to be carriers of M2 monocytes, and all of the monocytes isolated from peripheral blood of severely burned patients (19 of 19 patients) were demonstrated as M2b monocytes (IL-12(-)IL-10(+)CCL1(+) monocytes). Low levels of M2a (IL-12(-)IL-10(+)CCL17(+) monocytes) and M2c monocytes (IL-12(-)IL-10(+)CXCL13(+) monocytes) were demonstrated in peripheral blood of severely burned patients (M2a, 2 of 19 patients; M2c, 5 of 19 patients). M2b, M2a, and M2c monocytes were not detected in peripheral blood of healthy donors. However, M2b monocytes appeared when healthy donor monocytes were cultured in media supplemented with burn patient serum (15%). CCL2 was detected in sera of all burn patients, and M2b monocytes were not generated from healthy donor monocytes cultured with media containing 15% burn patient sera that were previously treated with anti-CCL2 mAb. In addition, M2b monocytes were generated from healthy donor monocytes in cultures supplemented with rCCL2. These results indicate that M2b monocytes are predominant in peripheral blood of severely burned patients who are carriers of CCL2 that functions to stimulate monocyte conversion from resident monocytes to M2b monocytes. PMID- 21068409 TI - MicroRNA-101 targets MAPK phosphatase-1 to regulate the activation of MAPKs in macrophages. AB - MAPK phosphatase-1 (MKP-1) is an archetypical member of the dual-specificity phosphatase family that deactivates MAPKs. Induction of MKP-1 has been implicated in attenuating the LPS- or peptidoglycan-induced biosynthesis of proinflammatory cytokines, but the role of noncoding RNA in the expression of the MKP-1 is still poorly understood. In this study, we show that MKP-1 is a direct target of microRNA-101 (miR-101). Transfection of miR-101 attenuates induction of MKP-1 by LPS as well as prolonged activation of p38 and JNK/stress-activated protein kinase, whereas inhibition of miR-101 enhances the expression of MKP-1 and shortens p38 and JNK activation. We also found that expression of miR-101 is induced by multiple TLR ligands, including LPS, peptidoglycan, or polyinosinic polycytidylic acid, and that inhibition of PI3K/Akt by LY294002 or Akt RNA interference blocks the induction of miR-101 by LPS in RAW264.7 macrophage cells. Moreover, treatment of cells with dexamethasone, a widely used anti-inflammatory agent, markedly inhibits miR-101 expression and enhances the expression of MKP-1 in LPS-stimulated macrophages. Together, these results indicate that miR-101 regulates the innate immune responses of macrophages to LPS through targeting MKP 1. PMID- 21068410 TI - Oligodendrocyte-specific FADD deletion protects mice from autoimmune-mediated demyelination. AB - Apoptosis of oligodendrocytes (ODCs), the myelin-producing glial cells in the CNS, plays a central role in demyelinating diseases such as multiple sclerosis and experimental autoimmune encephalomyelitis (EAE), an animal model of multiple sclerosis. To investigate the mechanism behind ODC apoptosis in EAE, we made use of conditional knockout mice lacking the adaptor protein FADD specifically in ODCs (FADD(ODC-KO)). FADD mediates apoptosis by coupling death receptors with downstream caspase activation. In line with this, ODCs from FADD(ODC-KO) mice were completely resistant to death receptor-induced apoptosis in vitro. In the EAE model, FADD(ODC-KO) mice followed an ameliorated clinical disease course in comparison with control littermates. Lymphocyte and macrophage infiltration into the spinal cord parenchyma was significantly reduced, as was the extent of demyelination and proinflammatory gene expression. Collectively, our data show that FADD is critical for ODC apoptosis and the development of autoimmune demyelinating disease. PMID- 21068411 TI - CD30 ligand is a target for a novel biological therapy against colitis associated with Th17 responses. AB - We have previously found that CD30 ligand (CD30L; CD153)/CD30 signaling executed by the T-T cell interaction plays a critical role in Th17 cell differentiation, at least partly via downregulation of IL-2 production. In this study, we investigated the role of CD30L in the development of colitis experimentally induced by dextran sulfate sodium (DSS), in which IL-17A is involved in the pathogenesis. CD30L(-/-) mice were resistant to both acute colitis induced by administration of 3 to ~ 5% DSS and to chronic colitis induced by administration of 1.5% DSS on days 0-5, 10-15, and 20-25 as assessed by weight loss, survival rate, and histopathology. The levels of IFN-gamma, IL-17A, and IL-10 were significantly lower but the IL-2 level higher in the lamina propria T lymphocytes of CD30L(-/-) mice than those in lamina propria T lymphocytes of wild-type mice after DSS administration. Soluble murine CD30-Ig fusion protein, which was capable of inhibiting Th17 cell differentiation in vitro, ameliorated both types of DSS-induced colitis in wild-type mice. Modulation of CD30L/CD30 signaling by soluble CD30 could be a novel biological therapy for inflammatory diseases associated with Th17 responses. PMID- 21068412 TI - Cutting edge: Virus-specific CD8+ T cell clones and the maintenance of replicative function during a persistent viral infection. AB - Persistent viral infections induce the differentiation and accumulation of large numbers of senescent CD8(+) T cells, raising the possibility that repetitive stimulation drives clones of T cells to senesce. It is therefore unclear whether T cell responses are maintained by the self-renewal of Ag-experienced peripheral T cell subsets or by the continuous recruitment of newly generated naive T cells during chronic infections. Using a transgenic mouse model that permits the indelible marking of granzyme B-expressing cells, we found that T cells primed during the initial stages of a persistent murine gamma-herpes infection persisted and continued to divide during a latent phase of up to 7 mo. Such cells maintained an ability to extensively replicate in response to challenge with influenza virus expressing the same Ag. Therefore, Ag-experienced, virus-specific CD8(+) T cell populations contain a subset that maintains replicative potential, despite long-term, persistent antigenic stimulation. PMID- 21068414 TI - Novel nicotine delivery systems and public health: the rise of the "e-cigarette". PMID- 21068415 TI - A mind that found itself: an autobiography. 1908. PMID- 21068416 TI - From a patient's perspective: Clifford Whittingham Beers' work to reform mental health services. PMID- 21068417 TI - After twenty-five years. 1960. PMID- 21068418 TI - Alcoholics Anonymous: still sober after 75 years. 1935. PMID- 21068419 TI - Population-attributable fractions of Axis I and Axis II mental disorders for suicide attempts: findings from a representative sample of the adult, noninstitutionalized US population. AB - OBJECTIVES: We aimed to determine the percentage of suicide attempts attributable to individual Axis I and Axis II mental disorders by studying population attributable fractions (PAFs) in a nationally representative sample. METHODS: Data were from the National Epidemiologic Survey on Alcohol and Related Conditions Wave 2 (NESARC; 2004-2005), a large (N = 34 653) survey of mental illness in the United States. We used multivariate logistic regression to compare individuals with and without a history of suicide attempt across Diagnostic and Statistical Manual of Mental Disorders, Fourth Edition, Axis I disorders (anxiety, mood, psychotic, alcohol, and drug disorders) and all 10 Axis II personality disorders. PAFs were calculated for each disorder. RESULTS: Of the 25 disorders we examined in the model, 4 disorders had notably high PAF values: major depressive disorder (PAF = 26.6%; 95% confidence interval [CI] = 20.1, 33.2), borderline personality disorder (PAF = 18.1%; 95% CI = 13.4, 23.5), nicotine dependence (PAF = 8.4%; 95% CI = 3.4, 13.7), and posttraumatic stress disorder (PAF = 6.3%; 95% CI = 3.2, 10.0). CONCLUSIONS: Our results provide new insight into the relationships between mental disorders and suicide attempts in the general population. Although many mental illnesses were associated with an increased likelihood of suicide attempt, elevated rates of suicide attempts were mostly attributed to the presence of 4 disorders. PMID- 21068420 TI - Tobacco use harm reduction, elimination, and escalation in a large military cohort. AB - OBJECTIVES: We evaluated changing patterns of tobacco use following a period of forced tobacco abstinence in a US military cohort to determine rates of harm elimination (e.g., tobacco cessation), harm reduction (e.g., from smoking to smokeless tobacco use), and harm escalation (e.g., from smoking to dual use or from smokeless tobacco use to smoking or dual use). METHODS: Participants were 5225 Air Force airmen assigned to the health education control condition in a smoking cessation and prevention trial. Tobacco use was assessed by self-report at baseline and 12 months. RESULTS: Among 114 baseline smokers initiating smokeless tobacco use after basic military training, most demonstrated harm escalation (87%), which was 5.4 times more likely to occur than was harm reduction (e.g., smoking to smokeless tobacco use). Harm reduction was predicted, in part, by higher family income and belief that switching from cigarettes to smokeless tobacco is beneficial to health. Harm escalation predictors included younger age, alcohol use, longer smoking history, and risk-taking. CONCLUSIONS: When considering a harm reduction strategy with smokeless tobacco, the tobacco control community should balance anticipated benefits of harm reduction with the risk of harm escalation and the potential for adversely affecting public health. PMID- 21068421 TI - Declining child mortality and continuing racial disparities in the era of the Medicaid and SCHIP insurance coverage expansions. AB - OBJECTIVES: We investigated trends in national childhood mortality, racial disparities in child mortality, and the effect of Medicaid and State Children's Health Insurance Program (SCHIP) eligibility expansions on child mortality. METHODS: We analyzed child mortality by state, race, and age using the National Center for Health Statistics' multiple cause of death files over 20 years, from 1985 to 2004. RESULTS: Child mortality continued to decline in the United States, but racial disparities in mortality remained. Declines in child mortality (ages 1 17 years) were substantial for both natural (disease-related) and external (injuries, homicide, and suicide) causes for children of all races/ethnicities, although Black-White mortality ratios remained unchanged during the study period. Expanded Medicaid and SCHIP eligibility was significantly related to the decline in external-cause mortality; the relationship between natural-cause mortality and Medicaid or SCHIP eligibility remains unclear. Eligibility expansions did not affect relative racial disparities in child mortality. CONCLUSIONS: Although the study provides some evidence that public insurance expansions reduce child mortality, future research is needed on the effect of new health insurance on child health and on factors causing relative racial disparities. PMID- 21068422 TI - Targeted intervention strategies to increase and maintain mammography utilization among African American women. AB - OBJECTIVES: We assessed the impact of a theory-based, culturally relevant intervention designed to increase mammography screening among African American women in 8 underserved counties in Alabama. METHODS: Using principles derived from the Stages of Change, Community Health Advisor, and Community Empowerment models, we developed strategies to increase mammography screening. Trained volunteers (N = 143) provided tailored messages to encourage adoption and maintenance of mammography screening. We collected baseline and follow-up data on 1513 women in the communities targeted for the intervention. Our goal was to decrease the number of women in stage 1 (never screened) while increasing the number of women in stage 2 (infrequently screened) and stage 3 (regularly screened). RESULTS: At baseline, 14% (n = 211) of the women were in stage 1, 16% (n = 247) were in stage 2, and 70% (n = 1055) were in stage 3. After the 2-year intervention, 4% (n = 61) of the women remained in stage 1, 20% (n = 306) were in stage 2, and 76% (n = 1146) were in stage 3. CONCLUSIONS: Tailored motivational messages and peer support can increase mammography screening rates for African American women. PMID- 21068423 TI - Hombres Sanos: evaluation of a social marketing campaign for heterosexually identified Latino men who have sex with men and women. AB - OBJECTIVES: We evaluated the effectiveness of Hombres Sanos [Healthy Men] a social marketing campaign to increase condom use and HIV testing among heterosexually identified Latino men, especially among heterosexually identified Latino men who have sex with men and women (MSMW). METHODS: Hombres Sanos was implemented in northern San Diego County, California, from June 2006 through December 2006. Every other month we conducted cross-sectional surveys with independent samples of heterosexually identified Latino men before (n = 626), during (n = 752), and after (n = 385) the campaign. Respondents were randomly selected from 12 targeted community venues to complete an anonymous, self administered survey on sexual practices and testing for HIV and other sexually transmitted infections. About 5.6% of respondents (n = 98) were heterosexually identified Latino MSMW. RESULTS: The intervention was associated with reduced rates of recent unprotected sex with both females and males among heterosexually identified Latino MSMW. The campaign was also associated with increases in perception of HIV risk, knowledge of testing locations, and condom carrying among heterosexual Latinos. CONCLUSIONS: Social marketing represents a promising approach for abating HIV transmission among heterosexually identified Latinos, particularly for heterosexually identified Latino MSMW. Given the scarcity of evidence-based HIV prevention interventions for these populations, this prevention strategy warrants further investigation. PMID- 21068424 TI - Midlife cholesterol level and dementia 32 years later: is there a risk? PMID- 21068425 TI - Influencing poststroke plasticity with electromagnetic brain stimulation: myth or reality? PMID- 21068426 TI - Effects of subthalamic stimulation on speech of consecutive patients with Parkinson disease. AB - OBJECTIVE: Subthalamic nucleus deep brain stimulation (STN-DBS) is an effective treatment for advanced Parkinson disease (PD). Following STN-DBS, speech intelligibility can deteriorate, limiting its beneficial effect. Here we prospectively examined the short- and long-term speech response to STN-DBS in a consecutive series of patients to identify clinical and surgical factors associated with speech change. METHODS: Thirty-two consecutive patients were assessed before surgery, then 1 month, 6 months, and 1 year after STN-DBS in 4 conditions on- and off-medication with on- and off-stimulation using established and validated speech and movement scales. Fifteen of these patients were followed up for 3 years. A control group of 12 patients with PD were followed up for 1 year. RESULTS: Within the surgical group, speech intelligibility significantly deteriorated by an average of 14.2%+/-20.15% off-medication and 16.9%+/-21.8% on medication 1 year after STN-DBS. The medical group deteriorated by 3.6%+/-5.5% and 4.5%+/-8.8%, respectively. Seven patients showed speech amelioration after surgery. Loudness increased significantly in all tasks with stimulation. A less severe preoperative on-medication motor score was associated with a more favorable speech response to STN-DBS after 1 year. Medially located electrodes on the left STN were associated with a significantly higher risk of speech deterioration than electrodes within the nucleus. There was a strong relationship between high voltage in the left electrode and poor speech outcome at 1 year. CONCLUSION: The effect of STN-DBS on speech is variable and multifactorial, with most patients exhibiting decline of speech intelligibility. Both medical and surgical issues contribute to deterioration of speech in STN-DBS patients. CLASSIFICATION OF EVIDENCE: This study provides Class III evidence that STN-DBS for PD results in deterioration in speech intelligibility in all combinations of medication and stimulation states at 1 month, 6 months, and 1 year compared to baseline and to control subjects treated with best medical therapy. PMID- 21068427 TI - Bihemispheric brain stimulation facilitates motor recovery in chronic stroke patients. AB - OBJECTIVE: Motor recovery after stroke depends on the integrity of ipsilesional motor circuits and interactions between the ipsilesional and contralesional hemispheres. In this sham-controlled randomized trial, we investigated whether noninvasive modulation of regional excitability of bilateral motor cortices in combination with physical and occupational therapy improves motor outcome after stroke. METHODS: Twenty chronic stroke patients were randomly assigned to receive 5 consecutive sessions of either 1) bihemispheric transcranial direct current stimulation (tDCS) (anodal tDCS to upregulate excitability of ipsilesional motor cortex and cathodal tDCS to downregulate excitability of contralesional motor cortex) with simultaneous physical/occupational therapy or 2) sham stimulation with simultaneous physical/occupational therapy. Changes in motor impairment (Upper Extremity Fugl-Meyer) and motor activity (Wolf Motor Function Test) assessments were outcome measures while functional imaging parameters were used to identify neural correlates of motor improvement. RESULTS: The improvement of motor function was significantly greater in the real stimulation group (20.7% in Fugl-Meyer and 19.1% in Wolf Motor Function Test scores) when compared to the sham group (3.2% in Fugl-Meyer and 6.0% in Wolf Motor Function Test scores). The effects outlasted the stimulation by at least 1 week. In the real-stimulation group, stronger activation of intact ipsilesional motor regions during paced movements of the affected limb were found postintervention whereas no significant activation changes were seen in the control group. CONCLUSIONS: The combination of bihemispheric tDCS and peripheral sensorimotor activities improved motor functions in chronic stroke patients that outlasted the intervention period. This novel approach may potentiate cerebral adaptive processes that facilitate motor recovery after stroke. CLASSIFICATION OF EVIDENCE: This study provides Class I evidence that for adult patients with ischemic stroke treated at least 5 months after their first and only stroke, bihemispheric tDCS and simultaneous physical/occupational therapy given over 5 consecutive sessions significantly improves motor function as measured by the Upper Extremity Fugl-Meyer assessment (raw change treated 6.1 +/- 3.4, sham 1.2 +/- 1.0). PMID- 21068428 TI - Tapping in Huntington disease: a path forward to preventive therapies? PMID- 21068429 TI - The 32-year relationship between cholesterol and dementia from midlife to late life. AB - BACKGROUND: Cellular and animal studies suggest that hypercholesterolemia contributes to Alzheimer disease (AD). However, the relationship between cholesterol and dementia at the population level is less clear and may vary over the lifespan. METHODS: The Prospective Population Study of Women, consisting of 1,462 women without dementia aged 38-60 years, was initiated in 1968-1969 in Gothenburg, Sweden. Follow-ups were conducted in 1974-1975, 1980-1981, 1992-1993, and 2000-2001. All-cause dementia was diagnosed according to DSM-III-R criteria and AD according to National Institute of Neurological and Communicative Disorders and Stroke-Alzheimer's Disease and Related Disorders Association criteria. Cox proportional hazards regression examined baseline, time-dependent, and change in cholesterol levels in relation to incident dementia and AD among all participants. Analyses were repeated among participants who survived to the age of 70 years or older and participated in the 2000-2001 examination. RESULTS: Higher cholesterol level in 1968 was not associated with an increased risk of AD (highest vs lowest quartile: hazard ratio [HR] 2.82, 95% confidence interval [CI] 0.94-8.43) among those who survived to and participated in the 2000-2001 examination. While there was no association between cholesterol level and dementia when considering all participants over 32 years, a time-dependent decrease in cholesterol over the follow-up was associated with an increased risk of dementia (HR 2.35, 95% CI 1.22-4.58). CONCLUSION: These data suggest that midlife cholesterol level is not associated with an increased risk of AD. However, there may be a slight risk among those surviving to an age at risk for dementia. Declining cholesterol levels from midlife to late life may better predict AD risk than levels obtained at one timepoint prior to dementia onset. Analytic strategies examining this and other risk factors across the lifespan may affect interpretation of results. PMID- 21068430 TI - Tapping linked to function and structure in premanifest and symptomatic Huntington disease. AB - OBJECTIVE: Motor signs are functionally disabling features of Huntington disease. Characteristic motor signs define disease manifestation. Their severity and onset are assessed by the Total Motor Score of the Unified Huntington's Disease Rating Scale, a categorical scale limited by interrater variability and insensitivity in premanifest subjects. More objective, reliable, and precise measures are needed which permit clinical trials in premanifest populations. We hypothesized that motor deficits can be objectively quantified by force-transducer-based tapping and correlate with disease burden and brain atrophy. METHODS: A total of 123 controls, 120 premanifest, and 123 early symptomatic gene carriers performed a speeded and a metronome tapping task in the multicenter study TRACK-HD. Total Motor Score, CAG repeat length, and MRIs were obtained. The premanifest group was subdivided into A and B, based on the proximity to estimated disease onset, the manifest group into stages 1 and 2, according to their Total Functional Capacity scores. Analyses were performed centrally and blinded. RESULTS: Tapping variability distinguished between all groups and subgroups in both tasks and correlated with 1) disease burden, 2) clinical motor phenotype, 3) gray and white matter atrophy, and 4) cortical thinning. Speeded tapping was more sensitive to the detection of early changes. CONCLUSION: Tapping deficits are evident throughout manifest and premanifest stages. Deficits are more pronounced in later stages and correlate with clinical scores as well as regional brain atrophy, which implies a link between structure and function. The ability to track motor phenotype progression with force-transducer-based tapping measures will be tested prospectively in the TRACK-HD study. PMID- 21068431 TI - Sex hormones and colorectal cancer: what have we learned so far? PMID- 21068432 TI - Risk of colorectal cancer in men on long-term androgen deprivation therapy for prostate cancer. AB - BACKGROUND: Androgen deprivation with gonadotropin-releasing hormone (GnRH) agonists or orchiectomy is a common but controversial treatment for prostate cancer. Uncertainties remain about its use, particularly with increasing recognition of serious side effects. In animal studies, androgens protect against colonic carcinogenesis, suggesting that androgen deprivation may increase the risk of colorectal cancer. METHODS: We identified 107 859 men in the linked Surveillance, Epidemiology, and End Results (SEER)-Medicare database who were diagnosed with prostate cancer in 1993 through 2002, with follow-up available through 2004. The primary outcome was development of colorectal cancer, determined from SEER files on second primary cancers. Cox proportional hazards regression was used to assess the influence of androgen deprivation on the outcome, adjusted for patient and prostate cancer characteristics. All statistical tests were two-sided. RESULTS: Men who had orchiectomies had the highest unadjusted incidence rate of colorectal cancer (6.3 per 1000 person years; 95% confidence interval [CI] = 5.3 to 7.5), followed by men who had GnRH agonist therapy (4.4 per 1000 person-years; 95% CI = 4.0 to 4.9), and men who had no androgen deprivation (3.7 per 1000 person-years; 95% CI = 3.5 to 3.9). After adjustment for patient and prostate cancer characteristics, there was a statistically significant dose-response effect (P(trend) = .010) with an increasing risk of colorectal cancer associated with increasing duration of androgen deprivation. Compared with the absence of these treatments, there was an increased risk of colorectal cancer associated with use of GnRH agonist therapy for 25 months or longer (hazard ratio [HR] = 1.31, 95% CI = 1.12 to 1.53) or with orchiectomy (HR = 1.37, 95% CI = 1.14 to 1.66). CONCLUSION: Long-term androgen deprivation therapy for prostate cancer is associated with an increased risk of colorectal cancer. PMID- 21068433 TI - Genetics of fetal hemoglobin in Tanzanian and British patients with sickle cell anemia. AB - Fetal hemoglobin (HbF, alpha(2)gamma(2)) is a major contributor to the remarkable phenotypic heterogeneity of sickle cell anemia (SCA). Genetic variation at 3 principal loci (HBB cluster on chromosome 11p, HBS1L-MYB region on chromosome 6q, and BCL11A on chromosome 2p) have been shown to influence HbF levels and disease severity in beta-thalassemia and SCA. Previous studies in SCA, however, have been restricted to populations from the African diaspora, which include multiple genealogies. We have investigated the influence of these 3 loci on HbF levels in sickle cell patients from Tanzania and in a small group of African British sickle patients. All 3 loci have a significant impact on the trait in both patient groups. The results suggest the presence of HBS1L-MYB variants affecting HbF in patients who are not tracked well by European-derived markers, such as rs9399137. Additional loci may be identified through independent genome-wide association studies in African populations. PMID- 21068434 TI - The hemostatic status of pediatric recipients of adult liver grafts suggests that plasma levels of hemostatic proteins are not regulated by the liver. AB - Plasma levels of coagulation factors differ profoundly between adults and children, but are remarkably stable throughout adulthood. It is unknown which factors determine plasma levels of coagulation factors in a given individual. We hypothesized that the liver, which synthesizes coagulation factors, also controls plasma levels. We measured a panel of coagulation factors in samples taken from either adults or young children who underwent a liver transplantation with adult donor livers. Samples were taken 1-3 months after transplantation, when the patients were clinically stable with adequate graft function. After liver transplantation, the hemostatic profile of the pediatric group was remarkably different from that of the adult group, and resembled the hemostatic profile of normal children. Thus, children transplanted with an adult liver graft maintain a pediatric hemostatic profile after transplantation despite receiving an adult liver graft. These findings suggest that plasma levels of hemostatic proteins are not controlled by the liver. PMID- 21068435 TI - Impact of early transcranial Doppler screening and intensive therapy on cerebral vasculopathy outcome in a newborn sickle cell anemia cohort. AB - Transcranial Doppler (TCD) is used to detect children with sickle cell anemia (SCA) who are at risk for stroke, and transfusion programs significantly reduce stroke risk in patients with abnormal TCD. We describe the predictive factors and outcomes of cerebral vasculopathy in the Creteil newborn SCA cohort (n = 217 SS/Sbeta(0)), who were early and yearly screened with TCD since 1992. Magnetic resonance imaging/magnetic resonance angiography was performed every 2 years after age 5 (or earlier in case of abnormal TCD). A transfusion program was recommended to patients with abnormal TCD and/or stenoses, hydroxyurea to symptomatic patients in absence of macrovasculopathy, and stem cell transplantation to those with human leukocyte antigen-genoidentical donor. Mean follow-up was 7.7 years (1609 patient-years). The cumulative risks by age 18 years were 1.9% (95% confidence interval [95% CI] 0.6%-5.9%) for overt stroke, 29.6% (95% CI 22.8%-38%) for abnormal TCD, which reached a plateau at age 9, whereas they were 22.6% (95% CI 15.0%-33.2%) for stenosis and 37.1% (95% CI 26.3% 50.7%) for silent stroke by age 14. Cumulating all events (stroke, abnormal TCD, stenoses, silent strokes), the cerebral risk by age 14 was 49.9% (95% CI 40.5% 59.3%); the independent predictive factors for cerebral risk were baseline reticulocytes count (hazard ratio 1.003/L * 10(9)/L increase, 95% CI 1.000-1.006; P = .04) and lactate dehydrogenase level (hazard ratio 2.78/1 IU/mL increase, 95% CI1.33-5.81; P = .007). Thus, early TCD screening and intensification therapy allowed the reduction of stroke-risk by age 18 from the previously reported 11% to 1.9%. In contrast, the 50% cumulative cerebral risk suggests the need for more preventive intervention. PMID- 21068436 TI - Improving academic leadership and oversight in large industry-sponsored clinical trials: the ARO-CRO model. AB - Standards for clinical trial design, execution, and publication have increased in recent years. However, the current structure for interaction among the pharmaceutical sponsor funding a drug or device development program, the contract research organization (CRO) that typically assists in executing the trial, regulatory agencies, and academicians, provides inadequate leadership and oversight of the development process. Conventional academic steering committees are not provided with the independent infrastructure by which to verify statistical analyses and conclusions regarding safety and efficacy. We propose an alternative approach centered on partnerships between CROs and university-based academic research organizations (AROs). In this model, the ARO takes responsibility for processes that address journal requirements and regulatory expectations for independent academic oversight (including oversight of Steering Committee and Data and Safety Monitoring Board activities), whereas the CRO provides infrastructure for efficient trial execution, site monitoring, and data management. The ARO engages academic experts throughout the trial process and minimizes conflicts of interest in individual industry relationships via diversification of sponsors, agents, and therapeutic areas. Although numerous models can be entertained, the ARO-CRO model is uniquely structured to meet the demand for greater assurance of integrity in clinical trials and the needs of each stakeholder in the process. PMID- 21068437 TI - Haploinsufficiency for ribosomal protein genes causes selective activation of p53 in human erythroid progenitor cells. AB - Haploinsufficiency for ribosomal protein genes has been implicated in the pathophysiology of Diamond-Blackfan anemia (DBA) and the 5q-syndrome, a subtype of myelodysplastic syndrome. The p53 pathway is activated by ribosome dysfunction, but the molecular basis for selective impairment of the erythroid lineage in disorders of ribosome function has not been determined. We found that p53 accumulates selectively in the erythroid lineage in primary human hematopoietic progenitor cells after expression of shRNAs targeting RPS14, the ribosomal protein gene deleted in the 5q-syndrome, or RPS19, the most commonly mutated gene in DBA. Induction of p53 led to lineage-specific accumulation of p21 and consequent cell cycle arrest in erythroid progenitor cells. Pharmacologic inhibition of p53 rescued the erythroid defect, whereas nutlin-3, a compound that activates p53 through inhibition of HDM2, selectively impaired erythropoiesis. In bone marrow biopsies from patients with DBA or del(5q) myelodysplastic syndrome, we found an accumulation of nuclear p53 staining in erythroid progenitor cells that was not present in control samples. Our findings indicate that the erythroid lineage has a low threshold for the induction of p53, providing a basis for the failure of erythropoiesis in the 5q-syndrome, DBA, and perhaps other bone marrow failure syndromes. PMID- 21068438 TI - Circulating human CD4 and CD8 T cells do not have large intracellular pools of CCR5. AB - CC Chemokine Receptor 5 (CCR5) is an important mediator of chemotaxis and the primary coreceptor for HIV-1. A recent report by other researchers suggested that primary T cells harbor pools of intracellular CCR5. With the use of a series of complementary techniques to measure CCR5 expression (antibody labeling, Western blot, quantitative reverse transcription polymerase chain reaction), we established that intracellular pools of CCR5 do not exist and that the results obtained by the other researchers were false-positives that arose because of the generation of irrelevant binding sites for anti-CCR5 antibodies during fixation and permeabilization of cells. PMID- 21068440 TI - Achieving a nationwide learning health system. AB - We outline the fundamental properties of a highly participatory rapid learning system that can be developed in part from meaningful use of electronic health records (EHRs). Future widespread adoption of EHRs will make increasing amounts of medical information available in computable form. Secured and trusted use of these data, beyond their original purpose of supporting the health care of individual patients, can speed the progression of knowledge from the laboratory bench to the patient's bedside and provide a cornerstone for health care reform. PMID- 21068439 TI - Development of severe skeletal defects in induced SHP-2-deficient adult mice: a model of skeletal malformation in humans with SHP-2 mutations. AB - SHP-2 (encoded by PTPN11) is a ubiquitously expressed protein tyrosine phosphatase required for signal transduction by multiple different cell surface receptors. Humans with germline SHP-2 mutations develop Noonan syndrome or LEOPARD syndrome, which are characterized by cardiovascular, neurological and skeletal abnormalities. To study how SHP-2 regulates tissue homeostasis in normal adults, we used a conditional SHP-2 mouse mutant in which loss of expression of SHP-2 was induced in multiple tissues in response to drug administration. Induced deletion of SHP-2 resulted in impaired hematopoiesis, weight loss and lethality. Most strikingly, induced SHP-2-deficient mice developed severe skeletal abnormalities, including kyphoses and scolioses of the spine. Skeletal malformations were associated with alterations in cartilage and a marked increase in trabecular bone mass. Osteoclasts were essentially absent from the bones of SHP-2-deficient mice, thus accounting for the osteopetrotic phenotype. Studies in vitro revealed that osteoclastogenesis that was stimulated by macrophage colony stimulating factor (M-CSF) and receptor activator of nuclear factor kappa B ligand (RANKL) was defective in SHP-2-deficient mice. At least in part, this was explained by a requirement for SHP-2 in M-CSF-induced activation of the pro survival protein kinase AKT in hematopoietic precursor cells. These findings illustrate an essential role for SHP-2 in skeletal growth and remodeling in adults, and reveal some of the cellular and molecular mechanisms involved. The model is predicted to be of further use in understanding how SHP-2 regulates skeletal morphogenesis, which could lead to the development of novel therapies for the treatment of skeletal malformations in human patients with SHP-2 mutations. PMID- 21068441 TI - TLR9 differentiates rapidly from slowly progressing forms of idiopathic pulmonary fibrosis. AB - Idiopathic pulmonary fibrosis is characterized by diffuse alveolar damage and severe fibrosis, resulting in a steady worsening of lung function and gas exchange. Because idiopathic pulmonary fibrosis is a generally progressive disorder with highly heterogeneous disease progression, we classified affected patients as either rapid or slow progressors over the first year of follow-up and then identified differences between the two groups to investigate the mechanism governing rapid progression. Previous work from our laboratory has demonstrated that Toll-like receptor 9 (TLR9), a pathogen recognition receptor that recognizes unmethylated CpG motifs in bacterial and viral DNA, promotes myofibroblast differentiation in lung fibroblasts cultured from biopsies of patients with idiopathic pulmonary fibrosis. Therefore, we hypothesized that TLR9 functions as both a sensor of pathogenic molecules and a profibrotic signal in rapidly progressive idiopathic pulmonary fibrosis. Indeed, TLR9 was present at higher concentrations in surgical lung biopsies from rapidly progressive patients than in tissue from slowly progressing patients. Moreover, fibroblasts from rapid progressors were more responsive to the TLR9 agonist, CpG DNA, than were fibroblasts from slowly progressing patients. Using a humanized severe combined immunodeficient mouse, we then demonstrated increased fibrosis in murine lungs receiving human lung fibroblasts from rapid progressors compared with mice receiving fibroblasts from slowly progressing patients. This fibrosis was exacerbated by intranasal CpG challenges. Furthermore, CpG induced the differentiation of blood monocytes into fibrocytes and the epithelial-to mesenchymal transition of A549 lung epithelial cells. These data suggest that TLR9 may drive the pathogenesis of rapidly progressive idiopathic pulmonary fibrosis and may serve as a potential indicator for this subset of the disease. PMID- 21068442 TI - Prevention of muscle aging by myofiber-associated satellite cell transplantation. AB - Skeletal muscle is dynamic, adapting to environmental needs, continuously maintained, and capable of extensive regeneration. These hallmarks diminish with age, resulting in a loss of muscle mass, reduced regenerative capacity, and decreased functionality. Although the mechanisms responsible for this decline are unclear, complex changes within the local and systemic environment that lead to a reduction in regenerative capacity of skeletal muscle stem cells, termed satellite cells, are believed to be responsible. We demonstrate that engraftment of myofiber-associated satellite cells, coupled with an induced muscle injury, markedly alters the environment of young adult host muscle, eliciting a near lifelong enhancement in muscle mass, stem cell number, and force generation. The abrogation of age-related atrophy appears to arise from an increased regenerative capacity of the donor stem cells, which expand to occupy both myonuclei in myofibers and the satellite cell niche. Further, these cells have extensive self renewal capabilities, as demonstrated by serial transplantation. These near lifelong, physiological changes suggest an approach for the amelioration of muscle atrophy and diminished function that arise with aging through myofiber associated satellite cell transplantation. PMID- 21068443 TI - Reliability, validity, and responsiveness of a modified International Knee Documentation Committee Subjective Knee Form (Pedi-IKDC) in children with knee disorders. AB - BACKGROUND: The International Knee Documentation Committee (IKDC) Subjective Knee Form is a knee-specific measure of symptoms, function, and sports activity. A modified IKDC Subjective Knee Form (pedi-IKDC) has been developed for use in children and adolescents. The purpose of this study was to determine the psychometric characteristics of the pedi-IKDC in children and adolescents with knee disorders. HYPOTHESIS: The pedi-IKDC is a reliable, valid, and responsive patient-administered outcome instrument in the pediatric population with knee disorders. STUDY DESIGN: Cohort study (diagnosis); Level of evidence, 2. METHODS: Test-retest reliability, content validity, criterion validity, construct validity, and responsiveness to change were determined for the pedi-IKDC in patients aged 10 to 18 years with a variety of knee disorders. Test-retest reliability was measured in a group of 72 patients with a stable knee disorder. Validity was measured in a group of 589 patients with the Child Health Questionnaire to determine criterion validity. Responsiveness was measured in a group of 98 patients undergoing a variety of knee surgical procedures. RESULTS: The overall pedi-IKDC had acceptable test-retest reliability (intraclass correlation coefficient, .91) and excellent internal consistency (Cronbach alpha, .91). The form also demonstrated acceptable floor (0%) and ceiling (6%) effects. There was acceptable criterion validity with significant (P < .01) correlation between the overall pedi-IKDC and 9 relevant domains of the Child Health Questionnaire. Construct validity was acceptable, with all 11 hypotheses demonstrating significance (P < .0001). Responsiveness to change was acceptable (effect size, 1.39; standardized response mean, 1.35). CONCLUSION: The pedi-IKDC demonstrated overall acceptable psychometric performance for outcome assessment of children and adolescents with various disorders of the knee. PMID- 21068444 TI - Arthroscopic treatment of osteochondral lesions of the ankle with matrix associated chondrocyte implantation: early clinical and magnetic resonance imaging results. AB - BACKGROUND: Conventional autologous chondrocyte transplantation in the ankle often requires tibial or fibular osteotomies with potential morbidity for the patient. Advances in biotechnology and surgical techniques have resulted in the development of matrix-associated chondrocyte implantation (MACI). As the chondrocyte-loaded scaffold can be applied arthroscopically, this procedure is especially useful for the treatment of osteochondral defects in the ankle. HYPOTHESIS: Arthroscopic MACI is a safe procedure in the ankle with good clinical and magnetic resonance imaging results. STUDY DESIGN: Case series; Level of evidence, 4. METHODS: The authors reviewed all patients (n = 18) who had arthroscopic MACI for osteochondral lesions of the ankle (n = 19) between February 2006 and May 2008 clinically and with magnetic resonance imaging. The pain and disability module of the Foot Function Index (FFI), the American Orthopaedic Foot & Ankle Society (AOFAS) clinical rating system, the Core Scale of the Foot and Ankle Module of the American Academy of Orthopaedic Surgeons (AAOS) Lower Limb Outcomes Assessment Instruments, and the Magnetic Resonance Observation of Cartilage Repair Tissue (MOCART) score were used. The clinical results up to 3 years after MACI (mean follow-up, 24.5 months) were compared with preoperative data for 14 cases and the magnetic resonance imaging data for all 19. RESULTS: A significant improvement (50.3% +/- 13.2%) in all clinical scores was noted (FFI pain before MACI: 5.5 +/- 2.0, after MACI: 2.8 +/- 2.2; FFI disability before MACI: 5.0 +/- 2.3, after MACI: 2.6 +/- 2.2; AOFAS before MACI: 58.6 +/- 16.1, after MACI: 80.4 +/- 14.1; AAOS standardized mean before MACI: 59.9 +/- 16.0, after MACI: 83.5 +/- 13.2; AAOS normative score before MACI: 23.0 +/- 13.0, after MACI: 42.2 +/- 10.7). According to the AOFAS Hindfoot score, 64% were rated as excellent and good, whereas 36% were rated fair and poor. The results correlated with the age of the patient and the duration of symptoms, but not with the size of the lesion. Sixteen patients (89%) reported regular sports activities before the onset of symptoms; 13 of them (81%) returned to sports after the MACI, 56% (n = 9) to the same level. The mean MOCART score was 62.4 +/- 15.8 points. In general, there was no relation between MOCART score and clinical outcome, although the filling of the defect showed some correlation with the AAOS score. CONCLUSION: Arthroscopic MACI is a safe procedure for the treatment of osteochondral lesions in the ankle with overall good clinical and magnetic resonance imaging results. PMID- 21068445 TI - Comparative performance of supertree algorithms in large data sets using the soapberry family (Sapindaceae) as a case study. AB - For the last 2 decades, supertree reconstruction has been an active field of research and has seen the development of a large number of major algorithms. Because of the growing popularity of the supertree methods, it has become necessary to evaluate the performance of these algorithms to determine which are the best options (especially with regard to the supermatrix approach that is widely used). In this study, seven of the most commonly used supertree methods are investigated by using a large empirical data set (in terms of number of taxa and molecular markers) from the worldwide flowering plant family Sapindaceae. Supertree methods were evaluated using several criteria: similarity of the supertrees with the input trees, similarity between the supertrees and the total evidence tree, level of resolution of the supertree and computational time required by the algorithm. Additional analyses were also conducted on a reduced data set to test if the performance levels were affected by the heuristic searches rather than the algorithms themselves. Based on our results, two main groups of supertree methods were identified: on one hand, the matrix representation with parsimony (MRP), MinFlip, and MinCut methods performed well according to our criteria, whereas the average consensus, split fit, and most similar supertree methods showed a poorer performance or at least did not behave the same way as the total evidence tree. Results for the super distance matrix, that is, the most recent approach tested here, were promising with at least one derived method performing as well as MRP, MinFlip, and MinCut. The output of each method was only slightly improved when applied to the reduced data set, suggesting a correct behavior of the heuristic searches and a relatively low sensitivity of the algorithms to data set sizes and missing data. Results also showed that the MRP analyses could reach a high level of quality even when using a simple heuristic search strategy, with the exception of MRP with Purvis coding scheme and reversible parsimony. The future of supertrees lies in the implementation of a standardized heuristic search for all methods and the increase in computing power to handle large data sets. The latter would prove to be particularly useful for promising approaches such as the maximum quartet fit method that yet requires substantial computing power. PMID- 21068447 TI - [Gait and gait disturbance]. AB - Disequilibrium and gait disturbance are the most common causes of falls, which are becoming a serious social problem for the increasing geriatric population. Gait disturbance is caused not only by neurological diseases but also by joint and skeletal system abnormalities, and these abnormalities have to be taken into account before evaluating neurological conditions. The final common pathway for gait is formed by primary motor and premotor cortices, corticospinal tracts, anterior horn cells, alpha-motor nerves, neuromuscular junction, and muscles. The multiple other systems are involved in the control this pathway include the supplementary motor area, basal ganglia, cerebellum, brainstem nuclei such as the pedunculopontine nucleus and locus caeruleus; and feedback receptive systems such as the somatosensory, vestibular, visual (including the visual motion area), and auditory systems. Gait disturbance due to neurological diseases is classified into several types according to the characteristics of each condition: spastic gait, paralytic gait, ataxic gait, parkinsonian gait, dystonic gait, involuntary movements, combined form, and psychogenic gait disturbance. Ataxic gait is caused by lesions that involve the cerebellum, vestibular system, or proprioceptive system. Involuntary movements that interfere with gait include action tremor, chorea, myoclonus, ballism, and dyskinesia. Psychogenic gait disturbance is not uncommon and must be considered when the features of gait disturbance are incongruous with respect to its conventional forms and/or when the features and degree of gait disturbance vary over time. In such cases the family background or the patient's social environment is usually suggestive of psychogenic disorders. Correct judgment regarding the classification as well as the cause of gait disturbance is of utmost importance for choosing the most appropriate treatment for gait disturbance in order to prevent falls. PMID- 21068446 TI - LPS impairs phospholipid synthesis by triggering beta-transducin repeat containing protein (beta-TrCP)-mediated polyubiquitination and degradation of the surfactant enzyme acyl-CoA:lysophosphatidylcholine acyltransferase I (LPCAT1). AB - Acyl-CoA:lysophosphatidylcholine acyltransferase 1 (LPCAT1) is a relatively newly described and yet indispensable enzyme needed for generation of the bioactive surfactant phospholipid, dipalmitoylphosphatidylcholine (DPPtdCho). Here, we show that lipopolysaccharide (LPS) causes LPCAT1 degradation using the Skp1-Cullin-F box ubiquitin E3 ligase component, beta-transducin repeat-containing protein (beta-TrCP), that polyubiquitinates LPCAT1, thereby targeting the enzyme for proteasomal degradation. LPCAT1 was identified as a phosphoenzyme as Ser(178) within a phosphodegron was identified as a putative molecular recognition site for glycogen synthase kinase-3beta (GSK-3beta) phosphorylation that recruits beta TrCP docking within the enzyme. beta-TrCP ubiquitinates LPCAT1 at an acceptor site (Lys(221)), as substitution of Lys(221) with Arg abrogated LPCAT1 polyubiquitination. LPS profoundly reduced immunoreactive LPCAT1 levels and impaired lung surfactant mechanics, effects that were overcome by siRNA to beta TrCP and GSK-3beta or LPCAT1 gene transfer, respectively. Thus, LPS appears to destabilize the LPCAT1 protein by GSK-3beta-mediated phosphorylation within a canonical phosphodegron for beta-TrCP docking and site-specific ubiquitination. LPCAT1 is the first lipogenic substrate for beta-TrCP, and the results suggest that modulation of the GSK-3beta-SCFbeta(TrCP) E3 ligase effector pathway might be a unique strategy to optimize dipalmitoylphosphatidylcholine levels in sepsis. PMID- 21068448 TI - [Locomotor control by the brainstem and spinal cord]. AB - One of the fundamental characteristics of animal is locomotion. Although not visually apparent, goal-directed locomotor movements are always accompanied by automatic adjustment of muscle tone and postural reactions. Because the basic and essential mechanisms that control postural muscle tone and locomotion are located in the brainstem and spinal cord, a variety of locomotor behaviors are achieved by the projections from the forebrain structures (cerebral cortex, basal ganglia, and limbic-hypothalamic systems) and cerebellum to the brainstem-spinal cord. In this short review, we particularly focus on the role of the brainstem and spinal cord in the control of postural muscle tone and generation of locomotor rhythm. Abnormalities in the convergence inputs from the forebrain structures to the brainstem-spinal cord are further discussed in relation to the pathogenesis of disturbances in locomotor control. PMID- 21068449 TI - [Functional roles of spinal reflexes during human locomotor movements]. AB - Understanding of the neural mechanisms underlying locomotion has been rapidly evolving on the basis of ample evidence obtained from chronic and acute experiments on quadrupedal animals. Rhythmic and alternative extensor and flex activity in quadrupedal locomotion is thought to be formed by central pattern generators (CPGs) that are deployed in each joint and located in the spinal cord. Descending inputs from the cerebral cortex, cerebellum, and mesencephalon would induce CPGs to generate and regulate locomotor rhythm and patterns. Afferent inputs would also play an important role in regulating CPGs. In humans, it is extremely difficult to provide direct evidence of CPGs because of experimental limitations. However, substantial evidence showing the existence of CPGs in humans has been provided by experiments investigating infant stepping, patients with spinal cord injuries, and electrical stimulation to the epidural cord. In addition, some reflexes were shown to be strongly controlled by CPGs during locomotor movements. Further investigation of the CPG system in humans would provide useful information for improving physical therapeutic methods and neurorehabilitation training for persons with gait disorder. The present article will review control mechanisms of spinal reflexes by CPGs in humans during locomotor movements. PMID- 21068450 TI - [Cortical control in locomotion]. AB - Although simple in appearance, bipedal (Bp) and even quadrupedal (Qp) locomotion are highly tuned motor behaviors that require coordinated control in the spatial and temporal domains of head, neck, trunk, and limbs. Seamless integration of limb movements and accompanying posture is a crucial determinant for the execution of desired locomotor movements. Recent functional brain imaging studies have shown that multiple cerebral sensorimotor cortices and the cerebellum are highly activated during human BP locomotion, suggesting that humans depend on the cerebrum and cerebellum for the elaboration of Bp locomotion. We have found that a young Japanese monkey, Macaca fuscata, acquires novel Bp walking capability with a long-term locomotor task and physical maturation. This model animal has kinematic features that are common with those of humans. Our imaging study showed that multiple cortical motor related areas are activated during monkey Bp walking, similar to that observed in humans. Furthermore, cortical inactivation studies revealed that each cortical region has an assigned functional role for the elaboration and refinements of its locomotor task. All these results show that selective yet multiple involvement of cortical motor regions are necessary for the elaboration of Bp locomotion in both humans and non-human primate models. Presumably, such multi-faceted recruitment of motor cortices is required to accommodate the limb movement and postural demands for Bp upright standing and walking. To cure locomotor dysfunctions due to CNS impairments, it is necessary to understand the CNS mechanisms involved in fine-tuning of limb movements and accompanying posture. Multi-comparative interdisciplinary studies should be initiated to reveal the CNS mechanisms involved in the control of Bp upright standing and locomotion in humans and non-human primate models. PMID- 21068451 TI - [Mechanisms of locomotor control in the cerebellum]. AB - Animals as well as humans adapt their locomotor patterns to suit different situations. To perform smooth and stable locomotion, they coordinate not only parts of a limb but also different limbs. The cerebellum is important for sensorimotor control and plays a crucial role in intra- and inter-limb coordination. Cerebellar gait ataxia is characterized by postural deficiencies and decomposition of movements. During locomotion, the vermis and the intermediate region of the cerebellum receive information through the spinocerebellar pathways about the ongoing activities in the spinal stepping generator and the somatosensory receptors. The information is conveyed by mossy fiber afferents to Purkinje neurons via granule cells and their axons, i.e., parallel fibers. Purkinje neurons transform the mossy fiber input signals to output signals that in turn modulate activities in the brainstem descending tract neurons of the brainstem that are involved in locomotion. Further, Purkinje neurons receive enhanced climbing fiber signals during perturbed locomotion. These climbing fiber signals may induce synaptic plasticity at the parallel fiber Purkinje neuron synapses. Long-term depression (LTD) occurs in parallel fiber Purkinje neuron synapses and is regarded as the cellular basis for the learning mechanism of the cerebellar neuronal circuit. The activation of parallel fibers releases glutamate and nitric oxide, and the released glutamate activates the glutamate receptors in the Purkinje neurons. mGluR1, a subtype of the metabotropic glutamate receptors, is highly expressed in Purkinje neurons. In addition, delta 2 glutamate receptor is expressed in only Purkinje neurons throughout the brain. Genetically targeted mice for these glutamate receptors and/or pharmacological blocking studies have been promoted to determine the functional linkage between the molecules at the cellular level and the adaptability of locomotion at the behavioral level. This article highlights some recent advances in the understanding of the role played by the cerebellum in the adaptive control of locomotion. PMID- 21068452 TI - [The functional significance of the basal ganglia-thalamo-cortical loop in gait control in humans: a neuroimaging approach]. AB - The basic locomotor modules located in the brainstem and the spinal cord can control elements of gait movement independently from other structures. Although these locomotor modules are considered to be acquired innately, their higher level control is important in primates, especially in humans, who employ bipedal gait to adapt to the external environment. The basal ganglia-thalamo-cortical loops are involved in higher-leveled gait control. These loops are composed of several parallel, segregated, and functionally distinct, but homologous, neural circuits. The motor loop originates from the motor cortices, namely, the primary motor cortex, supplementary motor area (SMA), and lateral premotor cortex, and projects to the somatomotor region of the basal ganglia, thereby controlling voluntary movement. Dysfunction of the basal ganglia-thalamo-SMA loop results in gait disturbance in Parkinson disease and vascular parkinsonism. The dysfunction of the SMA loop could be compensated for by the activation of the lateral premotor cortex, the function of which appears to be preserved under appropriate external stimuli in parkinsonian patients. PMID- 21068453 TI - [Walking mechanism embedded in body structure]. AB - In this note, we consider the control system of a biological system. Further, we point out the existence of the problem of indivisibility in the control system. To understand the principle of mobile adaptability embedded in the control system, we must solve the problem of indivisibility. To solve this problem, we propose the concept of an implicit control law. In addition to this proposal, we consider the usual explicit control law. Next, we demonstrate an example of the implicit control law embedded in the problem of a passive dynamic walking system. Finally, we state that the intelligence of the biological system must be constructed using both the explicit and the implicit control laws. PMID- 21068454 TI - [A model of bipedal walking adaptable to an unpredictably dynamic environment]. AB - Modern science has been developed through concept of subject-object separation. That is, nature has been cordoned off from human beings and objectified. We have attempted to discover ideal world laws wherein we can consider nature as homogeneous. The real world, however, is by far more complicated than what natural sciences have so far been able to decipher. There are many problems that cannot be effectively addressed with the existing scientific technology. Because the real world is so unpredictable and dynamic, it is impossible to objectify it in advance and apply traditional methodology. This real world problem arises especially in information processing systems, that is, the recognition and the motion control systems coping with the real world. The current information systems can only handle explicit and complete information. Life is an intrinsic part of nature. To be both pliant and sturdy in a complex environment requires autonomy capable of creating the information needed to control the self. It forms the premise for the cognizance and control of life systems that exist in reality. To "live," a life system must independently forge a harmonious relationship with an unlimited environment. It requires that the life system be capable of creating the information necessary for self-control. It is this autonomy that clearly distinguishes the world of life systems from the physical world. Here, we will show an example of adaptive bipedal walking under an indefinite environment. PMID- 21068455 TI - [Computer modeling and simulation of bipedal walking in the Japanese macaque]. AB - Bipedal walking of the Japanese macaque has recently emerged as an important paradigm for understanding the evolution and neuro-control mechanisms of human bipedal locomotion. However, locomotion is a very complex mechanical phenomenon that is generated by coordinated dynamic interactions among the sensory-motor nervous system, musculoskeletal system, and the physical world. To understand how biomechanical facilitation of locomotor function by the musculoskeletal system and locomotor muscle activation by the nervous system coordinate to generate adaptable locomotion, constructive simulation studies of locomotion based on an anatomical neuro-musculoskeletal model are essential. This review provides an overview of development of a 3-dimensional whole-body musculoskeletal model and computer simulation of bipedal walking in the Japanese macaque with a physiological model of the neuro-control system. PMID- 21068456 TI - [Gait disturbances related to dysfunction of the cerebral cortex and basal ganglia]. AB - This review aimed to characterize the gait disturbances in Parkinson disease (PD) and highlight how a rehabilitation program would affect the care of patients with PD. The typical PD gait is a type of hypokinetic gait characterized by reduced stride length and velocity; shortening of the swing phase; and increase in the stance phase, double-limb support duration, and cadence rate. In the advanced phase of PD, start hesitation, shuffling and festinating gait, propulsion, and freezing of gait (FOG) become remarkable. Notably, in PD, attention may influence gait control, and sensory cueing may improve the stride length. Our study on gait impairment in PD by using a three-dimensional motion analysis system revealed that the stride length and walking speed decreased, but there was no change in cadence. The decreased stride length was due to reduction in the range of movement at the leg and pelvic joints. A 4-week physical rehabilitation program for PD improved the stride length and walking speed;this was achieved by increasing the range of movement of at the leg and pelvic joints. We also assessed the effects of a rehabilitation program for patients with PD who experienced FOG. Although the lower limb function was more impaired in patients with PD and FOG than in those with PD without FOG, the rehabilitation program was effective even for patients with PD and FOG. FOG might be associated with functional impairment of the lower limb as well as dysfunction of the fronto basal ganglia circuit. We also reported 3 cases of camptocormia (bent spine syndrome) with autonomic dysfunction and rapid eye movement (REM) sleep behavior disorders (RBD) and compared their symptoms with those reported elsewhere. We think that the pedunculopontine nuclear area may control the postural muscle tone and locomotion in PD. On the basis of the results of our rehabilitation programs, we speculate that physical modalities may modify synaptic plasticity by utilizing the cerebellar and/or afferent sensory system. These alternative systems are believed to be functionally intact in patients with PD. PMID- 21068457 TI - [Cerebellar ataxic gait]. AB - In this review, we have mainly discussed the cerebellar ataxic gait. The cerebellum can be divided into 3 phylogenically different lobes: the archicerebellum, paleocerebellum, and neocerebellum. The main components of the cerebellar circuit are 2 types of neurons, i.e., the Purkinje cells and granule cells and 3 types of fibers, i.e., mossy fibers, climbing fibers (cerebellar afferent fibers), and parallel fibers (axons of granule cells) Theoretically, cerebellar ataxia is considered to be caused by any lesions that develop within this circuit. Before diagnosing any symptoms as ataxia, we should first exclude weakness, sensory disturbances or vestibular dysfunction to explain those symptoms. Cerebellar ataxia usually causes several neurological deficits such as antagonist hypotonia, asynergy, dysmetria, dyschronometria, and dysdiadochokinesia. Ataxic gait is one of the cardinal features of the cerebellar symptoms. The clinical features of cerebellar ataxic gait usually include a widened base, unsteadiness and irregularity of steps, and lateral veering. Locomotion in individuals with cerebellar ataxia is characterized by a significantly reduced step frequency with a prolonged stance and double limb support duration. All gait measurements are highly variable in cerebellar ataxia. The characteristic clinical features of several cerebellar diseases have been summarized in this review. Even though the rehabilitation for cerebellar ataxia is not fully supported by much enough clinical evidence, repeated motor training, bandages or light weights has sometimes beneficial effects on ataxic limbs. PMID- 21068458 TI - [Walking abnormalities in children]. AB - Walking is a spontaneous movement termed locomotion that is promoted by activation of antigravity muscles by serotonergic (5HT) neurons. Development of antigravity activity follows 3 developmental epochs of the sleep-wake (S-W) cycle and is modulated by particular 5HT neurons in each epoch. Activation of antigravity activities occurs in the first epoch (around the age of 3 to 4 months) as restriction of atonia in rapid eye movement (REM) stage and development of circadian S-W cycle. These activities strengthen in the second epoch, with modulation of day-time sleep and induction of crawling around the age of 8 months and induction of walking by 1 year. Around the age of 1 year 6 months, absence of guarded walking and interlimb cordination is observed along with modulation of day-time sleep to once in the afternoon. Bipedal walking in upright position occurs in the third epoch, with development of a biphasic S-W cycle by the age of 4-5 years. Patients with infantile autism (IA), Rett syndrome (RTT), or Tourette syndrome (TS) show failure in the development of the first, second, or third epoch, respectively. Patients with IA fail to develop interlimb coordination; those with RTT, crawling and walking; and those with TS, walking in upright posture. Basic pathophysiology underlying these condition is failure in restricting atonia in REM stage; this induces dysfunction of the pedunculopontine nucleus and consequently dys- or hypofunction of the dopamine (DA) neurons. DA hypofunction in the developing brain, associated with compensatory upward regulation of the DA receptors causes psychobehavioral disorders in infancy (IA), failure in synaptogenesis in the frontal cortex and functional development of the motor and associate cortexes in late infancy through the basal ganglia (RTT), and failure in functional development of the prefrontal cortex through the basal ganglia (TS). Further, locomotion failure in early childhood causes failure in development of functional specialization of the cortex through the spinal stepping generator-fastigial nucleus-thalamus-cortex pathway. Early detection of locomotion failure and early adjustment of this condition through environmental factors can prevent the development of higher cortical dysfunction. PMID- 21068459 TI - [Gait disturbance and deep brain stimulation]. AB - Gait disturbance, one of the axial symptoms, is caused by various disorders, including basal ganglia disease. Deep brain stimulation (DBS) has widened the spectrum of therapeutic options for patients with gait disturbance due to Parkinson disease and dystonia. In gait disturbance caused by basal ganglia disease, the main targets of DBS are the subthalamic nucleus (STN) and globus pallidus internus (GPi). STN DBS is more than GPi DBS effective for treating levodopa-responsive parkinsonian symptoms, including gait disturbance. GPi DBS is effective for the treatment of primary segmental or generalized dystonia. The pedunculopontine tegmental nucleus (PPN), which is involved in locomotion, is one of the new targets for treating gait disturbance in Parkinson disease. We review DBS in the treatment of gait disturbance due to Parkinson disease and dystonia. PMID- 21068460 TI - [Development of a reflex electrical stimulation device to assist walking]. AB - This paper is a summary of the biofeedback technology for the reflex electrical stimulation device to assist walking. The experiments showed that electrical stimulation resulted in prominent stimulation with less habituation. The research elements were an input-type brain machine interface (BMI), functional magnetic resonance imaging (f-MRI) analysis to detect brain activity, multi-channel electrical stimulation, reflex stimulation for muscle contraction, and an adaptive rehabilitation fitting to the walking gate. The results showed that neuro rehabilitation may be attained by the integration of these research elements. PMID- 21068461 TI - [Rehabilitation of stroke patients with gait disturbance]. AB - Abstract Most stroke patients who have lost the ability to walking hope to recover this walking ability. In such cases, generation of adaptive gait patterns and development of realistic walking systems could aid in the performance of various activities. It is reported that the adaptive gait control patterns are generated by various neural domains, including the cerebral cortex, brainstem, and spinal cord, and are modurated by the basal ganglia and cerebellum. Walking dysfunctions after stroke are caused by abnormal reactivity, perception, cognition, plan for the locomotion and its constitution, muscle tone, and attitudinal reflexes. These abnormalities adversely affect posture and movement pattern, and give rise to dysrhythmia. Additionally, stroke patients suffer from disorders of higher brain functions. Thus, it is more difficult to generate adaptive gait patterns in such patients. However, there are few studies on concrete rehabilitation programs for patients with adaptive gait disturbance. In this paper, we introduce constitutive treatment methods for the recovery of walking function in our clinical setting and the task-oriented rehabilitation strategies for adaptation of realistic walking systems. PMID- 21068462 TI - [A recalcitrant case of encephalitis with voltage-gated potassium channel antibodies]. AB - We report the case of a 65-year-old man who had encephalitis with a high titer of voltage-gated potassium channel antibodies (VGKC-Abs). His initial symptoms included memory disturbance, confusion, and seizures. Laboratory tests revealed a low plasma sodium concentration and a strong positive result for VGKC-Abs. A diffusion-weighted magnetic resonance imaging (MRI) scan showed a high intensity lesion within the right basal ganglia, which later showed normal intensity. The patient's initial symptoms resolved without any treatment. During the first relapse, the patient experienced consciousness disturbance and an increased number of seizures than that observed initially. A diffusion weighted MRI scan showed a high intensity lesion within the right hippocampus, and a fluid attenuated inversion recovery (FLAIR) weighted MRI scan showed high intensity lesions within the right hippocampus, right thalamus, and pons. The patient's symptoms and the MRI abnormalities resolved with prednisolone therapy. During the second relapse, he again experienced consciousness disturbance and an increased number of seizures than that observed initially. Diffusion-and FLAIR weighted MRI scans showed high intensity lesions within the right thalamus. However, the array of immunosuppressive treatments used during the first relapse was not as effective during the second relapse. The serum VGKC-Ab titers before steroid therapy during the first relapse and after immunosuppressive treatment during the second relapse were 1,252 pmol/L and 22.4 pmol/L, respectively. Brain MRI revealed signal changes in the basal ganglia at the onset of disease, in the limbic area during the first relapse, and in the thalamus during the second relapse. VGKC-Ab-associated encephalopathy is usually considered a benign autoimmune disorder; however, in our case, the encephalitis gradually became intractable to various immunosuppressive treatments, and unique MRI abnormalities were observed. PMID- 21068463 TI - Visualization and analysis of a cardio vascular disease- and MUPP1-related biological network combining text mining and data warehouse approaches. AB - Detailed investigation of socially important diseases with modern experimental methods has resulted in the generation of large volume of valuable data. However, analysis and interpretation of this data needs application of efficient computational techniques and systems biology approaches. In particular, the techniques allowing the reconstruction of associative networks of various biological objects and events can be useful. In this publication, the combination of different techniques to create such a network associated with an abstract cell environment is discussed in order to gain insights into the functional as well as spatial interrelationships. It is shown that experimentally gained knowledge enriched with data warehouse content and text mining data can be used for the reconstruction and localization of a cardiovascular disease developing network beginning with MUPP1/MPDZ (multi-PDZ domain protein). PMID- 21068464 TI - Downregulation of Focal Adhesion Kinase (FAK) by cord blood stem cells inhibits angiogenesis in glioblastoma. AB - Angiogenesis involves the formation of new blood vessels by rerouting or remodeling existing ones and is believed to be the primary method of vessel formation in gliomas. To study the mechanisms by which angiogenesis of glioma cells can be inhibited by human umbilical cord blood stem cells (hUCBSC), we studied two glioma cell lines (SNB19, U251) and a glioma xenograft cell line (5310) alone and in co-culture with hUCBSC. Conditioned media from co-cultures of glioma cells with hUCBSC showed reduced angiogenesis as evaluated by in vitro angiogenesis assay using HMEC cells. Reduction in angiogenesis was associated with downregulation of FAK and integrin alphavbeta3 in the co-cultures of glioma cells. Downregulation of FAK gene is correlated with downregulation of many angiogenesis-related genes, including Ang1, VEGFA and Akt. Under in vivo conditions, neovascularization by glioma cells was inhibited by hUCBSC. Further, intracranial tumor growth was inhibited by hUCBSC in athymic nude mice. Similar to in vitro results, we observed downregulation of FAK, VEGF and Akt molecules to inhibit angiogenesis in the hUCBSC-treated nude mice brains. Taken together, our results suggest that hUCBSC have the potential to inhibit the angiogenesis of glioma cells both in vitro and in vivo. PMID- 21068465 TI - CENP-A, a protein required for chromosome segregation in mitosis, declines with age in islet but not exocrine cells. AB - Beta-cell replication dramatically declines with age. Here, we report that the level of CENP-A, a protein required for cell division, declines precipitously with age in an islet-specific manner. CENP-A is essentially undetectable after age 29 in humans. However, exocrine cells retain CENP-A expression. The decline in islet-cell CENP-A expression is more striking in humans than in mice, where CENP-A expression continues to be detectable at low levels even in elderly mice. The mechanism by which CENP-A declines appears to be post-transcriptional, as there was no correlation between CENP-A mRNA levels and age or islet purity. This finding has implications for efforts to induce beta-cell replication as a treatment for diabetes. PMID- 21068466 TI - O-GlcNAc and aging: C. elegans as a genetic model to test O-GlcNAc roles in type II diabetic insulin resistance. PMID- 21068467 TI - Individual patterns in aging: monkey's lessons. PMID- 21068468 TI - The p53 inducing drug dosage may determine quiescence or senescence. PMID- 21068469 TI - Parametric study of the swimming performance of a fish robot propelled by a flexible caudal fin. AB - In this paper, we aim to study the swimming performance of fish robots by using a statistical approach. A fish robot employing a carangiform swimming mode had been used as an experimental platform for the performance study. The experiments conducted aim to investigate the effect of various design parameters on the thrust capability of the fish robot with a flexible caudal fin. The controllable parameters associated with the fin include frequency, amplitude of oscillation, aspect ratio and the rigidity of the caudal fin. The significance of these parameters was determined in the first set of experiments by using a statistical approach. A more detailed parametric experimental study was then conducted with only those significant parameters. As a result, the parametric study could be completed with a reduced number of experiments and time spent. With the obtained experimental result, we were able to understand the relationship between various parameters and a possible adjustment of parameters to obtain a higher thrust. The proposed statistical method for experimentation provides an objective and thorough analysis of the effects of individual or combinations of parameters on the swimming performance. Such an efficient experimental design helps to optimize the process and determine factors that influence variability. PMID- 21068470 TI - Biologically inspired coupled antenna beampattern design. AB - We propose to design a small-size transmission-coupled antenna array, and corresponding radiation pattern, having high performance inspired by the female Ormia ochracea's coupled ears. For reproduction purposes, the female Ormia is able to locate male crickets' call accurately despite the small distance between its ears compared with the incoming wavelength. This phenomenon has been explained by the mechanical coupling between the Ormia's ears, which has been modeled by a pair of differential equations. In this paper, we first solve these differential equations governing the Ormia ochracea's ear response, and convert the response to the pre-specified radio frequencies. We then apply the converted response of the biological coupling in the array factor of a uniform linear array composed of finite-length dipole antennas, and also include the undesired electromagnetic coupling due to the proximity of the elements. Moreover, we propose an algorithm to optimally choose the biologically inspired coupling for maximum array performance. In our numerical examples, we compute the radiation intensity of the designed system for binomial and uniform ordinary end-fire arrays, and demonstrate the improvement in the half-power beamwidth, sidelobe suppression and directivity of the radiation pattern due to the biologically inspired coupling. PMID- 21068471 TI - Metabolic syndrome: what are the risks for humans? AB - Metabolic syndrome (MetS) is a widely prevalent and multi-factorial disorder. The syndrome has been given several names such as insulin resistance (IR) syndrome, plurimetabolic syndrome, Reaven's syndrome, Syndrome X, and the deadly quartet. The formulation of National Cholesterol Education Program Adult Treatment Panel III (NCEP-ATP) guidelines has led to some uniformity and standardization of the definition of MetS and has been helpful epidemiologically. The clinical relevance of MetS is related to its role in the development of cardiovascular disease. Weight reduction is one of the mainstays of treatment. This article provides a comprehensive discussion of metabolic risk factors, the history of MetS, and its diagnosis, epidemiology, etiology, pathophysiology, and treatment. There is a need to comprehensively review this particular syndrome in view of the ever increasing-incidence of this condition. PMID- 21068472 TI - The treatment effect of the burn wound healing by electrolytic-reduction ion water lotion combination therapy. Part 2: Two degree burn of forearm to the dorsum of the hand. AB - Patient 1: A 1-year-and-3-month-old boy suffered a burn injury extending from the left forearm to hand due to boiling water. An extensive skin defect from the left forearm to the dorsum of the hand was observed, and an IIb-III degree burn was diagnosed. Treatment of the burn was started with the application of electrolytic reduction ion water (ERI) lotion, antibiotic/steroid combination ointment, and vitamin A/E ointment with wrap therapy. Two days after the initiation of therapy, redness and swelling were still observed despite a slight decrease in swelling. After 21 days, skin redness decreased, and there was no functional impairment. After 74 days, the skin color was almost normal, and no functional impairment was observed, showing a favorable course. Patient 2: An 8.5-month-old girl suffered a burn injury extending from the fingers to dorsum of the right hand and right wrist due to boiling water. There was an extensive skin defect accompanied by bulla formation extending from the fingers and dorsum of the right hand. An II degree burn was diagnosed. Treatment of the burn was started treatment similar to the Patient 1. Bulla decreased, but redness and swelling were still present 2-8 days after the initiation of therapy. After 16-25 days, both skin redness and swelling decreased. After 30 days, the epithelialization of the dorsum of the hand had almost completed. After 60 days, the skin color was nearly normal, and there was no functional impairment, showing a favorable course. In these patients, burn wounds completely healed without hypertrophic or keloid scar formation or pigmentation. These results suggest that extensive II-III burns can be adequately treated by this topical therapy. PMID- 21068473 TI - Health resource allocation and productive efficiency of Chinese county hospitals: data from 1993 to 2005. AB - This study aims to assess trends in the productive efficiency of China's county hospitals during the economic transition using data from 1993 to 2005. A data envelopment analysis (DEA) framework was used to calculate the efficiency score of county hospitals in all 31 provinces. A C2R model and a BC2 model were devised to respectively calculate overall and scale efficiency and pure technical efficiency at the hospital's current scale. Models included four inputs (number of medical staff; number of beds; value of fixed capital; and hospital expenditures) and three outputs (outpatient and emergency visits, number of inpatients, and hospital revenue) in total. As the results, geographical disparities in health resource allocation and county hospital productivity were noted. From 1993 to 2005, the number of county hospitals increased and their inputs, e.g. fixed capital in particular, grew rapidly. However, the amount of both outpatient and inpatient services declined somewhat especially in the middle and the western regions. The overall efficiency at the national level decreased slightly. County hospitals in the eastern region tended to have better overall, scale, and technical efficiency in comparison to the middle and the western regions. In conclusion, county hospitals are inefficient due to their enlarged scale and the reduced amount of health care services they provide. This issue should be addressed especially in the middle and the western regions, where health resources are far more limited and yet wasted. The effects of ongoing health sector reform on the productivity of county hospitals must be monitored and evaluated. PMID- 21068474 TI - Association between the serum folate levels and tea consumption during pregnancy. AB - Folate is a vital nutrient during pregnancy for the prevention of neural tube defects, intrauterine fetal growth restriction and preeclampsia. Circulating folate levels might be negatively affected by ()-epigallocatechin gallate, which is a tea catechin found in green tea and oolong tea. The aim of this study was to determine whether consumption of green tea or oolong tea was associated with circulating folate levels among pregnant women in Japan. Two hundred and fifty four healthy women with a singleton pregnancy (age: 30.4 +/- 4.7, gestational age: 27.5 +/- 9.6 weeks) were recruited from a prenatal clinic in metropolitan Tokyo, Japan. The serum folate levels were measured. Nutrient intake was assessed using a self-administered diet history questionnaire. Information on lifestyle variables was obtained from the questionnaire. The high consumption of green tea or oolong tea was defined as consumption more than 57.3 mL per 1,000 kcal, which is the 75th percentile of participants. The serum folate levels of the participants with high consumption of green tea or oolong tea was significantly lower than those of others (p = 0.027). A multiple regression analysis revealed the high consumption of green tea or oolong tea to be associated with a low serum folate level during pregnancy, after adjusting for confounding variables including dietary folate intake and use of folic acid supplements or multivitamins (beta = -0.131, p = 0.016). The association between folate and the consumption of green tea or oolong tea may be useful to clarify the mechanism which links adverse perinatal outcomes and tea consumption. PMID- 21068475 TI - Nurse risk managers' criteria for dealing with near-miss events. AB - From the preventive point of view, it is very valuable for Japanese hospital safety managers to select important cases not only from among accident events, but also from near-miss events that involve errors that may result in the occurrence of future serious adverse events. The objective of this study is to investigate factors that determine the type of analysis that applies to hypothetical near-miss events. We sent self-administered questionnaires to 393 nurse risk managers from general hospitals in Japan. Hypothetical near-miss events were presented, and respondents assessed hypothetical events. Type of Analysis, Probability, Organizational Risk (effect on reputation and effect on cost), and Severity (possibility of harm, degree of harm, possibility of recovery, and possibility of delayed discharge) were included in the questionnaire. Response rate was 47.3% (186/393) and finally 175 nurses are analyzed. The respondents were 58 full-time safety managers (33.1%) and 117 who were safety managers concurrently with other work (66.9%). As a result of logistic regression analysis, probability, effect on reputation, possibility of harm and possibility of delayed discharge were significant (p < 0.05). Japanese safety managers consider near-miss events that have a lower probability to be more important. This finding differs from existing prioritization systems that were principally made for actual adverse events. It may suggest the problem of uncritically applying scales for accident events to near-miss events. PMID- 21068476 TI - Classification of hard core and petty criminals using anthropometric measurements. AB - The purpose of the present study was to compare the somatometric measurements among hard core criminals, petty criminals and community people. Using standard anthropometric procedures, somatometric dimensions were studied on 250 subjects each from the three groups: (i) experimental (hard core criminal) group, (ii) control-I (petty criminal) group, and (iii) control-II (community people) group. Univariate analysis of variance was used for making comparisons of somatometric measurements between these groups in the univariate case. Quadratic discriminant analysis (QDA) was used to develop a model based on measurements that classifies the cases into groups. The study revealed that the somatometric measurements such as morphological total facial height (p < 0.01), physiognomic total facial height (p = 0.015), nasal length (p = 0.001), height of lower face (p = 0.001), nasal depth (p = 0.002), sitting height vertex (p = 0.011), bigonial breadth (p < 0.001), maximum head breadth (p = 0.001), morphological upper facial height (p < 0.001), and physiognomic ear breadth (p = 0.039) were significantly different between the three groups. Morphological upper facial height, physiognomic total facial height, nasal length and height of lower face could be used as identifying factors for hard core criminals. Morphological total facial height, physiognomic upper facial height, physiognomic ear breadth, and sitting height were found different significantly in the experimental group as compared to the control-II group. The QDA provided an overall 72.4% correct classification of cases and 74.5%, 69.6%, and 72.9% correct classification for the experimental, control-I and control-II groups, respectively. The blurred distinction of the three groups could be explained by using the QDA model. PMID- 21068477 TI - Unconjugated bilirubin modulates nitric oxide production via iNOS regulation. AB - To induce the in vitro endothelial dysfunction model, H5V cells were treated with tumor necrosis factor alpha (TNFalpha) and with unconjugated bilirubin (UCB) at two different physiological concentrations. The TNFalpha-induced reduction of nitric oxide (NO) concentration was reversed by UCB. Endothelial NO synthase (eNOS) gene expression was not influenced by treatments while inducible NO synthase (iNOS) expression was increased at 24 h. Co-treatment of H5V cells with pyrrolidine dithiocarbamate, TNFalpha (20 ng/mL) and UCB (Bf 15 or 30 nM) for 2 h caused a significant reduction of iNOS gene expression. We conclude that at physiological concentrations UCB prevents endothelial dysfunction by modulating NO concentration probably through inhibition of NF-kappaB. PMID- 21068478 TI - Genetic diversity of the Helicobacter pylori sialic acid-binding adhesin (sabA) gene. AB - A putative virulence factor, SabA, a sialic acid-binding adhesin, has recently been characterized in Helicobacter pylori from European isolates. However, little genetic information is available for sabA genes in strains isolated from Japanese patients. Here, we investigated the presence of the sabA gene in 23 H. pylori clinical isolates using polymerase chain reaction detection. It was found that 91.3% of H. pylori isolates examined contain the sabA gene. Sequence comparison and phylogenetic analysis based on the deduced amino acid sequence of sabA in nine H. pylori isolates from Japanese patients and three H. pylori strains from Western individuals suggested that sabA is genetically diverse and the clustering of the strains based on SabA is related to their geographical origin. It needs to be further assessed whether the genetic diversity of sabA is associated with the clinical outcomes of H. pylori infection. PMID- 21068479 TI - Inhibition of C35 gene expression by small interfering RNA induces apoptosis of breast cancer cells. AB - C35 was reported to be a new biomarker and therapeutic target for breast cancer. To explore the functional importance of C35, we constructed small interfering RNA (siRNA) targeting C35 and investigated the effects of the siRNAs on C35 expression and apoptosis of T47D cells. C35 siRNAs were constructed and named psiRNA-C35-1 and psiRNA-C35-2. Reverse transcription-polymerase chain reaction (RT-PCR) and Western blots were used to detect the effects of the siRNAs on mRNA and protein expression of C35 in T47D cells. The effects of the two siRNAs on apoptosis of T47D cells were detected by flow cytometry and terminal dUTP nicked end labelling assays. Also, the apoptosis related molecule caspase-3 was detected using Western blots. The psiRNA-C35-1 and psiRNA-C35-2 siRNAs were verified by both EcoR I/Hind III digestion analysis and automated DNA sequencing. RT-PCRs and Western blots showed that C35 mRNA and protein expression in T47D cells were obviously inhibited after psiRNA-C35-1 and psiRNA-C35-2 transfection. Flow cytometry and terminal dUTP nicked-end labelling assays showed that apoptosis of T47D cells was significantly induced after transfection with psiRNA-C35-1 and psiRNA-C35-2 (p < 0.05). Also, caspase-3 expression in the psiRNA-C35-1 and psiRNA-C35-2 transfected cells was obviously higher than that of the Lipofectamine and pTZU6+1 transfected cells. This study showed that apoptosis of T47D cells can be significantly induced by inhibiting C35 expression using siRNAs, which may be caused by activating caspase-3. C35 might play an important role in apoptosis of breast cancer cells, and therapeutic strategies targeting C35 may be useful for breast cancer treatment. PMID- 21068480 TI - M3muscarinic receptors mediate acetylcholine-induced pulmonary vasodilation in pulmonary hypertension. AB - Information about the muscarinic receptor subtype(s) mediating pulmonary circulatory vasodilator responses to acetylcholine (ACh) is limited. The aim of this study was to pharmacologically characterize the muscarinic receptors associated with ACh-induced pulmonary vasodilation in a pulmonary hypertension model. Vasodilation of rabbit isolated buffer-perfused lungs in which pulmonary hypertension was induced with the thromboxane A2 analogue U-46619 was evoked by ACh at a just maximally effective concentration (2 x 10-7 M). The effects of cumulative concentrations of three specific muscarinic receptor subtype antagonists [pirenzepine (M1), methoctramine (M2), and 4-diphenylacetoxy-N methylpiperidine methiodide (4-DAMP, M3] on ACh-induced pulmonary vasodilation were determined. Double vascular occlusion pressure was recorded to locate the muscarinic receptors within the pulmonary vasculature. Based on the 50% inhibitory concentrations (IC50), the rank of order of antagonist potency was 4 DAMP >> pirenzepine > methoctramine. The vascular effects of all three inhibitors were localized to the precapillary segment. These findings suggest that the vasodilator action of ACh on rabbit isolated perfused U-46619 pretreated lungs is mediated by M3 muscarinic receptors located in the pulmonary arterial bed. PMID- 21068481 TI - Clinical benefits of two different dosing schedules of recombinant human erythropoietin in anemic patients with advanced head and neck cancer. AB - A total of 100 patients with stage III or IV head or neck cancer, a performance status of 0-1, and anemia with hemoglobin (Hb) < 10 g/dL at baseline who where to receive chemotherapy concomitantly or sequentially with radiotherapy were randomized to receive either epoetin beta 10,000 IU thrice weekly (TW) (n = 52) and oral iron starting 10-15 days before the start of treatment or epoetin beta 30,000 IU once weekly (OW) (n = 48) and oral iron before the start of treatment. The mean Hb in patients on the thrice weekly (11.96 g/dL) and once weekly (12.50 g/dL) dosing schedules increased significantly (p < 0.01) at the end of the treatment in comparison to respective baseline values of 9.38 g/dL and 9.41 g/dL; levels were 1.2-fold higher, which was significant (p < 0.01), for patients on the once weekly schedule. That said, there was significant improvement (p < 0.01) in mean linear analog scale assessment (LASA) scores for energy level (EL), ability to perform daily activities (AL), and overall quality of life (QOL) for patients on both dosing schedules but these improvements did not differ significantly between schedules (p > 0.05). The 2-year overall survival for patients on both dosing schedules did not differ significantly (p > 0.05). Epoetin beta therapy was found to be equally beneficial and well tolerated for patients on both thrice weekly and once weekly dosing schedules. PMID- 21068482 TI - Efficacy of granulocyte colony stimulating factor as a secondary prophylaxis along with full-dose chemotherapy following a prior cycle of febrile neutropenia. AB - Secondary prophylaxis with recombinant human granulocyte colony stimulating factor (G-CSF) is recommended where patients have experienced febrile neutropenia in an earlier chemotherapy cycle and for whom the maintenance of chemotherapy dose intensity is important; or where febrile neutropenia has not occurred but prolonged neutropenia is causing excessive dose delay or reduction, where maintenance of dose intensity is important. The objective of this study was to determine the efficacy and feasibility of G-CSF as secondary prophylaxis when used along with full dose moderately myelotoxic chemotherapy following a prior cycle with febrile-neutropenia. Fifty-two patients aged 22-75 years with febrile neutropenia that required intravenous antibiotics following moderately myelotoxic chemotherapy were included. These patients received the next cycle of the same chemotherapy regime without dose modification but with support of filgrastim 24 h after completion of chemotherapy (300 MUg/day/subcutaneously (s.c.) for weight < 60 kg, 480 MUg/day/s.c. for weight > 60 kg, for at least 10 consecutive days), patients in whom neutropenia was associated with a life-threatening infection and those who developed prolonged myelosuppression were excluded. The use of the hematopoietic growth factor G-CSF was shown to shorten the neutrophil recovery time, resulting in significant reduction of incidence of febrile neutropenia, hospitalization and use of broad spectrum antibiotics. There was no drug related death or adverse events associated with either cycle. In conclusion, recombinant human G-CSF is effective and relatively safe as a secondary prophylaxis with full dose chemotherapy in patients who develop febrile neutropenia following prior cycles of moderately myelotoxic chemotherapy. PMID- 21068483 TI - Sarcomatous change of hepatocellular carcinoma in a patient undergoing living donor liver transplantation. AB - In a 53-year-old male who received a right liver graft from his son, computed tomography 1 week before living donor liver transplantation (LDLT) revealed three hepatocellular carcinoma (HCC) tumors in the liver that met the Milan criteria. Resected specimen revealed four tumors and microscopically, one of four HCC tumors in the resected whole liver comprised a glandular structure with spindle like cells indicative of a sarcomatous change in HCC. Two hundred and sixty days after LDLT, the patient complained of left meralgia, which was diagnosed as iliac bone metastasis from HCC. Over a period of 3 months, the iliac bone metastasis rapidly enlarged. The tumor aggressively extended into the patient's bone marrow, causing severe pancytopenia. The patient died 371 days after LDLT. This tumor was detected preoperatively by computed tomography but lack of enhancement. These findings indicate that pathologic evaluation of each tumor is a key to predicting an accurate prognosis. PMID- 21068484 TI - Molecular and clinical markers of pancreas cancer. AB - Pancreas cancer has the worst prognosis of any solid tumor but is potentially treatable if it is diagnosed at an early stage. Thus there is critical interest in delineating clinical and molecular markers of incipient disease. The currently available biomarker, CA 19-9, has an inadequate sensitivity and specificity to achieve this objective. Diabetes mellitus, tobacco use, and chronic pancreatitis are associated with pancreas cancer. However, screening is currently only recommended in those with hereditary pancreatitis and genetic syndromes which predispose to cancer. Ongoing work to identify early markers of pancreas cancer consists of high throughput discovery methods including gene arrays and proteomics as well as hypothesis driven methods. While several promising candidates have been identified none has yet been convincingly proven to be better than CA 19-9. New methods including endoscopic ultrasound are improving detection of pancreas cancer and are being used to acquire tissue for biomarker discovery. PMID- 21068485 TI - Controversies in the etiologies of acute pancreatitis. PMID- 21068486 TI - The role of non-operative strategies in the management of severe acute pancreatitis. AB - CONTEXT: Non-operative strategies are gaining preference in the management of patients with severe acute pancreatitis. OBJECTIVE: The present study was undertaken to evaluate the efficacy of a non-operative approach, including percutaneous drainage, in the management of severe acute pancreatitis. DESIGN: Prospective study. SETTING: Tertiary care centre in India. PATIENTS: Fifty consecutive patients with severe acute pancreatitis were managed in an intensive care unit. INTERVENTIONS: The patients were initially managed conservatively. Those with 5 cm, or more, of fluid collection having fever, leukocytosis or organ failure underwent percutaneous catheter drainage using a 10 Fr catheter. Those not responding underwent a necrosectomy. Depending on the outcome of their supportive care, the patients were divided into three groups: those responding to intensive care, those needing percutaneous catheter drainage and those requiring surgical intervention. Twelve patients were managed conservatively (Group 1) while 24 underwent percutaneous catheter drainage (Group 2), 9 of whom were not operated (Group 2a) and 15 of whom underwent necrosectomy (Group 2b). Fourteen patients were operated on directly (Group 3). MAIN OUTCOME MEASURES: Hospital stay, intensive care unit stay, and mortality. RESULTS: Among patients requiring surgery, the patients in Group 2b had a shorter intensive care unit stay (22.1+/ 11.1 days) as compared to the patients in Group 3 (25.0+/-15.6 days) and a longer interval to surgery, 30.7+/-8.9 days versus 25.4+/-8.5 days. However, these differences did not reach statistical significance (P=0.705 and P=0.133, respectively). The two groups did not differ in terms of mortality (5/15 versus 3/14; P=0.682). CONCLUSION: The use of percutaneous catheter drainage helped avoid or delay surgery in two-fifths of the patients with severe acute pancreatitis. PMID- 21068488 TI - Palliative treatment of obstructive jaundice in patients with carcinoma of the pancreatic head or distal biliary tree. Endoscopic stent placement vs. hepaticojejunostomy. AB - CONTEXT: Palliative procedures play an important role in the treatment of malignancies of the pancreatic head/distal biliary tree, as only 20-30% can be cured by surgical resection. OBJECTIVE: We sought to determine if surgical or non surgical management was the most appropriate therapy for the treatment of obstructive jaundice in the palliative setting. SETTING: High volume center for pancreatic surgery. PATIENTS: Analysis of 342 palliatively-treated patients with adenocarcinoma of the pancreatic head or the distal biliary tree. MAIN OUTCOME MEASURES: We studied the outcomes with regard to treatment, complications and survival times. DESIGN: The patients were divided into three groups. Group 1: endoscopic bile duct endoprosthesis (no. 138, 56%); Group 2: preoperative stenting followed by laparotomy (if patients were found to be unresectable, palliative hepaticojejunostomy was performed) (no. 68, 28%); Group 3: hepaticojejunostomy without preoperative stenting (no. 41, 16%). We also determined the frequency of re-hospitalization for recurrent jaundice. RESULTS: Two hundred and sixty-one (76%) patients showed obstructive jaundice. Mortality in Groups 1, 2, and 3 was 2.2%, 0%, and 2.4%, respectively and morbidity was 5.1%, 17.6%, and 14.6%, respectively. The mean interval between stent exchanges was 70.8 days. Median survival for patients treated only with an endoscopic stent (Group 1) was significantly shorter than that of patients who were first stented and subsequently treated with hepaticojejunostomy (Group 2) (5.1 vs. 9.4 months; P<0.001). CONCLUSIONS: Hepaticojejunostomy can be performed with satisfactory operative results and acceptable morbidity. Considering that biliary stents can occlude, a hepaticojejunostomy may be superior to endoscopic stenting; hepaticojejunostomy should be especially favored in patients whose disease is first found to be unresectable intraoperatively. PMID- 21068489 TI - Hydatid cyst of the pancreas. An experience with six cases. AB - CONTEXT: The pancreas is an infrequent site of hydatid disease. OBJECTIVE: This study aims at giving better insight into the diagnostic and managerial approach to the disease. PATIENTS: Six patients with hydatid cysts of the pancreas. DESIGN: Retrospective review of the clinical records. RESULTS: The six patients (four men, two women) ranged in age from 18 to 68 years. Five of the cysts were primary while one had an associated cyst in the liver. Abdominal pain, vomiting, abdominal mass and dyspeptic symptoms were seen in cysts involving the body and tail. Two patients having cysts in the head of the pancreas presented with obstructive jaundice. An indirect hemagglutination test and an enzyme-linked immunoabsorbent assay were positive for the presence of specific hydatid antibodies in four patients. Abdominal ultrasonography, computed tomography and magnetic resonance cholangiopancreatography (MRCP) successfully imaged the cysts and also defined the relationship of the lesion with the pancreatic duct. All patients underwent surgical exploration. Three patients had intraoperative fine needle aspiration cytology of the cystic lesion for microscopic and electrolyte analysis. A preoperative diagnosis was possible in two patients and, in the other four, the diagnosis was made intraoperatively and confirmed on histopathological examination. PATIENTS: with cysts located in the tail underwent a distal pancreatectomy with a splenectomy while those with cysts in the body had a pericystectomy or central pancreatectomy. Cysts of the head were treated with evacuation, partial cystectomy and tube drainage. There were no postoperative complications, and no evidence of cyst recurrence was observed during the follow up period. All the patients were followed up at three-month intervals with a mean follow-up time of 58.7 months (rang: 4-120 months); no patient had cyst recurrence or dissemination. CONCLUSION: A hydatid cyst is an uncommon cause of cystic lesions in the pancreas and should be included in the differential diagnosis of cystic lesions of the pancreas, especially in endemic areas. Intraoperative fine needle aspirate for microscopic and electrolyte estimation seems to be an effective method for establishing a proper diagnosis. MRCP, which can depict the communication of the cystic lesion with the pancreatic duct, helps in defining the type of surgical treatment. Cysts in body and tail are best treated by resectional methods whereas, for those in the head region, a cystectomy with simple drainage is a simple, quick and effective solution. PMID- 21068487 TI - EUS-FNA versus biliary brushings and assessment of simultaneous performance in jaundiced patients with suspected malignant obstruction. AB - CONTEXT: Individuals with suspected malignant biliary obstruction commonly undergo ERCP for drainage and tissue sampling via biliary brushings. EUS with EUS FNA facilitates staging and potentially more accurate tissue sampling. OBJECTIVE: The aim is to compare the diagnostic performance of EUS-FNA and ERCP with biliary brushings (ERCP-BB) in the diagnosis of pancreatobiliary carcinoma and the utility of combining the two procedures under conscious sedation. DESIGN: Retrospective analysis of a prospectively maintained database. PATIENTS: Thirty seven patients with suspected malignant obstructive jaundice underwent 39 paired procedures, either combined (n=22) or within a few days (n=17). RESULTS: Using strict cytological criteria the sensitivity of EUS-FNA in the diagnosis of malignancy was 52.9% (95% CI: 35.1-70.2%) versus 29.4% (95% CI: 15.1-47.5%) for ERCP-BB. Combining the two tests improved sensitivity to 64.7% (95% CI: 46.5 80.3%) which was significantly better than ERCP-BB alone (P=0.001) but not EUS FNA alone (P=0.125). When both procedures were performed under the same conscious sedation, there was a significant difference (P=0.031) between the sensitivity of EUS-FNA (52.6%; 95% CI: 28.9-75.6%) and that of ERCP-BB (21.1%; 95% CI: 6.1 45.6%). When both procedures were performed together the mean+/-SD in-room time was 79+/-14 min (range: 45-105 min). Two of the patients (9.1%) had a complication. CONCLUSIONS: In patients undergoing EUS-FNA and ERCP-BB under the same sedation, EUS-FNA was significantly more sensitive in diagnosing malignancy. Combining the results of both tests improved diagnostic accuracy. Combining therapeutic ERCP and EUS-FNA under the same conscious sedation is feasible, with a complication rate similar to that of ERCP alone. PMID- 21068490 TI - The added value of molecular testing in small pancreatic cysts. AB - CONTEXT: Recent studies have shown high amplitude K-ras gene mutation and allelic imbalances are predictive of malignancy in pancreatic cysts. OBJECTIVE: Our purpose is to determine the added benefit of molecular testing in diagnosing small pancreatic cysts. DESIGN: Retrospective, single-institution study. PATIENTS: Patients with pancreatic cysts (less than, or equal to, 3 cm) who presented for EUS evaluation. INTERVENTION: EUS-guided pancreatic cyst aspiration cytology, carcinoembryonic antigen (CEA) level determination, and detailed DNA analysis including K-ras gene mutation and allelic imbalance. MAIN OUTCOME MEASUREMENTS: Ability of cyst fluid DNA analysis to render a diagnosis compared with cytology and CEA level determination. RESULTS: Diagnostic agreement was seen in 55.6% (35/63) of cases. In 10 cases (15.9%), there was disagreement between cytology and molecular. Molecular testing provided a diagnosis in 20 cases (31.7%) when either cytology was unsatisfactory, or CEA not elevated (less than 192 ng/mL). Elevated CEA levels were seen in 16 cases (25.4%), each diagnosed as a mucinous lesion with molecular analysis. CONCLUSIONS: Molecular analysis of pancreatic cyst fluid adds diagnostic value in scant specimens when cytology may be unsatisfactory and CEA unreliable. PMID- 21068491 TI - MicroRNA expression analyses in preoperative pancreatic juice samples of pancreatic ductal adenocarcinoma. AB - CONTEXT: Cytological assessment of pancreatic juice is commonly used to diagnose pancreatic ductal adenocarcinoma; however, the sensitivity of cytological assessment has been reported to be low. MicroRNAs are small RNAs regulating various cellular processes and have recently been identified as possible markers of malignant diseases including pancreatic ductal adenocarcinoma. OBJECTIVE: The purposes of this study were to prove the existence of microRNAs in pancreatic juice and to determine whether specific microRNAs in pancreatic juice could be used for detecting pancreatic ductal adenocarcinoma. METHODS: Relative expression levels of microRNA-21 and microRNA-155 in formalin-fixed paraffin-embedded tissues of resected specimens (no. 13) and pancreatic juice samples collected using preoperative endoscopic retrograde cholangiopancreatography (no. 21) were quantified and their expression levels were then compared to pancreatic ductal adenocarcinoma and chronic pancreatitis. RESULTS: Relative expression levels of microRNA-21 in tissue and pancreatic juice samples were significantly higher in pancreatic ductal adenocarcinoma than those in chronic pancreatitis (P=0.009 and P=0.021, respectively). The same results were obtained in the expression levels of microRNA-155 in tissue and pancreatic juice between pancreatic ductal adenocarcinoma and chronic pancreatitis (P=0.014 and P=0.021, respectively). Expression levels of microRNA-21 and microRNA-155 did not correlate with the preoperative cytological results of pancreatic juice. CONCLUSION: MicroRNA-21 and microRNA-155 in pancreatic juice have the potential of becoming biomarkers for diagnosing pancreatic ductal adenocarcinoma. PMID- 21068492 TI - Pancreatic resection for metastasis to the pancreas from colon and lung cancer, and osteosarcoma. AB - CONTEXT: Pancreatic resection for a metastatic colon, lung cancer or an osteosarcoma has rarely been reported in the literature and there is controversy regarding recurrence and the overall survival of these patients. We herein evaluate the outcome of three patients who underwent pancreaticoduodenectomy for the aforementioned metastatic tumors to the pancreas. CASE REPORTS: Clinical presentation included pyloric stenosis and acute gastrointestinal bleeding. One patient was asymptomatic and was diagnosed during follow-up for colon cancer. All the pancreatic lesions were located in the head of the pancreas, and the intervals between the diagnosis of the primary cancer and the pancreatic metastases were 6, 14 and 24 months. During exploration of the abdomen, additional metastatic lesions in the small intestine and liver were detected and resected in two patients. One patient died one month after surgery from massive gastrointestinal bleeding. The other two patients experienced relief from their symptoms but died from generalized carcinomatosis 16 and 27 months after pancreaticoduodenectomy. CONCLUSION: Pancreatic resection for metastatic disease may be suggested for selected patients, even those with limited extrapancreatic disease. In this setting, it may offer good palliation and may prolong survival. In cases of acute duodenal bleeding resistant to conservative measures, pancreaticoduodenectomy may represent the only alternative for survival; however, significant morbidity and mortality should be expected.